paper_id
stringlengths
9
16
version
stringclasses
26 values
yymm
stringclasses
311 values
created
timestamp[s]
title
stringlengths
6
335
secondary_subfield
sequencelengths
1
8
abstract
stringlengths
25
3.93k
primary_subfield
stringclasses
124 values
field
stringclasses
20 values
fulltext
stringlengths
0
2.84M
1203.3629
1
1203
2012-03-16T08:14:18
Band gaps in graphene via periodic electrostatic gating
[ "cond-mat.mes-hall" ]
Much attention has been focused on ways of rendering graphene semiconducting. We study periodically gated graphene in a tight-binding model and find that, contrary to predictions based on the Dirac equation, it is possible to open a band gap at the Fermi level using electrostatic gating of graphene. However, comparing to other methods of periodically modulating graphene, namely perforated graphene structures, we find that the resulting band gap is significantly smaller. We discuss the intricate dependence of the band gap on the magnitude of the gate potential as well as the exact geometry of the edge of the gate region. The role of the overlap of the eigenstates with the gate region is elucidated. Considering more realistic gate potentials, we find that introducing smoothing in the potential distribution, even over a range of little more than a single carbon atom, reduces the attainable band gap significantly.
cond-mat.mes-hall
cond-mat
Band gaps in graphene via periodic electrostatic gating 1Department of Physics and Nanotechnology Aalborg University, Skjernvej 4A DK-9220 Aalborg East, Denmark 2Center for Nanostructured Graphene (CNG), Aalborg University, DK-9220 Aalborg East, Denmark Jesper Goor Pedersen1 and Thomas Garm Pedersen1,2 (Dated: November 5, 2018) Much attention has been focused on ways of rendering graphene semiconducting. We study periodically gated graphene in a tight-binding model and find that, contrary to predictions based on the Dirac equation, it is possible to open a band gap at the Fermi level using electrostatic gating of graphene. However, comparing to other methods of periodically modulating graphene, namely perforated graphene structures, we find that the resulting band gap is significantly smaller. We discuss the intricate dependence of the band gap on the magnitude of the gate potential as well as the exact geometry of the edge of the gate region. The role of the overlap of the eigenstates with the gate region is elucidated. Considering more realistic gate potentials, we find that introducing smoothing in the potential distribution, even over a range of little more than a single carbon atom, reduces the attainable band gap significantly. PACS numbers: 73,22.Pr, 73.21.Cd I. INTRODUCTION While graphene1 has proven to be a remarkable mate- rial, with electronic properties that are interesting from a fundamental2,3 as well as a technological viewpoint,4,5 the absence of a band gap severely limits its possible applications. Several methods have been proposed for opening a gap in graphene. Relying on quantum con- finement effects, the most immediate way of making graphene semiconducting is by reducing the dimension- ality by cutting graphene into narrow ribbons. Such so-called graphene nanoribbons (GNRs) have band gaps that in general scale inversely with the width of the GNR, but which are very sensitive to the exact geometry of the edge of the ribbon.6 -- 8 Related to these ideas, periodically perforated graphene, termed graphene antidot lattices, effectively result in a network of ribbons, and has been shown to be an efficient way of inducing an appreciable band gap in graphene.9 This idea has been successfully applied to fabricate simple graphene-based semiconduc- tor devices.10,11 Modifying graphene via adsorption of hydrogen presents another route towards opening a gap in graphene, with fully hydrogenated graphene exhibiting a band gap of several electron volts,12,13 while patterned hydrogen adsorption yields band structures resembling those of graphene antidot lattices, with reported band gaps of at least 450 meV.14 The prospect of opening a band gap in graphene via electrostatic gating is intriguing, since it would allow for switching between semi-metallic and semiconducting be- havior and to dynamically alter the band gap to fit spe- cific applications. This makes it significantly more flex- ible than proposals relying on structural modification of graphene. However, a linearization of the tight-binding Hamiltonian of graphene, resulting in the now widely studied Dirac equation (DE) of graphene,2,15 suggests that the Dirac fermions of graphene cannot be confined by electrostatic gating, due to the phenomenon of Klein tunneling.16,17 Thus, while periodic gating of usual semi- conductor heterostructures such as, e.g., GaAs quantum wells, does induce gaps in the dispersion relation,18 pre- vious theoretical studies have indicated that band gaps are induced for neither one-dimensional19,20 nor two- dimensional21 periodic gating of graphene. These studies have taken as their starting point the Dirac model of graphene, which is a low-energy contin- uum model, ignoring atomistic details. Here, we instead use a more accurate tight-binding (TB) model to study periodically gated graphene. Contrary to predictions of continuum (Dirac) models, the TB model suggests that it is indeed possible to open a band gap in graphene via periodic gating. The aim of this paper is two-fold: (i) To compare periodically gated graphene with graphene antidot lattices. In doing so we will illustrate that, con- trary to what may be expected from the Dirac equation, a sufficiently large scalar potential, i.e., not necessarily a mass term, yields a band structure that is highly similar to that of perforated graphene structures; (ii) to serve as a feasibility study of periodic gating as a means of inducing a band gap in graphene. To this end, we will illustrate and discuss the non-trivial dependence of the band gap on the gate potential, as well as the intricate relation between band gap and the edge geometry of the gated region. These results will also serve to illustrate some of the key differences between graphene and ordi- nary two-dimensional electron gases. While, initially, the potential will be modeled as a simple step function, we will show below that introducing smoothing in the po- tential distribution severely reduces the attainable band gap. Continuum and atomistic models of periodically gated graphene have previously been compared in Ref. 22. That study, however, focused on a single value of the potential strength and only considered structures that are rotated 30◦ compared to the ones of the present work and, therefore, do not necessarily display any band gap even for perforated structures.23 Moreover, in this work we examine in detail the non-trivial dependence of the a) L b) c) d) R VA(x, y = 0) : VB(x, y = 0) : FIG. 1: (Color online) Unit cells used in the calculations for the {12, 5} lattice. (a) Perforated graphene sheet, with carbon atoms removed in the region of the antidot. (b) Staggered po- tential (mass term) in the antidot region. The color indicates the sign of the on-site energies. (c) Constant gate potential in the antidot region. (d) Gate potential modeled via Eq. (2), assuming the gate is directly below the graphene sheet, with no insulating layer in-between. The lower panel illustrates the potential of each model on the separate A and B sublattices. band gap on the magnitude of the potential and we con- sider more realistic, smooth potential profiles. Finally, we elucidate the intricate dependence on the precise edge geometry and show how the energy gap correlates with the gate region overlap of electron and hole states. II. MODELS In Fig. 1 we illustrate the graphene structures that we will consider in this article. We consider only su- perlattices with triangular symmetry, as shown in the figure. An important decision lies in the choice of the angle between the basis vectors of the superlattice and the carbon-carbon bonds in graphene. In particular, if the superlattice basis vectors are rotated 30◦ compared to the carbon-carbon bonds (such as in Ref. 22), Clar sex- tet theory predicts that perforated graphene structures only exhibit significant band gaps for every third value of the side length of the hexagonal unit cell.23 In con- trast to this, perforated graphene structures with basis vectors parallel to the carbon-carbon bonds always have band gaps. We choose to focus in this paper on the lat- ter geometries, in order to ensure that the superlattice symmetry in itself does not prohibit the emergence of a band gap. We characterize a given structure by {L, R}, where L denotes the side length of the hexagonal unit cell, while R is the radius of the central region, both in units of the graphene lattice constant, as illustrated in Fig. 1. In these units, L also corresponds to the number of benzene rings along each edge of the unit cell. Note that the exact geometry of the edge of the central region differs greatly depending on the radius R. Below, we discuss in detail the crucial dependence of the results on the edge geom- etry. We will consider four distinct ways of periodically 2 modifying graphene: (a) Perforated graphene (graphene antidot lattices), with carbon atoms removed from the central region, (b) a periodic mass term, non-zero only in the central region, and (c) periodically gated graphene, with a constant gate potential within the central region and a vanishing potential outside. Furthermore, to dis- cuss the feasibility of realizing gapped graphene via pe- riodic gating, we will also consider (d) periodically gated graphene, with a more realistic model of the spatial de- pendence of the gate potential, obtained from a solution to the Laplace equation. Focus will be on periodically gated graphene, with the other forms of modulation in- cluded for comparison only. To illustrate the dependence of the results on the ex- act edge of the gate or mass region, we will use a Dirac model as well as a more accurate tight-binding treat- ment, in which the atomistic details of the structures are included. We find significant discrepancies between these two methods, quantitatively as well as qualitatively. In particular, we will show that the DE does not predict a band gap opening for periodic gating, which is present in the TB results. In what follows, we briefly describe the two models. In the continuum model of the problem, we employ the Dirac Hamiltonian HD = (cid:20) ∆(x, y) vF (px + ipy) ±∆(x, y) vF (px − ipy) (cid:21) , (1) where vF ≃ 106 m/s is the Fermi velocity, while ∆(x, y) denotes the gate potential or mass term. Here, the + (−) is used when modeling a gate potential (mass term). Imposing periodic Bloch boundary conditions at the edge of the unit cell, we solve the problem in a plane-wave spinor basis, hrAGi = (cid:0) 1 hrBGi = (cid:0) 0 0(cid:1)ei(G+k)·r and 1(cid:1)ei(G+k)·r, with k the Bloch wave vector and G the reciprocal lattice vectors. We take ∆(r) = V0Θ(R − r), with Θ(r) the Heaviside step function, yield- ing ∆(G) = 2πRV0J1(GR)/(GA), where A is the unit cell area while J1(x) is the Bessel function of the first kind. A total of 1058 plane-wave spinors were included in the calculations, to ensure convergence of the results. In the tight-binding model we include only nearest- neighbor coupling between π orbitals, parametrized via the hopping term −t, with t = 3 eV. We ignore the over- lap between neighboring π orbitals, assuming that our basis is orthogonal, and set the on-site energy of the π orbitals to zero. This parametrization accurately repro- duces the Fermi velocity of graphene, and is also in quan- titative agreement with density functional theory when applied to perforated graphene structures.24 For period- ically gated graphene, we set the diagonal terms of the Hamiltonian equal to the gate potential. In the case of a mass term, the diagonal terms become ±V0, with the sign depending on which sublattice the carbon atom re- sides on. For perforated graphene, atoms are removed entirely in the region of the hole, ensuring that no dan- gling bonds are created. While including next-nearest neighbor coupling, as well as taking into account the ) V e ( y g r e n E 1.0 0.5 0.0 -0.5 -1.0 K 1.0 0.8 0.6 0.4 0.2 0.0 ) V e ( y g r e n E -0.2 -0.4 K 3 0.46 0.44 0.42 0.40 G M K G M K FIG. 2: (Color online) Band structures of the {12, 5} lattice. The solid, black lines show results for perforated graphene, calculated using a TB model. The blue, dashed (red, dotted) lines correspond to graphene with a periodic mass term of V0 = t, calculated using the TB (DE) model. The thick, red line shows the location of the Fermi level. Note the perfect electron-hole symmetry in this case, and the agreement on the magnitude of the band gap between all three methods. FIG. 3: (Color online) Band structures of a periodically gated {12, 5} lattice. The solid, black (blue, dashed) lines show results for periodically gated graphene, calculated using a TB (DE) model. The gate potential is V0 = t/2. The thick, red line shows the location of the Fermi level. Note the nearly dispersionless band near −0.2 eV. Inset: A zoom of the band structure near the Γ point, illustrating the emergence of a band gap in the TB results and the absence of such a gap in the DE model. non-orthogonality of the basis set, will change our re- sults quantitatively, we expect the overall trends and the conclusions to remain the same in more accurate models. III. BAND STRUCTURES In Fig. 2 we show the band structure for a {12, 5} graphene antidot lattice, i.e., periodically perforated graphene, and compare to the case of a periodic mass term, modeled using either the TB or the DE approach. A sufficiently large mass term should ensure that elec- trons are excluded entirely from the region of the mass term, and we thus expect relatively good correspondence with perforated graphene. In the figure, we consider the case where the mass term is equal in size to the TB hop- ping term, V0 = t. As expected, we find quite good agree- ment between all three methods. In particular, the mag- nitudes of the band gaps are in near-perfect agreement. Using a finite, but sufficiently large mass term in the DE model thus yields much better results than models where the limit of infinite mass term is used to impose bound- ary conditions on the edge of the hole in the DE model.24 Note that electron-hole symmetry is preserved for all models. For higher-lying bands, the differences between the DE and TB results become more pronounced, as the linear approximation of the DE model breaks down. Fur- ther, comparing the case of perforated graphene to that of a periodic mass term in the TB model, we see signif- icant differences in the higher-lying bands. However, we note that increasing the mass term further results in ex- cellent agreement with the perforated graphene case, for all bands shown. A periodic mass term is expected to induce a gap in graphene due to the fact that it explicitly breaks sub- lattice symmetry via the σz operator in the continuum model or, similarly, through the staggered on-site poten- tial in the TB approach. Contrary to this, analysis of periodic potentials in a DE model of graphene suggests that periodic gating does not induce a gap in graphene around the Fermi level,19,20 but rather leads to the gen- eration of new Dirac points near the superlattice Bril- louin zone boundaries.21 Superlattices lacking inversion symmetry have been suggested as a means of achieving tunable band gaps in graphene, based on results using a DE model.25 However, these results were recently found to be based on numerical errors.26 Indeed, based on the DE model, a gap cannot be produced by any Hamiltonian that preserves time-reversal symmetry, i.e. H = σyH ∗σy, where σy is the Pauli spin matrix while H ∗ denotes the complex conjugate (not the Hermitian conjugate) of the Hamiltonian.27 A pure scalar potential, such as the one we consider for periodically gated graphene, see Eq. (1), preserves this symmetry and the DE model thus suggests that periodic gating does not open a band gap. Instead, a combination of a scalar as well as a vector potential is needed.27 In Fig. 3 we show the band structure of a periodi- cally gated {12, 5} graphene structure, with a gate po- tential of half the TB hopping term, V0 = t/2. Results are shown for TB and DE models, respectively. Con- trary to a periodic mass term we see that, as could be expected, periodic gating breaks electron-hole symmetry 1.0 0.5 0.0 -0.5 ) V e ( y g r e n E -1.0 K G M K FIG. 4: (Color online) Band structures of a periodically gated {12, 5} lattice. The solid, black lines show results for per- forated graphene, calculated using a TB model. The blue, dashed lines correspond to graphene with a periodic gate po- tential of V0 = 10t, calculated using the TB model. Bands near the original Dirac energy of graphene are shown. For the gated structure, the Fermi level is far removed from the Dirac energy of graphene, outside the range of the figure, and no band gap occurs at the Fermi level for this structure. and shifts the Fermi level to higher energies. Compar- ing DE and TB results, we note that there is quite good agreement overall, between the two methods. However, a crucial difference emerges when considering the bands in close vicinity of the Fermi level, as illustrated in the inset: while the DE results suggest that periodic gating does not open a band gap, TB results demonstrate that a band gap does occur right at the Fermi level. We at- tribute this to a local sublattice symmetry breaking at the edge of the gate region and substantiate this claim below. We note that while a band gap appears, the mag- nitude of the band gap is of the order of tens of meV, an order of magnitude smaller than that of the correspond- ing perforated graphene structure. This dramatic qual- itative difference between TB and DE modelling agrees with previous results22 comparing density functional the- ory and Dirac models for rotated triangular geometries. Above, we illustrated how a sufficiently large mass term serves as an excellent model of a hole in graphene, see Fig. 2. Because a simple scalar potential cannot con- fine Dirac electrons16,17, one would expect that model- ing the hole via a large gate potential would be inaccu- rate. In Fig. 4 we show the band structure of period- ically gated graphene, with a very large gate potential of V0 = 10t.28 For comparison, we also show the corre- sponding perforated graphene structure. Contrary to the aforementioned expectations, we see that the periodically gated graphene structure is an excellent model of perfo- rated graphene. We note that increasing the gate poten- tial further results in near-perfect agreement between the periodically gated and the perforated structures. With a 1.0 0.8 0.6 0.4 0.2 0.0 1.0 0.8 0.6 0.4 0.2 p a l r e v o e t a G p a l r e v o t o d i t n A 0.0 -10 4 -5 0 5 10 Energy (eV) FIG. 5: (Color online) Overlap of eigenstates with the gate region, calculated at the Γ point for the {12, 5} lattice with a gate potential (upper panel) or mass term (lower panel) of V0 = t/2. The Fermi level is indicated by the dashed, verti- cal line. The inset in the upper panel shows the eigenstate corresponding to the state highlighted with a circle. The size of the filled, colored circles indicates the absolute square of the wavefunction. The black circle indicates the radius of the gate region. gate potential of V = 10t we are way beyond the linear regime of the band structure, for which a Dirac treatment of graphene is viable, which explains why the theoretical arguments pertaining to Dirac electrons break down in this case. A. Gate region overlap Returning now to the band structure for the periodi- cally gated {12, 5} lattice, shown in Fig. 3, we note the appearance of a nearly dispersionless band near −0.2 eV. This state is localized predominantly within the gate re- gion. In the upper panel of Fig. 5 we show the overlap of all eigenstates with the gate region as a function of en- ergy, calculated at the Γ point. For comparison, we show the corresponding results for a periodic mass term in the lower panel. We note that several states exist, which have significant overlap with the gate region, also at energies below the Fermi level. An example of one such state is shown in the figure. As the gate potential is increased further, these states become less energetically favorable, and are eventually all situated at energies well above the Fermi level. In stark contrast to this, a periodic mass term dictates perfect electron-hole symmetry, and thus 0.4 0.3 0.2 0.1 0.0 {12, 5} 0.0 0.5 1.0 1.5 2.0 2.5 3.0 0.08 0.06 0.04 0.02 ) V e ( p a g d n a B {7, 2.8} {7, 3} {12, 5} {15, 6.3} 0.00 0.0 0.5 1.0 2.0 1.5 RV0/t 2.5 3.0 FIG. 6: (Color online) Band gap at the Fermi level for peri- odically gate graphene, as a function of the gated radius (in units of the graphene lattice constant) times the gate poten- tial in units of the TB hopping term. Results are shown for three different lattices (solid lines), with roughly equal ratios R/L of the radius of the gate region to the side length of the hexagonal unit cell. The dashed line shows the results for the {7, 2.8} lattice, which has roughly the same R/L ratio. Inset: Results for {12, 5}, when the potential is replaced by a mass term. The dashed line indicates the band gap for a perforated graphene structure. always predicts states below the Fermi level having sig- nificant overlap with the gate region. In fact, as the mass term is increased, states nearly entirely localized within the mass term region develop at both extrema of the spectrum. Below, we will illustrate how this fundamen- tal difference between a mass term and a scalar potential manifests itself via the dependence of the band gap on the magnitude of the gate potential for periodically gated graphene. IV. BAND GAPS IN PERIODICALLY GATED GRAPHENE Having determined that a TB treatment of periodically gated graphene does indeed suggest the opening of a band gap at the Fermi level, we now proceed to investigate the behavior of the band gap magnitude in more detail. From hereon, all results shown have been calculated using the TB model. In Fig. 6, the solid lines show the magnitude of the band gap at the Fermi level for three different lattices, {7, 3}, {12, 5}, and {15, 6.3}, all of which have approxi- mately the same ratio R/L of gate radius to side length of the hexagonal unit cell. When plotted against the gate radius times the gate potential, the resulting curves emerge as simple scaled versions of each other, as seen in Fig. 6. While, initially, raising the gate potential in- creases the band gap, a maximum gap is reached at a 5 {7, 2.5} {7, 2.8} {7, 3.0} {7, 3.3} 0.20 0.15 0.10 0.05 ) V e ( p a g d n a B 0.00 0.0 0.5 1.0 1.5 2.0 RV0/t 2.5 3.0 3.5 FIG. 7: (Color online) Band gap at the Fermi level for peri- odically gated graphene. The band gap is shown as a function of the gate radius (in units of the graphene lattice constant) times the gate potential in units of the TB hopping term. Results are shown for lattices {7, R} with varying R. Below RV0 ≃ 3t all gaps are direct (Γ -- Γ). Above this transition the Γ -- Γ gaps (dashed lines) exceed the indirect Γ -- K gap. The unit cells of the {7, R} lattices are shown above, in order of increasing radius. The edge geometry is highlighted. certain gate potential, after which the band gap dimin- ishes. This behavior is completely different from the case where the potential is replaced by a mass term, as illus- trated in the inset of the figure. In this case, the band gap continues to increase until a saturation point is reached in the limit where the structure resembles that of per- forated graphene. While the three periodic lattices indi- cated with solid lines in Fig. 6 result in similar dependen- cies of the gap on RV0, we stress that this is not the case for all lattices, even if the ratio R/L is approximately the same. To illustrate this, we also show in Fig. 6 results for the {7, 2.8} lattice. The dependence of the band gap on gate potential differs markedly for this lattice. This indicates that the exact geometry at the edge of the gate region plays a large role in determining the band gap, in agreement with findings in Ref. 22. A. Edge dependence To illustrate in more detail the role of the edge in de- termining the band gap, we show in Fig. 7 the band gap as a function of the gate potential, for lattices {7, R} with increasing values of R. The radius is increased in the min- imum steps resulting in new geometries. The structures with R ∈ {2.5, 3.0, 3.3} show quite similar behaviors. In particular, a maximum band gap is reached at RV0 ≃ 2t in all three cases. The band gap then closes, but re- opens once more as the gate potential is increased fur- ther. Around RV0 ≃ 3t the band gap changes from direct (Γ -- Γ) to indirect (Γ -- K) as the gate voltage is raised. The dashed lines in the figure illustrate the Γ -- Γ gap above the direct to indirect-gap transition. However, after a slight further increase of the gate voltage, the final closing of the band gap occurs as the energy at the K point moves below that at the Γ point, resulting in crossing bands at the Fermi level. Finally, we note that while the three lat- tices show similar behavior, the dependence of the band gap on the radius of the gate region is clearly not mono- tonic, and a larger gate region does not necessarily result in a larger band gap. In contrast to the similarities of the other three struc- tures, the dependence of the band gap on the gate poten- tial for the {7, 2.8} lattice differs greatly. In the upper panel of Fig. 7 we show the unit cells corresponding to the {7, R} lattices considered, with the edge geometries highlighted. The {7, 2.8} lattice stands out from the rest of the geometries, in that the entire edge of the gate re- gion is made up from several pure zigzag edges. We stress that the sublattice imbalance for the entire edge is zero, while there is a local sublattice imbalance on the individ- ual straight zigzag edges. In contrast to this, the other geometries have gate regions with zigzag as well as arm- chair edges. We find that the general trend is for zigzag edges to quench the band gap of the periodically gated graphene structures, which we have also verified via cal- culations of gate regions of hexagonal symmetry, which always have pure zigzag edges. This trend can be ex- plained by noting that pure zigzag edges, such as, e.g., in zigzag graphene nanoribbons6,7 or graphene antidot lat- tices with triangular holes29 -- 31, lead to localized midgap states.32 For periodically gated graphene the edge is de- fined by a finite potential, rather than a complete absence of carbon atoms, so we expect the tendency of electrons to localize on the edge to be less pronounced. Never- theless, our findings suggest that local zigzag geometry still has the effect of quenching the band gap. Since, in general, larger circular holes will have longer regions of zigzag geometry at the edge of the gate region, this explains why larger gate regions will not invariably lead to larger band gaps. In the present case, we note that the {7, 3.3} structure indeed has a significantly smaller band gap than the {7, 2.5} structure. The {7, 3.0} lat- tice is unique in that the equivalent of dangling bonds are present at the edge of the gate region, which further decrease the magnitude of the band gap. B. Dependence on gate region overlap First-order perturbation theory suggests that the de- pendence of the energy of the eigenstate on the gate po- tential be proportional to the overlap of the state with the gate region, i.e., ∂E/∂V0 ∝ Rgate drΨ(r)2. We thus {7, 2.5} {7, 2.8} {7, 3.3} y g r e n E V0 0.20 0.15 0.10 0.05 0.00 2.0 1.5 1.0 ) V e ( p a g d n a B e t a g A / l l e c t i n u A × p a l r e v O conduction valence y g r e n E 0.0 0.5 1.0 1.5 RV0/t 2.0 6 V0 2.5 FIG. 8: (Color online) Overlap of the eigenstates nearest the Fermi level as a function of the gate radius (in units of the graphene lattice constant) times the gate potential in units of the TB hopping term. The solid lines show the overlap of the highest valence band state, while the dashed lines show the overlap of the lowest conduction band state. The overlap is shown relative to the ratio between the area of the gate re- gion, and the unit cell area. The upper panel repeats the data from Fig. 7 showing the band gap. Note that the overlaps of the two states are equal exactly when the band gap is at a maximum, as highlighted for the {7, 2.5} lattice with the ver- tical black line. The left (right) inset illustrates schematically the dependence of the conduction and valence band edges on the gate potential, in the regime where the overlap with the gate of the state at the valence band edge is smaller (larger) than that of the state at the conductance band edge. expect the overlap with the gate region of the two eigen- states closest to the Fermi level to be a crucial parameter in describing the opening and quenching of the band gap as the gate voltage is varied. We will also see that it illus- trates the crucial differences between graphene and ordi- nary two-dimensional electron gases. In Fig. 8 we show the overlap of the eigenstate with the gate region as a function of the magnitude of the potential. The overlap is shown for the eigenstates at the valence and conduc- tion band edges, and normalized by the ratio between gate and unit cell areas. A value of one thus indicates that the overlap with the gate region is the same as if the eigenstate is evenly distributed throughout the unit cell, while a value larger (smaller) than one suggests that the eigenstate is localized predominantly inside (outside) the gate region. As we saw also in Fig. 5, the states near the Fermi level both have quite large overlaps with the gate region, even when the potential is of the order of the TB hopping term. Initially, for low values of the gate potential, the overlap with the gate region of the unoccupied state in the conduction band is larger than the corresponding overlap of the occupied state in the valence band. Relying on first-order perturbation theory we thus expect the energy of the conductance band state to increase more strongly with the gate potential than the valence band state, resulting in a larger band gap as the gate potential is raised, as illustrated in the left inset of Fig. 8. However, contrary to what would be expected for an ordinary two-dimensional electron gas, we see that as the potential is increased further, the valence band state also becomes localized predominantly within the gate re- gion. Indeed, eventually the overlap of the valence band state with the gate region becomes larger than the one of the conduction band state, which results in a quenching of the band gap as the potential is increased further, as illustrated in the right inset of Fig. 8. We note that the point where the overlap of the two states with the gate re- gion become equal exactly matches the point where the band gap is at a maximum. This is illustrated by the vertical, black line in the figure. The strong influence of the exact edge geometry is apparent, manifesting itself in a qualitatively different dependence of the overlap on gate voltage for the {7, 2.8} lattice. In particular, while the gate region overlap of the valence band state of the {7, 2.5} and {7, 3.3} lattices initially decreases with the size of the potential, both valence and conduction band states immediately start localizing within the gate re- gion for the {7, 2.8} structure. This leads to much faster quenching of the initial band gap. C. Realistic potential profiles As we have illustrated above, the band gap of peri- odically gated graphene depends strongly on the edge geometry at the boundary between the gated and the non-gated region. So far, we have used a simple step function to model the spatial dependence of the poten- tial due to the gate. However, it is obvious that in actual realizations of periodically gated graphene, some form of smoothing of the potential will inevitably be present. Due to the intricate relationship between the band gap and the edge geometry, it is relevant to investigate the ef- fect of smoothing out the potential. In particular, since the DE model predicts no gap at all, one may wonder whether smoothing will cause the gap to close entirely. Previous studies have included smoothing of the gate po- tential, but with a smearing distance of the order 0.1 A,22 small enough that an atomically resolved edge can still be defined. To model a more realistic gate potential, we use an an- alytical expression for the potential distribution resulting from a constant potential disk in an insulating plane, ob- tained by direct solution of the Laplace equation. In cylindrical coordinates, this reads as33 7 2R   , V (r, z) = 2V0 π sin−1  q(r − R)2 + z2 +q(r + R)2 + z2 (2) with z the distance above the gate, while r is the dis- tance from the center of the disk. Note that for z = 0 the expression simplifies to V (r, 0) = V0 for r ≤ R while V (r, 0) = 2V0 sin−1(R/r)/π for r > R. Of course, more exact approaches such as, e.g., finite-element methods, could be used to determine the potential distribution from a realistic back gate. However, we choose to use this relatively simple analytical expression, since we are mainly interested in discussing the general trends that occur as the edge of the potential region becomes less well-defined. One could imagine more elaborate setups that would generate sharper potential distributions. To include such possibilities, we consider a modified po- tential distribution V (r, z) = V0[V (r, z)/V0]η, with the additional parameter η, which allows us to control the smoothing of the potential further. As η → ∞ we ap- proach the limit where the potential is described by a Heaviside step function, as in the results presented so far. We note that Eq. (2) is derived for an isolated con- stant potential disk rather than a periodic array of gates. Ignoring coupling between the different gates, one simple way of improving this model would be to add the poten- tials generated from the nearest-neighbor gates, to ac- count for the overlap between them. However, this would merely serve to smoothen out the potential further, as well as add a constant background potential, effectively decreasing the height of the potential barrier. Here, we are interested in illustrating the critical dependence of the band gap on smoothing out the potential, so we are adopting a 'best case' scenario, which also means that we will use z = 0 throughout, assuming that the graphene layer is deposited directly on the periodic gates, with no insulating layer in-between. In Fig. 9 we show the band gap for a {12, 5} lattice as a function of gate potential, for increased values of the η parameter. While for η → ∞, corresponding to a Heavi- side step function distribution, the maximum band gap is about 33 meV, the band gap for η = 1 is drastically lower, with a maximum value of only 0.9 meV. As we artificially decrease the amount of smoothing by raising the value of η, we slowly recover the maximum band gap attainable. However, we stress that even for η = 20, which as shown in the inset of the figure amounts to smoothing over a range of little more than a single carbon atom, the max- imum band gap has decreased by more than 20% from the value at η → ∞. This suggests that the band gap does indeed critically depend on an edge effect, which is very quickly washed out as the potential step is smoothed out over several carbon atoms. This is in agreement with previous studies, which have indicated that intervalley scattering is crucial in describing the band gap of peri- odically gated graphene.22 In order for a scalar potential 1.0 0.8 0.6 0.4 0.2 0.0 4.5 aeaeaeaeaeaeaeaeaeaeaeae aeaeaeaeaeae aeaeaeaeaeaeaeaeaeaeaeae aeaeaeaeaeaeaeaeaeaeaeae àààààààààààà àààààà àààààààààààà àààààààààààà ìììììììììììì ìììììì ìììììììììììì ìììììììììììì òòòòòòòòòòòò òòòòòò òòòòòòòòòòòò òòòòòòòòòòòò aeaeaeaeaeaeaeaeaeaeaeae aeaeaeaeaeaeaeaeaeaeaeae ìììììììììììì àààààààààààà ìììììììììììì aeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeae aeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeae aeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeae aeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeae aeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeaeae aeaeaeaeaeaeaeaeaeaeaeae aeaeaeaeaeaeaeaeaeaeaeae òòòòòòòòòòòò àààààààààààà ìììììììììììììììììììììììì òòòòòòòòòòòò 5.0 ìììììììììììììììììììììììììììììì ìììììììììììììììììììììììì ìììììììììììììììììììììììììììììì ìììììììììììììììììììììììì ìììììììììììì ìììììììììììì àààààààààààààààààààààààà àààààààààààààààààààààààààààààà àààààààààààààààààààààààà àààààààààààààààààààààààààààààà àààààààààààààààààààààààà àààààààààààà àààààààààààà òòòòòòòòòòòòòòòòòòòòòòòò òòòòòòòòòòòò òòòòòò òòòòòòòòòòòò òòòòòòòòòòòòòòòòòòòòòòòò òòòòòòòòòòòòòòòòòòòòòòòòòòòòòò òòòòòòòòòòòòòòòòòòòòòòòò òòòòòòòòòòòò òòòòòòòòòòòò 5.5 7.0 6.0 r/a 6.5 0.035 0.030 0.025 0.020 0.015 0.010 0.005 ) V e ( p a g d n a B η → ∞ η = 20 η = 10 η = 5 η = 1 0.000 0.0 0.2 0.4 0.6 0.8 V0 (eV) 1.0 1.2 1.4 FIG. 9: (Color online) Band gap as a function of gate poten- tial for the {12, 5} periodically gated graphene lattice. The potential distribution due to the periodic gates is modelled via Eq. (2). We assume the distance from the plane of the gate to the graphene layer is zero. Results are shown for increased values of the η parameter, which determines the strength of the smoothing. The inset illustrates the potential distribu- tion V /V0 for each case, with markers indicating the radial position of the carbon atoms. to induce intervalley scattering, it must vary significantly on a scale of the carbon-carbon distance, so that a local sublattice asymmetry is introduced. 8 the location of the band gap. For smaller, more realistic values of the gate potential, a band gap appears right at the Fermi level. However, we find that the band gap is or- ders of magnitude smaller than that of the corresponding perforated graphene structure. The dependence of the band gap on the gate potential is highly non-trivial, and entirely different from the case where graphene is modulated by a periodic mass term. In particular, a maximum magnitude of the band gap is reached, after which increasing the gate potential further quenches the gap. Also, a transition from a direct (Γ -- Γ) to an indirect (Γ -- K) semiconductor occurs for larger gate potentials. The exact magnitude and dependence of the band gap on gate potential depends critically on the precise geometry of the edge of the gate region. In particular, large regions of local zigzag geometries tend to result in significantly smaller band gaps than geometries where armchair edges dominate. Because the emergence of a band gap relies on a local sublattice asymmetry, we find that it is extremely frag- ile. If smoothing is introduced in the potential distribu- tion, such that the edge of the gate region is no longer atomically resolved, the magnitude of the band gap drops significantly. Even if the smoothing occurs over a range of little more than a single carbon atom, we find that the maximum band gap decreases to less than 80% of the value for a perfectly defined edge. This presents a serious challenge to opening a band gap in graphene via periodic gating. V. SUMMARY Acknowledgments By employing a tight-binding description of graphene, we have shown that, contrary to what is predicted on ba- sis of a continuum model, it is indeed possible to induce a band gap in graphene via periodic, electrostatic gat- ing. Further, if the magnitude of the potential is made sufficiently large, periodically gated graphene is an accu- rate model for perforated graphene structures, with one caveat, namely that the Fermi level is far removed from The work by J.G.P. is financially supported by the Danish Council for Independent Research, FTP grant numbers 11-105204 and 11-120941. The Center for Nanostructured Graphene (CNG) is sponsored by the Danish National Research Foundation. We thank Prof. Antti-Pekka Jauho for helpful comments during the de- velopment of the manuscript. 1 K. S. Novoselov, A. K. Geim, S. V. Morozov, D. Jiang, Y. Zhang, S. V. Dubonos, I. V. Grigorieva, and A. A. Firsov, Science 306, 666 (2004). 2 A. H. Castro Neto, F. Guinea, N. M. R. Peres, K. S. Novoselov, and A. K. Geim, Rev. Mod. Phys. 81, 109 (2009). 3 K. S. Novoselov, A. K. Geim, S. V. Morozov, D. Jiang, M. I. Katsnelson, I. V. Grigorieva, S. V. Dubonos, and A. A. Firsov, Nature 438, 197 (2005). 4 A. K. Geim and K. S. Novoselov, Nature Materials 6, 183 (2007). 5 A. K. Geim, Science 19, 1530 (2009). 6 K. Nakada, M. Fujita, G. Dresselhaus, and M. S. Dressel- haus, Phys. Rev. B 54, 17954 (1996). 7 L. Brey and H. A. Fertig, Phys. Rev. B 73, 235411 (2006). 8 Y.-W. Son, M. L. Cohen, and S. G. Louie, Phys. Rev. Lett. 97, 216803 (2006). 9 T. G. Pedersen, C. Flindt, J. Pedersen, N. A. Mortensen, A.-P. Jauho, and K. Pedersen, Phys. Rev. Lett. 100, 136804 (2008). 10 J. Bai, X. Zhong, S. Jiang, Y. Huang, and X. Duan, Nature Nanotechnology 5, 190 (2010). 11 M. Kim, N. S. Safron, E. Han, M. S. Arnold, and P. Gopalan, Nano Lett. 10, 1125 (2010). 12 J. O. Sofo, A. S. Chaudhari, and G. D. Barber, Physical Review B 75, 153401 (2007). 13 D. C. Elias, R. R. Nair, T. M. G. Mohiuddin, S. V. Morozov, P. Blake, M. P. Halsall, A. C. Ferrari, D. W. 9 Boukhvalov, M. I. Katsnelson, A. K. Geim, and K. S. Novoselov, Science 323, 610 (2009). 14 R. Balog, B. Jørgensen, L. Nilsson, M. Andersen, E. Rienks, M. Bianchi, M. Fanetti, E. Laegsgaard, A. Baraldi, S. Lizzit, Z. Sljivancanin, F. Besen- bacher, B. Hammer, T. G. Pedersen, P. Hofmann, and L. Hornekaer, Nature Materials 9, 315 (2010). 15 G. W. Semenoff, Phys. Rev. Lett. 53, 2449 (1984). 16 M. I. Katsnelson, K. S. Novoselov, and A. K. Geim, Nat. Phys. 2, 620 (2006). 17 C. W. J. Beenakker, Rev. Mod. Phys. 80, 1337 (2008). 18 J. Pedersen, C. Flindt, N. A. Mortensen, and A.-P. Jauho, Phys. Rev. B 77, 045325 (2008). M. Brandbyge, T. G. Pedersen, and A.-P. Jauho, New J. Phys. 11, 095020 (2009). 25 R. P. Tiwari and D. Stroud, Phys. Rev. B 79, 205435 (2009). 26 R. P. Tiwari and D. Stroud, Phys. Rev. B 85, 039902(E) (2012). 27 X. Lin, H. Wang, H. Pan, and H. Xu, Phys. Lett. A 376, 584 (2012). 28 While this is a very large value of the gate potential, our point here is not whether such a periodic gate can be re- alized, but rather that there is theoretical agreement be- tween this model and that of perforated graphene. 29 M. Vanevi´c, V. M. Stojanovi´c, and M. Kindermann, Phys. 19 M. Barbier, F. M. Peeters, P. Vasilopoulos, and J. M. Pere- Rev. B 80, 045410 (2009). ita, Phys. Rev. B 77, 115446 (2008). 30 J. A. Furst, T. G. Pedersen, M. Brandbyge, and A.-P. 20 M. Barbier, P. Vasilopoulos, and F. M. Peeters, Phys. Rev. Jauho, Phys. Rev. B 80, 115117 (2009). B 80, 205415 (2009). 31 T. Gunst, T. Markussen, A.-P. Jauho, and M. Brandbyge, 21 C.-H. Park, L. Yang, Y.-W. Son, M. Cohen, and Phys. Rev. B 84, 155449 (2011). S. G. Louie Phys. Rev. Lett. 101, 126804 (2008). 32 M. Inui, S. A. Trugman, and E. Abrahams, Phys. Rev. B 22 A. Zhang, Z. Dai, L. Shi, Y. P. Feng, and C. Zhang, J. 49, 3190 (1994). Chem. Phys. 133, 224705 (2010). 33 L. Nanis and W. Kesselman, J. Electrochem. Soc.: Elec- 23 R. Petersen, T. G. Pedersen, and A.-P. Jauho, ACS Nano trochemical Science 118, 454 (1979). 5, 523 (2011). 24 J. A. Furst, J. G. Pedersen, C. Flindt, N. A. Mortensen,
1511.06498
1
1511
2015-11-20T05:58:55
Direct imaging of topological edge states at a bilayer graphene domain wall
[ "cond-mat.mes-hall", "cond-mat.mtrl-sci" ]
The AB-BA domain wall in gapped graphene bilayers is a rare naked structure hosting topological electronic states. Here we show, for the first time, direct imaging of its topological edge states by using scanning tunneling microscope. The simultaneously obtained atomic-resolution images of the domain wall provide us unprecedented opportunities to measure the spatially-varying edge states within it. The one-dimensional conducting channels are observed to be mainly located around the two edges of the domain wall, which is reproduced quite well by our theoretical calculations. Our experiment further demonstrates that the one-dimensional topological states are quite robust even in the presence of high magnetic fields.
cond-mat.mes-hall
cond-mat
Direct imaging of topological edge states at a bilayer graphene domain wall Long-Jing Yin1, Hua Jiang2, Jia-Bin Qiao1, Lin He1,* 1Center for Advanced Quantum Studies, Department of Physics, Beijing Normal University, Beijing, 100875, People’s Republic of China 2College of Physics, Optoelectronics and Energy, Soochow University, Suzhou 215006, People’s Republic of China *Correspondence to: [email protected]. Abstract: The AB-BA domain wall in gapped graphene bilayers is a rare naked structure hosting topological electronic states. Here we show, for the first time, direct imaging of its topological edge states by using scanning tunneling microscope. The simultaneously obtained atomic- resolution images of the domain wall provide us unprecedented opportunities to measure the spatially-varying edge states within it. The one-dimensional conducting channels are observed to be mainly located around the two edges of the domain wall, which is reproduced quite well by our theoretical calculations. Our experiment further demonstrates that the one-dimensional topological states are quite robust even in the presence of high magnetic fields. One Sentence Summary: The one-dimensional symmetry-protected topological states are directly observed, for the first time, in the AB-BA domain wall of gapped bilayer graphene by using scanning tunneling microscope. Main Text: Looking for systems where topological edge states persist in the absence of external magnetic fields boosts rapid developments in condensed matter physics in the past few years (1- 14). Gapped graphene bilayer with smooth domain walls is predicted to be one of the most promising candidates where charge carriers can travel long distances without dissipation (8-12). The domain wall separating two oppositely biased bilayer graphene is first proposed by Martin et al. to host one-dimensional (1D) topological states (8). Later, the domain wall between AB- and BA-stacked bilayer graphene under a uniform external field is demonstrated to be equivalent to the gate-polarity domain wall (8) and it is believed to be a crystalline topological defect hosting symmetry-protected topological gapless mode because of a change in the Chern number (12). Very recently, the existence of topologically protected 1D chiral states have been demonstrated explicitly in the two types of domain walls through transport measurement (13,14), opening up opportunities for exploring unique topological states in graphene bilayer. The AB-BA domain wall in graphene bilayer, with electrons residing right at the surface, provides unprecedented opportunities to directly image the topologically protected 1D conducting channels (Fig. 1A and Fig. 1B). More importantly, such a crystalline topological line defect exists naturally in Bernal graphene bilayers grown by chemical vapor deposition (15,16) and in exfoliated bilayer graphene (that is, prepared using adhesive type) from graphite (13). Here, we report, for the first time, direct imaging of the topologically protected 1D conducting channels in the AB-BA domain wall in exfoliated graphene bilayer. The exfoliated bilayer and trilayer graphene flakes were deposited on the substrate (here the supporting substrate is graphite) during the process of mechanical exfoliation and, very importantly, these graphene sheets decouple from the graphite surface due to the presence of the stacking misorientation with the underlying substrates, as demonstrated in this paper and in previous studies (17-24). To identify the AB-BA domain wall in decoupled bilayer graphene on graphite, we used both the STM images and the scanning tunneling spectroscopy (STS) spectra. Firstly, the decoupled bilayer graphene on graphite exhibits a small period of moiré patterns (that is, with a large rotation angle with the substrate) in the STM measurements (18,20,24) and its atomic-resolution STM image shows a triangular lattice because of the A/B atoms’ asymmetry in the topmost Bernal bilayer (see Fig. S1). The decoupled monolayer graphene also exhibits a small period of moiré patterns, however, its atomic-resolution STM images show a hexagonal lattice (see Fig. S1). The high-field STS spectra provide further information about the stacking orders of the topmost few layers (21): the decoupled Bernal bilayer shows Landau quantization of massive Dirac Fermions (Fig. 2 and Fig. S2) (21,25), whereas, the decoupled monolayer exhibits Landau quantization of massless Dirac fermions (see Fig. S1) (17,18). Once the decoupled bilayer graphene is identified, we used STM measurements to find 1D structures (see Fig. 1C as an example) in the bilayer region as a possible candidate for the AB- BA domain wall. The strong dependence of the 1D structure on the bias voltage (used for imaging), as shown in Fig. S3, excludes the graphene nanoripple (26) or nanowrinkle (27) as the origin of the 1D structure. We attribute the 1D structure in Fig. 1C to the AB-BA domain wall in bilayer graphene. The reason that we can observe the AB-BA domain walls in the STM measurement owing to their relatively higher conductivity comparing to that of the adjacent gapped bilayer regions (see Fig. 2F). The ultra-low random potential fluctuations due to substrate imperfections allows us to obtain high-quality atomic-resolution STM images of the domain wall, as shown in Fig. 1C and Fig. 1D. We obtained a triangular lattice, as is characteristic of Bernal- stacked bilayer, both in the left and right regions, whereas, we obtained a hexagonal-like lattice in the center of the domain wall (see the insets of Fig. 1C). The 1D structure with hexagonal-like lattice at its center and AB and BA domains surrounding directly demonstrated that the studied structure is the AB-BA domain wall. Fig. 1D shows a representative atomic-resolution STM image of the AB-BA domain wall. From left to right of the domain wall, the two graphene sheets translate relative to each other in opposite directions (one translates downward, the other translates upward), completing an interlayer translation from AB to BA stacking. The interatomic distances in the domain wall and in the Bernal bilayer regions were further analysed by taking a 2D Fourier transform and the interatomic distances in the domain wall are (1.5 ± 0.5)% smaller than those in the surrounding Bernal regions (Fig. S4). To complete a one-bond-length armchair-direction interlayer translation from AB to BA stacking, the width of the domain wall is estimated to be about 9.0 nm, which agrees quite well with the measured value ~ (8.0 ± 1.0) nm. The angle between the boundary normal and the translation direction is measured to be about 85°, indicating that the studied domain wall is almost a purely shear soliton (15). The electronic properties around the AB-BA domain wall are further studied by STS measurements, as shown in Fig. 2, Fig. S5, and Fig. S6. The spectra recorded in both the AB- and BA-stacked regions exhibit characteristics that are expected to be observed in gapped graphene bilayers (21,25,28). The substrate breaks the inverse symmetry of the topmost adjacent bilayers and then a finite gap ~ 80 meV is generated in the parabolic bands of the Bernal bilayer (the charge neutrality points of the two Bernal bilayer regions are measured to differ about 15 meV). At the level of low-energy effective theory, the AB-stacked bilayer is equivalent to the BA-stacked bilayer subjecting to the opposite gate polarity (12). Thus, the sign of the energy gap changes across the domain wall from the AB- to BA-stacked regions, and symmetry-protected gapless modes are expected to emerge in the domain wall. In high magnetic fields, the spectra recorded in the Bernal bilayer regions exhibit Landau quantization of massive Dirac fermions eB BNl (here N is the Landau index and =  (Fig. 2D, Fig. 2E and see Supplementary for further analysis). The two lowest Landau levels (LLs) LL(0,1,+) and LL(0,1,-) (here 0/1 are Landau indices and +/- are valley indices), which are a couple of layer-polarized quartets, depends on the sign of the gate polarity (or the sign of the energy gap) of the two Bernal bilayer regions (28). Therefore, they are reversed in the adjacent AB- and BA-stacked regions, as shown in Fig. 2A and Fig. 2B. In the experiment, the measured local density of states (LDOS) at position r are determined by the wavefunctions, while the wavefunctions of LLs have their spatial extent, ~ 2 Bl (25). Consequently, we can detect Landau levels of both the AB and BA domains in the spectra recorded in the AB-BA domain wall (Fig. 2C). The “splitting” of the Landau levels recorded in the domain wall, as shown in Figs. 2C and 2G, arises from the relatively shift of the charge neutrality points of the adjacent AB and BA domains. By using similar STM measurements, layer stacking domain walls in trilayer graphene, which separate ABA- and ABC-stacked trilayer graphene, have also been observed unambiguously in our experiment (see Fig. S7 and Fig. S8 for an example). Our result demonstrates that the layer stacking domain walls naturally exist in graphene multilayers and affect their electronic properties dramatically. , which is of the order of 10 nm for the magnetic fields applied in our experiment) To further confirm the existence of symmetry-protected topological conducting channels in the AB-BA domain wall, we directly imaged these 1D states by operating energy-fixed STS mapping, which reflects the LDOS in real space. Fig. 3A-C shows several STS maps at different energies. At the energies within the band gap of the adjacent AB and BA domains, clearly 1D conducting channels can be observed along the domain wall. A notable feature of the topological states is that they mainly located at the two edges of the AB-BA domain wall and such a feature is independent of the energy of the gapless edge states. To verify the spatial distribution of the gapless states, we calculated electronic structures of a shear domain wall with a finite width W (see Fig. 3D for an example). Fig. 3E shows a schematic representation of the domain wall. In the calculation, we consider a tight-binding Hamiltonian with nearest-neighbor intra- and interlayer hopping and a finite chemical potential difference between two layers is taking into account to describe the energy gap observed in the Bernal regions (12). The symmetry-protected gapless edge states emerge in the domain wall (Fig. 3D), which is irrespective of the type and width of the domain wall (see Supplementary for details of calculation). In our experiment, the STS maps probe predominantly the LDOS of the top layer. To compare with the experimental result, we plot a theoretical spatial-distribution of the gapless edge states in the topmost graphene layer in Fig. 3E. Obviously, the topological states are mainly located at the two edges of the domain wall and this feature is found to be independent of the probed energy within the gap of the Bernal bilayer regions. Here we should point out that such a spatial distribution of the topological states is independent of the edges of the domain wall (see Fig. S9). Therefore, our experimental observations are reproduced quite well by the theoretical calculations. This provides direct and compelling evidence that the symmetry-protected topological edge states exist in the AB-BA domain walls of gapped bilayer graphene. The STS maps of the gapless edge states are also measured in the presence of high magnetic fields (Fig. 3F). It is remarkable that these states are quite robust even in the highest magnetic field ~ 8 T of our STM system. More importantly, the FWHM (full-width at half-maximum) of topological states along the two edges of the domain wall decreases with increasing the magnetic fields, which may further diminish any possible scattering of the topological edge states along the AB-BA domain walls. In a very recent transport measurement, it was also demonstrated that the topological feature of the gapless edge states is very robust against the perturbation of external magnetic fields and the backscattering of the topological states is further suppressed in the presence of magnetic fields (14). Our work thus demonstrates the robust feature of the symmetry-protected topological edge states in the AB-BA domain walls of gapped bilayer graphene, opening a wide vista of graphene-based topological transport properties. References and Notes: 1. C. L. Kane, E. J. Mele, Quantum Spin Hall Effect in Graphene. Phys. Rev. Lett. 95, 226801 2. C. L. Kane, E. J. Mele, Z2 Topological Order and the Quantum Spin Hall Effect. Phys. Rev. (2005). Lett. 95, 146802 (2005). 3. B. A. Bernevig, T. L. Hughes, S.-C. Zhang, Quantum Spin Hall Effect and Topological Phase Transition in HgTe Quantum Wells. Science 314, 1757-1761 (2006). 4. M. Konig, S. Wiedmann, C. Brune, A. Roth, H. Buhmann, L. W. Molenkamp, Q.-L., Qi, S.- C., Zhang, Quantum Spin Hall Insulator State in HgTe Quantum Wells. Science 318, 766- 770 (2007). 5. R. Yu, W. Zhang, H.-J. Zhang, S.-C. Zhang, X. Dai, Z. Fang, Quantized anomalous Hall effect in magnetic topological insulators. Science 329, 61-64 (2007). 6. C.-Z. Chang, J. Zhang, X. Feng, J. Shen, Z. Zhang, M. Guo, K. Li, Y. Ou, P. Wei, L.-L. Wang, Z.-Q. Ji, Y. Feng, S. Ji, X. Chen, J. Jia, X. Dai, Z. Fang, S.-C. Zhang, K. He, Y. Wang, L. Lu, X.-C., Ma, Q.-K. Xue, Experimental Observation of the Quantum Anomalous Hall Effect in a Magnetic Topological Insulator. Science 340, 167-170 (2013). 7. L. Du, I. Knez, G. Sullivan, R.-R. Du, Robust helical edge transport in gated InAs/GaSb bilayers. Phys. Rev. Lett. 114, 096802 (2015). 8. I. Martin, Y. Blanter, A. Morpurgo, Topological Confinement in Bilayer Graphene. Phys. Rev. Lett. 100, 036804 (2008). 9. J. Jung, F. Zhang, Z. Qiao, A. H. MacDonald, Valley-Hall kink and edge states in multilayer graphene. Phys. Rev. B 84, 075418 (2011). 10. F. Zhang, A. H. MacDonald, E. J. Mele, Valley Chern numbers and boundary modes in gapped bilayer graphene. Proc Natl Acad Sci U S A 110, 10546-10551 (2013). 11. X. Li, F. Zhang, Q. Niu, A. H. MacDonald, Spontaneous Layer-Pseudospin Domain Walls in Bilayer Graphene. Phys. Rev. Lett. 113, 116803 (2014). 12. A. Vaezi, Y. Liang, D. H. Ngai, L. Yang, E.-A. Kim, Topological Edge States at a Tilt Boundary in Gated Multilayer Graphene. Physical Review X 3, 021018 (2013). 13. L. Ju, Z. Shi, N. Nair, Y. Lv, C. Jin, J. V. Jr, C. Ojeda-Aristizabal, H. A. Bechtel, M. C. Martin, A. Zettl, J. Analytis, F. Wang, Topological valley transport at bilayer graphene domain walls. Nature 520, 650-655 (2015). 14. J. Li, K. Wang, K. J. McFaul, Z. Zern, Y. F. Ren, K. Watanabe, T. Taniguchi, Z. H. Qiao, J. Zhu, Experimental observation of edge states at the line junction of two oppositely biased bilayer graphene. arXiv: 1509.03912. 15. J. S. Alden, A. W. Tsen, P. Y. Huang, R. Hovden, L. Brown, J. Park, D. A. Muller, P. L. McEuen, Strain solitons and topological defects in bilayer graphene. Proc Natl Acad Sci U S A 110, 11256-11260 (2013). 16. B. Butz, C. Dolle, F. Niekiel, K. Weber, D. Waldmann, H. B. Weber, B. Meyer, E. Spiecker, Dislocations in bilayer graphene. Nature 505, 533-537 (2014). 17. G. Li, A. Luican, E. Y. Andrei, Scanning Tunneling Spectroscopy of Graphene on Graphite. Phys. Rev. Lett. 102, 176804 (2009). 18. A. Luican, G. Li, A. Reina, J. Kong, R. R. Nair, K. S. Novoselov, A. K. Geim, E. Y. Andrei, Single-Layer Behavior and Its Breakdown in Twisted Graphene Layers. Phys. Rev. Lett. 106, 126802 (2011). 19. Y. J. Song, A. F. Otte, Y. Kuk, Y. Hu, D. B. Torrance, P. N. First, W. A. de Heer, H. Min, S. Adam, M. D. Stiles, A. H. MacDonald, J. A. Stroscio, High-resolution tunnelling spectroscopy of a graphene quartet. Nature 467, 185-189 (2010). 20. D. L. Miller, K. D. Kubista, G. M. Rutter, M. Ruan, W. A. de Heer, P. N. First, J. A. Stroscio, Observing the quantization of zero mass carriers in graphene. Science 324, 924-927 (2009). 21. L.-J. Yin, S.-Y. Li, J.-B. Qiao, J.-C. Nie, L. He, Landau quantization in graphene monolayer, Bernal bilayer, and Bernal trilayer on graphite surface. Phys. Rev. B 91, 115405 (2015). 22. R. Xu, L.-J. Yin, J.-B. Qiao, K.-K. Bai, J.-C. Nie, L. He, Direct probing of the stacking order and electronic spectrum of rhombohedral trilayer graphene with scanning tunnelingmicroscopy. Phys. Rev. B 91, 035410 (2015). 23. L.-J. Yin, J.-B. Qiao, W.-J. Zuo, W.-T. Li, L. He, Experimental evidence for non-Abelian gauge potentials in twisted graphene bilayers. Phys. Rev. B 92, 081406(R) (2015). 24. L.-J. Yin, J.-B. Qiao, W.-X. Wang, W.-J. Zuo, W. Yan, R. Xu, R.-F. Dou, J.-C. Nie, L. He, Landau quantization and Fermi velocity renormalization in twisted graphene bilayers. Phys. Rev. B 92, 201408(R) (2015). 25. L.-J. Yin, Y. Zhang, J.-B. Qiao, S.-Y. Li, L. He, Experimental observation of surface states and Landau levels bending in bilayer graphene. arXiv: 1510.06109. 26. K.-K. Bai, Y. Zhou, H. Zheng, L. Meng, H. Peng, Z. Liu, J.-C. Nie, L. He, Creating one- dimensional nanoscale periodic ripples in a continuous mosaic graphene monolayer. Phys. Rev. Lett. 113, 086102 (2014). 27. W. Yan, W.-Y. He, Z.-D. Chu, M. Liu, L. Meng, R.-F. Dou, Y. Zhang, Z. Liu, J.-C. Nie, L. He, Strain and curvature induced evolution of electronic band structures in twisted graphene bilayer. Nature Commun. 4, 2159 (2013). 28. G. M. Rutter, S. Jung, N. N. Klimov, D. B. Newell, N. B. Zhitenev, J. A. Stroscio, Microscopic polarization in bilayer graphene. Nat. Phys. 7, 649-655 (2011). Acknowledgments: This work was supported by the National Basic Research Program of China (Grants Nos. 2014CB920903, 2013CBA01603, 2014CB920901), the National Natural Science Foundation of China (Grant Nos. 11422430, 11374035, 11374219), the program for New Century Excellent Talents in University of the Ministry of Education of China (Grant No. NCET-13-0054), Beijing Higher Education Young Elite Teacher Project (Grant No. YETP0238). L.H. also acknowledges support from the National Program for Support of Top-notch Young Professionals. Fig. 1. AB-BA domain wall in bilayer graphene. (A) Schematic representation of an AB-BA domain wall in bilayer graphene. (B) Schematic band structures of the AB, domain wall (DW) and BA regions of a bilayer graphene. The AB- and BA-stacked regions are gapped. The topological edge states (orange curves) emerge in the DW region. (C) 80 nm × 80 nm STM topographic image of a decoupled bilayer graphene region on graphite surface (Vb = 0.4 V, I = 0.25 nA). An AB-BA domain wall is observed in the bilayer. Insets: atomic-resolution STM images in the AB, DW and BA regions, respectively. (D) A typical atomic-resolution STM current image (lower panel) across the AB-BA domain wall (rectangular region in C). A schematic image of the domain wall is shown in the upper panel. A transition from triangular lattice (in the AB region) to hexangular-like lattice (in the center of the domain wall) and then to triangular lattice (in the BA region) is clearly observed. The width of the domain wall is estimated to be (8 ± 1) nm. Fig. 2. Microscopic properties of the AB-BA domain wall. (A to C) Tunneling spectra of the gaped graphene bilayers recorded at the AB-stacked region (A), the BA-stacked region (B) and the domain wall region (C) under various magnetic fields. LL peak indices are marked (± are valley indices) and the gap are labeled by shadows in the AB and BA bilayer regions. The tunneling curves are offset in y-axis for clarity. (D and E) LL peaks energies extracted from (A) plotted versus ±(n(n-1))1/2B (D) and the magnetic fields B (E). The solid curves are the fitting of the data with the theoretical equation [Eq. (S1)] yielding the band gap of Eg = 80 ± 1 meV and effective mass of m* = (0.0454 ± 0.0001)me (me is the free-electron mass). (F and G) STS spectra maps at 0 T (F) and 8 T (G) measured across the AB-BA domain wall. The zero-position is defined at the middle of the domain wall. Fig. 3. Direct imaging of the 1D conducting channels at the AB-BA domain wall. (A to C) dI/dV maps recorded under 0 T along the AB-BA domain wall with the fixed sample bias of 30 mV (A), 40 mV (B) and 300 mV (C), respectively. The 1D topological states are predominantly located at the two edges of the domain wall. (D) A representative theoretical band structure of an AB-BA domain wall with width of 8 nm and the gap in the Bernal region of 80 meV. (E) Upper: illustration of an AB-BA domain wall. Lower: spatial distribution of the topological states around the domain wall obtained by theoretical calculation. (F) STS map of the domain wall taken at 7.5 T with sample bias of 30 mV. The two edges of the AB-BA domain wall are labeled by dashed lines. Supplementary Materials: Materials and Methods Landau quantization in gapped bilayer graphene Calculation of the topological edge states in the AB-BA domain walls Figures S1-S9 References S1-S3
1002.2295
1
1002
2010-02-11T08:29:50
Crossover between distinct mechanisms of microwave photoresistance in bilayer systems
[ "cond-mat.mes-hall" ]
We report on temperature-dependent magnetoresistance measurements in balanced double quantum wells exposed to microwave irradiation for various frequencies. We have found that the resistance oscillations are described by the microwave-induced modification of electron distribution function limited by inelastic scattering (inelastic mechanism), up to a temperature of T*~4 K. With increasing temperature, a strong deviation of the oscillation amplitudes from the behavior predicted by this mechanism is observed, presumably indicating a crossover to another mechanism of microwave photoresistance, with similar frequency dependence. Our analysis shows that this deviation cannot be fully understood in terms of contribution from the mechanisms discussed in theory.
cond-mat.mes-hall
cond-mat
Crossover between distinct mechanisms of microwave photoresistance in bilayer systems S. Wiedmann,1,2 G. M. Gusev,3 O. E. Raichev,4 A. K. Bakarov,5 and J. C. Portal1,2,6 1LNCMI-CNRS, UPR 3228, BP 166, 38042 Grenoble Cedex 9, France 2INSA Toulouse, 31077 Toulouse Cedex 4, France 3Instituto de F´ısica da Universidade de Sao Paulo, CP 66318 CEP 05315-970, Sao Paulo, SP, Brazil 4Institute of Semiconductor Physics, NAS of Ukraine, Prospekt Nauki 41, 03028, Kiev, Ukraine 5Institute of Semiconductor Physics, Novosibirsk 630090, Russia and 6Institut Universitaire de France, 75005 Paris, France (Dated: November 30, 2018) We report on temperature-dependent magnetoresistance measurements in balanced double quan- tum wells exposed to microwave irradiation for various frequencies. We have found that the re- sistance oscillations are described by the microwave-induced modification of electron distribution function limited by inelastic scattering (inelastic mechanism), up to a temperature of T ∗ ≃ 4 K. With increasing temperature, a strong deviation of the oscillation amplitudes from the behavior predicted by this mechanism is observed, presumably indicating a crossover to another mechanism of microwave photoresistance, with similar frequency dependence. Our analysis shows that this deviation cannot be fully understood in terms of contribution from the mechanisms discussed in theory. PACS numbers: 73.40.-c, 73.43.-f, 73.21.-b I. INTRODUCTION The physics of two-dimensional (2D) electron sys- tems exposed to a continuous microwave irradiation in the presence of perpendicular magnetic fields B has at- tracted both experimental and theoretical attention in the last years following the observation of the microwave- induced resistance oscillations (MIROs) [1] which evolve into "zero resistance states" (ZRS) [2, 3] for a sufficiently high microwave intensity. The MIRO periodicity is gov- erned by the ratio of the radiation frequency ω to the cyclotron frequency ωc = eB/m, where m is the ef- fective mass of the electrons. These oscillations occur because of Landau quantization and originate from the scattering-assisted electron transitions between different Landau levels, which become possible in the presence of microwave excitation. Two competing microscopic mechanisms of the oscillating photoresistance have been proposed theoretically: the "displacement" mechanism which accounts for spatial displacement of electrons along the applied dc field under scattering-assisted microwave absorption [4, 5], and "inelastic" mechanism, owing to an oscillatory contribution to the isotropic part of the electron distribution function [6, 7]. Both mechanisms describe phase and periodicity of MIROs observed in ex- periments. A systematic theoretical study of photore- sistance has revealed two additional mechanisms: the "quadrupole" mechanism, which comes from excitation of the second angular harmonic of the distribution func- tion, and "photovoltaic" mechanism, which is described as a combined action of the microwave and dc fields on both temporal and angular harmonics of the distribu- tion function [7]. Both additional mechanisms contribute to transverse (Hall) dc resistance, while the photovoltaic mechanism contributes also to diagonal resistance. How- ever, this contribution is found to be weak and has not been detected in MIROs observed in experiments. role because the relaxation of For low temperatures the inelastic mechanism plays the dominant the microwave-induced oscillatory part of the electron dis- tribution is slow. This relaxation is governed by the in- elastic electron-electron scattering with a characteristic time τin ∝ T −2, which is in the order of 1 ns at tem- peratures T ≃ 1 K. This T −2-dependence has also been found experimentally in Ref. 8. Nevertheless, recent ex- periments on high-mobility samples suggest that the dis- placement mechanism cannot be ignored and becomes important with increasing temperature, when the rela- tive contribution of the inelastic mechanism decreases [9]. The crossover between these two mechanisms was observed at T ≃ 2 K. Notice that, since these mecha- nisms produce nearly the same frequency dependence of MIROs, the only way to distinguish between them is to measure temperature dependence of the oscillation am- plitudes. For a better understanding of the role of inelas- tic and displacement mechanisms in microwave-induced resistance of 2D electrons, systematic experiments in dif- ferent samples are highly desirable. In this paper we undertake a study of temperature dependence of magnetoresistance in two-subband elec- tron systems formed in double quantum wells (DQWs). Recently, we have found [10] that the inelastic mech- anism satisfactorily explains low-temperature photore- sistance in such systems exposed to microwave irradia- tion. The main difference in magnetoresistance of two- subband electron systems with respect to conventional (single-subband) 2D systems is the presence of magneto- intersubband (MIS) oscillations (see Refs. [11],[12],[13] and references therein) which occur owing to periodic modulation of the probability of intersubband transi- tions by the magnetic field. Under microwave irradiation, these oscillations interfere with MIROs. The interference causes a peculiar magnetoresistance picture where one may see enhancement, suppression, or inversion (flip) of MIS peaks, correlated with the microwave frequency [10]. Whereas such a behavior of magnetoresistance is more complicated than that for single-subband electron sys- tems, it offers certain advantages in analyzing the effect of microwaves. The reason is that the quantum compo- nent of magnetoresistance, which is affected by the mi- crowaves, is "visualized" in DQWs by the MIS oscilla- tions whose period is typically smaller than the period of the MIROs. As a result, the changes in MIRO ampli- tudes caused by variation in temperature or microwave intensity can be traced by observation of the behavior of single MIS peaks, and the position of node points of the MIROs can be determined more distinctly by the MIS peak inversion. Our main result can be summarized as follows. We find that the inelastic mechanism fails to explain the observed photoresistance for T > 4 K. The temperature depen- dence of magnetoresistance can be explained either by a deviation from the τin ∝ T −2 law at these temperatures or by inclusion of another, T -independent contribution to MIROs. The first possibility seems to be unlikely, be- cause we see no reasons for such a deviation. The second possibility is more promising, and a consideration of an additional contribution owing to the displacement mech- anism seems to be a natural choice. However, our quan- titative estimates demonstrate that the crossover from the inelastic to the displacement mechanism of MIROs is expected at higher temperatures in our samples, around 10 K. Therefore, the origin of the observed photoresis- tance behavior can be partially explained by a contribu- tion of displacement mechanism but does not fully ac- count for our finding. The paper is organized as follows. In Sec. II we present details of the experimental analysis and the theoretical consideration of the microwave-induced resistivity of two- subband systems. In Sec. III we analyze the deviation from the inelastic mechanism with increasing tempera- ture, compare our experimental results with the theory including both inelastic and displacement mechanisms, and formulate our conclusions. II. EXPERIMENTAL AND THEORETICAL BASIS We have studied balanced GaAs DQWs separated by different AlxGa1−xAs barriers with barrier thicknesses of db=14, 20 and 30 A in perpendicular magnetic fields. We have analyzed two wafers with db=14 A and we focus in this paper on the samples with subband separation of ∆ = 3.05 meV. This value is extracted from the periodic- ity of low-field MIS oscillations. The samples have a high 2 total sheet electron density ns ≃ 1.15 × 1012 cm−2 and a mobility of µ ≃ 1.4 × 106 cm2/V s at 1.4 K. The mea- surements have been carried out in a VTI cryostat using conventional lock-in technique to measure the longitudi- nal resistance R = Rxx under a continuous microwave irradiation. As MW sources, we employ different "car- cinotron" generators and we focus on the frequency range between 55 and 140 GHz. A circular-section waveguide delivers microwave radiation down to the sample which is placed at a distance of 1-2 mm in front of the waveguide output. In Fig. 1 we present the basis of our experimental anal- ysis for further temperature dependent measurements. Without microwaves (no mw), we observe MIS oscilla- tions which are superimposed on low-field Shubnikov-de Haas (SdH) oscillations at low temperatures. As the mi- crowave power increases (at a fixed microwave frequency of 85 GHz), the MIS oscillation picture is modified by the MIRO contribution. It is worth mentioning that we have to perform the experimental analysis for low mi- crowave intensity to ensure that the amplitude of MIS peaks is not yet saturated. Thus we present in Fig. 1 power dependent measurements for several chosen atten- uations: 0, -1, -2.5, -5, -7.5, -10 and -15 dB. The inset to Fig. 1 shows MIS peak amplitude at B = 0.3 T (marked by an asterisk) where saturation occurs between -2.5 and -5 dB. Therefore, we use experimental data with lower microwave intensity (for this frequency P ≤ -7.5 dB). Still, the heating of 2D electrons by microwaves is ob- servable at these intensities by a suppression of SdH os- cillations. This heating is not strong and does not lead to the bolometric effect at ωc ≃ ω because of the radiative broadening of the cyclotron resonance [8], [14]. For tem- peratures below 10 K the phonon-induced contribution to electron mobility in our samples is weak, so the transport is controlled by the electron-impurity scattering. Our theoretical model takes into account both inelas- tic and displacement mechanisms of photoresistance gen- eralized to the two-subband case (for generalization to an arbitrary number of subbands, see Ref. 15). In the regime of classically strong magnetic fields, the symmet- ric part of the diagonal resistivity, ρd, in the presence of microwaves is given by the expression ρd ρ0 2nj ns = 1 − 2T τtr Xj=1,2 +τtr(cid:20) Xj=1,2 νtr jj d2 trAω(cid:20) Xj=1,2 ns (cid:19)2 (cid:18) 2nj jj d2 ν ∗ (νtr 1 2 τ 2 − −τ ∗Bω(cid:20) Xj=1,2 j dj )2 + 2νtr 1 νtr 2 d1d2 cos j + 2ν ∗ 12d1d2 cos νtr j dj cos 2π(εF − εj) ωc j + 2νtr 12d1d2 cos 2π∆ 2π∆ ωc (cid:21) ωc (cid:21) ωc (cid:21), 2π∆ (1) where the sums are taken over the subbands j = 1, 2 with energies εj separated by ∆ = ε2−ε1. The second term is the first-order quantum correction describing the SdH os- 1.6 1.4 1.5 -5dB ) 0 ( R / ) ( B R 1.4 * -7.5dB -15dB no mw 1.2 0.01 0.1 1 P (arb. units) ) 0 ( R / ) ( B R and νjj ′ νtr jj ′ ν ∗ jj ′ νjj ′ (θ) = 3 (3) 1 , Fjj ′ (θ) F 2 jj ′ (θ) νjj ′ (θ)×(cid:26) 0 dθ 2π (cid:27)= Z 2π 3 wjj ′ (cid:16)q(k2 m j + k2 j ′ )Fjj ′ (θ)(cid:17) , where wjj ′ (q) are the Fourier transforms of the cor- relators of the scattering potential, Fjj ′ (θ) = 1 − 2kjkj ′ cos θ/(k2 j ′ ), θ is the scattering angle, and kj = p2πnj is the Fermi wavenumber for subband j. j + k2 Next, 1.0 0.8 -10dB -5dB 0.3 B (T) 0.1 0.2 Aω ≃ Pω(2πω/ωc) sin(2πω/ωc) 1 + Pω sin2(πω/ωc) and -1dB 0.4 0.5 Bω ≃ τtr τ ∗ Pω (cid:20) πω ωc sin 2πω ωc ωc (cid:21) + sin2 πω (4) (5) FIG. 1: (Color online) Normalized power dependent photore- sistance as a function of the magnetic field for 85 GHz at T = 1.4 K. Without microwave irradiation (no mw), MIS os- cillations are visible, superimposed on SdH oscillations. An increase in microwave intensity leads to an enhancement, damping, or flip of MIS peaks. We observe a saturation of the MIS oscillation for the attenuations between -2.5 and - 5 dB; the inset shows the amplitude of the MIS peak marked with an asterisk. In Eq. cillations (εF = 2πns/2m is the Fermi energy), and the third term is the equilibrium second-order quantum cor- rection containing the MIS oscillations. The fourth and the fifth terms are non-equilibrium second-order quan- tum corrections describing the influence of microwaves owing to inelastic and displacement mechanisms, respec- (1), ρ0 = m/e2nsτtr, τtr is the aver- tively. aged transport time defined as 1/τtr = (νtr 2 )/2, 1/τ ∗ = (ν ∗ 2 )/2, dj = exp(−πνj/ωc) are the Dingle factors, T = X/ sinh X with X = 2π2T /ωc is the ther- mal suppression factor, and nj are the partial densities in the subbands (n1 + n2 = ns). The subband-dependent quantum relaxation rates νj and νjj ′ , as well as the scat- tering rates νtr jj ′ are defined according to jj ′ , and ν ∗ 1 + νtr j , νtr 1 + ν ∗ j , ν ∗ are dimensionless oscillating functions describing MIROs. The denominator of Aω accounts for the saturation effect at high enough microwave intensity. Finally, Pω = τin τtr Pω, Pω = (cid:18) eEω ω (cid:19)2 v2 F ω2 c + ω2 (ω2 − ω2 c )2 . (6) The dimensionless factor Pω is proportional to the ab- sorbed microwave power. Eω is the amplitude of electric field of the microwaves, v2 2)/2 is the averaged Fermi velocity (the Fermi velocities in the subbands are defined as vj = kj/m), and τin is the inelastic relaxation time. This expression for Pω assumes linear polarization of microwaves and is valid away from the cyclotron reso- nance. F = (v2 1 + v2 The general expression is considerably simplified in the case relevant to our DQWs, when ∆/2 is much smaller In this case one may ap- than the Fermi energy εF . 11 ≃ νtr proximate n1 ≃ n2 ≃ ns/2 and ν11 ≃ ν22, νtr 22, 22, which leads also to ν1 ≃ ν2, d1 ≃ d2, ν ∗ 11 ≃ ν ∗ 1 ≃ νtr νtr 2 ≃ 1/τ ∗. Moreover, 2 ≃ 1/τtr, and ν ∗ in balanced DQWs and under condition that interlayer correlation of scattering potentials is not essential, one has [12] νtr jj . Applying these approx- imations to Eq. (1), we rewrite it in the form 12 ≃ νtr 1 ≃ ν ∗ 12 ≃ ν ∗ jj and ν ∗ ρd cos ρ0 ≃ 1 − 2T d Xj=1,2 +d2 [1 − Aω − Bω](cid:18)1 + cos 2π(εF − εj) ωc 2π∆ ωc (cid:19) . (7) νj = Xj ′=1,2 νjj ′ , νtr j = Xj ′=1,2 nj + nj ′ ns νtr jj ′ , ν ∗ j = Xj ′ =1,2 (cid:18) nj + nj ′ ns (cid:19)2 ν ∗ jj ′ , (2) The second-order quantum contribution (the last term in this expression) is reduced to the corresponding single- subband form [6] if the MIS oscillation factor 1 + cos(2π∆/ωc) is replaced by 2. The amplitude of this contribution is determined by the single squared Dingle factor d2 = exp(−2π/ωcτq), where the quantum lifetime is defined as 1/τq ≡ (ν1 + ν2)/2. The MIROs are given by the term −Aω − Bω representing a combined action of the inelastic and displacement mechanisms. Since the factor 2πω/ωc is large compared to unity in the region of integer MIROs (ω > ωc), the functions Aω and Bω have nearly the same frequency dependence (if far from the saturation regime) and differ only by magnitude and by different sensitivity to temperature. The consideration presented above neglects the contri- bution of the photovoltaic mechanism, which, according to theory, should give a different frequency dependence leading, in particular, to a different phase of MIROs. This contribution decreases with increasing ω. According to our theoretical estimates, the photovoltaic mechanism contribution in our samples can be neglected in compar- ison to contributions of both inelastic and displacement mechanisms at the frequencies we use, while in samples with higher mobilities its relative contribution is even smaller. Taking also into account that the phase shift in MIROs specific for the photovoltaic mechanism has not been detected experimentally, the neglect of this mecha- nism is reasonably justified. For the analysis of experiments, we have to take into account the dependence of the characteristic scattering times: quantum lifetime τq and inelastic relaxation time τin on the effective electron temperature Te. According to theory [6], based on consideration of electron-electron scattering, τin scales as  τin ≃ λin T 2 e εF , (8) where λin is a numerical constant of order unity. To take into account Landau level broadening owing to electron- electron scattering, a similar contribution should be added to inverse quantum lifetime [16], so 1/τq is replaced with 1/τq+1/τ ee e /εF ; the numerical constants λin and λ are not, in general, equal to each other. As a result, the Dingle factor becomes temperature-dependent: d → d(Te) = exp[−π/ωcτq(Te)]. For weak microwave power (far from the saturation regime), Eq. (7) can be rewritten in the form q ≡ 1/τq(Te), where /τ ee q ≃ λT 2 ρd ρ0(cid:12)(cid:12)(cid:12)(cid:12)S ρd ρ0 ≃ 1 + ×(cid:20)(cid:0)(T0/Te)2 + β(cid:1) + d2(Te)(cid:18)1 + cos 2πω ωc sin 2πω ωc 2π∆ ωc (cid:19)(cid:26)1 − Pω ωc (cid:21)(cid:27), + 2β sin2 πω (9) e where the SdH oscillation term from Eq. (7) is denoted as ρd/ρ0S. In this expression we have applied the de- pendence τin ∝ T −2 and denoted T0 as the temperature when τin = τtr. Next, β = τtr/2τ ∗. The contributions proportional to β come from the displacement mecha- nism. Since the first term in the square brackets is con- siderably larger than the second one, it dominates the frequency dependence of MIROs. Therefore, the com- bined action of the inelastic and displacement mecha- nisms on the magnetoresistance can be approximately 4 described by using the expression for inelastic mecha- nism contribution with an effective (enhanced owing to in: the displacement mechanism) relaxation time τ ∗ τ ∗ in ≡ τin + τ 2 tr 2τ ∗ = τtr (cid:2)(T0/Te)2 + β(cid:3) . (10) The crossover between inelastic and displacement mech- anisms should take place at a characteristic temperature TC = T0/√β. Below we present our experimental results and compare them with the theoretical predictions. III. RESULTS AND CONCLUSIONS While similar results have been obtained for various frequencies between 55 and 140 GHz, we focus our anal- ysis on the frequencies 85 GHz (attenuation -7.5 dB) and 110 GHz (attenuation 0 dB). The electric fields for both frequencies, Eω = 2 V/cm (85 GHz, -7.5 dB) and Eω = 1.5 V/cm (110 GHz, 0 dB), and the corresponding (B-dependent) electron temperatures Te were estimated by comparing the effect of heating-induced suppression of SdH oscillations with a similar effect in the known dc electric fields. At low temperatures, these quantities are in agreement with those obtained by fitting calculated amplitudes of the magnetoresistance oscillations to ex- perimental data. The theoretical magnetoresistance is calculated as ex- plained above. The temperature dependence of quan- tum lifetime entering the Dingle factor is determined from temperature dependence of the MIS oscillations in the absence of microwaves (see the details in Ref. 11). This dependence fits well to the theoretically predicted one, where the contribution of electron-electron scatter- ing enters with λ = 3.5 (see previous section). The low-temperature quantum lifetime τq caused by impu- rity scattering is 3.5 ps, which corresponds to the ratio τtr/τq ≃ 15. The low-temperature magnetoresistance in the presence of microwave irradiation is satisfactory de- scribed by the inelastic mechanism contribution with τin of Eq. (8), and a comparison of experimental and theo- retical results allows us to determine λin ≃ 0.94 in this dependence. With increasing temperature, the inelastic mechanism alone fails to describe the experimental magnetoresis- tance, and we have to introduce an enhanced relaxation time τ ∗ in. This is shown in Fig. 2 where we plot the dc resistivity as a function of B for the inelastic model with corresponding τin (red, top trace), inelastic model with an enhanced τ ∗ in (blue, middle trace), and exper- imental trace (black, bottom trace) for several chosen temperatures. For both frequencies, the heating due to microwaves can be neglected for T ≥ 2.8 K, thus It is clearly seen that with increasing tem- T ≃ Te. perature the theoretical model does not fit the magne- toresistance for 0.1 T < B < 0.3 T. Starting at 85 GHz [Fig. 2(a-c)] we find that neither the flipped MIS peaks around B = 0.17 T nor the slightly enhanced MIS peaks ) 0 ( R / ) ( B R ) 0 ( R / ) ( B R ) 0 ( R / ) ( B R 1.8 1.6 1.4 1.2 1.0 0.8 1.6 1.4 1.2 1.0 1.5 1.4 1.3 1.2 1.1 1.0 0.9 (a) f=85GHz in=155ps in=160ps 0.1 0.2 B (T) (b) f=85GHz C in=53ps in=185ps 0.1 0.2 B (T) (c) f=85GHz in=30ps in=200ps T=3.5K 0.3 T=6.0K 0.3 T=8.0K 0.3 0.1 0.2 B (T) ) 0 ( R / ) ( B R ) 0 ( R / ) ( B R ) 0 ( R / ) ( B R 1.8 1.6 1.4 1.2 1.0 1.8 1.6 1.4 1.2 1.0 1.6 1.4 1.2 1.0 (d) f=110GHz in=120ps in=170ps 0.1 0.2 B (T) (e) f=110GHz C in=75ps in=175ps 0.1 0.2 B (T) (f) f=110GHz in=53ps in=165ps T=4.0K 0.3 T=5.0K 0.3 T=6.0K 0.3 0.1 0.2 B (T) 5 10-9 -2 Te ) s ( n i * 10-10 10-11 T*=4K 140GHz (0dB) 140GHz (-1dB) 110GHz (0dB) 85GHz (-7.5dB) 85GHz (-15dB) 2 3 4 Te (K) 5 6 7 8 9 10 e of Eq. FIG. 3: (Color online) Temperature dependence of the effec- tive relaxation time τ ∗ in extracted for different microwave fre- quencies and intensities (points), and theoretically predicted inelastic relaxation time τin ∝ T −2 (8) (red thick line). The deviation from the inelastic model starts at a critical temperature T ∗ ≃ 4 K. For higher Te we observe an almost temperature-independent behavior until the effect of microwaves on the DQW systems vanishes depending on the strength of the electric field Eω. The theoretical dependence in under approximations of smooth scattering potential of τ ∗ (short dash) and of mixed disorder at maximal possible con- tent of short-range scatterers (dash) are also shown. FIG. 2: (Color online) Examples of measured and calculated magnetoresistance for 85 GHz (a-c) and 110 GHz (d-f). Red (top trace) is the theoretical magnetoresistance with the in- elastic mechanism contribution. We display corresponding inelastic scattering time for the given electron temperature T = Te. Blue (middle trace) is the theoretical magnetoresis- tance with an enhanced τ ∗ in, which fits the experimental data (black, bottom trace). Theoretical curves are shifted up for clarity. in, e.g., in Fig. 2(b), with τ ∗ at B = 0.13 T occur in the inelastic model if we use cal- culated inelastic relaxation time τin. With an enhanced in =3.5 τin, both fea- time τ ∗ tures appear at the corresponding magnetic field. This deviation is especially clear in Fig 2(c) at T = 8 K. Here we use τ ∗ in =6.7 τin to obtain the closest fit to the ex- perimental result. For 110 GHz, we observe similar re- sults for all temperatures, and we show the features at T = 4 K, 5 K and 6 K, see Figs. 2(d-f). Due to a different frequency which changes strongly the MIS os- cillation picture [10], we focus now on the enhanced MIS peaks around B = 0.16 T and the damped features at B = 0.22 T. Whereas the comparison with theoretical model only shows a slightly smaller amplitude of the en- hanced MIS peaks at B = 0.16 T, the damped or in- verted MIS peaks [Fig. 2(d)] observed in experiment at B = 0.22 T do not occur unless τin is enhanced to τ ∗ in. In Fig. 3 we show the enhanced relaxation time τ ∗ in as a function of electron temperature Te. We have added the e data for a higher frequency of 140 GHz and for a lower microwave intensity (85 GHz at -15 dB, the estimated electric field is Eω = 0.8 V/cm). It is clearly seen that τ ∗ in is very close to τin ∝ T −2 for Te ≤ T ∗, which strongly confirms the relevance of the inelastic mechanism of pho- toresistance in this region of temperatures. The deviation from this mechanism begins at T ∗ ≃ 4 K, which is iden- tified as a "critical" temperature. For Te > T ∗, a nearly temperature-independent (constant) τ ∗ in is obtained in the whole frequency range. The dispersion of the experi- mental points in this region of temperatures is attributed to a limited accuracy of our analysis, when temperature dependence of the prefactor is extracted using the ex- pressions containing temperature-dependent exponential factor d2(Te). For each extracted τ ∗ in, we present an error bar in Fig. 3 for T >3.5 K. Note that for low temperature the errors become smaller due to the T −2-dependence of inelastic relaxation time. It is tempting to attribute the observed behavior to the theoretically predicted crossover between the inelas- tic and displacement mechanisms. To check out the reli- ability of this assumption, let us compare the experimen- tal critical temperature T ∗ with the theoretical crossover temperature. Based on our experimental data, we find T0 ≃ 6.0 K. To find the parameter β, an additional con- sideration is required, since the time τ ∗ is not directly determined from experiment. This time is expressed through the angular harmonics of the scattering rate as [17, 18] 1 τ ∗ = 3 2τ0 − 2 τ1 + 1 2τ2 , (11) while 1/τq = 1/τ0 and 1/τtr = 1/τ0 − 1/τ1. A large ratio of τtr/τq, which is typical for modulation-doped structures, suggests that the scattering is caused mostly by the long-range random potential (smooth disorder). If a model of exponential correlation is used [w(q) ∝ exp(−lcq), where lc is the correlation length of the ran- dom potential], each harmonic is given by the following expression: 1 τk = 1 τsm 1 1 + χk2 , χ = (kF lc)−2 ≪ 1. (12) Since the parameter χ can be determined from the known ratio τtr/τq, which is equal to 1 + χ−1 in this model, the time τ ∗ and, hence, β can be found. For our samples we obtain the crossover temperature TC ≃ 15.3 K, which is considerably larger than T ∗. Therefore, the displacement mechanism contribution is not strong enough to explain the observed temperature behavior. 3.0 2.5 / 0 T C T 2.0 1.5 tr/ q=30 1.0 0.00 0.02 tr/ q=15 0.06 sm/ sh 0.04 tr/ q=10 0.08 0.10 0.12 FIG. 4: (Color online) Theoretical dependence of the crossover temperature on the content of short-range scatter- ers for several given ratios τtr/τq (for our sample this ratio is 15). T0 is the temperature when τin equals τtr. Recently, it was shown [18] that the presence of a small amount of short-range scatterers (such as point defects whose radius is much smaller than the inverse Fermi wavenumber 1/kF ) increases the contribution of the dis- placement mechanism. For this two-component disorder model, Eq. (12) should be replaced with [17, 18] 1 τk = δk,0 τsh + 1 τsm 1 1 + χk2 . (13) 6 The relative content of the short-range scatterers can be characterized by the ratio τsm/τsh. The crossover tem- perature TC, indeed, decreases with increasing τsm/τsh. However, to keep a constant τtr/τq determined experi- mentally, one cannot make τsm/τsh too large. In Fig. 4, we illustrate the dependence of TC/T0 on the content of the short-range scatterers for several ratios of τtr/τq. Each curve stops at the point when the given ratio can- not be reached if we add more short-range scatterers; this point corresponds to β = 3/4. Therefore, for two- component disorder we can reduce TC down to (2/√3)T0, which in our case gives the lower limit TC ≃ 7 K. Again, the displacement mechanism contribution is still weak to produce the crossover at T ≃ 4 K. To demonstrate the temperature dependence of the ex- in, we add the theoretical plots based on Eq. pected τ ∗ (10) with TC = 15.3 K and TC = 7 K to Fig. 3. It is clear that the smooth disorder model cannot fit the experimental data above T ∗ = 4 K. The mixed disorder model produces a better (still not sufficient) agreement with experiment in this region, but leads to a noticeable in ∝ T −2 dependence in the region deviation from the τ ∗ T < T ∗. This essential observation shows that the be- in can hardly be explained within a model havior of τ ∗ that adds a temperature-independent [as in Eq. (10)] or weakly temperature-dependent term to τin: such a term cannot lead to a distinct change in the slope of the T -dependence around T ∗. Therefore, one may suggest that another, previously unaccounted mechanism of pho- toconductivity, which turns on at T ≃ T ∗ more abruptly than the displacement mechanism, should be important. In conclusion, we have studied the temperature de- pendence of magnetoresistance oscillations in the systems with two closely spaced 2D subbands (DQWs) under con- tinuous microwave irradiation. With increasing temper- ature to T ∗ ≃ 4 K, we observe a considerable deviation from the temperature dependence predicted by the in- elastic mechanism of microwave photoresistance. A sim- ilar behavior (at T ∗ ≃2 K) has been recently observed in high-mobility quantum wells with one occupied subband [9] and attributed to a crossover between inelastic and displacement mechanisms [9, 18]. We have analyzed our data in terms of this model, by taking into account elas- tic scattering of electrons by both long-range and short- range impurity potentials. We have found that even in the light of limited accuracy of our analysis, the observed deviation cannot be fully explained by the contribution of the displacement mechanism, and, therefore, requires another explanation. We believe that this finding will stimulate further theoretical and experimental work on the transport properties of 2D electron systems exposed to microwave irradiation. We thank M.A. Zudov and I.A. Dmitriev for useful discussions. This work was supported by COFECUB- USP (Project No. Uc 109/08), CNPq, FAPESP, and with microwave facilities from ANR MICONANO. 7 [1] M. A. Zudov, R. R. Du, J. A. Simmons, and J. L. Reno, West, Phys. Rev. Lett. 102, 066804 (2009). Phys. Rev. B 64, 201311(R) (2001). [2] R. G. Mani, J. H. Smet, K. von Klitzing, V. Narayana- murti, W. B. Johnson, and V. Umansky, Nature 420, 646 (2002). [3] M. A. Zudov, R. R. Du, L. N. Pfeiffer, and K. W. West, Phys. Rev. Lett. 90, 046807 (2003). [4] V. I. Ryzhii, Fiz. Tverd. Tela (Leningrad) 11, 2577 (1969) [Sov. Phys. Solid State 11, 2078 (1970)]; V. I. Ryzhii, R. A. Suris, and B. S. Shchamkhalova, Fiz. Tekh. Poluprovodn. 20, 2078 (1986) [Sov. Phys. Semicond. 20, 1299 (1986)]. [5] A. C. Durst, S. Sachdev, N. Read, and S. M. Girvin, Phys. Rev. Lett. 91, 086803 (2003) [6] I. A. Dmitriev, M. G. Vavilov, I. L. Aleiner, A. D. Mirlin, [10] S. Wiedmann, G. M. Gusev, O.E. Raichev, T. E. Lamas, A. K. Bakarov, and J. C. Portal, Phys. Rev. B 78, 121301(R) (2008). [11] N. C. Mamani, G. M. Gusev, T. E. Lamas, A. K. Bakarov, and O. E. Raichev, Phys. Rev. B 77, 205327 (2008). [12] O. E. Raichev, Phys. Rev. B 78, 125304 (2008). [13] N. C. Mamani, G. M. Gusev, E. C. F. da Silva, O.E. Raichev, A.A. Quivy, and A. K. Bakarov, Phys. Rev. B 80, 085304 (2009). [14] S. A. Mikhailov, Phys. Rev. B 70, 165311 (2004). [15] S. Wiedmann, N. C. Mamani, G. M. Gusev, O. E. Raichev, A. K. Bakarov, and J. C. Portal, Phys. Rev. B 80, 245306 (2009). and D. G. Polyakov, Phys. Rev. B 71, 115316 (2005). [16] G. F. Giuliani and J. J. Quinn, Phys. Rev. B 26, 4421 [7] I. A. Dmitriev, A. D. Mirlin, and D. G. Polyakov, Phys. (1982). Rev. B 75, 245320 (2007). [17] M. Khodas and M. G. Vavilov, Phys. Rev. B 78, 245319 [8] S. A. Studenikin, M. Potemski, A. Sachrajda, M. Hilke, L. N. Pfeiffer, and K. W. West, Phys. Rev. B 71, 245313 (2005). [9] A. T. Hatke, M. A. Zudov, L. N. Pfeiffer, and K. W. (2008). [18] I. A. Dmitriev, M. Khodas , A. D. Mirlin, D. G. Polyakov, and M. G. Vavilov, Phys. Rev. B 80, 165327 (2009).
1805.10153
3
1805
2019-11-27T17:25:00
Molecular optomechanics in the anharmonic cavity-QED regime using hybrid metal-dielectric cavity modes
[ "cond-mat.mes-hall", "physics.optics", "quant-ph" ]
Using carefully designed hybrid metal-dielectric resonators, we study molecular optomechanics in the strong coupling regime ($g_{\rm }^2/\omega_m {>} \kappa$), which manifests in anharmonic emission lines in the sideband-resolved region of the cavity-emitted spectrum ($\kappa{<}\omega_m$). This nonlinear optomechanical strong coupling regime is enabled through a metal-dielectric cavity system that yields not only deep sub-wavelength plasmonic confinement, but also dielectric-like confinement times that are more than two orders of magnitude larger than those from typical localized plasmon modes. These hybrid metal-dielectric cavity modes enable one to study new avenues of quantum plasmonics for single molecule Raman scattering.
cond-mat.mes-hall
cond-mat
a Molecular optomechanics in the anharmonic cavity-QED regime using hybrid metal-dielectric cavity modes Mohsen Kamandar Dezfouli,1, ∗ Reuven Gordon,2 and Stephen Hughes1, † 1Department of Physics, Engineering Physics and Astronomy, Queen's University, Kingston, ON K7L 3N6, Canada 2Department of Electrical and Computer Engineering, University of Victoria, Victoria, BC V8W 3P2, Canada Using carefully designed hybrid metal-dielectric resonators, we study molecular optomechanics in the strong coupling regime (g2/ωm>κ), which manifests in anharmonic emission lines in the sideband-resolved region of the cavity-emitted spectrum (κ<ωm). This nonlinear optomechanical strong coupling regime is enabled through a metal-dielectric cavity system that yields not only deep sub-wavelength plasmonic confinement, but also dielectric-like confinement times that are more than two orders of magnitude larger than those from typical localized plasmon modes. These hybrid metal-dielectric cavity modes enable one to study new avenues of quantum plasmonics for single molecule Raman scattering. Photons interacting with molecules can induce sponta- neous Raman scattering1, where optical fields couple to molecular vibrations and scatter at phonon-shifted fre- quencies with respect to the original excitation frequency. Although most Raman experiments involve very small scattering cross-sections of around 10−30−10−25 cm2, us- ing surface-enhanced Raman spectroscopy (SERS) with metal nanoparticles (MNPs)2 -- 4, enhancement factors of up to 1014 can be obtained. Carefully fabricated MNPs allow extreme enhancement of electromagnetic fields, in the form of localized hot-spots, which has enabled SERS to emerge as a powerful tool in identifying the structural fingerprint of different molecules and proteins, down to the single molecule level5 -- 9. MNPs have also been used in hybrid metal-dielectric platforms to optically observe sin- gle atomic ions10. The SERS process can be viewed as an effective enhancement of the optomechanical coupling be- tween the localized surface plasmon resonance and the vi- brational mode of the molecule, which has inspired recent ideas of molecular optomechanics11,12. There has also been intense interest in using MNPs to explore regimes of quantum optical plasmonics13 -- 18, including recent work on pulsed molecular optomechanics19 that observed a superlinear Stokes emission spectrum. However, suffi- ciently strong optomechanical coupling at the few pho- ton regime, which facilitates nonlinear quantum optical effects such as the single photon blockade20,21, remains largely unexplored in the context of SERS. A significant problem with MNPs for enhancing quan- tum light-matter interactions is that considerable metal- lic losses are involved. Indeed, in stark contrast to dielec- tric cavity systems, the quality factors for MNPs are only around Q∼10, resulting in significant cavity decay rates κ (κ=ωc/Q, with ωc the cavity resonance frequency), which is typically much larger than the linewidth of the higher lying quantum state resonances. This large metallic dis- sipation can inhibit SERS from probing strong-coupling- like optomechanical resonances, which usually requires a sufficiently sharp spectral change in the optical density of states. Indeed, for most plasmonic resonators, it is convenient to use a modified quantum theory of SERS where the plasmonic system is safely treated as an effec- tive photonic bath22. It has also been suggested, using a quasi-static theory, that perhaps there is a universal scal- ing for the intrinsically low Qs of plasmonic resonators23. Thus, it is not surprising that the quantum signatures of molecular optomechanics and SERS under both strong coupling and high quality factors remains relatively unex- plored. Such interactions may allow one to study optome- chanics in the regime of cavity-quantum electrodynam- ics (cavity-QED), which requires both the cavity mode and the vibrational mode to be treated quantum me- chanically, and without adiabatic elimination. On the other hand, hybrid plasmonic devices, consisting of di- electric and metal parts, can offer extra design flexibility in terms of the resonance line shapes and cavity mode properties24 -- 28. Although these hybrid systems involve a more complex coupling than simple MNPs or dielectric cavities, they can be advantageous for quantum plasmon- ics, as we will demonstrate below. In addition, cavity mode theory can be reliably used28 to extract necessary parameters for cavity-QED studies of these hybrid de- vices, where the electromagnetic modes can take on the useful properties of both metal and dielectric systems. In this work, we demonstrate how hybrid metal- dielectric systems (schematically shown in Fig. 1) can probe anharmonic quantum transitions in strongly- coupled molecular optomechanical systems, that are otherwise obscured by the usual metallic dissipation rates. This challenges known limitations of current SERS schemes (which typically probe resonances at the har- monic Stokes and anti-Stokes levels), and opens up pos- sible new avenues in quantum optomechanics using plas- monics. In our hybrid cavity system, there are two dominant hybrid modes that inherit characteristic from both of the dielectric and metallic parent modes, yield- ing two commonly desired (and/or necessary) properties for our study, namely, sub-wavelength spatial localiza- tion (or small effective mode volume, Vc) and sufficiently small decay rates, κ (or sufficiently high Q). We stress 2 ity pump term. In the weak pumping regime, we discuss the analytical structure of the optomechanical states and eigenenergies, which are well known. We additionally present quantum master equations that can be used to obtain the system dynamics and emitted spectrum under the influence of system-bath dissipation channels. This includes both standard and generalized master equa- tions29. Next, we present a classical modal analysis (us- ing QNMs) of metallic dimers of different gap sizes as well as the hybrid metal-dielectric system, and extract the necessary cavity parameters used in this study such as ef- fective mode volume and quality factor. Then, we present our quantum simulation results including the coupled- cavity emitted spectrum and show the role of tempera- ture as well as the additional dissipation beyond the stan- dard master equation, on the emitted spectrum. We also show how laser detuning qualitatively affects the mode populations and emission spectra. Finally, we present our conclusions and closing remarks. MOLECULAR OPTOMECHANICS UNDER STRONG COUPLING A. Optomechanical system Hamiltonian, eigenenergies and dressed states Below we wish to probe strong coupling effects be- yond the usual coupled oscillator model. For example, with regards to probing vibrational strong coupling ef- fects with molecules, del Pino et al.30 have studied col- lective strong coupling between vibrational excitations and an infrared cavity modes, using the standard Rabi interaction Hamiltonian gRabi(a + a†)(b + b†), and they also considered resonant Raman interactions; here a, a† and b, b† represent the creation, annihilation operators of the cavity and vibrational modes, respectively. For g>κ, where κ is the cavity decay rate, they found strong coupling features in the cavity emitted spectrum, and also studied effects associated with the ultrastrong cou- pling regime (USC), namely when g/ωm>0.1. Indeed, they found that the nth Stokes line splits into n + 1 sidebands. In the regime of molecular optomechanics, the same form of interaction Hamiltonian can be realized through a linearization procedure 11,12,19,22,31, so that ga†a(b + b†) → g(cid:48)(a + a†)(b + b†), where g(cid:48) = αg, and α is the displaced amplitude12. For our study, we employ the fundamental optome- chanical interaction without any form of linearization, including the cavity pump field in the interaction pic- ture:31 † Hs = ∆ a † a + ωm b † † + b(cid:1) + Ω(cid:0)a + a(cid:1) , (1) † b − g a a(cid:0)b where ∆ = ωc − ωL is the detuning between the optical cavity and the pump laser, ωm is frequency of molecu- lar vibrational mode, and Ω is the Rabi frequency of the optical cavity mode (and we have made a rotating wave FIG. 1. Schematic of a hybrid metal-dielectric device with a MNP dimer coupled to a photonic crystal nanobeam cav- ity, where the inset shows a closeup of the hybrid hot-spot where molecules (shown by a red sphere, though of course they can have a much more general shape) can be trapped. A partial energy diagram of the coupled molecule-cavity system is also shown, where red/green/blue/purple show four tran- sition lines for the cavity emitted spectrum. Note that these quasi-energies are in the interaction picture, where the laser is tuned in resonance with the cavity mode of inetrest, i.e. ωL=ωc. that both of these two cavity mode features are required to facilitate the strong molecular optomechanics stud- ied in this work. Specifically, one requires g2/ωm>κ, as well as ωm>κ, which is not feasible with typical plas- monic modes; the latter criterion is a necessary con- dition to be in the sideband-resolved regime. For our hybrid system, one of the hybrid modes is plasmonic- like, which maintains the smaller mode volume, while the other mode is dielectric-like, and inherits the larger Q (or smaller κ). The high Q mode (smaller κ) of the coupled system can be designed to realize light confine- ment times of more than two orders of magnitude larger than regular plasmonics resonances (Q = 3500 compared to Q ∼ 10), and yet maintains a much smaller mode vol- ume well below the diffraction limit in dielectric systems (such as: Vc=5.36× 10−6 λ3). Such strong mode confine- ment provided by the hybrid cavity-mode can result in optomechanical coupling rates of g=0.1−4 meV, where the largest value of g=4 meV corresponds to g/κ=9, g2/ωm κ≈3.5 and g/ωm=0.4 for the common figures of merit for strong and ultrastrong optomechanical cou- pling. We subsequently use the high Q hybrid mode (small κ) of our hybrid device to demonstrate the regime of strong optomechanical coupling, in which pronounced shifts of the cavity frequency as well as new anhar- monic Raman side-peaks (first and higher-order Stokes and anti-Stokes resonances) are observable in the cavity emitted spectrum. The low Q mode is also interesting in its own right, but for this work we explore that the high Q mode to access the sideband-resolved regime. The layout of the rest of our paper is as follows. First, we describe the optomechanical Hamiltonian with- out any form of linearization and include a coherent cav- approximation for terms rotating at e2iωLt). Note that cavity operator terms aa and a†a† can be safely ignored 32,33. The optomechanical coupling fac- here, as ωc (cid:29) ωm tor is g = (Rm/2ωm) 11, with Rm the Ra- man activity associated with the vibration under study, and Vc as the effective mode volume of the cavity mode under investigation. −1/2 ωc/ε0Vc The optomechanical coupling term in Eq. (1) is ap- propriate for describing off-resonant Raman interactions. For resonant interactions, the plasmonic MNP also in- teracts with electronic (two-level) vibrational degrees of freedom, through30 ωm√Sσ+σ−(b† + b)34, where σ+, σ− are the Pauli operators and S is the Huang-Rhys param- eter, which quantifies the phonon displacement between the ground and excited electronic states. As discussed in Ref. 35, resonant Raman effects may be treated phe- nomenologically, resulting in an effective increase of the off-resonant interaction above. However, this is likely only a good approximation for weak pumping fields, where the Fermionic operators behave as harmonic os- cillator states. For our studies below, we concentrate on the off-resonant Raman interactions but also use Raman cross sections that can likely be boosted using resonant Raman interactions. Neglecting the influence of the cavity pump term for now, analytical insight into the resonances of the SERS Hamiltonian of Eq. (1), can be obtained. In the interac- tion picture, the system dressed-state energies take the form36: with the corresponding eigenstates, g2 ωm En,k = n∆ + kωm − n2 †(cid:18) gn ωm(cid:19)n, k(cid:105) , Ψn,k(cid:105) = D , (2) (3) where D is the displacement operator. Thus the op- tomechnical eigenstates in the phonon space are obtained by displacing number states k(cid:105) for phonons, which in turn also depends on the number of photons (through n). Specifically, the phonon states are displaced as follows: b → b−d0a†a/ωm, where d0=g/ωm is the normalized dis- placement. This results in photon manifolds that contain phonon sub-levels, where the sub-level splitting depends on the photon number state. For example, within the n=0 photon manifold, one can probe the usual Raman sidebands, ±ωm,±2ωm, etc; from n=1 to n=0, then one can explore Stokes resonances at ωc±−g2/ωm−kωm, and even richer anharmonicities from the higher photon man- ifolds (which will be harder to resolve). To resolve the lowest-order anharmonic levels (n=1 photon manifold), one needs to meet the condition g2/ωm>κ, which gives us one of the criteria for optomechanical strong coupling. On the other hand, one also requires κ<ωm, to be able to be in the sideband resolved regime37. We also stress that such optomechanical states also involve interactions well beyond the rotating-wave approximation for the me- chanical mode, and usually one also finds that g/ωm>0.1, 3 which is characteristic of the USC regime29,30,38,39. Note the same displacement occurs using a polaron transform of the system Hamiltonian, which has an identical po- laron shift appearing for the first excited state photon manifold20,40,41. However, for the cavity photon opera- tors (bosons), it also introduces a nonlinear Kerrlike term (∝ −g2/ωma†a†aa), which can be difficult to account for numerically. Figure 1 shows, schematically, four of the optomechan- ical energy levels which can be resolved in the emit- ted spectrum that we discuss later. For ∆=0, the first three energy levels for n=0 are E0,0=0, E0,1=ωm and E0,2=2ωm for the ground state, first order and sec- ond order vibrational states. With an applied field, emission at phonon peaks of mωm on the red and blue side of the cavity resonance cause the standard Stokes and anti-Stokes emissions. However, for the op- tomechnical dresses states, the first three energy levels for the n=1 photon manifold contain the anharmonic side-bands, i.e., E1,0=−g2/ωm, E1,1=ωm−g2/ωm and E1,2 = 2ωm−g2/ωm. Note that these are all shifted by the same amount with respect to standard Raman emissions. They also involve changes for both cavity and molecule, and therefore represent the optomechani- cal feedback between the two coupled oscillators. While the −g2/ωm spectral shift depends on the strength g, it does not explicitly depend on the cavity quality factor, κ (using the simple analysis above). However, one also requires κ<ωm when dissipation is included. This is im- portant, because the plasmonic-like modes have κ>ωm, and would fail to resolve such states in general. B. Quantum master equations With cavity and mechanical (vibrational) bath interac- tions included, we first employ a standard master equa- tion approach42,43, which is used to compute different observables of interest such as population dynamics and the cavity emission spectrum. The ensuing master equa- tion is12,22 dρ(t) dt = − i  [Hs, ρ(t)] + κ 2 D[a]ρ(t) γm(cid:0)¯nth + 1(cid:1) 2 + D[b]ρ(t) + γm ¯nth 2 D[b † ]ρ(t), (4) decay rate, ¯nth=(exp (ωm/kBT ) − 1) peroperator D is defined via: D[O]ρ(t) = 2Oρ(t)O† O†Oρ(t) − ρ(t)O†O. where κ is the cavity decay rate, γm is the vibrational −1 is the thermal population of the vibrational mode at temperature T , with kB the Boltzmann constant, and the Lindblad su- − One potential problem with the standard master equa- tion is that it neglects internal coupling between the system operators when deriving the system-bath inter- actions. This general problem was discussed in 1973 by Carmichael and Walls44, where they showed that the cor- rect bath interaction should occur at the dressed reso- nances of the system. This "internal coupling" inter- action has been applied to a wide variety of problems, including Mollow triplets with plasmon resonators in- teracting with two level atoms45, circuit QED46, and general regimes of USC physics33. Excluding the weak pumping field, the dynamics of b and a can be solved analytically from the system Hamiltonian, which allows one to obtain a self-consistent solution for the dissipation terms. Neglecting terms that oscillate at exp(±iωmt) and exp(±i2ωmt) (in the interaction picture), the solution has been derived by Hu et al.29, and takes the form dρ(t) dt = − i  [Hs, ρ(t)] + κ 2 D[a]ρ(t) † D[b−d0a a]ρ(t) γm(cid:0)¯nth+1(cid:1) 2 γm ¯nth † + + 2 D[b −d0a † a]ρ(t) + 2γmkBTd2 0 † ωm D[a a]ρ(t), (5) which has no affect on the final cavity decay terms, but causes the mechanical dissipation to be displaced. There is also an additional pure dephasing process which we have numerically checked to be negligible in the regimes below (though we include it above for completeness). The origin of the dissipation modifications stem from b(t)=eiHs/ t b e−iHs/ t=(b − d0a†a)eiωmt + d0a†a. In a standard master equation, one usually assumes b(t)≈b when deriving the system-bath interactions terms, which typically fails in the USC regime or in regimes that probe dressed states that are sufficiently far from the laser frequency. To demonstrate the additional physics be- hind this modified dissipation, below we start by using the commonly used master equation of Eq. (4) (standard master equation), and then also carry out a direct com- parison with Eq. (5) (corrected, or dressed-state, master equation) to show any modifications that are introduced from the more correct dissipation terms. Since we are dealing with lossy mode systems, where the loss is substantial, it is also worth noting that while there has been some recent progress made with quantiz- ing QNMs for any open system47, we will neglect the additional complexities for this work, and choose de- signs where this is expected to be a good approximation (namely, in the regime of a single mode master equa- tion47). For numerical calculations of the quantum master equation, and for calculating the cavity emitted spec- trum, we employ the qutip package48,49, under Python. We performed a basis analysis in terms of Hilbert space size, and confirmed that including up to n=6, k=6(cid:105) states leads to numerically converged spectrum, for the full range of parameters considered below. 4 ELECTROMAGNETIC MODAL ANALYSIS AND MOLECULAR OPTOMECHANICAL PARAMETERS We first employ the full three-dimensional Maxwell equations to design and understand a suitable hybrid metal-dielectric system. Our goal is to design a cav- ity mode with a very small (plasmon-like) mode volume and a suitably small dissipation rate (large Q). Specif- ically, we consider a MNP dimer that is top-coupled to a photonic crystal nanobeam cavity (see Fig. 1). Similar systems have been discussed before28 and made50, but here we significantly improve the design for this study, namely for anharmonic strong coupling, which requires a larger Q and much smaller Vc. We do this by adjust- ing the gap size, the aspect ratio, and the shape of the plasmonic dimer as well as its spacing from the dielectric nanobeam. The latter in practice can be implemented using spacer layers, where the refractive index change causes resonance frequency shifts for the main plasmonic resonance. This can be easily compensated for by small adjustments on the dimer aspect ratio, however, we find it of less influence on the high Q mode of the hybrid de- sign that we are interested in and so neglect the details of adding spacer layers below. Interesting alternative metal-dielectric hybrid structures have been discussed by Doeleman et al.26. For our specific MNP design, we consider a gold plas- monic dimer that is made of two ellipsoids, each 60 nm long and 15 nm wide. A small gap, ranging from 0.5-5 nm in between them is used to create a pronounced field hot- spot for trapping molecules. Notably, the smallest gap used here, though likely very challenging, has been exper- imentally achieved51 and it stays within the region where electron tunneling effects are negligible52. Additionally, using a fully three-dimensional nonlocal QNM theory at the level of a hydrodynamical model53, we have confirmed that the nonlocal considerations mostly just blueshift the low Q mode of our hybrid device by about 2%, which again, can be tuned back by adjusting the dimer aspect ratio. The MNP dielectric function is thus modeled using a local Drude theory, εMNP (ω)=1−ω2 p/ω (ω + iγp) , with plasmon energy of ωp=8.2934 eV and collision broaden- ing of γp=0.0928 eV. The classical mode calculations are performed using the commercial frequency-domain solver from COMSOL, and the QNMs are calculated using the technique pre- sented in Ref. 54. The QNMs are poles of the electic- field photonic Green function and they can be used to accurately estimate the effective mode volumes at the emitter location as well as the quality factors. The QNM complex eigenfrequencies are defined from55 ωc=ωc−iγc, where κ=2γc, Q=ωc/κ and the effective mode volume is obtained from the normalized QNM spatial profile at dimer gap center55, Vc = Re{1/Bf 2(r0)}, where B is the background dielectric constant in which the molecule is located (assumed to be 1 here). For our detailed calculations, we model both metal 5 FIG. 2. a-b Calculated mode profile of two gold dimers with different gaps, 0.5 nm and 5 nm, respectively. c Purcell factor calculations for the smaller gaps size, 0.5 nm, using the analytical QNM theory (solid curve) and fully vectorial solutions to Maxwell's equations from a dipole excitation (symbols). d QNM calculated Purcell factor for dimer designs with different gap sizes. e Purcell factor of a dipole emitter placed inside the plasmonic gap of the hybrid device, where a sharp high Q mode (dielectric-like) is present next to the broader low Q mode (plasmonic-like). f-g Calculated QNM profile (yz-cut) for the high Q and low Q modes of the hybrid system, where the green rectangle shows the boundary of the dielectric beam. Note that, for all the mode profiles, a nonlinear color scaling (fy → fy0.2) is used for better visualization. dimer structures on their own and dimers on top of pho- tonic crystal cavity beams (see Fig. 1). For the dimer calculations, a computational domain of 1 µm3 was used with 10 layers of perfectly matched layers and a maxi- mum mesh size of 3 nm over the metallic region. All our mode calculations are fully three dimensional. For the hybrid coupled-cavity system, a computational domain of 100 µm3 was used with the additional requirement of the maximum mesh size of 50 nm over the dielectric beam. The nanobeam cavity is assumed to be made of silicon- nitride with a refractive index of n=2.04, with height h=200 nm, and width w=367 nm. A dielectric cavity re- gion that is 126 nm long is created in the middle of the nanobeam, with first a taper section and then a mirror section on either side. The taper section is made of 7 holes linearly increased from 68 nm to 86 nm in radius, and from 264 nm to 299 nm in spacing. The mirror sec- tion, however, is made of 7 more holes having the same radius of r=86 nm and the same spacing of a=306 nm. For the main mode of interest for this cavity design, we obtained the effective mode volume at the beam center inside dielectric region to be Vc=0.078 λ3, with the cor- responding quality factor of Q=3×105. Figure 2 summarizes how the dimer mode properties change as a function of gap size (a-d), and also shows the hybrid mode properties for the smallest gap sizes on a photonic crystal beam (e-g). The results in Figs. 2(a-b) show a surface plot of the near-field mode profile for gap sizes of 0.5 nm and 5 nm, respectively. In Fig. 2(c), we show the reliability of our implemented QNM theory in accurately capturing the system response by comparing against full dipole solutions of Maxwell's equation (i.e., with no approximations). The dipole is polarized along the dimer axis, namely along y. Remarkably, these re- sults show that even for gap sizes as small as 0.5 nm, a single QNM gives an excellent fit compared to full dipole simulations for the enhanced emission factor. Fig- ure 2(d) shows how the QNM calculated Purcell factor55 (enhanced emission rate from a dipole) changes for sev- eral dimer designs with different gap sizes, ranging from 0.5 nm to 5 nm, while every other geometrical parame- ters aside from the gap size are kept the same. As seen, decreasing the dimer gap induces a resonance redshift as well as a dramatic increase in the local density of states, which translates into a decreasing effective mode volume. Placing the dimer on top of the nanobeam cavity forms a platform that can trap molecules inside the hot-spot gap, in the presence of dielectric mode couping (see Fig. 1). In Fig. 2(e), we show the total Purcell factor for a dipole emitter that is again oriented along the dimer axis with photonic crystal cavity coupling. As seen, over the wide range of 400 meV, only two modes contribute dominantly. These are the two hybrid cavity modes also calculated rigorously using QNM theory28,54,55. The resonant frequencies of the hybrid modes are found to be ωHQ c =1.83 eV, with correspond- c =1.61 eV and ωLQ device/mode Vc/λ3 c 0.5 nm gap dimer 4.38 × 10 1 nm gap dimer 2.35 × 10 2 nm gap dimer 1.29 × 10 5 nm gap dimer 1.36 × 10 5.36 × 10 high Q hybrid 4.53 × 10 low Q hybrid −8 −7 −6 −5 −6 −8 κ [meV] g [meV] 105 107 108 111 0.46 108 24.96 5.31 1.10 0.12 0.10 23.27 TABLE I. Calculated mode volume, decay rate and the op- tomechanical coupling factor for various dimer designs, as well as the two dominant modes of the studied hybrid device. Cou- pling values are calculated for a low frequency oscillation at −1, ωm=10 meV holding a Raman activity of Rm=103 A4amu as discussed in the main text. c =4.54× 10−8 λ3 ing quality factors of QHQ=3500 and QLQ=17, respec- tively. Additionally, the effective mode volumes for the two hybrid modes are V HQ c and V LQ c. Note that, as discussed before, the high Q mode has an extremely small mode volume in- herited from the plasmonic dimer structure. In Fig. 2(f) (g), we show the yz cut of the high (low) Q hybrid QNM profiles, for completeness. c =5.36 × 10−6 λ3 The results of our classical modal investigation are summarized in Table I, where a relatively constant qual- ity factor is maintained across all devices (apart from the high Q hybrid mode), even though the mode volume changes by several orders of magnitude. Note that the plasmonic mode has a ∼200-fold increase in the coupling rate, even though the mode volume is decreased by ∼100 in normalized units. This is caused also by the change in cavity wavelength, which appears cubed. For the molecular vibrational mode, we consider a rea- sonably low frequency oscillation at ωm=10 meV that can have a Raman activity of Rm=103 A4amu−1 (these numbers are within the range available in the literature, e.g., for single-walled carbon nanotubes11,56). Indeed, low frequency vibrations enforce the interaction to take place within the close vicinity of the high Q mode and they are expected to have higher Raman cross-sections57, and therefore can offer higher optomechanical coupling rates (see definition of g). While this work was for small dielectric particles, the link between continuum models and molecules levels has been demonstrated for the ful- lerine family58, and much lower wavelength modes have been explored for molecules using a low-wavenumber- extended confocal Raman microscope59. We also consider an intrinsic mechanical quality factor of Qm=ωm/γm=100 for the molecular vibration. How- ever, note that the temperature dependence will increase the vibrational linewidth, as incorporated through ¯nth in Eq. (4). For such a vibration mode, by using the ex- pression for the optomechanical coupling provided ear- lier, the two hybrid modes yield gHQ=0.1 meV and gLQ=20 meV. As discussed earlier, even though the low Q mode offers a much larger coupling factor, it fails to ex- ploit the strong coupling interaction, since one requires 6 κ<ωm. These estimates are made under the standard off-resonant SERS regime, whereas the resonant Raman cross-sections (on the order of 10−25 cm2) are typically known to be 105 larger compared to standard Raman cross-sections (on the order of 10−30 cm2). Therefore, the effective coupling factor can likely be enhanced by more than ×102 when resonant Raman regimes are used. Thus, it is not too unreasonable for us to increase the coupling factor to better explain the underlying physics of an increased interaction rate. Consequently, we will consider several values of the coupling factor within the range gHQ=0.1-4 meV (where new spectral features are visible at around 2 meV) to identify new spectral features for molecules coupled to the high Q mode. As discussed earlier, the resonant Raman interaction is fundamentally different to off-resonant Raman, but this approach is ex- pected to be reasonable for weak pump fields, where one can treat the resonant Raman process phenomenologi- cally35. A more detailed investigation of resonant Raman interactions30,41, increased pump fields, and vibrational electronic coupling is left to future work. MOLECULAR OPTOMECHANICAL SPECTRUM AND POPULATION DYNAMICS UNDER STRONG COUPLING Employing the quantum master equations (Eq. (4) and Eq. (5)), and the quantum regression theorem43, we cal- culate the cavity emitted spectrum of the hybrid device from a Fourier transform of the first-order quantum cor- relation functions: dt ei(ωL−ω)t (6) S (ω) ≡ Re(cid:26)(cid:90) ∞ ×(cid:2)(cid:10)a 0 † (t) a (0)(cid:11)ss −(cid:10)a †(cid:11)ss(cid:10)a(cid:11)ss(cid:3)(cid:27) , where the expectation values are taken over the sys- tem steady state and the coherent contribution is sub- tracted off. Note that this emission spectrum is the in- coherent spectrum, stemming from quantum fluctuations about steady-state; this emission spectrum could be de- tected background free in the same way that quantum dot Mollow triplets are detected when coupled to cav- ity modes60, and the coherent contribution from a laser source is much smaller than the spectral features we are probing. We also calculate the population dynamics of the cavity mode from nc = (cid:104)a†a(cid:105) (t), where at time t=0, the cavity is in the ground state (not populated) and the vibrational mode is in a thermal state, according to the chosen temperature. In Figs. 3(a-b), we show the cavity-emitted spec- trum as well as the corresponding population dynam- ics to steady state for the optomechanical coupling rates gHQ=0.1, 2, 4 meV. The lowest value of g is our start- ing point, which is estimated for the off-resonant Raman excitation using the high Q mode. The highest value of g is roughly an order of magnitude smaller than the upper 7 FIG. 3. a Cavity emitted spectrum and b cavity photon population versus time, plotted for g = 0.1, 2, 4 meV, top to bottom, respectively. The temperature is T = 4 K, and for the larger values of g, g/ωm = 0.4. this is also in the USC regime. The first (lowest) g value is for the hybrid high Q design shown earlier, with the estimated non-resonant Raman configuration. Here, the cavity decay rate is κ = 0.46 meV, the frequency of vibration is ωm = 10 meV, and the Rabi energy is Ω=0.1 meV. Note that ωm (cid:29) κ in this case, as is required for sideband resolution. c Influence of the temperature (top, 4 K versus 50 K) and the corrected Lindbald dissipation terms (bottom) on the strong coupling spectrum for g = 4 meV case at T = 4 K (a, bottom). estimate for the resonant Raman, which we think is rea- sonable given our approximate model. The simulations in Figs. 3(a-b) use the standard master equation (Eq. (4)) and assume a temperature of T =4 K. By increasing g, we see significant non-trivial shifts of the cavity resonance and the emergence of the associated Raman side-peaks (Fig. 3(a)). In Fig. 3(b), the corresponding cavity pop- ulations become non-trivial with respect to time as one gets into the strong coupling regime. Based on the earlier analytical discussion of the eigenenergies, it is easy to see where the additional peaks in the full system spectrum originate from, i.e., what kind of transitions they correspond to. Referring to the schematic energy diagram of Fig. 1, the sidebands are mediated from the anharmonic energy levels introduced by the sufficiently strong optomechanical coupling and involve jumps on the molecular ladder as well as the cavity ladder, showing signatures of the strong coupling. We stress that anything beyond the first-order Stokes and anti-Stokes resonances, is already well into a non- linear regime that is beyond the usual linearization pro- cedure for optomechanical interactions12,22; furthermore, the resonances that are not at multiples of the phonon energy are related to the nonlinear anharmonic cavity- QED regime." Also note the analytical energy eigenstates include photons and phonons to all orders (accessible through the pumping field), though these are significantly modified in the presence of dissipation. We next explore the effect of increasing temperature on the emission spectrum, in Fig. 3(c) (top, for T =4, 50 K). The emission spectrum shows that increasing the temper- ature mainly affects the anti-Stokes emissions. Even at room temperature, the thermal phonon populations for the vibration mode energy of ωm=10 meV is about nth ≈ 2 and therefore, a further increase of the anti-Stokes emissions as well as some broadening can occur. Note that some of the peaks appearing in the spectrum are not immediately explained from the previous (pump- free) eigenvalues and eigenstates in Eqs. (2)-(3). For ex- ample, there is clearly a central peak at ωL (even for small values of g) as well as at ωL+g2/ωm (see Fig. 3(c), bottom), and it is tempting to ask if these are associated with higher lying photon states. In fact, these transitions can be fully explained by including a weak pumping field in the system Hamiltonian, and truncating the Hilbert space to only include one photon and one phonon state (so up to two quanta), which is still beyond weak excita- tion. In this case, the lowest three "Floquet eigenen- ergies"61 are also obtained analytically (with ωL=ωc, 2 =ωm. These and Ω(cid:28)g): E Fl are quasienergies, and transitions between the Floquet states cause resonances at ωL, ωL±ωm, ωL±g2/ωm and ωL±(ωm+g2/ωm), which explain the additional peaks on the spectrum. Note that the central peak is similar to the central peak of a Mollow spectrum (for a driven two level system), which is also a nonlinear effect from the drive. 0 =−g2/ωm, E Fl 1 =0, E Fl Additionally, in Fig. 3(c) (lower), we study the effect of the modified dissipation terms given in Eq. (5) (gener- alized master equation). As seen, under the exact same configuration, the additional Lindblad terms of the gen- eralized master equation introduce additional dissipation that obscures some of the new side-peaks, consistent with the results of Ref. 29. Furthermore, this extra broaden- ing seems to affect the Stokes emissions more than the anti-Stokes emissions. The results above consider the case where the laser is on resonant with the bare cavity resonance, namely ωL=ωc. To have a more complete picture of detuning dependence, in Fig. 4 we show the steady-state popula- tions as a function of laser detuning, as well as the emit- ted spectra at selected detunings. All of these simulations are calculated using the more accurate master equation abc10−310−1g2/ωmκ≪110−310−1S(ω)[arb.units]g2/ωmκ=0.9−2−1012(ω−ωL)/ωm10−310−1g2/ωmκ=3.5abcd0.51.00.51.0(cid:10)a†a(cid:11)(t)0246810κt0.00.51.0S(ω)[arb.units]10−310−1T=4KT=50K−2−1012(ω−ωL)/ωm10−310−1MEEq.(4)MEEq.(5) 8 CONCLUSIONS AND DISCUSSION We have introduced and explored the regime of molec- ular optomechanics in the nonlinear strong coupling regime, where a strong modification of the cavity-emitted spectrum is obtained because of the influence of higher lying quantum states, which have an anharmonic level spacing g2/ωm as the first excited photon manifold. These nonlinear anharmonic quantum states can be spec- trally resolved if g2/ωm>κ and κ<ωm, which is typically not possible with plasmonic resonators. However, our cavity design exploited a hybrid metal-dielectric system where a plasmonic dimer is placed on top of a photonic crystal nanobeam cavity. This hybrid design, which is calculated from first principles, delivers a hybrid mode with a resonance frequency of ωHQ c =1.61 eV and a qual- ity factor of QHQ=3500 (κ=0.46 meV, FWHM). The high Q (small κ) feature is essential for accessing this regime of optomechanical strong coupling coupling in the sideband-resolved regime. In fact, while the second mode of the same device has a much higher g, the as- sociated quality factor of QLQ=17 (κ = 108 meV) is too low, which is typical for most plasmonic resonators. Indeed, such broadening fails to reach the sideband re- solved regime, despite the fact that the low Q mode has an effective coupling factor of more than two orders of magnitude larger than the high Q mode. However, these low Q pronounced plasmon modes may be interesting for exploring additional USC and even deep USC effects, which will be explored in future work. For our present study, the sufficiently high Q (low κ) and large g are two essential criteria to probe the strong coupling anharmonic ladder states of the optomechical system. While our de- signs use extreme small gap antennas, the prospect of us- ing large Raman active and resonant Raman processes in molecules indicates that emerging experiments in quan- tum plasmonic systems are not too far off reaching such a regime. Different MNP and dielectric-cavity designs could also make the proposals more feasible with larger gap sizes. We have also shown that the standard mas- ter equation generally fails in these regimes, and explored the role of laser detuning on the steady-state populations and emission spectra. Indeed our proposed system also allows on to probe dissipation dynamics in the molecular USC regime. It is also worth mentioning that recently there have been emerging new designs on dielectric cavity systems with deep sub-wavelength confinement63,64. This could be a major benefit for many cavity-QED applications as very large quality factors are also offered. However, note that for the nonlinear quantum effects studied above, the nonlinear anharmonic energy level shift that is intro- duced by the strong optomechanical coupling, depends on ∆E=−g2/ωm, and not too critically on the cavity quality factor. Therefore, while these proposed dielec- tric cavities can offer stronger Q/Vc values compared to the particular design introduced here, the mode volume they offer (and specifically g2) is still significantly smaller a Steady-state photon/phonon populations FIG. 4. when the laser frequency is scanned across the cavity res- onance. b Cavity emitted spectrum for selected detunings of ∆=ωc−ωL=−ωm, ωm, g2/ωm from top to bottom, respec- tively. The parameters are kept the same as in Fig. 3(a) (bot- tom plot) for consistency, and all simulations are with the more advanced master equation [Eq. (5)] at a temperature of T = 4 K. of Eq. (5). In Fig. 4(a), we first plot photon/phonon expectation values (nc, nm) in the steady-state regime. Here we define nm=nmss and nc=ncss. As can be rec- ognized, the resonance behavior associated with anhar- monic energy states are still clearly captured. Note, these steady-state cavity populations can be measured (apart from a constant), e.g., from a cavity photoluminescence experiment, as commonly done for laser excited single quantum dot systems62. In Fig. 4(b), we now consider three different laser detuning cases for the cavity emitted spectrum: ∆=−ωm, ωm, g2/ωm, as labeled on the figure. For ∆=−ωm (ωL−ωc=ωm), the anharmonic peaks (a-c) are clearly still visible, with a single anti-Stokes peak at ω=ωL+ωm. When ∆=−ωm (ωL−ωc=ωm), we now easily resolve the d transition as well as the c-transition, and in fact this is the clearest example of resolving the d- transition. Finally, ∆=g2/ωm (ωL−ωc=−g2/ωm), then we only pick the regular harmonic Raman peaks, though still well into the nonperturbative regime (i.e., beyond first order). This later case is not so surprising as we are now exciting resonantly with the dressed cavity resonance (ωc→ωc−g2/ωm). Clearly, a more complete picture is thus obtained by carrying our the emission spectra for a range of detunings, and there is also likely a wide range of coupling scenarios by applying two-color laser fields, i.e., bichromatic driving, and possibly probing heating and cooling effects by varying the pump strength and laser detuning. ab−1012(ωL−ωc)/ωm0.000.060.12nc,nmncnm10−310−1∆=−ωm10−310−1S(ω)[arb.units]∆=ωm−2−1012(ω−ωL)/ωm10−310−1∆=g2/ωm than our hybrid design; having a suitably large g is in fact essential. Nevertheless, we anticipate continues improve- ments in both dielectric and plasmonic systems, as well as hybrid plasmon-dielectric modes, opening up a wider range of effects in molecular cavity QED and plasmonic cavity QED in general. ACKNOWLEDGEMENTS We acknowledge Queen's University and the Natural Sciences and Engineering Research Council (NSERC) of [email protected] [email protected] ∗ † 1 C. V. Raman and K. S. Krishnan, "A new type of sec- ondary radiation," Nature 121, 501 -- 502 (1928). 2 Surbhi Lal, Stephan Link, and Naomi J. Halas, "Nano- optics from sensing to waveguiding," Nature Photonics 1, 641 (2007). 3 Katherine A. Willets and Richard P. Van Duyne, "Local- ized surface plasmon resonance spectroscopy and sensing," Annual Review of Physical Chemistry 58, 267 -- 297 (2007). 4 Janina Kneipp, Harald Kneipp, and Katrin Kneipp, "Sers- a single-molecule and nanoscale tool for bioanalytics," Chem. Soc. Rev. 37, 1052 -- 1060 (2008). 5 Shuming Nie and Steven R. Emory, "Probing single molecules and single nanoparticles by surface-enhanced ra- man scattering," Science 275, 1102 -- 1106 (1997). 6 Katrin Kneipp, Yang Wang, Harald Kneipp, Lev T. Perelman, Irving Itzkan, Ramachandra R. Dasari, and Michael S. Feld, "Single molecule detection using surface- enhanced raman scattering (sers)," Phys. Rev. Lett. 78, 1667 -- 1670 (1997). 7 Eric C. Le Ru and Pablo G. Etchegoin, "Single-molecule surface-enhanced raman spectroscopy," Annual Review of Physical Chemistry 63, 65 -- 87 (2012). 8 R. Zhang, Y. Zhang, Z. C. Dong, S. Jiang, C. Zhang, L. G. Chen, L. Zhang, Y. Liao, J. Aizpurua, Y. Luo, J. L. Yang, and J. G. Hou, "Chemical mapping of a single molecule by plasmon-enhanced raman scattering," Nature 498, 82 -- 86 (2013). 9 Steven Yampolsky, Dmitry A. Fishman, Shirshendu Dey, Eero Hulkko, Mayukh Banik, Eric O. Potma, and Vartkess A. Apkarian, "Seeing a single molecule vibrate through time-resolved coherent anti-stokes raman scatter- ing," Nature Photonics 8, 650 -- 656 (2014). 10 Martin D. Baaske and Frank Vollmer, "Optical observation of single atomic ions interacting with plasmonic nanorods in aqueous solution," Nature Photonics 10, 733 (2016). 11 Philippe Roelli, Christophe Galland, Nicolas Piro, and Tobias J. Kippenberg, "Molecular cavity optomechanics as a theory of plasmon-enhanced raman scattering," Nature Nanotechnology 11, 164 -- 169 (2015). 12 Mikolaj K. Schmidt, Ruben Esteban, Alejandro Gonz´alez- Tudela, Geza Giedke, and Javier Aizpurua, "Quantum mechanical description of raman scattering from molecules in plasmonic cavities," ACS Nano 10, 6291 -- 6298 (2016). 9 Canada for financial support, and CMC Microsystems for the provision of COMSOL Multiphysics to facilitate this research. We also thank A. Settineri, S. Savasta, L. Tian and S. Barzanjeh for useful discussions. 13 M. S. Tame, K. R. McEnery, . K. zdemir, J. Lee, S. A. Maier, and M. S. Kim, "Quantum plasmonics," Nature Physics 9, 329 (2013). 14 Armin Regler, Konrad Schraml, Anna A. Lyamkina, Matthias Spiegl, Kai Mller, Jelena Vuckovic, Jonathan J. Finley, and Michael Kaniber, "Emission redistribution from a quantum dot-bowtie nanoantenna," Journal of Nanophotonics 10, 10 -- 10 -- 9 (2016). 15 Tao Cai, Subhojit Dutta, Shahriar Aghaeimeibodi, Zhili Yang, Sanghee Nah, John T. Fourkas, and Edo Waks, "Coupling emission from single localized defects in two- dimensional semiconductor to surface plasmon polaritons," Nano Letters 17, 6564 -- 6568 (2017). 16 Rohit Chikkaraddy, Bart de Nijs, Felix Benz, Steven J. Barrow, Oren A. Scherman, Edina Rosta, Angela Demetri- adou, Peter Fox, Ortwin Hess, and Jeremy J. Baumberg, "Single-molecule strong coupling at room temperature in plasmonic nanocavities," Nature 535, 127 -- 130 (2016). 17 C. Belacel, B. Habert, F. Bigourdan, F. Marquier, J.- P. Hugonin, S. Michaelis de Vasconcellos, X. Lafosse, L. Coolen, C. Schwob, C. Javaux, B. Dubertret, J.-J. Gr- effet, P. Senellart, and A. Maitre, "Controlling sponta- neous emission with plasmonic optical patch antennas," Nano Letters 13, 1516 -- 1521 (2013). 18 Gleb M. Akselrod, Christos Argyropoulos, Thang B. Hoang, Cristian Cirac`ı, Chao Fang, Jiani Huang, David R. Smith, and Maiken H. Mikkelsen, "Probing the mecha- nisms of large purcell enhancement in plasmonic nanoan- tennas," Nature Photonics 8, 835 -- 840 (2014). 19 Anna Lombardi, Miko(cid:32)laj K. Schmidt, Lee Weller, William M. Deacon, Felix Benz, Bart de Nijs, Javier Aizpu- rua, and Jeremy J. Baumberg, "Pulsed molecular op- tomechanics in plasmonic nanocavities: From nonlinear vi- brational instabilities to bond-breaking," Phys. Rev. X 8, 011016 (2018). 20 P. Rabl, "Photon blockade effect in optomechanical sys- tems," Phys. Rev. Lett. 107, 063601 (2011). 21 A. Nunnenkamp, K. Børkje, and S. M. Girvin, "Single- photon optomechanics," Phys. Rev. Lett. 107, 063602 (2011). 22 Mohsen Kamandar Dezfouli and Stephen Hughes, "Quan- tum optics model of surface-enhanced raman spectroscopy for arbitrarily shaped plasmonic resonators," ACS Photon- ics 4, 1245 -- 1256 (2017). 23 Feng Wang and Y. Ron Shen, "General properties of local plasmons in metal nanostructures," Phys. Rev. Lett. 97, 206806 (2006). 24 Michael Barth, Stefan Schietinger, Sabine Fischer, Jan Becker, Nils Nusse, Thomas Aichele, Bernd Lochel, Carsten Sonnichsen, and Oliver Benson, "Nanoassembled plasmonic-photonic hybrid cavity for tailored light-matter coupling," Nano Letters 10, 891 -- 895 (2010). 25 Ali El Eter, Thierry Grosjean, Pierre Viktorovitch, Xavier Letartre, Taha Benyattou, and Fadi I. Baida, "Huge light- enhancement by coupling a bowtie nano-antenna's plas- monic resonance to a photonic crystal mode," Opt. Express 22, 14464 -- 14472 (2014). 26 Hugo M. Doeleman, Ewold Verhagen, and A. Femius Koenderink, "Antenna -- cavity hybrids: Matching polar op- posites for purcell enhancements at any linewidth," ACS Photonics 3, 1943 -- 1951 (2016). 27 Fr´ed´eric Peyskens, Ashim Dhakal, Pol Van Dorpe, Nico- las Le Thomas, and Roel Baets, "Surface enhanced raman spectroscopy using a single mode nanophotonic-plasmonic platform," ACS Photonics 3, 102 -- 108 (2015). 28 Mohsen Kamandar Dezfouli, Reuven Gordon, and Stephen Hughes, "Modal theory of modified spontaneous emission of a quantum emitter in a hybrid plasmonic photonic-crystal cavity system," Phys. Rev. A 95, 013846 (2017). 29 Dan Hu, Shang-Yu Huang, Jie-Qiao Liao, Lin Tian, and Hsi-Sheng Goan, "Quantum coherence in ultrastrong op- tomechanics," Phys. Rev. A 91, 013812 (2015). 30 Javier del Pino, Johannes Feist, and F. J. Garcia-Vidal, "Signatures of vibrational strong coupling in raman scat- tering," The Journal of Physical Chemistry C 119, 29132 -- 29137 (2015). 31 Markus Aspelmeyer, Tobias J. Kippenberg, and Florian Marquardt, "Cavity optomechanics," Rev. Mod. Phys. 86, 1391 -- 1452 (2014). 32 Vincenzo Macr`ı, Alessandro Ridolfo, Omar Di Stefano, An- ton Frisk Kockum, Franco Nori, and Salvatore Savasta, "Nonperturbative dynamical casimir effect in optomechan- ical systems: Vacuum casimir-rabi splittings," Phys. Rev. X 8, 011031 (2018). 33 Alessio Settineri, Vincenzo Macr´ı, Alessandro Ridolfo, Omar Di Stefano, Anton Frisk Kockum, Franco Nori, and Salvatore Savasta, "Dissipation and thermal noise in hy- brid quantum systems in the ultrastrong-coupling regime," Physical Review A 98, 053834 (2018). 34 Note that this work actually considers the resonant inter- action between a cavity mode and collective vibrational modes, but they also include resonant Raman interactions terms. 35 Eric C. Le Ru and Pablo G. Etchegoin, Principles of Surface-Enhanced Raman Spectroscopy (Elsevier, 2009). 36 Girish S. Agarwal, Quantum Optics (Cambridge, 2012). 37 Lukas Neumeier, Tracy E. Northup, and Darrick E. Chang, "Reaching the optomechanical strong-coupling regime with a single atom in a cavity," Physical Review A 97, 063857 (2018). 38 Anton Frisk Kockum, Adam Miranowicz, Simone De Liberato, Salvatore Savasta, and Franco Nori, "Ultra- strong coupling between light and matter," Nature Re- views Physics 1, 19 -- 40 (2019). 39 T. Niemczyk, F. Deppe, H. Huebl, E. P. Menzel, F. Hocke, M. J. Schwarz, J. J. Garcia-Ripoll, D. Zueco, T. Hummer, E. Solano, A. Marx, and R. Gross, "Circuit quantum elec- trodynamics in the ultrastrong-coupling regime," Nature Physics 6, 772 -- 776 (2010). 10 40 G.D. Mahan, Many-Particle Physics (Springer, 2000). 41 Tomas Neuman, "Theory of plasmon-enhanced spec- troscopy of molecular excitations: infrared absoprtion, flu- orescence and raman scattering," (PhD Thesis 2018), Uni- veridad del Pais Vasco. 42 Heinz-Peter Breuer and Francesco Petruccione, The The- ory of Open Quantum Systems (Oxford, 2007). 43 Howard J. Carmichael, Statistical Methods in Quantum Optics I (Springer, 2002). 44 H J Carmichael and D F Walls, "Master equation for strongly interacting systems," Journal of Physics A: Math- ematical, Nuclear and General 6, 1552 -- 1564 (1973). 45 Rong-Chun Ge, C. Van Vlack, P. Yao, Jeff. F. Young, and S. Hughes, "Accessing quantum nanoplasmonics in a hy- brid quantum dot -- metal nanosystem: Mollow triplet of a quantum dot near a metal nanoparticle," Physical Review B 87, 205425 (2013). 46 F´elix Beaudoin, Jay M. Gambetta, and A. Blais, "Dissi- pation and ultrastrong coupling in circuit QED," Physical Review A 84, 043832 (2011). 47 Sebastian Franke, Stephen Hughes, Mohsen Kamandar Dezfouli, Philip Trøst Kristensen, Kurt Busch, Andreas Knorr, and Marten Richter, "Quantization of quasi- normal modes for open cavities and plasmonic cavity- QED," arXiv:1808.06392 physics:physics, physics:quant-ph] (2018). [cond-mat, 48 J.R. Johansson, P.D. Nation, and Franco Nori, "Qutip: An open-source python framework for the dynamics of open quantum systems," Computer Physics Communica- tions 183, 1760 -- 1772 (2012). 49 J.R. Johansson, P.D. Nation, and Franco Nori, "Qutip 2: A python framework for the dynamics of open quantum systems," Computer Physics Communications 184, 1234 -- 1240 (2013). 50 Ishita Mukherjee, Ghazal Hajisalem, and Reuven Gordon, "One-step integration of metal nanoparticle in photonic crystal nanobeam cavity," Opt. Express 19, 22462 -- 22469 (2011). 51 Wenqi Zhu and Kenneth B. Crozier, "Quantum mechanical limit to plasmonic enhancement as observed by surface- enhanced raman scattering," Nature Communications 5, 5228 (2014). 52 Wenqi Zhu, Ruben Esteban, Andrei G. Borisov, Jeremy J. Baumberg, Peter Nordlander, Henri J. Lezec, and Ken- neth B. Aizpurua, Javier an Crozier, "Quantum mechan- ical effects in plasmonic structures with subnanometre gaps," Nature Communications 7, 11495 (2016). 53 Mohsen Kamandar Dezfouli, Christos Tserkezis, N. Asger Mortensen, and Stephen Hughes, "Nonlocal quasinormal modes for arbitrarily shaped three-dimensional plasmonic resonators," Optica 4, 1503 -- 1509 (2017). 54 Q. Bai, M. Perrin, C. Sauvan, J-P Hugonin, and P. Lalanne, "Efficient and intuitive method for the analy- sis of light scattering by a resonant nanostructure," Opt. Express 21, 27371 -- 27382 (2013). 55 Philip Trst Kristensen and Stephen Hughes, "Modes and mode volumes of leaky optical cavities and plasmonic nanoresonators," ACS Photonics 1, 2 -- 10 (2014). 56 Sergei Lebedkin, Carolin Blum, Ninette Sturzl, Frank Hen- nrich, and Manfred M. Kappes, "A low-wavenumber- extended confocal raman microscope with very high laser excitation line discrimination," Review of Scientific Instru- ments 82, 013705 (2011). 57 Maurizio Montagna and Roberto Dusi, "Raman scattering from small spherical particles," Phys. Rev. B 52, 10080 -- 10089 (1995). 58 S. Adhikari and R. Chowdhury, "Vibration spectra of fullerene family," Physics Letters A 375, 2166 -- 2170 (2011). 59 Sergei Lebedkin, Carolin Blum, Ninette Sturzl, Frank Hen- nrich, and Manfred M. Kappes, "A low-wavenumber- extended confocal raman microscope with very high laser excitation line discrimination," Review of Scientific Instru- ments 82, 013705 (2011). 60 Ata Ulhaq, Stefanie Weiler, Chiranjeeb Roy, Sven Marcus Ulrich, Michael Jetter, Stephen Hughes, and Peter Mich- ler, "Detuning-dependent mollow triplet of a coherently- driven single quantum dot," Optics Express 21, 4382 (2013). 11 61 E. Illes, C. Roy, and S. Hughes, "Spectral multiphoton effects and quantum anharmonicities in dissipative cavity- qed systems via off-resonant coherent excitation," Optica 2, 689 -- 697 (2015). 62 S. Weiler, A. Ulhaq, S. M. Ulrich, D. Richter, M. Jet- ter, P. Michler, C. Roy, and S. Hughes, "Phonon-assisted incoherent excitation of a quantum dot and its emission properties," Physical Review B 86, 241304(R) (2012). 63 Shuren Hu and Sharon M. Weiss, "Design of photonic crys- tal cavities for extreme light concentration," ACS Photon- ics 3, 1647 -- 1653 (2016). 64 Hyeongrak Choi, Mikkel Heuck, and Dirk Englund, "Self- similar nanocavity design with ultrasmall mode volume for single-photon nonlinearities," Phys. Rev. Lett. 118, 223605 (2017).
1806.03592
1
1806
2018-06-10T06:22:35
Anomalous Rabi oscillation and related dynamical polarizations under the off-resonance circularly polarized light
[ "cond-mat.mes-hall", "cond-mat.mtrl-sci" ]
We investigate the photoinduced effect to the silicene, which is a topological insulator, by the circularly polarized light in off-resonance regime with a frequency much larger than the critical value (also much larger than the frequency about the particle-hole pair creation), and with a perpendicular electric field. The anomalous Rabi frequency which is a non-linear optical, arised by the off-resonance circularly polarized light. The temporal behavior of the pseudospin, valley, and spin degrees of freedom, which are momentum- and quasienergy-dependent, are explored. The anomalous Rabi oscillation is also related to the photoinduced topological phase transition between the topological trivial state with zero Chern number and gapped edge state and the topological nontrivial state with nonzero Chern number and gapless edge state. The off-resonance laser can also induce the topological phase transition by manipulating the energy band structure, rather than excite the atoms to the high quantum-number states like the resonance light. The exchange between the radiation driving field and the two-component dynamical polarizations with the dipole oscillation, plays a important role in the determination of the out-of-plane spin polarization and the motion of the center of mass, which can induced a collapse-and-revival pattern under a certain condition. The Rabi oscillation observed in the motion of the center of mass in a laser-induced harmonic potential can be used to detect the time evolution of the atom polulation. Our results can also be applied to the other two-dimension low-energy Dirac models or the surface of the three-dimension topological insulators, and even the weyl semimetal with the photoinduced topological phase transition.
cond-mat.mes-hall
cond-mat
a Anomalous Rabi oscillation and related dynamical polarizations under the off-resonance circularly polarized light Chen-Huan Wu ∗ Key Laboratory of Atomic & Molecular Physics and Functional Materials of Gansu Province, College of Physics and Electronic Engineering, Northwest Normal University, Lanzhou 730070, China October 8, 2018 We investigate the photoinduced effect to the silicene, which is a topological in- sulator, by the circularly polarized light in off-resonance regime with a frequency much larger than the critical value (also much larger than the frequency about the particle-hole pair creation), and with a perpendicular electric field. The anomalous Rabi frequency which is a non-linear optical, arised by the off-resonance circularly polarized light. The temporal behavior of the pseudospin, valley, and spin degrees of freedom, which are momentum- and quasienergy-dependent, are explored. The anomalous Rabi oscillation is also related to the photoinduced topological phase tran- sition between the topological trivial state with zero Chern number and gapped edge state and the topological nontrivial state with nonzero Chern number and gapless edge state. The off-resonance laser can also induce the topological phase transition by manipulating the energy band structure, rather than excite the atoms to the high quantum-number states like the resonance light. The exchange between the radiation driving field and the two-component dynamical polarizations with the dipole oscilla- tion, plays a important role in the determination of the out-of-plane spin polarization and the motion of the center of mass, which can induced a collapse-and-revival pattern under a certain condition. The Rabi oscillation observed in the motion of the center of mass in a laser-induced harmonic potential can be used to detect the time evolution of the atom polulation. Our results can also be applied to the other two-dimension low-energy Dirac models or the surface of the three-dimension topological insulators, and even the weyl semimetal with the photoinduced topological phase transition. 1 Introduction Silicene, the silicon version of the graphene, has attachted much attentions both experi- mentally and theoretically since it's successfully synthesized together with it's bilayer form or nanoribbon form[1], and it has the properties of both the topological insulator (TI) and semimetal, which provides possibility for the abundant phase transitions[2]. The low-energy dynamics of silicene can be well described by the Dirac-theory. The silicene is also a 3p-orbital- based materials with the noncoplanar low-buckled (with a buckled diatance ∆ = 0.46 A due to the hybridization between the sp2-binding and the sp3-binding (which the bond angle is 109.47o) and that can be verified by thr Raman spectrum which with the intense peak at 578 cm−1 larger than the planar one and the sp3-binding one [3], and thus approximately forms two surface-effect (like the thin ferromagnet matter) lattice structure. The bulked structure not ∗[email protected] 1 only breaks the lattice inversion symmetry, but also induce a exchange splitting between the upper atoms plane and the lower atom plane and thus forms a emission geometry which allows the optical interband transitions, which for the graphene can happen only upon a ferromag- netic (FM) substrate. The FM or antiferromagnetic (AFM) order can be formed in monolayer silicene by the magnetic proximity effect that applying both the perpendicular electric field and in-plane FM or AFM field. The degrees of freedom about the spin, valley, and sublattice of silicene are detected in this article. Unlike the dynamical polarization due to the scattering by the charged impurities as we have explored[4, 5], the out-of-plane dynamical polarization of spin, valley, and sublattice detected here exhibit high-frequency oscillation which related to the optical frequency of the applied light. The manipulation of these degrees of freedom are important for the developing of spintronics and valleytronics and even the pseudospintronics. It's also been found that, the magnetic impurity scattering in a unbiased bilayer system[6] will leads to the broken of magnetic order by the in-plane pseudospin component, while the out-of-plane component (mainly due to the charge transfer between the two layers) is much larger than the in-plane one due to the strong exchange. 2 Dynamic in off-resonance regime It's been found that the photoinduced topological phase transition[2] and the pseudospin redistribution[7] is possible by appliying the circularly polarized light which can effectively manipulates the charge carriers and modulate the band gap. In this paper we mainly focus on the circularly polarized light which can be realized by, e.g., applying two time-dependent linearly polarized laser field E(t) = E(t)z which are in different polarization directions that perpendicular to each other. For silicene, we have found that the critical frequency for the optical resonance as well as the first-order-process is 1200 THz (≈ 3t where t = 1.6 eV is the nearest hopping parameter in the monolayer silicene). Below this frequency, the first-order- process like the optical absorbtion Aop(ω) = (1/ǫ0c)Re[σ(Ω)], where ǫ0 is the permittivity of the vacuum and σ is the optical frequency Ω-dependent optical conductivity, would happen, while at the off-resonance regime whose frequency is much higher that this critical frequency, it's dominated by the second-order-process, like the photon-coupling and the optical reflection, and the optical absorbtion is absence and instead, the virtual photon process emerges. In off-resonance region, the Rabi oscillation as a nonlinear optics which is closely related to the pseudospin polarization can be studied by using the asymptotic rotating wave approximation (ARWA; or the Floquet approximation), which is a frequency much larger than the one about the interband transition (due to the photon absorbtion) in electron-hole continuum region, and the anormalous Rabi oscillation can emerges for the non-commuting Pauli matrices[27] under the circularly polarized light with complex vector potential. For ARWA, the frequency obeys Ω ≫ ε and Ω ≫ A, while for the rotating wave approximation (RWA) which is valid for the conventional Rabi oscillations (in the absence of the pseudospin degree of freedom), the detunning ∼ mησz τz 22Ω − 2ε ≪ ε where 2ε is the energy of electron-hole pairs, or due to the Zeeman shift[9]. For the normal semiconductors as well as the silicene or graphene, the off-resonance light can effectively modifies the band structure as well as the quasienergy spectrum as shown in Fig.1(a), while the resonance light is more likely to excites the atoms to a higher energy level. Further, such modification is isotropic in the topological insulators but anisotropic in weyl semimetals due to the anomalous Bloch-Siegert shift. = 1 D For the first part of the slow oscillation term of the tight-binding Hamiltonian, we follow the 2 expression of low-energy effective Hamiltonin in Ref.[2] as ∆ 2 λR1 2 E⊥τz + H0 = vF (ητxkx + τyky) + ηλSOCτzσz + aλR2ητz(kyσx − kxσy) − (ησyτx − σxτy), (1) where E⊥ is the perpendicularly applied electric field, ∆ is the buckled distance in z-diraction between the upper sublattice and lower sublattice, σz and τz are the spin and sublattice (pseu- dospin) degrees of freedom, respectively. η = ±1 for K and K' valley, respectively. λSOC = 3.9 meV is the strength of intrinsic spin-orbit coupling (SOC) and λR2 = 0.7 meV is the intrinsic Rashba coupling which is a next-nearest-neightbor (NNN) hopping term and breaks the lattice inversion symmetry. λR1 is the electric field-induced nearest-neighbor (NN) Rashba coupling which has been found that linear with the applied electric field in our previous works[10, 4, 5, 11], which as λR1 = 0.012E⊥. For circularly polarized light with the electromagnetic vector po- tential has A(t) = A(±sin Ωt, cos Ωt), where ± denotes the right and left polarization, re- spectively. In this paper we use the radiation field with frequency Ω = 3000 THz≈ 12 eV, which is certainly in the off-resonance region, and thus the periodic gauge vector potential is A = ε0/Ω = 0.078 where ε0 is the radiation field amplitude and we set  = e = 1 here, and it satisfy E(t) = − 1 c ∂tA(t). Then the second part of the slow oscillation term is[27] H′ = − e2A2a2 3Ω [ητz 2v2 F − a2λ2 R2σz − aλR2 vF (ητxσy − τyσx)], which can also be written in the form of matrix as (2) (3) vF (Ax + iAy) iaλR2(Ax + iAy) vF (Ax − iAy) H′K = iaλR2(Ax − iAy)  ,  −vF (Ax − iAy) −iaλR2(Ax − iAy) H′K ′ = −iaλR2(Ax + iAy) −vF (Ax + iAy)  .  Through this, we can also find that in the case of time-reversal-invariant (TRI; i.e., in the absence of Rashba coupling), H′K = H ′∗K ′. However, that can be possible only for the linear- polarization case which with much smaller frequency, since the circularly polarized light will breaks the TRI. The fast oscillation term can be decribed as[2, 12] V = [V−1, V+1] Ω + O( A4 Ω2 ) ≈ 2v2 F e2a2A2 2Ω τzσz, (4) T R T 0 e∓itΩH(t), the Hubbard Hamiltonian here is H(t) = tPij,s eiφnc†i,scj,s with where V∓1 = 1 n = i − j, φ = A(t)/φ0 where φ0 = h/e is the flux quanta. The on-site Hubbard term is ignored here. Then the Floquet Hamiltonian can be written as HF = H0 + H′ + V , and its periodicity HF (t + T ) = HF (t) can be immediately obtained through the periodicity Floquet states. Note that the ±1 here denotes the harmonic order (or discrete Fourier index), For the high-order harmonic generation, the temporal behavior in off-resonance region can be described by the time-dependent Schrodinger equation (TDSE): i ∂ ∂t ψ(t) = HF ψ(t), (5) and the time propagation can be obatined by using the time-dependent generalized pseudospec- tral method[13, 14] as ψ(t) = e−i(H0+H ′)tψ(0) (6) 3 which is approximated by the second-order split-operator technique ψ(t) = e−i(H0+H ′) t 2 e−iV (r,θ, t 2 )e−i(H0+H ′) t 2 ψ(0) + O(t3), (7) where the last term origin from the commutation errors. From the above approximated form, we can obtain (8) this result is agree with the Ref.[27] base on the ideal that the Floquet Hamiltonian equals the half of the anomalous Rabi coupling by the off-resonance technique. ψ(t) = [cos ((H0 + H′)t) − i sin ((H0 + H′)t)]ψ(0), The solutions of the TDSE can be obtained by the high-order (nth) harmonic Floquet tech- nique as ψ(t)i = Pn e−iεt/einΩtuni where uni is the nth Bloch state and the corresponding Dirac quasienergy spectrum (9) ε = τzΓR − ηαΩ, 1 2 where ΓR is the generalized Rabi frequency, and here the parameter α = 1, 0, 1, 0··· corresponds to the momentum k = 0, 1, 2, 3 · ··, respectively, in the normal case. But it's α = 0, 1, 0, 1 · ·· corresponds to the momentum k = 0, 1, 2, 3··· in the Dirac-point anticrossing case[15], which can be seen typically in the quantum anomalous Hall phase[4]. Here the momentum k is near the Dirac-point (k = 0 in Dirac-point). Note that here we here we restrict the Floquet zone within the energy range (−Ω/2, Ω/2] as done in Ref.[15] and the degeneracy between the hamonic order and the energy spectrum is lifted by the circularly polarized light which can also be seem by the winding number. The above energy range is base on the assumation of a second-order process of emit n photons and then absorpt m photons, α = 0 corresponds to the n − m = 0 case, while α = 1 corresponds to the n − m = 1 case. While in the near- or below-critical frequency region, the first-order process happen even in the semiclassical (n ≫ 1) situation as: after the atoms firstly tunnels the barrier potential formed by the radiation driving, the combined barrier potential is hard fot the atoms to escape again, thus then the atoms will absorbs serveral photons and then return to the ground state quickly which form the so-called "multi-photon" and "multi-rescattering" process[16]. The dynamical generator about the radiation driving can also be obtained as[17] H = H0 + vFA[einΩtc†AcBb† + e−inΩtcAc†Bb], (10) where the dimensionless intensity A = eAa/ is in a form similar to the Bloch frequency, and it's estimated as 0.3 here. c†A and cB are the creation operator and annihilation operator of the sublattice A and B, respectively, and [b, b†] = 1 denotes the photon operator. The Floquet eigenstates are ψ(t)iK τz,σz = ψ(t)iK ′ τz,σz = for K valley, and for K′ valley. e−iεt D ΓR D ΓR √2  e−inΩtq1 + τzm+σz τz  τzq1 − τzm+σz τz √2  einΩtq1 + τzm−σz τz  τzq1 − τzm−σz τz e−iεt D ΓR D ΓR     The generalized Rabi frequency for the monolayer silicene is F (k + A)2 + (mησz τz D )2, ΓR =q2v2 and the momentum-independent Dirac-mass here is mησz τz D = ηλSOCszτz − E⊥τz + Msz − ηv2 F A Ω, ∆ 2 4 (11) (12) (13) (14) with vF k = vF k(cid:18) 0 kx + iky kx − iky 0 (cid:19) = ±t1 + eikx + e−iky, where kx + iky = vF ~σAB · k with the vector ~σAB = σz · (cos(EexΦ), sin(EexΦ), 0) describes the adiabatic evolution with the variable Φ with the induced frustrations. For pseudospin, it's usually takes Φ = φ = arctan ky . Eex is the exchange field which related to the chirality. Here kx we comment that the exchange field for the out-of-plane polarization is much larger than the in-plane one. Note that here we don't consider the λR1 and λR2 term in the above Dirac-mass, if they are contained, the Dirac-mass becomes (15) (16) (17) m ′ησz D = ηqλ2 SOC + a2λ2 R2szτz − ∆ 2 E⊥τz + Msz − ηv2 F A Ω + λR1/2. While for the bilayer silicene, the Rabi frequency is ΓR =s(cid:20) 2(k + A)2 2m∗ (cid:21)2 + (mησz τz D )2, with 2k2 2m∗ = k2t2 t′ = 2k2 2m∗ (cid:18) 0 (kx + iky)2 (kx − iky)2 0 (cid:19) = ± t′ 2 ±r( t′ 2 )2 + t21 + eikx + e−iky2 (18) where t′ is the interlayer hopping, that can be easily deduced by m∗ = 2t′/(2t2) and vF = √3 2 at. The above expression results in the four band structure in the spin degenerate case for bilayer silicene. It's obviously that the Rabi frequency of monolayer is linearly k-dependent while the bilayer one is the quadratic-dispersion, that's similar to their energy spectrum. For the monolayer silicene and bilayer silicene, the difference in the phase factor as well as the exchange field Eex origin from the diffferece of Berry phase as well as the rotation of pseudospin between the monolayer silicene and bilayer silicene, which are[18] Xi=0 γmono = −i lim n→∞ Xi=0 γbi = −i lim n→∞ n−1 n−1 log( 1 + eiφ 2 ) = π, log( 1 + e2iφ 2 ) = 2π, where φ = arctan ki+1−ki ki . The anomalous Rabi oscillation frequency is ΓAR =r2v2 F (k + A)2 + (mησz τz D ± e2A2v2 F c2 ~σAB 1 Ω )2, (19) (20) and the corresponding eigenvalue (i.e., the quasienergy spectrum) is εAR = τzΓAR − 1 2ηαΩ. The anomalous Rabi oscillation frequency is unlike the conventional Rabi frequency[27], it's related to the Chern number: when the Chern number of silicene (or for other topological insulator system) is zero, then the induced (by anomalous Rabi oscillation frequency) mass is nonzero for this trivial system and thus with the gapped edge states; when the Chern number is nonzero, the induced mass is zero and corresponds to the non-trivial system with the gapless edge states. For bilayer silicene, we consider the AB-stacked bilayer silicene in this article which with the NN interlayer hopping as t = 2 eV and we ignore the NNN interlayer hopping. The interlayer SOC is estimated as 0.5 meV here[19] and since the trigonal warping term between two layers 5 has a non-negligible impact when apply the light in terahert range[20], we set the trigonal warping hopping parameter as tw = 0.16 eV. Then the low-energy Dirac effective model can be written in a matrix form F A2Ω t′ 2 vw(kx + iky) mη++ D − ηv2 vw(kx − iky) mη+−D + ηv2 vF (kx + iky) F A2Ω t′ 2 vF (kx − iky) 0 0 0 vF (kx + iky) mη−+ D ηt′ Hbi =   vF (kx − iky)  0 ηt′ mη−−D , (21) where vw = √3atw/2 is the velocity associates with the trigonal warping. Because of the existence of trigonal warping term in above Hamiltonian, the valley symmetry is broken which may leads to the single-Dirac-cone state, that implys the light in a finite intensity has the same effect with the out-of-plane antiferromagnetic exchange field. The asymmetry effect on the single valley band structure can be seen in the Fig.1(b), where we consider the NN Rashba coupling but ignore the exchange field. 3 Dynamical polarization The radiational driving also leads to the dramatic oscillation of the pseudospin polarization )2. The τz = c†AcA − c†BcB unlike the original one which is τ′z = mησz τz resulting pseudospin polarization is F k2 + (mησz τz D D /p2v2 τz(t) = 2vFA ΓAR 2v2 F k2 ΓAR + mησz τz D ΓAR (1 − sin(ΓARt)( FA2 22v2 Γ2 AR mησz τz D ΓAR sin2(ΓARt)), sin(ΓARt)cosϕ − cos(ΓARt)sinϕ) (22) where ϕ ∈ (0, 2π] over the Bloch sphere and can be estimated as ϕ = arctan(εj/εi) for ε = εi~i + εj~j. While for the valley polarization at finite temperature, it's proportional to the termPi(fK+ki+ fK ′+ki) in unit cell where f is the Fermi-Dirac distribution function. Through the expression of εAR obtained above, we can write the valley polarization as η(t) = 2β 36v2 F a4 f (Ω)"sin2[(ΓAR − Ω (ΓAR − Ω 2 )2 2 )t] + sin2[(ΓAR + Ω 2 )2 (ΓAR + Ω 2 )t] # , (23) where the factor β ≈ 21.5 incorporate the quasienergy- and momentum-dependent normalization[21] factor which is to constrain the valley polarization into [−1, 1] and obtain zero time-average value. For the out-of-plane spin polarization, through the above Floquet technique, the wave func- tion of the dressed state at zeroth discrete Fourier index (n = 0) and at Dirac-point (α = 0) can be written as ψ(t)i = 2v2 F (k + A)2 Γ2 AR 2v2 F (k + A)2 − Γ2 iΓARmησz τz D AR e−iεARt Thus the out-of-plane spin polarization reads σz = 4 2v2 F (k + A)2 Γ2 AR 2v2 F (k + A)2 − Γ2 iΓARmησz τz D AR cos(εAREext), (24) (25) where the factor 4 denotes the valley and pseudospin degrees of freedom, and the term cos(Eext) is the spin-exchange-induced frustration to the hexgonal lattice system of monolayer silicene, 6 and Eex is the intralayer exchange energy induced by the z-direction spin which with preserved total angular momentum. The total angular momentum is thus commute with the static effec- tive Hamiltonian H0. σz is a good quantum number with conserved z-direction spin when the Rashab-coupling is ignored. Since for monolayer silicene (as well as the two-dimension electron gas (2DEG) or graphene), the exchange energy is half of the bilayer one, for simplification, we set Eex = 1 for monolayer silicene and Eex = 2 for bilayer silicene in our computation. 3.1 Simulation results and discussion The pseudospin polarization against the momentum under different electric field is presented in Fig.2, where the angle over the Bloch sphere φ is setted as π/4. The oscillation of pseudospin polarization exhibits the beating structure, which will vanish for the pseudospinless model (with constant amplitude). In Fig.2, a abnormal hump near the k = 0 point (Dirac-point) is arised with the increasing electric field. We also find that the oscillation of the pseudospin polarization is periodic and follows a k = 0.6 cycle (see the enlarged view in the right-side of Fig.2(a)-(c)) in the flat region (far away from k = 0). The pseudospin polarization here shows the collapse- and-revival behavior (or the construction-destruction interference) with very short dephasing time (relaxation time) which is related to the pulse duration. By comparing to the long-time panel (Fig.1(d)), we found that the electric field affects little to the oscillation periodic and the collapse-and-revival pattern, while the time affects the oscillation periodic and the beating more. Such collapse-and-revival behavior also be found in the Rabi oscillation with the non- constant amplitude, e.g., the Rabi oscillation can been seen in the Bose-Einstein condensate trapped in a harmonic potential which acts like a Zeeman field when without applying the driving field[22], and it can be solved by the momentum dipole method for the oscillations of center-of-mass (COM) with τz = 1 component and τz = −1 component. The radiational driving leads to the dramatic oscillation of the pseudospin polarization configuration near the Dirac-cone and breaks the valley symmetry in momentum space[6], which is different from the original configuration (hedgehog-type meron in the momentum space). The orientation of pseudospin in the bilayer silicene changes rapidly due to the transfer of charges between two layers[6], with the broken inversion symmetry between two layers due to the valley-asymmetry induced by the circularly polarized light (or caused by the potential difference between two layers). For the electron-hole symmetry case, which happen when the chemical potential is zero and thus with two equivalent sublattices, the nonrelativistic effect will emerges in the Dirac-point which with zero Rashba coupling, and the spin and pseudospin degrees of freedom are decoupled by this effect. The photocurrent is observable at only half of the unit cell in such case. In this article, we always set the chemical potential as 0.2 eV, which slightly breaks the electron-hole symmetry and thus gives rise the relativistic effect and lifts the degeneracy between the spin and pseudospin which can be clearly be seen in the photoemission spectrum (quadratic dispersion) with the in-plane spin polarized quasiparticles under bias voltage[23]. In this case, the giant spin-orbit splitting can be observed with the metallic substrate[24] due to the strong spin- orbit interaction and the symmetry-breaking due to the substrate-induced potential-difference. However, although the existence of finite chemical potential, the nonrelativistic effect is still exist in the Γ-point (the center of first Brillouin zone), whose momentum-independent isotropy (with nearly circle shape in the isoenergy surface) won't be affected by the photoemission anisotrpy. In Fig.3, we show the rate of change of the pseudospin polarization (d/dt)τz against time. The electric field affects less to the polarization than the momentum (or the distance to Dirac-point). It shows that, the period of oscillation and the beating increase with the increasing of momentum. In Fig.4, we present the results of the valley polarization near Dirac-point (we set k=2 here) 7 under different strengths of electric field, where the temperature is setted as T = 20 K. From Eq.(23), we can know that the temperature-dependent Fermi-Dirac distribution function f (Ω) determines the amplitude of the oscillation and it also affects the collapse-and-revival pattern as well as the beating[25]. Unlike the pseudospin polarization, the electric field enhance the beating obviously in low-electric field range; however, for the large electric field (E⊥ ≫ 20 eV), the evolution of beating is irregular with the electric field. The oscillation of valley polarization is related to the electron-phonon scattering due to the photoexcitation which has a relaxation time in picosecond range[26] and larger than that of the electron-eletron scattering. Fig.5 shows the temporal behavior momentum-dependent out-of-plane spin polarization near the Dirac-point (k = 2 and with α = 0 at n = 1 Fourier order) of monolayer silicene, where we assume it's begin with a nonzero spin polarization and the Rashba coupling is ignored here. The exchange field between the driving field and the two spin-component is setted as 1 for the monolayer silicene, which is half of that of the bilayer silicene. The amplitude of the oscillation of spin polarization is increase with the increasing electric field at low electric field regime (the amplitude behaviors irregularly with the applied electric field for the case of E⊥ ≫ Ω). 4 Motion of the center of mass As we mentioned in above, the collapse-and-revival pattern can also be found in the oscilla- tions of center of mass (COM) under the laser effect which can be detected by the momentum dipole method with components τz = 1 and τz = −1. At the COM, the wave vector can be expressed as qCOM = k(τz) − k(−τz) for the monolayer silicene[28]. Although the existence of the large intrinsic SOC in silicene, the COM won't couples the spin and the motion of COM[9], however, the motion of COM is affected by the strength of SOC as well as the chirp of laser, which we setted as κ = 1 ps2 here. We assume the initial coordinates of COM for both the two pseudospin components are x0 = 2, and the width of the dynamical wave package is in a effective characteristic scale (effective Bohr radius) R = 0.3rSi−Si = 0.648 A while for the phase difference of dipole oscillation between the two components, is setted ∆φ = 0.9π here and it's equals tp π for vanishing SOC. in addition, we ignore the transverse frequency here and consider only the longitudinal Rabi freuency, then the expression of COM in the off-resonance regime satisfies the following approximation relation x(t) ≈τz(λSOC − κ) − τz 4κx0/R2 [2κcos( −2/R2 t) − ( 2√2 −2/R2 exp( 2x0 R2 − 4κ2 − 4x2 0/R4 −4x0/R2 − −2/R2 t)] 2 R2 )sin( 4κx0/R2 x2 0 R2 ) 1 √2 ΓAR (26) which can be deduced from the approximated Gross-Pitaevskii equations under the non-resonance circularly polarized light: i ∂ψ ∂t ∝ ΓARψ. It's obviously that the expression of COM is a super- position of the cosinoidal function and sinusoidal function except for the x0 = 1. Fig.6 shows the result of motion of COM. We can see that the oscillations of the up-pseudospin and down- pseudospin are in opposite directions, and the beating (collapse-and-revivals pattern) vanish in the oscillation of COM, that's due to the some value of widths R and initial coordinates be- tween two pseudospin components setted by us. In fact, except the difference of R and initial coordinates between two pseudospin components, the beating of motion of COM is also related to the interaction strength between two pseudospins. 8 5 Conclusion In this paper, we explore the dynamic under the off-resonance circularly polarized light as well as the dynamical polarization of the pseudospin, valley, and spin degrees of freedom, the expressions of these polarizations under the radiation off-resonance driving field are presented, and we found the collapse-and-revivals pattern is exist in these degrees of freedom under a certain condition, and its period is related to the quantum optics. The off-resonance laser can also induce the topological phase transition by manipulating the energy band structure, rather than excite the atoms to the high quantum-number states like the resonance light. It's also found than the momentum-dependence of the spin-polarization may increase when depositing the silicene on a Au/Ni(111) substrate[29]. Except for the radiation driving field, the exchange biased system can also effectively affects the interfacial spin configuration[30] in experiments. The collapse-and-revivals pattern can be found in the the Rabi oscillation which exist in the Bose-Einstein condensate trapped in a harmonic potential and acts like a Zeeman field when without apply the driving field, or by the periodic energy-exchange (by the exchange field which related to the chirality) between the radiation driving field and the two-component dynamical polarization when apply the circularly polarized light in off-resonance regime. Additionally, due to the exist of scattering by the charged impurities, the quasiparticle oscillation will damp until reaches the equilibrium state[31]. The motion of COM is explored, which would also exhibits the collapse-and-revivals pattern for unequal initial coordinate x0 and and the width of the dynamical wave package R but with zero beating for equal x0 and R. The oscillations of the up-pseudospin and down-pseudospin are in opposite directions, and they are related to the anormalous Rabi frequency just like the above-mentioned dynamical polarizations due to the effect of circularly polarized light. Our results can also be applied to the two-dimension low-energy Dirac models or the surface of the three-dimension topological insulators, and even the weyl semimetal with the photoinduced topological phase transition[27]. References [1] Feng B, Ding Z, Meng S, et al. Evidence of silicene in honeycomb structures of silicon on Ag (111)[J]. Nano letters, 2012, 12(7): 3507-3511. [2] Ezawa M. Photoinduced topological phase transition and a single Dirac-cone state in silicene[J]. Physical review letters, 2013, 110(2): 026603. [3] Tao L, Cinquanta E, Chiappe D, et al. Silicene field-effect transistors operating at room temperature[J]. Nature nanotechnology, 2015, 10(3): 227. [4] Wu C H. Tight-binding model and ab initio calculation of silicene with strong spin-orbit coupling in low- energy limit[J]. arXiv preprint arXiv:1804.01695, 2018. [5] Wu C H. Interband and intraband transition, dynamical polarization and screening of the monolayer and bilayer silicene in low-energy tight-binding model[J]. arXiv preprint arXiv:1805.07736, 2018. [6] Min H, Borghi G, Polini M, et al. Pseudospin magnetism in graphene[J]. Physical Review B, 2008, 77(4): 041407. [7] L´opez A, Scholz A, Santos B, et al. Photoinduced pseudospin effects in silicene beyond the off-resonant condition[J]. Physical Review B, 2015, 91(12): 125105. [8] Kumar U, Kumar V, Setlur G S. Signatures of bulk topology in the non-linear optical spectra of Dirac-Weyl materials[J]. The European Physical Journal B, 2018, 91(5): 86. [9] Lin Y J, Jimnez-Garca K, Spielman I B. Spinorbit-coupled BoseEinstein condensates[J]. Nature, 2011, 471(7336): 83. [10] Wu C H. Geometrical structure and the electron transport properties of monolayer and bilayer silicene near the semimetal-insulator transition point in tight-binding model[J]. arXiv preprint arXiv:1805.00350, 2018. 9 [11] Wu C H. Integer quantum Hall conductivity and longitudinal conductivity in silicene under the electric field and magnetic field[J]. arXiv preprint arXiv:1805.10656, 2018. [12] Kitagawa T, Oka T, Brataas A, et al. Transport properties of nonequilibrium systems under the application of light: Photoinduced quantum Hall insulators without Landau levels[J]. Physical Review B, 2011, 84(23): 235108. [13] Hermann M R, Fleck Jr J A. Split-operator spectral method for solving the time-dependent Schr?dinger equation in spherical coordinates[J]. Physical Review A, 1988, 38(12): 6000. [14] Chou Y, Li P C, Ho T S, et al. Optimal control of high-order harmonics for the generation of an isolated ultrashort attosecond pulse with two-color midinfrared laser fields[J]. Physical Review A, 2015, 91(6): 063408. [15] Perez-Piskunow P M, Torres L E F F, Usaj G. Hierarchy of Floquet gaps and edge states for driven honeycomb lattices[J]. Physical Review A, 2015, 91(4): 043625. [16] Li P C, Sheu Y L, Laughlin C, et al. Dynamical origin of near-and below-threshold harmonic generation of Cs in an intense mid-infrared laser field[J]. Nature communications, 2015, 6: 7178. [17] Kumar V, Kumar U, Setlur G S. Quantum Rabi oscillations in graphene[J]. JOSA B, 2014, 31(3): 484-493. [18] Park C H, Marzari N. Berry phase and pseudospin winding number in bilayer graphene[J]. Physical Review B, 2011, 84(20): 205440. [19] Ezawa M. Quasi-topological insulator and trigonal warping in gated bilayer silicene[J]. Journal of the Physical Society of Japan, 2012, 81(10): 104713. [20] Morell E S, Torres L E F F. Radiation effects on the electronic properties of bilayer graphene[J]. Physical Review B, 2012, 86(12): 125449. [21] Aghel F, Safaiee R, Golshan M M. Dynamical spin polarization in single-layer graphene[J]. Physica E: Low-dimensional Systems and Nanostructures, 2013, 54: 133-137. [22] Zhang Y, Mao L, Zhang C. Mean-field dynamics of spin-orbit coupled Bose-Einstein condensates[J]. Phys- ical review letters, 2012, 108(3): 035302. [23] Kuemmeth F, Rashba E I. Giant spin rotation under quasiparticle-photoelectron conversion: Joint effect of sublattice interference and spin-orbit coupling[J]. Physical Review B, 2009, 80(24): 241409. [24] Marchenko D, Varykhalov A, Scholz M R, et al. Giant Rashba splitting in graphene due to hybridization with gold[J]. Nature communications, 2012, 3: 1232. [25] Fahandezh Saadi M, Shirkani H, Golshan M M. Effects of optical phonon interaction on dynamical valley polarization in graphene[J]. International Journal of Modern Physics B, 2017, 31(3): 1750001. [26] Kumar S, Anija M, Kamaraju N, et al. Femtosecond carrier dynamics and saturable absorption in graphene suspensions[J]. Applied physics letters, 2009, 95(19): 191911. [27] Kumar U, Kumar V, Enamullah, et al. Bloch-Siegert shift in Dirac-Weyl fermionic systems[C]//AIP Con- ference Proceedings. AIP Publishing, 2018, 1942(1): 120005. [28] Zhou X, Jin G. Light-modulated 0- transition in a silicene-based Josephson junction[J]. Physical Review B, 2016, 94(16): 165436. [29] Rashba E I. Graphene with structure-induced spin-orbit coupling: Spin-polarized states, spin zero modes, and quantum Hall effect[J]. Physical Review B, 2009, 79(16): 161409. [30] Shiratsuchi Y, Noutomi H, Oikawa H, et al. Detection and in situ switching of unreversed interfacial antiferromagnetic spins in a perpendicular-exchange-biased system[J]. Physical review letters, 2012, 109(7): 077202. [31] Dra B, Ziegler K, Thalmeier P, et al. Rabi oscillations in Landau-quantized graphene[J]. Physical review letters, 2009, 102(3): 036803. 10 E =0 eV E =0.00918 eV K E =0.017 eV K E =0.02618 eV K K E =0.02618 eV Fig.1 (a) ) V e ( y g r e n E 1.03 1.02 1.01 1.00 0.99 0.98 0.97 1.03 1.02 1.01 ) V e ( y g r e n E 1.00 0.99 0.98 0.97 (b) 1.03 ) V e ( y g r e n E 1.02 1.01 1.00 0.99 0.98 0.97 K Figure 1: (a) Band gap evolution at K valley for monolayer silicene under the electric field and radiational driving field. The exchange field M is setted as 0.0039 eV, and electric-field-dependent NN Rahsba coupling is considered here. The electric field is setted as E⊥ = 0, 0.00918, 0.017, 0.02618 eV as labeled in the plots. (b) is for the bilayer silicene under electric field E⊥ = 0.02618 eV which we don't consider the exchange field (thus the symmetry between conduction band and valence band is retain) but consider the trigonal warping term as tw = 0.16 eV and the interlayer hopping t′ = 2 eV. The valley asymmetry due to the trigonal warping term can be easily seen. 11 Fig.2 (a) z (b) z (c) z 1.5 1.0 0.5 0.0 -0.5 -1.0 -1.5 0 10 20 1.5 1.0 0.5 0.0 -0.5 -1.0 -1.5 0 10 20 1.5 1.0 0.5 0.0 -0.5 -1.0 40 50 -1.5 30 60 31 32 33 34 35 30 k E = t=1 ps k k 33 34 35 -1.5 0 10 20 30 k 40 50 -1.5 60 30 31 32 E =0.00283 t=1 ps 1.5 1.0 0.5 0.0 -0.5 -1.0 30 k 40 50 -1.5 30 60 31 32 k 33 34 35 E =0.5 t=1 ps 1.5 1.0 0.5 0.0 -0.5 -1.0 1.5 1.0 0.5 0.0 -0.5 -1.0 (d) 1.5 1.0 0.5 z 0.0 -0.5 -1.0 -1.5 E = t=5 ps 0 10 20 30 k 40 50 60 1.5 1.0 0.5 0.0 -0.5 -1.0 -1.5 E = t=10 ps 0 10 20 30 k 40 50 60 Figure 2: (a)-(c) Pseudospin polarization as a function of the momentum in a fixed time t = 1 ps. The Rashba coupling is ignored here. (d) The pseudospin polarization as a function of the momentum at the time t = 5 ps and t = 10 ps. 12 Fig.3 (a) z ) t d d ( / 300 200 100 0 -100 -200 -300 0.0 (c) 200 150 100 z ) t d d ( / 50 0 -50 -100 -150 E =0.00283 ,k=20 2.0 2.5 3.0 0.5 1.0 1.5 t(ps) E = ,k=10 (b) 150 100 50 0 z ) t d d ( / -50 -100 -150 0.0 (d) 300 200 E =0.00283 ,k=10 0.5 1.0 1.5 t(ps) 2.0 2.5 3.0 E = ,k=20 100 z ) t d d ( / 0 -100 -200 -200 0.0 0.5 1.0 1.5 t(ps) 2.0 2.5 3.0 -300 0.0 0.5 1.0 1.5 t(ps) 2.0 2.5 3.0 Figure 3: Equation of motion of the pseudospin polarization against time (in unit of ps since the frequency of light is setted in the terahertz range) at different electric field and momentum. 13 Fig.4 (a) ) t ( (b) ) t ( (c) 1.0 0.5 0.0 -0.5 -1.0 1.0 0.5 0.0 -0.5 -1.0 0.8 0.4 E =0.00283 0 100 200 300 t(ps) 400 500 600 E =0.5 0 100 200 300 t(ps) 400 500 600 E = ) t ( 0.0 -0.4 -0.8 -1.2 0 100 200 300 t(ps) 400 500 600 Figure 4: Valley polarization as a function of time at momentum k = 2 under different strengths of electric field. The temperature is setted as T = 20 K. The frequency of light used here is 3000 THz= 7.5 t. The corresponding electric field are labeled in the plots. 14 Fig.5 E =0.00283 0 20 40 60 80 100 t(ps) E =0.5 (a) 0.02 0.01 z 0.00 -0.01 -0.02 (b) 0.10 0.05 z 0.00 -0.05 -0.10 (c) 0 20 40 60 t(ps) 80 100 E = 0.3 0.2 0.1 0.0 z -0.1 -0.2 -0.3 0 20 40 t(ps) 60 80 100 E =4.16 (d) 1.0 0.5 z 0.0 -0.5 -1.0 0 20 40 60 80 100 t(ps) Figure 5: Out-of-plane spin polarization of monolayer silicene as a function of time at momentum k = 2 under diffferent strengths of electric field. The frequency of light used here is 3000 THz= 7.5 t. The corresponding electric field are labeled in the plots. 15 Fig.6 ) t ( x 4000 3000 2000 1000 0 -1000 -2000 -3000 -4000 z=-1 z=1 0 2 4 6 8 10 t(ps) Figure 6: Motion of the center of mass of the two pseudospin components (τz = −1 for upper curve and τz = 1 for lower curve) obtained by the dipole method. The frequency of light used here is 3000 THz= 7.5 t and the corresponding electric field is E⊥ = Ω. The horizontal axis is time in unit of ps, and the vertical axis is in arbitrary unit. 16
1312.1503
1
1312
2013-12-05T11:07:10
Zero modes in magnetic systems: general theory and an efficient computational scheme
[ "cond-mat.mes-hall" ]
The presence of topological defects in magnetic media often leads to normal modes with zero frequency (zero modes). Such modes are crucial for long-time behavior, describing, for example, the motion of a domain wall as a whole. Conventional numerical methods to calculate the spin-wave spectrum in magnetic media are either inefficient or they fail for systems with zero modes. We present a new efficient computational scheme that reduces the magnetic normal-mode problem to a generalized Hermitian eigenvalue problem also in the presence of zero modes. We apply our scheme to several examples, including two-dimensional domain walls and Skyrmions, and show how the effective masses that determine the dynamics can be calculated directly. These systems highlight the fundamental distinction between the two types of zero modes that can occur in spin systems, which we call special and inertial zero modes. Our method is suitable for both conservative and dissipative systems. For the latter case, we present a perturbative scheme to take into account damping, which can also be used to calculate dynamical susceptibilities.
cond-mat.mes-hall
cond-mat
Zero modes in magnetic systems: general theory and an efficient computational scheme F. J. Buijnsters,∗ A. Fasolino, and M. I. Katsnelson Institute for Molecules and Materials, Radboud University Nijmegen, Heyendaalseweg 135, 6525 AJ Nijmegen, Netherlands (Dated: October 30, 2018) Abstract The presence of topological defects in magnetic media often leads to normal modes with zero frequency (zero modes). Such modes are crucial for long-time behavior, describing, for example, the motion of a domain wall as a whole. Conventional numerical methods to calculate the spin-wave spectrum in magnetic media are either inefficient or they fail for systems with zero modes. We present a new efficient computational scheme that reduces the magnetic normal-mode problem to a generalized Hermitian eigenvalue problem also in the presence of zero modes. We apply our scheme to several examples, including two-dimensional domain walls and Skyrmions, and show how the effective masses that determine the dynamics can be calculated directly. These systems highlight the fundamental distinction between the two types of zero modes that can occur in spin systems, which we call special and inertial zero modes. Our method is suitable for both conservative and dissipative systems. For the latter case, we present a perturbative scheme to take into account damping, which can also be used to calculate dynamical susceptibilities. PACS numbers: 75.78.Cd, 75.78.Fg, 45.20.Jj, 45.30.+s 3 1 0 2 c e D 5 ] l l a h - s e m . t a m - d n o c [ 1 v 3 0 5 1 . 2 1 3 1 : v i X r a ∗ [email protected] 1 I. INTRODUCTION Many properties of magnetic systems can be understood at the classical level by studying their magnetic structure and behavior on the sub-micron lengthscale (micromagnetics [1 -- 3]) or atomistically (atomistic spin dynamics [4, 5]). In these approaches, the dynamics of the microscopic magnetic moments is described by the Landau -- Lifshitz -- Gilbert (LLG) equation [6, 7]. The various competing interactions (exchange, anisotropy, dipolar, Zeeman, . . . ) in micromagnetic models often result in a rich energy landscape with multiple local energy minima and hysteresis [1, 8]. Nontrivial magnetic configurations may be very stable, for instance if they contain topological defects such as domain walls or magnetic Skyrmion bubbles [8, 9]. It is often useful to study the dynamics of small-amplitude deviations from a given mag- netic equilibrium configuration (linearization). The eigenmodes of the linearized LLG equa- tion are known as magnetic normal modes. In homogeneous systems, the magnetic normal modes are spin waves, which propagate through the material [10, 11]. The presence of inhomogeneities, whether intrinsic (lattice defects, boundaries) or configurational (domain walls, Skyrmions), changes this picture. Such defects do not only affect the dynamics of the spin waves; they also often give rise to special low-energy normal modes that are localized near the defect [12 -- 14]. The modes localized on configurational defects are particularly in- teresting. They provide valuable insight into the dynamics of domain walls [15] and other topological defects, a sound understanding of which will be important for the development of novel magnetic-storage technologies such as racetrack memory [16]. The low-energy modes also provide a channel for dissipation [17, 18]. Microscopic magnetic elements, such as fer- romagnetic rings, are another class of systems with potential for technological application [19]. The spin-wave mode spectrum of these elements can be determined experimentally using magnetic-response measurements or Brillouin light scattering, providing a very direct test of micromagnetic models [19 -- 22]. While exact or approximate analytical solutions of the magnetic normal-mode problem do exist in certain special cases [12, 13, 19], in general it can be solved only numerically. In some cases, the magnetic normal modes can be obtained by a 'brute-force' method: numerically integrating the LLG equation over a certain time interval and performing a fast Fourier transformation (FFT) in the time domain [14, 19, 23, 24]. While in principle effective, 2 this approach is limited to relatively small systems by the large amounts of CPU power and memory storage it requires, especially if a good frequency resolution is to be achieved (long simulation times). Moreover, it requires some manual tuning (reasonable settings for the initial amplitudes and sampling frequencies) and it fails to detect zero-frequency and degenerate modes. In this work, we present a direct numerical procedure that can be used to find the magnetic normal modes of any spin system near any given equilibrium configuration (more precisely, near any local energy minimum). It can deal efficiently and scalably with any type of interaction, including long-range interactions, and does not assume that the material is homogeneous or that the equilibrium configuration is collinear [25]. An efficient approach should somehow be based on a direct calculation of the eigenvectors and eigenvalues of the dynamical matrix that results from linearization of the LLG equation [26]. However, we shall see that this dynamical matrix is not necessarily diagonalizable, so that eigenvectors in the usual sense may not even exist. Diagonalizability can only be guaranteed if no zero-frequency modes (zero modes) are present. To the best of our knowledge, this fact has been overlooked in all previous works describing general methods for the magnetic normal-mode problem [26, 27]. While there certainly are many cases in which this issue does not occur [20, 21, 26, 28 -- 34], we shall see that zero modes appear in many relevant physical systems. Indeed, precisely these zero modes are often the most important for the dynamics of topological defects. For example, we shall see that it is the zero modes that determine whether the dynamics of a topological defect is inertial, and if so, with what effective mass. Our method has a firm basis in the general theory of Hamiltonian systems [35]. We shall show that the normal-mode problem of an arbitrary (conservative) Hamiltonian system at a local energy minimum can be cast in the form of a Hermitian definite generalized eigenvalue problem (HDGEP) [36], Dx = λSx, where the matrices D and S are Hermitian and S is positive definite, which can be solved particularly efficiently. The most popular methods for large eigenvalue problems (Lanczos, conjugate-gradient nonlinear optimization, . . . ) require the problem to be of this form. Important features of these methods are that they operate in an incremental fashion (the lowest modes are calculated first) and that they can be implemented in a matrix-free manner [37] (they are Krylov-subspace methods [38]). These features make the HDGEP methods considerably scalable. First, the low modes of a very large system, which are often the most physically relevant, may be obtained without solving 3 the full eigenvalue problem for all eigenvectors, which would obviously take at least O(N 2) time. Second, it is not necessary to store the interaction matrix in explicit form, which will contain O(N 2) nonzero values if the long-range dipolar interactions are taken into account. It is sufficient to provide a routine that evaluates the forces or torques for any given specific configuration. When implemented using FFT or multigrid techniques, such a routine can run in O(N log N ) instead of O(N 2) time [39]. We obtain a solution method for the normal-mode problem of the conservative (zero damping) spin system as an immediate special case of our method for general Hamiltonian systems. A similar reduction of the conservative magnetic normal-mode problem to the HDGEP was proposed in Ref. [27] by assuming, wrongly, that the Hessian matrix of a function is always positive definite at a local minimum. A particular strong point of our approach is that it also works if the Hessian matrix of the Hamiltonian at the equilibrium configuration is not positive definite but merely positive semidefinite (also called nonnegative definite), as it is in the presence of zero modes. An additional advantage of our method is that it may be used directly in Cartesian coordinates, in which the micromagnetic Hamiltonians normally take a very simple form (often quadratic). We do not need to go over to spherical coordinates, which are more computationally expensive and have singularities at certain points. For the spin system with damping, we derive explicit expressions for the normal modes by treating the damping term of the LLG equation as a perturbation. In this way we can obtain the damped modes and decay rates to a good approximation without the need for solving non-Hermitian eigenvalue problems. This paper is organized as follows. In Sec. II, we state some general properties of the normal modes of linearized Hamiltonian systems that are essential for what follows. Here we introduce the nomenclature of special and inertial zero modes and specify their distinct dynamics. A more detailed discussion is provided in the Appendix. In Sec. III, we make the definitions of Sec. II explicit for the conservative spin system. Section IV then shows how the normal-mode problem of a Hamiltonian system, such as the conservative spin system, near a local energy minimum can be reduced to the HDGEP. We specifically show how to deal with zero modes in a robust way. We present perturbative expressions for the spin system with damping in Sec. V. Section VI explains how the method can be efficiently implemented in a computer code. Section VII provides examples of magnetic normal modes in various 4 spin systems, highlighting some key features of magnetic normal modes. In Subsec. VII C, we focus on the two qualitatively different types of effective dynamical behavior (inertial and noninertial) that may be found when a magnetic equilibrium configuration containing some (topological) defect is perturbed by an external force. We show how a normal-mode analysis that includes zero modes immediately provides the equations of motion and effective masses of such magnetic structures. Section VIII summarizes our results. II. NORMAL MODES OF HAMILTONIAN SYSTEMS This Section states some results from the theory of Hamiltonian systems that are essential for the following Sections. In particular, we introduce our nomenclature for the three types of normal modes (positive, special zero, inertial zero) that may appear in systems with a positive semidefinite Hamiltonian. A more thorough discussion with explanations and references is provided in the Appendix. Let us consider a time-invariant dynamical system near an equilibrium point, which we take to lie at x = 0. Its equation of motion is given by xi = M i jxj + O((cid:107)x(cid:107)2), (1) where x1, . . . , xm represent a nonsingular system of coordinates and the dot denotes the time derivative. Our goal is to find the eigenvalues and eigenvectors of M . This cannot normally be accomplished by a diagonalization of M , because a) in general, M is very large but not symmetric, so that the efficient iterative methods for the HDGEP cannot be used; and b) M might not be diagonalizable at all (it may be defective). However, if the dynamical system (1) is a linear or nonlinear Hamiltonian system, we shall see that we can bypass these problems by introducing a certain antisymmetric matrix Ω. The elements of Ω are given by Ωij = −{xi, xj}x=0 = {xj, xi}x=0, (2) the value at the equilibrium point of the Poisson bracket between the coordinates xj and xi. It can be shown (see Appendix) that for a Hamiltonian system, the matrix M is such that M Ω is symmetric. For certain physical systems, Hamiltonian dynamics takes place only on a subspace of the space where the coordinates are defined. An example is the spin system: while a 5 magnetic moment m is defined on R3, its dynamics is restricted to a subset of the form {m ∈ R3 : (cid:107)m(cid:107) = c} for some c ≥ 0. The dimension of this 'accessible subspace' (symplectic leaf [40]) is always even. For a system of n spins in Cartesian coordinates, we have m = 3n, while the dimension of the symplectic leaf is only 2n. We remind the reader that the image space of a matrix A consists of all vectors x that can be written as x = Ay for some vector y; the dimension of this linear subspace is denoted by rank A. The image space of Ω, which has dimension 2n = rank Ω, is identical to the tangent space of the symplectic leaf at x = 0. Vectors that are not contained in the image space of Ω correspond to an infinitesimal displacement of the system out of the symplectic leaf and are unphysical. We may thus restrict the matrices Ω and M Ω to the image space of Ω. We shall denote these restricted matrices by (cid:104)Ω(cid:105) and (cid:104)M Ω(cid:105); that is, we define (cid:104)Ω(cid:105) ≡ F TΩF and (cid:104)M Ω(cid:105) ≡ F TM ΩF , where F is an m × 2n matrix whose columns form an orthonormal basis of the image space of Ω. Since the image space of M Ω is contained in the image space of Ω, these restrictions are well defined and without loss. Notice that the matrix (cid:104)Ω(cid:105) is invertible by definition. In this paper, we shall implicitly convert between vectors in R2n and vectors in the image space of Ω without writing F . It is unnecessary to explicitly construct F in a computer code (see Sec. VI). It can be shown (see Appendix) that the 2n× 2n matrix (cid:104)M Ω(cid:105) is the Hessian matrix (the matrix of second-order partial derivatives) at x = 0 of the restriction of the Hamiltonian H to the symplectic leaf (for a certain parametrization of the symplectic leaf). Therefore, if x = 0 is a constrained local minimum of H on the symplectic leaf, the Hessian matrix (cid:104)M Ω(cid:105) is guaranteed to be positive semidefinite. However, it may not be assumed (compare Ref. [27]) that (cid:104)M Ω(cid:105) is also positive definite. To see this, consider the following simple counterexamples with m = 2n = 2: H(p, q) = 0, H(p, q) = p2 and H(p, q) = p4 + q4 all have minima at p = q = 0 but not positive-definite Hessians at that point. If (cid:104)M Ω(cid:105) is positive semidefinite, the normal modes of M may be of three distinct types (see Appendix). We introduce the following names for these three types of modes. 1. A positive normal mode of M is a pair (u1, u2) of vectors in the image space of Ω that 6 FIG. 1. Color) Fundamental solutions x1 and x2 of the linearized equation of motion (1) corre- sponding to the three types of normal modes of a Hamiltonian system: (a) positive (3), (b) special zero (5) and (c) inertial zero (7) modes. The dynamical variables p and q are the amplitudes of the vectors u1 and u2 respectively, as defined in Eq. (12). Dashed lines: effect of damping with the indicated decay time ξ−1 (see Sec. V).  M u1 = ωu2 M u2 = −ωu1 (3) satisfy satisfy for some ω > 0. The corresponding fundamental solutions of the linearization of Eq. (1) are (see Fig. 1(a)) x1(t) = cos(ωt)u1 + sin(ωt)u2, x2(t) = − sin(ωt)u1 + cos(ωt)u2. (4) Each positive normal mode corresponds to a pair of eigenvectors of M . The eigenvec- tors are u1 − iu2 (eigenvalue iω) and u1 + iu2 (eigenvalue −iω). 2. A special zero normal mode is a pair (u1, u2) of vectors in the image space of Ω that  M u1 = 0 M u2 = 0 7 . (5) (c)(b)(a)2π ω-1ttttttx2x1zeroinertialzerospecialpositive2π ω-1ξ-1ξ-1p (normal)p (damped)q (normal)q (damped)−101−101010101101 The corresponding fundamental solutions are (see Fig. 1(b)) x1(t) = u1, x2(t) = u2 (6) (constant functions). A special zero normal mode also corresponds to a pair of linearly independent eigenvectors of M (u1 and u2). 3. An inertial zero normal mode is a pair (u1, u2) of vectors in the image space of Ω that satisfy  M u1 = u2 M u2 = 0 . (7) (8) (9) The corresponding fundamental solutions are (see Fig. 1(c)) x1(t) = u1 + tu2, x2(t) = u2. This type of mode results from a nondiagonalizable (defective) matrix M . Technically, an inertial zero mode corresponds to a Jordan block of size 2 in the Jordan normal form of M . The nomenclature chosen for the three types of modes (positive, special and inertial) is explained below. Notice that different types of modes may have different units: for an inertial zero normal mode (cid:107)u1(cid:107)/(cid:107)u2(cid:107) has units of time, while for a positive normal mode (cid:107)u1(cid:107)/(cid:107)u2(cid:107) is dimensionless. Since each mode contains two vectors, the total number of independent modes n is one half of the dimension of the symplectic leaf. If (cid:104)M Ω(cid:105) is positive definite, all normal modes are positive normal modes. We may write the vectors that make up a normal mode as  u1 = Ωw1 u2 = Ωw2 for certain vectors w1 and w2 in the image space of Ω. Section IV presents an efficient procedure by which suitable vector pairs w1, w2 may be found. All normal modes can and should be chosen to satisfy the relations wT 1kΩw2l = δkl wT 1kΩw1l = wT 2kΩw2l = 0, 8 (10a) (10b) where k, l = 1, . . . , n index the modes. As a result, we may decompose an arbitrary vector x in the image space of Ω in terms of the normal modes as n(cid:88) (cid:2)−(wT x = 2kx) u1k + (wT 1kx) u2k (cid:3) . (11) k=1 Using the fundamental solutions (4), (6) and (8), such a decomposition immediately yields a solution of the initial-value problem for Eq. (1) in the linear approximation. Given a state vector n(cid:88) k=1 x = (pk u1k + qk u2k) + O(p2 k + q2 k), the quadratic part of the Hamiltonian is given by (cid:88) k pos. H = (cid:0)p2 1 2 ωk k + q2 k (cid:88) (cid:1) + k def. 1 2 p2 k, (12) (13) where the first sum is taken over the positive normal modes and the second sum over the inertial zero normal modes. Special zero modes do not contribute to Eq. (13). The variables pk and qk in Eq. (12) are canonically conjugate momenta and coordinates (see Appendix). Notice that for a given configuration m = m0 + x, the values of these momenta and coordinates can be determined, to first order, using Eq. (11). We find, in the linear limit, that for a special zero normal mode pk = − ∂H while for an inertial zero normal mode pk = − ∂H qk = ∂H qk = ∂H ∂pk ∂qk ∂qk ∂pk = 0 = 0 , = 0 = pk . (14) (15) The latter type of dynamics (15) corresponds (after a suitable scaling of pk and qk) to the dynamics of a free massive particle, which explains our choice of the name 'inertial zero normal mode'. The former type of dynamics (14) does not occur in conventional Newtonian systems such as systems of coupled oscillators (see Appendix), whence the name 'special zero normal mode'. 9 III. CONSERVATIVE SPIN SYSTEMS The conservative dynamics of a spin system is described by the LLG equation without damping, mi = γmi × ∇miH, (16) where mi ∈ R3 represents the magnetic moment with position index i = 1, . . . , n, H is the Hamiltonian, and γ is a physical constant. Notice that the magnitude (cid:107)mi(cid:107) of each magnetic moment is constant in time. These magnitudes are fixed by the physics of the system. Equation (16) is equivalent to miα = {miα,H}, (17) the generalized form of Hamilton's equations applied to the (time-invariant) variables miα, for the Poisson bracket {miα, mjβ} = 0  −γεαβγmiγ for i = j for i (cid:54)= j , (18) where Greek indices represent Cartesian coordinates x, y, z and εαβγ is the Levi-Civita sym- bol. Thus, the dynamics of the conservative spin system is Hamiltonian. For convenience, we shall write Eq. (16) as m = γ[m,∇H]. (19) The variable m ∈ R3n can be seen as a compound vector that assigns to every position i = 1, . . . , n a vector mi ∈ R3. The square brackets in Eq. (19) denote an elementwise cross product: given x, y ∈ R3n, we define z = [x, y] ∈ R3n such that zi = xi × yi for each position i. In other words, it is just the ordinary cross product (vector product) performed n times. For small deviations x = m− m0 ∈ R3n from some fixed configuration m0, Eq. (19) becomes x = −γ[m0, h] + M x + O((cid:107)x(cid:107)2), where h(iα) = −∂H/∂miαm=m0 is the effective field at m0. The matrix M is given by M x = γ[m0, Ax] + γ[h, x], 10 (20) (21) where A(iα)(jβ) = ∂2H/(∂miα∂mjβ)m=m0 is the 3n × 3n Hessian matrix of H at m0. To be explicit, let us mention that the elements of M are given by  γεαγδ(m0)iγA(iδ)(jβ) + γεαγβhiγ for i = j γεαγδ(m0)iγA(iδ)(jβ) for i (cid:54)= j M(iα)(jβ) = . (22) (Summation is implied for repeated Greek indices but not for repeated Roman indices.) Since we work in Cartesian coordinates, A is typically of a relatively simple form. Indeed, many micromagnetic models use a Hamiltonian that is quadratic in the Cartesian coordinates, in which case A does not depend on m0. We assume that m0 is an equilibrium configuration, [m0, h] = 0. As a result, Eq. (20) is of the form (1). The matrix Ω (2) is given by Ω(iα)(jβ) = −{miα, mjβ}m=m0 =  γεαβγ(m0)iγ for i = j for i (cid:54)= j 0 , (23) or equivalently, Ωx = −γ[m0, x]. (24) The 2n-dimensional image space of Ω consists of vectors x ∈ R3n for which the displacement xi ∈ R3 is orthogonal at each position i to the equilibrium direction m0i. Notice also that the equilibrium effective field hi must be parallel at each position i to the equilibrium direction m0i. Combining Eqs. (21) and (24), the matrix M Ω, which is symmetric (see Sec. II), is given by M Ωx = −γ2(cid:16) [m0, A[m0, x]] + [h, [m0, x]] (cid:17) (cid:16) = (cid:17) ΩTAΩ + γ[h,·]Ω x. (25) The second term, which contains h, originates from the fact that the Hessian matrix A is calculated in Cartesian coordinates, while the symplectic leaf (a product of n spheres) is curved. IV. REDUCTION TO THE HDGEP In this Section, we present a method for the normal-mode problem of a general Hamil- tonian system near a local minimum of the Hamiltonian. This includes the normal-mode problem of the conservative spin system as a special case. We show that the normal-mode 11 problem can be reduced to the HDGEP, in which form it can be efficiently solved (see Sec. VI). Our method calculates both the positive modes and any zero modes of the system. If zero modes are present, the method detects these and automatically determines their types (special or inertial). The conservative spin system differs from an important subclass of Hamiltonian systems, which includes systems of coupled point masses, for which the normal-mode problem can be written as a symmetric definite generalized eigenvalue problem (SDGEP) in an obvious way (see Appendix). Such Hamiltonian systems are defined on a natural set of canonical momenta and coordinates. In terms of these, the Hamiltonian is of the form H({pi},{qi}) = T ({pi}) + V({qi}), where the potential-energy term V depends only on the coordinates qi, while the kinetic-energy term T is a positive-definite quadratic function depending only on i /(2mi)). The spin system is not of this special form. All that is given is the Poisson bracket (18) and the Hamiltonian H({mi}) as a function of the magnetic moments mi. Even though it is possible to construct canonical momenta the momenta pi (typically, T =(cid:80) i p2 and coordinates [41] for this system, an a priori separation of kinetic energy and potential energy is not normally known. We shall first consider the case that (cid:104)M Ω(cid:105) is positive definite (no zero modes). Later in this Section, we treat the general case where (cid:104)M Ω(cid:105) is positive semidefinite. This general- ization is essential for spin systems such as those discussed in Subsecs. VII C -- VII F. We remind the reader that an HDGEP has the general form Dx = λSx, (26) where D is Hermitian and S is Hermitian and positive definite, which requirements guarantee that all eigenvalues λi are real. The usual Hermitian eigenvalue problem is a special case of the HDGEP (set S = I). If D and S are real matrices, so that D and S are symmetric, we use the abbreviation SDGEP. The eigenvectors xi of a HDGEP may be chosen to satisfy x † i Sxj = δij, a generalized orthonormality relation. Alternatively, we may choose to normalize the eigenvectors xi in such a way that i Dxj = ±δij, † x provided that D is invertible, in which case the eigenvalues λi are nonzero. Let us represent a positive normal mode (3) as a single vector w = w1 + iw2 ∈ C2n, 12 (27) (28) where w1 and w2 are such that u1 = (cid:104)Ω(cid:105)w1 and u2 = (cid:104)Ω(cid:105)w2. It is easy to see that in this notation, a solution of the generalized eigenvalue problem (cid:104)M Ω(cid:105)w = −iω(cid:104)Ω(cid:105)w (29) with ω > 0 is also a solution of Eq. (3) (after conversion of the vectors in R2n to vectors If we assume that (cid:104)M Ω(cid:105) is in the image space of Ω): take real and imaginary parts. positive definite, Eq. (29) is a HDGEP (26) with D = −i(cid:104)Ω(cid:105), S = (cid:104)M Ω(cid:105) and λ = ω−1, since Ω is antisymmetric and M Ω is symmetric (see Sec. II). The HDGEP form (29) makes the problem suitable for efficient numerical computation. Notice that λ (cid:54)= 0, since (cid:104)Ω(cid:105) is invertible. Notice also that each positive normal mode gives rise to two independent solutions of Eq. (29): if w = w1 + iw2 is an eigenvector with eigenvalue ω > 0, then w∗ = w1 − iw2 is an eigenvector with eigenvalue −ω. By Eq. (27), we may normalize the eigenvectors so that they satisfy (w1k + iw2k) (w1k − iw2k) † † (−i(cid:104)Ω(cid:105))(w1l + iw2l) = 2δkl (−i(cid:104)Ω(cid:105))(w1l + iw2l) = 0, (30a) (30b) which equations together are equivalent to Eqs. (10a) and (10b). Equation (29) can be seen as a generalization of Eqs. 27 -- 30 in Ref. [27], which were given for the normal-mode problem of the conservative spin system, to a general Hamiltonian system. Our formulation has the additional advantage that it does not require the use of spherical coordinates. By itself, the method only works if (cid:104)M Ω(cid:105) is positive definite. If (cid:104)M Ω(cid:105) is merely positive semidefinite, Eq. (29) is no longer a HDGEP. Zero normal modes may appear and the matrix M is not even guaranteed to be diagonalizable. These zero modes have important consequences for the dynamics of, for example, domain walls or Skyrmions in magnetic systems (see Subsecs. VII C -- VII F). We present here a robust scheme that also works in this more general case. Thus, our method can solve the normal-mode problem of any Hamiltonian system linearized at a local minimum of the Hamiltonian. The main idea of our approach is that we first find the special and inertial zero normal modes and then exclude them from the problem. The algorithm consists of the steps outlined below. The only two 'large' (2n-dimensional) problems in this procedure are steps 1 and 4. In 13 step 1, we need to find the lowest eigenvalues and corresponding eigenvectors of a symmetric matrix. In step 4, we need to solve a symmetric linear system. Both sub-problems can be efficiently solved using iterative methods. How this may be done is discussed in more detail in Sec. VI. The diagonalizations in steps 2 and 5 concern small matrices and can be performed using standard routines. 1. Sequentially find the eigenvectors y1, y2, . . . ∈ R2n of (cid:104)M Ω(cid:105) that correspond to the lowest eigenvalues (see Sec. VI). Stop when an eigenvector appears with an eigenvalue that is larger than zero (by a certain small tolerance). Notice that this is an ordinary (nongeneralized) symmetric eigenvalue problem, so that the fact that (cid:104)M Ω(cid:105) is not necessarily positive definite is not a problem. By positive semidefiniteness of (cid:104)M Ω(cid:105), all eigenvalues are larger than or equal to zero. Suppose that there are s eigenvectors with eigenvalue zero. Then y1, . . . , ys form a basis of the null space of (cid:104)M Ω(cid:105). In most cases, s will be a small number. Remember that thanks to the restriction of M Ω to (cid:104)M Ω(cid:105), we have already excluded all null vectors of M Ω that are also null vectors of Ω and thus correspond to a displacement of the system out of the symplectic leaf. 2. Define the s × s matrix [Ω]ij = yT i (cid:104)Ω(cid:105)yj. Since [Ω]ij is antisymmetric, i[Ω]ij is Her- mitian and can be diagonalized by a standard routine for Hermitian matrices, which guarantees that the eigenvectors are orthonormal. Let sd be the number of indepen- dent eigenvectors Bi(k) of [Ω]ij with eigenvalue zero (up to a small tolerance). We have j=1 [Ω]ijBj(k) = 0 for k = 1, . . . , sd. We may take these eigenvectors Bi(k) to be real. The remaining nonnull eigenvectors come in so pairs. Let Ci(l) + iDi(l) be an eigenvec- (cid:80)s (cid:80)s tor of [Ω]ij with eigenvalue iλ(l), where λ(l) > 0 and Ci(l) and Di(l) are real. We have j=1 [Ω]ij(Cj(l) + iDj(l)) = iλ(l)(Ci(l) + iDi(l)) for l = 1, . . . , so. Then Ci(l) − iDi(l) is an eigenvector with eigenvalue −iλ(l). The total number of eigenvectors is s = sd + 2so. 3. Construct the vectors cl = (cid:80)s ¯bk =(cid:80)s i=1 Ci(l)yi and dl = (cid:80)s i=1 Bi(k)yi for k = 1, . . . , sd. Notice that we have cT l (cid:104)Ω(cid:105)dl > 0. Moreover, for all l, l(cid:48), k, k(cid:48) we have cT cT l (cid:104)Ω(cid:105)¯bk = 0 and ¯bT dT k(cid:104)Ω(cid:105)¯bk(cid:48) = 0. i=1 Di(l)yi for l = 1, . . . , so and l (cid:104)Ω(cid:105)dl(cid:48) = 0 for l (cid:54)= l(cid:48) and l (cid:104)Ω(cid:105)¯bk = l (cid:104)Ω(cid:105)dl(cid:48) = 0, cT l (cid:104)Ω(cid:105)cl(cid:48) = dT 4. For each k = 1, . . . , sd, find a vector ¯ak such that (cid:104)M Ω(cid:105)¯ak = (cid:104)Ω(cid:105)¯bk (see Sec. VI). We know that such a vector exists, since by construction (cid:104)Ω(cid:105)¯bk lies in the orthogonal 14 complement of the null space of (cid:104)M Ω(cid:105), a symmetric matrix, and hence in the image space of (cid:104)M Ω(cid:105). Although this vector ¯ak is not uniquely defined, there is a unique solution ¯ak that lies in the image space of (cid:104)M Ω(cid:105), which is the solution that is obtained by the method given in Sec. VI. 5. Define the symmetric sd × sd matrix [MΩ]kk(cid:48) = ¯aT k(cid:104)M Ω(cid:105)¯ak(cid:48) and diagonalize it using a standard routine for symmetric matrices. Let the orthonormal eigenvectors be Gi(k). We have(cid:80)sd j=1 [MΩ]ijGj(k) = µ(k)Gi(k) with µ(k) > 0 for k = 1, . . . , sd. The eigenvalues µ(k) are positive, since (cid:104)M Ω(cid:105) is positive semidefinite and the vectors ¯ak are independent vectors in the image space of (cid:104)M Ω(cid:105). 6. Construct the vectors bk =(cid:80)sd ¯bi and ak =(cid:80)sd i=1 Gi(k) i=1 Gi(k)¯ai for k = 1, . . . , sd. Since k(cid:104)Ω(cid:105)bk(cid:48) = aT aT k(cid:104)Ω(cid:105)bk(cid:48) = 0 for k (cid:54)= k(cid:48) and aT k(cid:104)M Ω(cid:105)ak(cid:48), we have aT √ √ αk, bk as bk/ 7. Redefine ak as ak/ k(cid:104)Ω(cid:105)bk > 0. √ √ βl, where αk = βl and dl as dl/ αk, cl as cl/ l (cid:104)Ω(cid:105)dl = λ(l)/2. This normalizes the modes so that l (cid:104)Ω(cid:105)dl = 1 for each l. k(cid:104)Ω(cid:105)bk = µ(k) and βl = cT aT k(cid:104)Ω(cid:105)bk = 1 for each k and cT aT l=1(dT l (cid:104)Ω(cid:105)ak)cl. We have cT l (cid:104)Ω(cid:105)ak = dT l (cid:104)Ω(cid:105)ak = 0 l (cid:104)Ω(cid:105)ak)dl +(cid:80)so l=1(cT 8. Set ak = ak −(cid:80)so 9. Set ak = ak −(cid:80)sd for all l, k. k(cid:48)(cid:104)Ω(cid:105)ak)bk(cid:48). We have aT k(cid:104)Ω(cid:105)ak(cid:48) = 0 for all k, k(cid:48). 1 2(aT k(cid:48)=1 10. The pairs (u1, u2) = (Ωak, Ωbk) are the inertial zero normal modes (7). The pairs (u1, u2) = (Ωcl, Ωdl) are the special zero normal modes (5). All zero normal modes now satisfy the relations (10a) and Eq. (10b). Let us define the zero normal modes, of which there are sd + so, as the first modes in the list of all modes: set w1i = ai, w2i = bi for i = 1, . . . , sd and w1(sd+i) = ci, w2(sd+i) = di for i = 1, . . . , so. All normal modes must satisfy the relations (30a) and (30b), which are equivalent to Eqs. (10a) and (10b). Once the zero normal modes have been obtained, we may thus restrict the generalized eigenvalue problem (29) to trial vectors w that satisfy (w1i + iw2i) (w1i − iw2i) †(cid:104)Ω(cid:105)w = 0 †(cid:104)Ω(cid:105)w = 0 15 (31a) (31b) for all zero normal modes i = 1, . . . , sd + so. These constraints can be implemented in the iterative HDGEP solver in a very natural way (see Sec. VI). On this subspace, Eq. (29) constitutes an HDGEP, so we can efficiently find the remaining modes i = sd + so + 1, . . . , n. V. DAMPED SPIN SYSTEMS We have seen that the magnetic normal modes of a conservative spin system, which is Hamiltonian, can be obtained by solving a HDGEP. However, typical magnetic systems can be modeled more realistically using the LLG equation [7] with a nonzero damping parameter η > 0, mi = −γmi × (−∇miH − η mi) (32) (compare Eq. (16)). Note that some texts write the LLG equation with damping (32) in a somewhat different, explicit form [6, 7]. The damping term affects the magnetic normal modes and the eigenfrequencies ω, which now acquire an imaginary part. Our method for the magnetic normal-mode problem can be used even in this nonconservative case if we treat the damping term of the LLG equation as a perturbation. We are justified in doing so, since η is often small (η (cid:28) 1/γmS, where mS is the typical magnitude (cid:107)mi(cid:107) of the spins). In this Section, we derive expressions for the damped modes in first-order perturbation theory. In particular, we obtain very simple and elegant first-order expressions (40) and (43) for the decay rate of the amplitude of a mode under damping. Decay rates of modes are especially important as they determine the widths of the corresponding peaks in dynamic magnetic susceptibility functions (see Fig. 6), which can be measured. Our expressions for the first- order corrections to the modes also cover those cases where special or inertial zero normal modes are present, or where the unperturbed normal modes are degenerate. Again considering the deviation x = m− m0 ∈ R3n from a fixed equilibrium configuration m0 in Cartesian coordinates (see Sec. III), the LLG equation with damping (32) becomes, using that x = O((cid:107)x(cid:107)), x = M x − ηΩ x + O((cid:107)x(cid:107)2), (33) with M and Ω as defined in Eqs. (21) and (24). We can write this in explicit form as x = M(cid:48)x + O((cid:107)x(cid:107)2), where −1M =(cid:0)I3n + η2ΩTΩ(cid:1)−1 M(cid:48) = (I3n + ηΩ) (M − ηΩM ) . (34) 16 We see that to first order in η, the matrix M(cid:48)Ω results from perturbation of M Ω by a term −ηΩM Ω. Since the LLG equation with damping (32) respects the constraint that the magnitude (cid:107)mi(cid:107) of each magnetic moment be constant, we may still assume that the physically relevant vectors x ∈ R3n lie in the 2n-dimensional image space of Ω. The presence of (a not too large amount of) damping modifies the three types of normal modes as follows. We use primes for the modes of the damped system. 1. A postive normal mode (3) becomes a damped mode of the form  M(cid:48)u(cid:48) M(cid:48)u(cid:48) 1 = ω(cid:48)u(cid:48) 2 = −ω(cid:48)u(cid:48) 2 − ξ(cid:48)u(cid:48) 1 − ξ(cid:48)u(cid:48) 2 1 . The fundamental solutions that correspond to a damped positive mode (35) are x1(t) = e−ξ(cid:48)t [ cos(ω(cid:48)t)u(cid:48) x2(t) = e−ξ(cid:48)t [− sin(ω(cid:48)t)u(cid:48) 1 + sin(ω(cid:48)t)u(cid:48) 1 + cos(ω(cid:48)t)u(cid:48) 2] , 2] . 2. A special zero normal mode (5) remains unchanged in the presence of damping. 3. An inertial zero normal mode (7) becomes a damped mode of the form  M(cid:48)u(cid:48) M(cid:48)u2 = 0 1 = u2 − ξ(cid:48)u(cid:48) 1 . The corresponding fundamental solutions are x1(t) = e−ξ(cid:48)tu(cid:48) x2(t) = u2. 1 + [(1 − e−ξ(cid:48)t)/ξ(cid:48)]u2, (35) (36) (37) (38) Notice that the u2 part of an inertial normal mode remains unchanged in the presence of damping. Equation (35) is equivalent to Eq. (29) if we replace M in Eq. (29) with M(cid:48) and ω with ω(cid:48)−iξ(cid:48); it is in this sense that the frequency of a damped positive mode acquires an imaginary part. Notice that with damping, Eq. (29) is no longer a HDGEP. As a result, the damped modes do not necessarily satisfy the relations (10a) and (10b). 17 In first-order perturbation theory, we write a damped positive mode (35) as k = ωk + O(η2) ω(cid:48) k + O(η2) ξ(cid:48) k = ηξ(1) u(cid:48) 1k = u1k + ηu(1) u(cid:48) 2k = u2k + ηu(1) 1k + O(η2) 2k + O(η2). where k is the mode index and u1k, u2k and ωk is the unperturbed normal mode and fre- quency. We assume that the vectors w1, w2 of all unperturbed normal modes (9) satisfy the relations (10a) and (10b). Moreover, we assume that if any of the unperturbed normal modes are degenerate, they satisfy certain additional conditions (stated below). Using these assumptions and the definitions (3), (5) and (7), it can be derived, by a rather lengthy calculation, that the first-order corrections to a positive mode k are given by (cid:1) = 1 2 ωk (cid:0)(cid:107)u1k(cid:107)2 + (cid:107)u2k(cid:107)2(cid:1) 2ku2k (40) (41a) (cid:105) (cid:3) u1l u2l ξ(1) k = 1 2 ωk (cid:88) (cid:88) 1 4 l (ωl=ωk) + l (ωl(cid:54)=ωk) 1ku1k + uT (cid:0)uT (cid:2)−(uT (cid:104)(cid:16) uT (cid:16) uT 1 2 ωk + u(1) 1k = u(1) 2k = l ord. (cid:88) (cid:2)−(uT (cid:88) (cid:2)−(uT (cid:88) (cid:2)(uT (cid:88) 1 4 l def. 1 2 ωk l (ωl(cid:54)=ωk) + + + l (ωl=ωk) (cid:2)−(uT (cid:2)−(uT (cid:88) (cid:88) l ord. l def. + + 1lu2k + uT 2lu1k)u1l + (uT 1lu1k − uT 2lu2k)u2l 2lu1k − uT 1lu2k − uT ωk − ωl 1lu1k + uT ωk − ωl 2lu2k 1lu2k + uT ωk + ωl 1lu1k − uT uT ωk + ωl 2lu1k 2lu2k + (cid:3) 2lu1k)u1l + (uT 1lu1k)u2l 2lu1k)u1l + (uT 1lu1k + ω−1 k uT 2lu2k)u2l 2lu2k)u1l + (uT 1lu2k + uT 2lu1k)u2l 1lu1k − uT (cid:104)(cid:16)−uT (cid:16) uT + 1lu1k + uT ωk − ωl 1lu2k − uT ωk − ωl 2lu2k)u1l + (uT 2lu1k + (cid:3) 1lu2k)u2l 1lu2k − ω−1 18 2lu2k)u1l + (uT k uT 2lu1k)u2l (cid:17) (cid:17) (cid:3) (cid:17) (cid:17) (cid:3) (cid:3) , 2lu2k 1lu1k − uT uT ωk + ωl 2lu2k + 1lu2k + uT uT ωk + ωl 2lu1k (cid:105) u1l u2l (41b) where the first sum in Eq. (41a) or (41b) is over any modes l that are degenerate with the positive normal mode k, plus k itself; the second sum is over all other positive normal modes; the third sum is over the special zero normal modes; and the fourth sum is over the inertial zero normal modes. For the damped inertial zero mode (37), we have k + O(η2) ξ(cid:48) k = ηξ(1) u(cid:48) 1k = u1k + ηu(1) 1k + O(η2). The first-order corrections are given by (cid:88) l pos. −ω−1 l u(1) 1k = (cid:2)(uT (cid:3) + (cid:88) l def. −(uT 1lu2k)u1l, (43) (44) ξ(1) k = uT 2ku2k = (cid:107)u2k(cid:107)2 1lu2k)u1l + (uT 2lu2k)u2l where the first sum in Eq. (44) is over all positive normal modes and the second sum is over all inertial zero normal modes. We see that in both cases (40) and (43), ξ(1) is guaranteed to be positive: for a positive damping parameter η, amplitudes of modes decrease in time. Notice that the frequency ω(cid:48) of a damped positive mode is constant to first order in η; however, there will be a second-order correction (normally negative). If all magnetic moments in the equilibrium configuration m0 have the same magnitude (cid:107)m0i(cid:107) = mS, we have (cid:104)ΩTΩ(cid:105) = γ2m2 SI2n, and Eq. (34) becomes M(cid:48)Ω = 1 1 + (ηγmS)2 (M Ω − ηΩM Ω) . (45) We can then often further reduce the residual error in the damped positive modes, which is of second order in η, simply by dividing the ω(cid:48) and ξ(cid:48) as obtained to first order by 1 + (ηγmS)2. For a damped inertial zero mode, divide the value ξ(cid:48) by 1 + (ηγmS)2 and multiply the vector u(cid:48) 1 by the same factor. These corrections do not eliminate the error of second order completely, but are very easy to implement. If there are several distinct positive normal modes with the same frequency ω, or if the dimension s of the null space of (cid:104)M Ω(cid:105) is larger than one, the normal-mode problem is degenerate. The damping perturbation may lift this degeneracy. For the correctness of the expressions for the first-order corrections it is essential to choose the degenerate unperturbed normal modes in such a way that the perturbation does not mix them. We amend the procedure of Sec. IV as follows. Given any symmetric and positive-definite matrix A, we 19 satisfy yT may choose the null-space vectors y1, . . . , ys in step 1 of Sec. IV in such a way that they i Ayj = δij. For the spin system with damping, we must use A = (cid:104)ΩTΩ(cid:105). The rest of the algorithm then automatically ensures that the vectors bk, cl and dl (see step 10) of the zero normal modes satisfy bT k Abk(cid:48) = 0 l Adl(cid:48) = 0 l Acl(cid:48) = dT cT bT k Acl = bT cT l Adl(cid:48) = 0 k Adl = 0 (for k (cid:54)= k(cid:48)) (for l (cid:54)= l(cid:48)) (for all k, l) (for all l, l(cid:48)), (46a) (46b) (46c) (46d) where k, k(cid:48) index the inertial zero normal modes and l, l(cid:48) index the special zero normal modes. For example, Eq. (46d) is equivalent to the condition that uT 1lu2l(cid:48) = 0 for all pairs of special zero normal modes l, l(cid:48). As for the positive normal modes, if we have a block of r degenerate modes at frequency ω > 0, we can, without breaking the conditions (10a) and (10b), choose them in such a way that the Hermitian r × r matrix [A]ij = (w1i + iw2i)†A(w1j + iw2j) is diagonal. Here i, j index those modes that are part of the degenerate block. Again, we must use A = (cid:104)ΩTΩ(cid:105). As a result, the components w1i and w2i satisfy wT 1iAw1j + wT 1iAw2j − wT wT 2iAw2j = 0 2iAw1j = 0 (for i (cid:54)= j) (for all i, j). (47a) (47b) For example, Eq. (47b) is equivalent to the condition that uT 1iu2j − uT 2iu1j = 0 for all pairs of positive normal modes i, j that are part of the degenerate block. VI. IMPLEMENTATION The procedure for finding the magnetic normal modes can be summarized as follows. A. Find a configuration m = m0 that is a local minimum of the Hamiltonian H, under the constraint that (cid:107)mi(cid:107) = constant for each position i. B. If necessary, follow the procedure in Sec. IV to detect and compute any zero normal modes. C. Solve the HDGEP of Eq. (29) to find the (low-energy) positive normal modes. 20 D. If a damping parameter η > 0 is used, correct the normal modes using the expressions in Sec. V. All important steps can be efficiently implemented using iterative methods for large Hermi- tian problems. For concreteness, we shall discuss the iterative methods based on conjugate gradients in a bit more detail. Alternative approaches, such as matrix-free versions of the Lanczos eigenvalue algorithm [37], have similar properties. Let us first remark that our scheme can also be used to find the magnetic normal modes near a local energy minimum of some continuum model. One discretizes the system using, for example, the finite-difference method or a geometric finite-element method [42], which give effective systems that are mathematically equivalent to a finite system [43]. It is important to use a mesh that is smooth enough, to avoid effects such as an artificial Peierls pinning of domain walls [44, 45]. (This effect decreases exponentially in the inverse lattice constant [45], so there is no fundamental problem.) In its simplest form, the conjugate-gradient method [46] is an iterative method for solving systems of linear equations, (48) where A is a symmetric or Hermitian N × N matrix and x and b are vectors in RN or CN . A and b are given; x is asked. Equation (48) is considered solved when the magnitude (cid:107)r(cid:107) of the residual vector Ax = b, r = b − Ax (49) is less than a certain (very small) tolerance. In each iteration i = 1, 2, . . ., the trial solution xi is updated, where  x0 = 0 xi+1 = xi + αipi,  p0 = r0 = b pi = ri + βipi−1 = (b − Axi) + βipi−1. (50) (51) A more detailed discussion of the algorithm, with expressions for the coefficients αi and βi, can be found in most textbooks on numerical methods [46]. What is relevant here is the following. a) We do not need to store the N 2 elements of A. All we need is a routine that can evaluate Ax for any given x (the action x (cid:55)→ Ax of A). The conjugate-gradient 21 algorithms use this routine as a 'black box'. b) Every trial solution xi is a linear combination of b, Ab, A2b, . . . , Ai−1b; the conjugate-gradient method is a Krylov-subspace method. A variant of the conjugate-gradient method can be used to solve nonlinear optimization problems [46], where a local minimum of a multivariate function f (x) is asked. Here the gradient ∇f plays the role of the residual vector (49). This method is also suitable for minimization problems under constraints g1(x) = . . . = gk(x) = 0. In that case, one should project the residual vector r onto the tangent space: r = ∇f − k(cid:88) λi∇gi, where is a Lagrange multiplier. i=1 λi = (∇f ) · (∇gi) (cid:107)∇gi(cid:107)2 (52) (53) The conjugate-gradient eigenvalue algorithm [47] can be seen as a special case of con- strained nonlinear optimization. If we minimize the function f (x) = x†Dx under the constraint g1(x) = x†Sx = 1 (normalization), (54) (55) where D and S are Hermitian matrices, we obtain the lowest eigenvalue λ1 and the corre- sponding eigenvector x1 of the HDGEP Dx = λSx. (The SDGEP case, where D, S and x are real, is entirely analogous.) S must be positive definite to guarantee that a minimum ex- ists. Once we have the first eigenvector x1, we can obtain the next eigenvector by repeating the minimization under an additional constraint: † 1Sx = 0 For λ (cid:54)= 0, this is equivalent to the constraint g2(x) = x (orthogonality). g(cid:48) 2(x) = λ1x † 1Sx = x † 1Dx = 0. (56) (57) Once we have found the second eigenvector, we move on to the third, and so on, applying constraints of the form (57) for all previously obtained eigenvectors. We continue until we have found as many eigenvectors x1, x2, . . . with eigenvalues λ1 < λ2 < . . . as we need. 22 The fact that we do not need to explicitly store the matrices in memory is a crucial advantage. For simplicity, let us first consider a one-dimensional n-spin chain with only exchange and uniaxial anisotropy energy, H = Eex + Eani = n−1(cid:88) −2Jmi · mi+1 − n(cid:88) Km2 iz. (58) i=1 i=1 The Hessian matrix A (see Sec. III) is given by A(iα)(jβ) = ; (59) equivalently, it may be defined by its action x (cid:55)→ Ax, −2K if i = j and α = β = z −2J if i = j − 1, j + 1 and α = β 0 otherwise   −2J(x(i−1)α + x(i+1)α) (Ax)iα = −2J(x(i−1)α + x(i+1)α) − 2Kxiα if α = z if α = x, y , (60) where we take xiα = 0 for i = 0 and i = n+1. We see that the evaluation of the action of A on an arbitrary vector x takes only O(N ) time, while any manipulation with or decomposition of the 3n× 3n matrix A obviously takes at least O(N 2) time if it is explicitly stored in memory in full. That is why Krylov-subspace methods are a popular choice for linear equations or eigenvalue problems of sparse matrices [38]. If long-range interactions are taken into consideration, the matrix A is dense. Nevertheless, the action of A can still be evaluated in much less than O(N 2) time, as follows. For nearly all physical systems, A can be separated into a short-ranged part As such as Eq. (59), which is sparse, and a long-ranged part Al, which is invariant under spatial translations (it is a convolution) [39]. To perform the action on a given vector x, we separately evaluate the contributions Asx and Alx and then add them up to obtain Ax = Asx + Alx. In typical magnetic systems, the relevant long-range interaction is the dipolar interaction. We can evaluate Alx by performing the convolution in the Fourier representation of x, where it becomes a simple elementwise multiplication. The two Fourier transformations that are necessary take O(N log N ) time [48]. A similar mixed real-space -- reciprocal-space approach is taken in most plane-wave electronic-structure codes [49]. Even if the system is not perfectly translationally invariant, for instance because it has some nonrectangular finite geometry, we can efficiently evaluate Alx by reducing the dipolar problem to the Poisson problem [3] and solving it using multigrid methods [38]. The 23 complexity analysis is similar. It is thus possible to implement a routine that can evaluate Ax, and hence M Ωx (25), for any given x in O(N log N ) rather than O(N 2) time. In the remainder of this Section, we discuss the specific implementation of each of the four stages listed above. Stage A. A minimum-energy configuration m0 can be found using, for example, the nonlinear conjugate-gradient optimization method, which is implemented in existing micro- magnetics codes. Note that many magnetic systems have multiple local energy minima. In this article, we regard one particular m0 as given. Stage B -- step 1. In step 1 of Sec. IV, we need to calculate the null vectors y1, . . . , ys of (cid:104)M Ω(cid:105). This is in fact a symmetric eigenvalue problem. It might be solved as a particular case of the conjugate-gradient SDGEP algorithm (set D = (cid:104)M Ω(cid:105) and S = I). The sequential nature of this method means that we can efficiently obtain the lowest few eigenvectors. We stop once we find the first positive eigenvalue. The eigenvectors with eigenvalue zero constitute a basis of the null space of (cid:104)M Ω(cid:105). In our definition of the restricted matrix (cid:104)M Ω(cid:105), we formally require construction of a basis of the image space of Ω. In practice, we do not normally need to construct the basis explicitly. We may simply set D = M Ω, provided our initial guess x0 is in the image space of Ω (that is, we set x0 = Ωy0, where y0 is a random vector). Since x0, M Ωx0, Ωx0, (M Ω)2x0, etc. all lie in the image space of Ω, the minimization will automatically be restricted to trial solutions in this space. We remark that for numerical stability, it may be necessary occasionally to project the trial vector xi back onto the image space of Ω. Stage B -- step 4. In step 4 of Sec. IV, we need to solve the linear system (cid:104)M Ω(cid:105)x = g, where g = (cid:104)Ω(cid:105)bk. This problem may seem ill posed, since (cid:104)M Ω(cid:105) is not invertible (even with the angular brackets). However, we know that a solution exists (g lies in the im- age space of (cid:104)M Ω(cid:105)). Since the solution-vector iterates are always linear combinations of g,(cid:104)M Ω(cid:105)g, ((cid:104)M Ω(cid:105))2g, . . ., we in effect restrict our search to trial solutions x in the image space of (cid:104)M Ω(cid:105). In this linear subspace, the solution x is unique. In practice, g will not lie in the image space of (cid:104)M Ω(cid:105) numerically exactly, but only up to a small tolerance, so that the solver may fail once the magnitude of the residual vector becomes on the order of this tolerance. We may remedy this as follows. Project g onto the orthogonal complement of y1, ..., ys, and do the same for (cid:104)M Ω(cid:105)x in each iteration. Effectively, we now find a solution of P(cid:104)M Ω(cid:105)P = P h, where P (symmetric) performs the projection. 24 For the sake of completeness, we remark that again, we may use M Ω instead of (cid:104)M Ω(cid:105), as the image space of M Ω is contained in the image space of Ω. Stage C. The problem (29) can be solved using the conjugate-gradient HDGEP scheme, where in Eq. (26) we set D = −i(cid:104)Ω(cid:105), S = (cid:104)M Ω(cid:105), λ = ω−1. (61) Notice that we only need the (action of the) matrices Ω and M Ω, which have simple forms (24) and (25). Again, we do not need to implement the restrictions (cid:104)·(cid:105) explicitly, provided that our initial guess is in the image space of Ω. For each positive normal mode (3), there are two solutions of Eq. (26): one with λ = ω−1 and one with λ = −ω−1. We obviously need to find only one of the two. If we find a negative-λ solution x, we must take the complex conjugate of x to obtain the positive-λ solution. Notice that the eigenvalue λ algorithm normalizes the solutions x so that x that the HDGEP algorithm finds is the reciprocal of the angular frequency ω. The HDGEP i(cid:104)M Ω(cid:105)xj = δij. To obtain the correct † normalization (30a), we must divide each (positive-λ) solution xi by(cid:112)λi/2; we have † i Sxj = x w1i + iw2i =(cid:112)2/λi xi, (62) where w1i and w2i are the real vectors defined in Eq. (9). The eigenvalues λ at the extremes of the spectrum are λ = −ω−1 0 , where ω0 is the angular frequency of the lowest-frequency positive normal mode. HDGEP algorithms 0 and λ = ω−1 such as the conjugate-gradient scheme find the solutions of Eq. (26) with either the lowest or the highest eigenvalues λ. We see that it does not matter if we let the algorithm minimize λ (as we do above) or maximize λ: in either case, we obtain the lowest-frequency normal modes † apply a constraint (w1k + iw2k) first. If we minimize the eigenvalue λ, we find the negative-λ solutions and we must apply (−i(cid:104)Ω(cid:105))x = 0 for each previously obtained to the trial solution x a constraint (w1k − iw2k) † positive normal mode k (see Eq. (57)). If we choose to maximize the eigenvalue λ, we must (−i(cid:104)Ω(cid:105))x = 0 for each previously obtained positive normal mode k. If any zero normal modes were found in stage B, we need to eliminate those from the problem to ensure that S = (cid:104)M Ω(cid:105) is positive definite on the space of trial solutions. The constraints (31a) and (31b) that accomplish this are of exactly the same form as the constraints for previously obtained positive normal modes. 25 The simple conjugate-gradient HDGEP scheme outlined above may be improved in several ways. It is well known that matrix-free eigenvalue methods require good preconditioning to be efficient [37, 38, 49, 50]. Indeed, we find that preconditioning as described below greatly improves performance, especially if the exchange constant between adjacent sites is large as compared to the anisotropy constant. This is the case in most atomistic simulations and in continuum systems discretized with a reasonably high spatial resolution. (Only for relatively modest systems, say n ∼ 1000, preconditioning is unnecessary; methods that use explicit matrix decompositions [36] are likely to be more efficient.) How a preconditioner can be incorporated into the conjugate-gradient HDGEP scheme is described in many texts [37, 38, 49, 50]. In addition, efficiency may be improved by using a simultaneous conjugate- gradient scheme [37, 49], especially if some of the eigenvalues are closely spaced. We use a preconditioner that is based on an inversion of the spin-wave dispersion relation (68) in reciprocal space, similar to the preconditioners used to solve the Schrodinger equation in electronic-structure calculations [49]. In other words, the preconditioner approximates the spectrum of the system with the spin-wave spectrum of a homogeneous system and uses this to speed up convergence of the trial solution. Note that since a typical spin-wave dispersion relation has no zeros (see Fig. 4), the preconditioner acts in real space as a convolution with some kernel that decays exponentially, with a characteristic decay distance on the order of the domain-wall width. Thus, we could in principle even implement the preconditioner in O(N ) rather than O(N log N ) time. If the explicit restrictions (cid:104)·(cid:105) of M Ω and Ω are not used, it is of course important to ensure that the preconditioned reciprocal vector is projected back onto the image space of Ω in order to ensure that the trial solution x does not move out of the image space of Ω. Preconditioning can also greatly speed up convergence for steps 1 and 4 of stage B. Stage D. In principle, the full set of unperturbed magnetic normal modes needs to be available to calculate the correction due to damping for any given mode. This could be a problem, since we usually know only the normal modes near the bottom of the spectrum. This forces us to truncate the sums in Eqs. (41a), (41b) and (44). We verify in Subsec. VII G for a realistic system that this approximation is justified. In practice, the high-wavenumber spin-wave modes are increasingly oscillatory and have an overlap with the lower, smoother modes that decreases exponentially in wavenumber. Notice that the damped modes do not, in general, satisfy the relations (10a) and (10b). 26 FIG. 2. Color) Comparison of the spectra of all systems considered. The presence of defects leads to localized modes with frequencies below the spin-wave continuum. The 1D spin chain is described in Subsec. VII A, the 1D and 2D domain walls in Subsecs. VII D and VII E and the Skyrmion in Subsec. VII F. The bottom of the spin-wave continuum is at ω = 2γmSK (for uniaxial anisotropy). In the plots the wavevector k is given in units of a−1 and the angular frequency ω in units of γmSJ. All continuous branches of modes are discretized (become quasicontinuous) because of the finite dimensions of the systems. To carry out a mode analysis of some configuration near m0, first obtain the coefficients of the unperturbed modes using Eq. (11) and then use Eqs. (41a), (41b) and (44) to convert these into the coefficients of the damped modes. VII. EXAMPLES In this Section, we study some key examples that are illustrative of the general properties of magnetic normal modes and make evident the fundamental distinction between inertial and special zero normal modes. We also discuss how to calculate effective masses for the inertial zero normal modes. Figure 2 provides an overview of the spectra of all systems we consider here. We begin by studying the one-dimensional (1D) spin chain, possibly with a defect, in Subsec. VII A. We specifically look at the effect the effect of damping (Subsec. VII B), and we demonstrate how the expressions in Sec. V can be used to calculate dynamical 27 domain wall(d) 2D(c) 1Ddomain wall(e) Skyrmionwith defect(b) spin chain(a) spin chainω0.00.51.01.52.0k00.40.8k00.40.80.050.100.15k00.10.2k00.10.2k00.10.2m−201234567 magnetic susceptibilities. In Subsec. VII C, we discuss how the fundamentally different types of dynamics of magnetic structures can be related to the two types of zero modes (special and inertial). In particular, we show how to calculate effective masses. We focus on the properties of zero modes in spin systems with a 1D or 2D domain wall or a Skyrmion (Subsecs. VII D -- VII F), and we investigate a general relation between zero modes and the dispersion relations of extended systems (Subsec. VII E). Subsection VII G evaluates the accuracy of our perturbative treatment of damping. The 2D systems are of a size (40 000 spins) for which we begin to appreciate the scala- bility of the iterative HDGEP methods (see Sec. VI). With our code, we are able to find the 20 or 30 lowest modes of these systems in a matter of minutes on just a single CPU core. (We remark that the calculation time could be reduced further by parallelization. Matrix-free iterative methods such as the conjugate-gradient HDGEP scheme, especially the simultaneous versions, are known for being highly parallelizable [37, 38].) While for the sake of simplicity the examples only take short-range interactions into account, they could be extended to include magnetostatic (dipolar) and other interactions. This may be done in an efficient manner without any fundamental change to the method (see Sec. VI). Inclusion of magnetostatic interactions in rectangular systems of a similar size would not lead to much longer calculation times, since for the purpose of preconditioning our present code already performs a full FFT of the trial solution in each iteration. A. Spin waves in 1D spin chains We first consider a finite, n-atom spin chain without defects. We set (cid:107)mi(cid:107) = mS for all spins. The Hamiltonian H = Eex + Eani consists of nearest-neighbor exchange coupling n−1(cid:88) n(cid:88) i=1 Eex = −2Jmi · mi+1 Eani = −K(mi · z)2 with an exchange constant J > 0 (ferromagnetic) and uniaxial anisotropy i=1 with K > 0 (easy-axis type). We number the spins as i = 1, . . . , n. There is no external magnetic field. We linearize around the uniform, collinear equilibrium configuration m0i = mSz, shown in Fig. 3, which is one of the two ground-state configurations (m0i = −mSz is 28 (63) (64) FIG. 3. Color) Normal modes of a 1D ferromagnetic spin chain with Neumann boundary conditions. Only a part of the chain is shown. The big straight arrows indicate the equilibrium orientations of the spins. The circular arrows indicate the path traced by the spins if the normal mode is excited. While the normal modes are calculated in the linear (small-amplitude) approximation, we show them with a large amplitude for clarity. (a) A spin-wave mode with k = 0.71a−1 in a perfect spin chain. (b) The lowest mode in a spin chain with a defect, located at the site shown in blue, where the anisotropy is reduced from K = 0.45J to K = 0.09J. the other). Our truncation of the exchange couplings (63) at the ends of the chain results in Neumann boundary conditions for the spin waves. The magnetic normal modes of a 1D spin chain are well known, but we reproduce them here for comparison (see Figs. 2(a), 3(a), 4 and 5(a)). By taking a general linear combination of the fundamental solutions (4), we see that the dynamics of any positive normal mode (3) is given by xi(t) = A cos(ωt + φ)u1i + A sin(ωt + φ)u2i + O(A2), (65) where A is the amplitude and φ is the phase of the mode. The variable xi = mi − m0i is the deviation of the magnetic moment at site i from its equilibrium position. For the 1D collinear spin chain with Neumann-type boundary conditions, we have spin-wave modes (65) with where u1i = f (i)x and u2i = f (i)y, (cid:19)(cid:21) (cid:18) i − 1 2 (cid:20) f (i) = cos akl 29 (66) (67) FIG. 4. Color) Dispersion ω(k) of the perfect 1D spin chain. The wavenumber k is given in units of a−1. The angular frequency ω is given in units of γmSJ. The solid line is the analytical dispersion relation (68) and the dots show the spectrum of a 50-spin chain. The Hamiltonian consists of exchange (63) and uniaxial anisotropy (64) with K = 0.45J. The area in the rectangle is expanded in Fig. 2(a). The colored dots correspond to Fig. 5(a). (standing waves). The dispersion relation is given by ω(k) = 2γmS[K + 2J(1 − cos ak)], (68) where k is the wavenumber and a is the spacing between lattice sites. The bottom of the spin wave continuum is thus at ω = 2γmSK. The wavenumber of the mode with index l = 1, . . . , n is given by kl = π(l − 1)/an. Our code finds the right frequencies ω(kl) (see Fig. 4) and the right form of the spin waves (see Fig. 5(a)). We now consider the effect of a defect, modeled by reducing the anisotropy constant K at a single site. The normal modes are still of the form (66), but have different profiles f (i) (see Fig. 5(b)). The lowest mode is localized at the defect site and decays exponentially away from it (evanescent spin wave; see also Fig. 3(b)); its frequency is just below the spin wave continuum (see Fig. 2(b)). The other n − 1 modes are spin-wave modes. They are perturbed with respect to the normal modes of the perfect spin chain. Since in the example of Fig. 5(b) we place the defect almost in the middle (i = 26) of a chain of n = 50 spins, the odd-numbered spin-wave modes have a 'kink' at the defect site while the even-numbered spin-wave modes are almost identical to those of the perfect spin chain. 30 ω0246810k00.511.522.53 FIG. 5. Color) Amplitude profiles f (i) (66) for some low-energy normal modes of a 1D 50-spin chain, (a) without and (b) with a defect. The spin chain with defect is different from the perfect spin chain only at a single site i = 26, where K = 0.09J instead of 0.45J. Notice that the defect gives rise to a localized mode (see also Figs. 2(b) and 3(b)). B. Dynamical magnetic susceptibility Response functions, such as dynamical magnetic susceptibilities, allow comparison of calculated spectra to experimental observables (see, for example, Ref. [19]). Using the ex- pressions in Sec. V, our scheme allows one to calculate dynamical susceptibility functions in a way that is usually much less computationally expensive than with spin-dynamics simula- tions. Here we consider the response of the magnetization in the x-direction (perpendicular to the equilibrium magnetization, which is in the z-direction) to an oscillating external mag- netic field, also in the x-direction. The dynamical susceptibility χ is the ratio between the complex amplitudes of the applied field and the resulting magnetization. Each positive mode (35) contributes to χ a term ∝ 1/(ξ(cid:48) l and ξ(cid:48) are the frequency and decay rate of mode l and ω is the driving frequency. This means that l l + i(ω− ω(cid:48) l)) [10], where ω(cid:48) the width of a peak in the dynamical magnetic susceptibility function is directly proportional to the decay rate ξ(cid:48) l. The same mode gives a similar contribution near ω = −ω(cid:48) l. In Fig. 6, we plot the absolute value of the dynamical susceptibility χ(ω) of the 50- spin system with defect (see Subsec. VII A and Fig. 5(b)), for several values of the damping parameter η. We have obtained χ(ω) from spin-dynamics simulations, where we integrate the LLG equation (32) using the implicit-midpoint timestepping scheme [43, 51] (no stochastic 31 (a) perfect chainmode 1mode 2mode 3position i11020304050f(i)-0.50-0.250.000.250.50(b) chain with defectmode 1mode 2mode 3mode 5position i1102026304050f(i)-0.50-0.250.000.250.50 FIG. 6. Color) Absolute value of the magnetic susceptibility function χ(ω) of the 1D 50-spin chain with a defect (K = 0.09J at site i = 26; K = 0.45J everywhere else), for three different values of the damping parameter η. The driving frequency ω is given in units of γmSJ, χ in J−1 −1. The absolute value of the contribution of each mode is plotted alongside the and η in (γmS) overall magnetic susceptibility function as obtained in spin-dynamics simulations. The width of the peak that corresponds to a mode l is proportional to its decay rate ξ(cid:48) l (35). We find an excellent agreement between the peak widths calculated by means of Eq. (40) and the results from spin- dynamics simulations. The discrepancy in between peaks is due to the fact that the contributions of all modes must be added up with their complex phases and may interfere with each other. In this particular example, only the modes 1, 3 and 5 contribute significantly to the dynamical susceptibility. Other modes generate a magnetization that is negligible when integrated over the whole length of the chain (see, for example, mode 2 in Fig. 5(b)). term). We compare this to the contributions from the individual modes calculated using our scheme. We see that the contribution of each mode to χ(ω) is very well approximated by calculating ξ(cid:48) l by means of Eq. (40). 32 η = 0.002mode 1mode 3mode 5numericalχ0.0×1002.5×1035.0×1037.5×103ω0.80.850.90.9511.05η = 0.010χ0.0×1005.0×1021.0×1031.5×103ω0.80.850.90.9511.05η = 0.050χ0.0×1001.0×1023.0×1024.0×102ω0.80.850.90.9511.05 C. Inertial versus noninertial behavior of topological defects The fundamental distinction between inertial and special zero normal modes described in Sec. II is further clarified by examining the effect of an external potential on the dynamics of a topological defect. The general considerations we present here are applied to specific systems in Subsecs. VII D -- VII F. Zero modes typically appear as a consequence of a broken continuous symmetry of the sys- tem. For example, the energy of a system with a domain wall (see Subsecs. VII D and VII E) or a Skyrmion (see Subsec. VII F) in a homogeneous material is invariant under translation of the topological defect. Since no microscopic energy scale is associated with changes of the system that respect the symmetry, weak external perturbations of the Hamiltonian that couple to such changes can have a significant effect over time. By studying the response of the system to such external forces, we establish its effective (that is, low-energy or long- time) dynamics. For example, an effective force on a topological defect may result from an external magnetic field or from dipolar interactions within the system. We consider the dynamics of just a single degree of freedom, corresponding to a zero normal mode (u1, u2). The deviation x = m − m0 of the system from its equilibrium configuration is given by (see Eq. (12)) x = pqu1 + qu2 + O(p2 q + q2). (69) We write pq instead of just p to emphasize that this variable is canonically conjugate to q. Let us for concreteness assume that the vector u2 generates an infinitesimal translation of a topological defect. Thus we have, for a certain constant α, s = αq, (70) where s is the position of the center of the defect, in units of length. Using Eq. (69), it is straightforward to obtain the coefficient α from the calculated normal mode. The variable canonically conjugate to s is ps = α−1pq. (71) Let us first consider the case that the zero normal mode is inertial. The unperturbed Hamiltonian is then given, to second order, by (see Eq. (13)) Hiner = 1 2 p2 q = 1 2 α2p2 s = p2 s/(2meff), (72) 33 where we have meff = α−2, (73) the effective mass of the degree of freedom. Suppose that the Hamiltonian (72) is perturbed by an external potential V (s) which depends only on the position of the defect, so that we have H = Hiner + V (s). We get ∂H ∂ps ps = − 1 meff = − 1 meff ∂H ∂s dV ds , = 1 meff s = d dt (74) which is Newton's equation of motion. For a special zero normal mode, the picture is different. The unperturbed Hamiltonian is then given, to second order, by (see Eq. (13)) Hspec = 0, (75) which implies, in a sense, an infinite effective mass. Since for the special zero mode no energy term is associated with ps, an effective force in the s-direction does not, by itself, cause an acceleration in the s-direction. It does generate a motion in the canonically conjugate variable; however, here the first, not second, time derivative is proportional to the force. Let us consider a case where pq and q correspond to orthogonal displacements of a 2D magnetic defect, such as a Skyrmion (see Subsec. VII F). We have, for certain constants α and β, , (76) where sx and sy respectively represent the x- and y-coordinate of the position of the defect. Again, we can straightforwardly obtain α and β from the calculated normal mode using Eq. (69). If the Hamiltonian (75) is perturbed by an external potential V (sx, sy), we get  sx = αq sy = βpq  sx = αβ(∂V /∂sy) sy = −αβ(∂V /∂sx) . (77) Notice that the velocity (not acceleration!) in the sy-direction is proportional to the force in the positive sx-direction, while the velocity in the sx direction is proportional to the force in the negative sy-direction with the same constant of proportionality. We see that we can interpret effective dynamical behavior described by Thiele's equation of motion [14, 52] as a direct consequence of the existence of a special zero mode. 34 FIG. 7. Color) Spin chain with domain wall. The domain wall separates two domains, magnetized in either the positive or the negative z-direction. Only one in every three spins is shown in the picture; the spin chain should be considered as effectively continuous. We set K1 = 0.04J, giving the domain wall a characteristic width [8] of δ ∝ (cid:112)J/Ka = 5.0a. The big arrows show the equilibrium configuration m0. The vectors u1 and u2 of the zero mode of the domain wall are indicated in (a) with red (u1) and gray (u2) arrows. The actual magnitudes of u1 and u2 depend on the type of mode (special or inertial) and the effective mass. (b) Top view of the domain wall. The position xDW of the domain wall and the angle θDW are indicated. (c) Spin-wave mode with k = 0.37a−1 for K2 = 0.004J. D. 1D domain wall Even if the Hamiltonian as such is translationally invariant (the material properties are homogeneous), translational symmetry may be broken by the equilibrium configuration m0, for instance if m0 contains a domain wall. We consider a 1D spin chain with a domain wall like the one in Fig. 7. We ensure that the equilibrium width of the domain wall is large enough to make the system effectively continuous (Peierls pinning [44, 45] is negligible). The 1D domain wall is the simplest case where the two types of zero modes arise. As in the previous examples, the Hamiltonian consists of exchange and anisotropy terms, which are taken the same for all spins in the system. We will consider, however, two types of 35 FIG. 8. Color) Time evolution of xDW and θDW in the presence of an external magnetic field h = 0.005mSJ z, for K1 = 0.04J. Due to the external field, the domain wall experiences an effective external potential (80). The position xDW is given in units of a, angle θDW in radians and time t in −1. The plots have been obtained in spin-dynamics simulations. (a) Behavior units of τ = (γmSJ) of a domain wall with a special zero mode for K2 = 0. (b) Behavior of a domain wall with an inertial zero mode for K2 = 0.016J. The dotted line is a quadratic fit to the behavior of xDW, which satisfies Newton's law (74) in the limit of small θDW. The shaded area indicates where deviations occur (see text). anisotropy that yield one or the other type of zero mode. We shall see that the inertial dynamics of many domain walls [15, 53] can be interpreted as a consequence of the existence of an inertial zero mode. For a 1D domain wall, we find below the spin-wave continuum only a single zero mode (see Fig. 2(c)). If the Hamiltonian is the form considered up to now, with exchange and uniaxial anisotropy, this mode is a special zero mode. In Fig. 7(a) we show the two components u1 and u2 of the zero mode. The component u2 generates an infinitesimal increase of the position xDW of the domain wall whereas u1 generates an infinitesimal increase of the angle θDW (see Fig. 7(b)). An angle θDW = 0 or θDW = π corresponds to a Bloch domain wall, whereas θDW = ±π/2 corresponds to a N´eel domain wall [15]. The coordinate xDW is canonically conjugate [15] to pDW = 2mS aγ θDW. (78) If we apply an external magnetic field in the z-direction, which adds to the Hamiltonian a contribution (Zeeman energy) of the form EZeeman = −h · mi = n(cid:88) i=1 n(cid:88) i=1 −hz(mi · z), (79) the domain wall experiences an effective force that acts on the xDW coordinate. In fact, 36 (b) inertial (K2 > 0)(a) special (K2 = 0)0.00.51.01.52.02.53.0xDW051520t0100200300400xDWθDWθDW0.00.51.02.02.53.005101520t0100200300400 a displacement of the domain wall by one site (distance a) leads to one more spin aligned along the field and one fewer spin antialigned. This results in an effective external potential V (xDW) = −2hzmSxDW/a. (80) Nevertheless, the domain wall position xDW remains constant, as shown in Fig. 8(a). The conjugated θDW increases linearly (the spins near the center of the domain wall rotate around the z axis). This is in line with the general dynamical behavior predicted for systems with a special zero mode (see Sec. VII C). Motion of the domain wall in an external magnetic field along z occurs if we add to the Hamiltonian a term that breaks the symmetry under rotation of the magnetic moments around z. In many magnetic systems, magnetostatic interactions favor Bloch domain walls, where the magnetization is in the plane of the domain wall. We model this effect by intro- ducing a second term to the anisotropy energy (64). We use [12, 17] (cid:88) (cid:2)−K1(mi · z)2 + K2(mi · x)2(cid:3) Eani = (81) i with K1, K2 > 0. In this case, we find an inertial zero mode, with the components u1 and u2 again as in Fig. 7(a) but with a different dynamics. Even in the absence of an external field, a small deviation of θDW from its equilibrium value θDW = 0 now causes a linear motion of the domain wall, xDW = constant. In the presence of an external magnetic field in the z-direction, which creates a constant effective force −∂V /∂xDW = 2hzmS/a (80), we find that xDW initially increases quadratically in time (see Fig. 8(b)), in perfect agreement with the general dynamical behavior (74) predicted for inertial zero modes. In Fig. 9 we show how the presence of nonuniaxial anisotropy leads to a finite effective mass, transforming a special zero mode (K2 = 0) into an inertial zero mode (K2 > 0). The notion of the effective mass of a domain wall was first introduced by Doring [53]. The deviations from quadratic behavior calculated at large times (shaded area in Fig. 8(b)) are beyond the linearized approach. In principle, the effective mass, defined as the inverse of the second derivative of the Hamiltonian H with respect to the momentum pDW conjugate to xDW, depends on θDW. Eventually, in a conservative system the domain wall starts reverting to its original position when θDW reaches π/2. This type of motion of the domain wall, which occurs when damping is absent or small as compared to the effective force, is responsible for the phenomenon called Walker breakdown [54]. 37 FIG. 9. Inverse Doring effective mass [53] of a domain wall as a function of K2, for K1 = 0.04J. We determine the effective masses from the calculated zero modes using Eq. (73). K2 is given in units of J, m−1 eff in units of a2γ2J. FIG. 10. Color) Spin-wave modes in a 1D uniaxial (K1 = 0.04J, K2 = 0) 200-spin chain with a domain wall at the center. We compare the numerical results (thick solid lines) to the analytical form [13] for the continuum model (thin dashed lines). Away from the domain wall, in the shaded area, the spin-wave modes resemble those of a perfect chain and can be characterized by a wavevector k. In addition to the spin-wave modes, the system has a localized special zero normal mode (not shown here; see Fig. 7(a)). In addition to the zero mode, we have a spin-wave continuum (see Fig. 2(c)). In general, it is hard to find analytical solutions of the magnetic normal-mode problem for systems such as these, where the magnetic moments in the equilibrium configuration are not collinear. However, in this simple case, an analytical solution for the spin-wave modes has been found [13], which we can use to verify the numerical results. In Fig. 10, we compare the calculated spin-wave modes successfully to this analytical solution. It is convenient to express the 38 meff-10.000.050.100.150.200.25K20.000.010.020.030.040.05position j050100150200mode 1mode 2mode 3mode 7ψ analytical solution in the coordinate system [13]  −1 cos φj −1 sin φj mjx = (cosh ζj) mjy = (cosh ζj) mjz = − tanh ζj . (82) In this system the equilibrium configuration m0 of the domain wall is given by the linear functions ζj = (aj − xDM)/δ and φj = constant, where j is the index of the spin, xDM is the position of the center of the domain wall and δ = (cid:112)J/Ka is the characteristic domain-wall length. We convert the Cartesian deviations from the equilibrium orientations, xj = mj−m0j, into values dζj, dφj in the coordinate system (82). For any given mode l, both functions dζj, dφj and both parts u1, u2 of the normal mode (3) all have a common shape f (l) j / cosh(ζj). The fundamental j solutions are given by ψj = [−ik + tanh ζj]eikζj [13], where k ∈ R is the wavenumber of the spin wave away from the domain wall, in units of δ−1. In our finite system, the spin-wave spectrum is discretized. We calculate the right k-values for the analytical solutions from the , though the amplitudes may be different. We plot ψ(l) j = f (l) numerically obtained values of ω via Eq. (68). A linear combination of the solutions for k and −k is taken in such a way that a real solution is obtained with a vanishing derivative at the boundaries of the chain. E. 2D domain wall If a domain wall is extended to two dimensions, the zero mode of the 1D domain wall turns into a continuum of low-frequency modes [12, 55]. These modes correspond to bending of the domain wall, as sketched in Fig. 11(a); in other words, they represent small spatial variations of the position xDW of the domain wall. The domain-wall modes, which form a one-dimensional continuum with a vanishing frequency in the low-k limit, exist alongside the two-dimensional continuum of spin-wave modes (see Fig. 2(d)). A domain-wall mode can only exist if its frequency is below the bottom of the spin-wave continuum, which puts a maximum on its wavenumber. The dispersion relation of the domain-wall modes in a system with arbitrary (possibly nonuniaxial) anisotropy was derived in Ref. [12]. Here we show, using very general arguments, that the qualitative features of this dispersion relation follow immediately from the type of zero mode present in the system. 39 FIG. 11. Sketches of the lowest modes of (a) the 2D domain wall and (b) the Skyrmion. The modes are shown in order of increasing frequency. In both cases, the lowest mode is a zero mode that corresponds to an infinitesimal translation of the defect. For our choice of parameters (see text), the four lowest modes of the Skyrmion are 1) m = 1, 2) m = 2, 3) m = 0, and 4) m = 3 (see Fig. 2(e)). The domain-wall modes are a good example of physically interesting low-energy excita- tions of large systems, which can be found very efficiently using our method. The domain- wall mode in Fig. 12 was calculated in a system of 100 × 400 spins (square lattice) with exchange and uniaxial anisotropy (K = 0.04J). As in Subsec. VII A, our truncation of the expression for the exchange energy results in Neumann boundary conditions. We find that the lowest 26 modes (including the zero mode) of this system are domain-wall modes (see Fig. 2(d)). The distinction between special and inertial zero modes has important consequences for the dispersion relations that correspond to them, as we show in the following. For the case with uniaxial anisotropy, shown in Fig. 2(d), we see that the zero mode of the domain wall, which is a special zero mode, turns into a continuum with quadratic dispersion. In Fig. 13, we compare this case to a similar system with nonuniaxial anisotropy. For K2 > 0, where the domain wall has an inertial zero mode, the dispersion relation ω(k) is linear in k in the limit of low wavenumber k. This suggests that long-wavelength waves in a system with an inertial zero mode propagate with a finite group velocity. Indeed, a finite group velocity is also observed for acoustic waves in crystals, which agrees with the fact that zero modes of systems of coupled point masses are always inertial (see Appendix). It is easy to understand the link between the type of zero mode and the low-k behavior of the dispersion relation. Suppose we have a system with a zero mode, such as the 1D 40 (b) Skyrmion(a) 2D domain wall12341234 FIG. 12. Color) A domain-wall mode. Only one spin is shown for each block of 5 × 5 spins; the system (100 × 400 spins) may be considered as effectively continuous. Here we show the 16th domain-wall mode, with wavenumber k = 15π/(400a). Notice that the motion of the spins is in phase, since the boundary conditions used result in standing waves. When the deviation of the spins at the center of the domain wall from their equilibrium orientations is vertical, the domain wall is bent in a way similar to what is shown in Fig. 11(a). When it is horizontal, the domain wall is not bent; at this point, the energy of the mode is stored as a spatial variation of θDW rather than of xDW. spin chain with a domain wall. We describe the relevant dynamics of this system with just two variables, the canonical momentum p and coordinate q (69) corresponding to the zero mode. In the case of the domain wall, p and q are proportional to xDW and θDW respectively (see Subsec. VII D). We now extend the system to a higher dimension. The variables p and q become functions of position: we have p(r) and q(r). (In the case of the 2D domain wall, r ∈ R1 represents a position along the length of the domain wall.) It is reasonable to assume that for functions p(r) and q(r) that vary very smoothly in r and for short-range interactions, the Hamiltonian of the system can be approximated by the functional H = f (p, q) + ρ(cid:107)∇q(cid:107)2 + 1 2 σ(cid:107)∇p(cid:107)2 1 2 dr (83) (cid:90) (cid:20) (cid:21) for certain constants ρ, σ > 0. In the limit of small p and q we have that f (p, q) = 0 for 2ω(p2 + q2) for 2p2 for an inertial zero mode and f (p, q) = 1 a special zero mode, f (p, q) = 1 41 FIG. 13. Color) Dispersion curves of the domain-wall modes [12] of a 2D system with uniaxial anisotropy (K1 = 0.04J, K2 = 0; see Fig. 2(d)) or nonuniaxial anisotropy (K1 = 0.04J, K2 = 0.032J). The wavenumber k is in units of a−1 and the angular frequency ω in units of γmSJ. In the uniaxial case, where the domain wall has a special zero mode, the dispersion is quadratic. In the nonuniaxial case, where the domain wall has an inertial zero mode, the dispersion is linear in the limit of small k. The modes were calculated in a system of 100 × 400 spins. a positive mode (see Eq. (13)). After Fourier transformation in r, spatial variations with different wavevectors k decouple and we get Hspec k = for the system with a special zero mode and Hiner k = 1 2 p2 k + 1 2 1 2 ρk2p2 k + ρk2p2 k + 1 2 1 2 σk2q2 k σk2q2 k (84) (85) for the system with an inertial zero mode, where we define k = (cid:107)k(cid:107). It follows immediately from Hamilton's equations that for Hspec , we get k qk = ∂H/∂pk = ρk2pk  pk = −∂H/∂qk = −σk2qk  pk = − σk2qk qk = pk + ρk2pk . , (86) (87) while for Hiner k , we get The momenta pk can be eliminated from both systems of equations, yielding equations of motion of the form qk = −ω(k)2qk. 42 (88) inertial (K2 > 0)special (K2 = 0)ω0.000.050.10k0.000.050.100.150.200.25 For the special zero mode we get a quadratic dispersion relation √ ρσ(cid:107)k(cid:107)2, ω(k) = whereas for the inertial zero mode we get a linear dispersion relation ω(k) =(cid:2)(1 + ρ(cid:107)k(cid:107)2)σ(cid:3)1/2(cid:107)k(cid:107) = √ σ(cid:107)k(cid:107) + O((cid:107)k(cid:107)2). (89) (90) F. Skyrmion Magnetic bubbles or Skyrmions can be seen as circular domain walls (see Fig. 14). The dynamics of a Skyrmion in an effective potential can be understood very well in terms of its normal modes [14]. We shall see that our algorithm for normal-mode analysis provides a direct way to calculate the effective mass M and gyrocoupling constant G of any Skyrmion, regardless of the details of the interactions present in the system. This is another example of how a normal-mode analysis that includes the zero modes gives the effective equation of motion of some magnetic structure almost immediately. Skyrmion structures can be stabilized by magnetostatic interactions [9] or by the Dzyaloshinskii -- Moriya (DM) interaction [56]. In the latter case, which we shall consider here, the equilibrium radius is fixed by the material parameters. In the example shown in Fig. 14, we consider a system of 200 × 200 spins (square lattice) with only exchange, uniaxial anisotropy (K = 0.04J) and DM interactions (no external field). We write the DM (cid:88) (cid:32)(cid:88) (cid:33) interaction as [24] EDM = −Dmx · r × mx+ar , (91) x r where mx is the magnetic moment at the lattice site x, r sums over the directions of the nearest neighbors in the square lattice (r = x, y,−x,−y), a is the lattice constant and D is the interaction strength. Here, we set D = 0.125J. We use periodic boundary conditions. We construct a Skyrmion configuration and relax it. For the given parameters, we get an equilibrium radius of ∼ 27a. We find 10 normal modes below the spin-wave continuum (edge modes [14]), as shown in Fig. 2(e). We may interpret these modes as domain-wall modes traveling around the Skyrmion (see Fig. 11(b)). The edge modes can be identified by the number of periods m seen when going around the Skyrmion once. We use a positive or negative value of m to indicate the direction in which the wave travels around the Skyrmion 43 FIG. 14. Color) A Skyrmion shown with (a) the m = 1 special zero mode and (b) the m = −1 positive mode. Only one spin is shown for each block of 5×5 spins; the system may be considered as effectively continuous. For our choice of parameters (see text), the m = −1 mode is the 7th-lowest mode of the spectrum (ω = 0.0293γmSJ). 44 [14]. As pointed out in Ref. [14], the edge-mode spectrum is chiral: it is not symmetric for positive and negative m (see Fig. 2(e)). The system has translational symmetry in two spatial directions. This symmetry gives rise to a special zero mode (m = 1). The vector u1 of this mode generates an infinitesimal translation in the y-direction and the vector u2 generates an infinitesimal translation in the x-direction (see Fig. 14(a)). The existence of this special zero mode suggests that the dynamical behavior of the position of the Skyrmion in an external potential is described by the noninertial equation of motion (77), which is equivalent to Thiele's equation [52] without damping. It has recently been observed that the actual behavior of the Skyrmion position is more accurately described by an equation which has an additional inertial term [14, 57], a result which seems to contradict our statement that the Skyrmion possesses a special zero mode and has noninertial character. It is therefore important to make a detailed comparison with the interesting findings of Ref. [14], as we do in the following. An analysis of the normal modes indicates that the inertial term results in this case from the positive mode m = −1 [14]. Despite its finite frequency, this mode gives rise to a displacement of the Skyrmion similar to that of the m = 1 zero mode, albeit with a concomitant change of the Skyrmion configuration (see Fig. 14(b)). A derivation of the equation of motion of the Skyrmion was given in the Lagrangian formalism in Ref. [14]. Here we reproduce this result in the Hamiltonian formalism and show how the parameters G and M of the equation of motion can be obtained immediately from the normal-mode calculation. The crucial observation, which also underlies the derivation in Ref. [14], is that if we define the position of the Skyrmion as (cid:82) (mz(r) − mS) x dr (cid:82) (mz(r) − mS) dr X = (cid:82) (mz(r) − mS) y dr (cid:82) (mz(r) − mS) dr Y = , (92) as was done in Ref. [57], then not only the m = 1 zero mode (see Fig. 14(a)) but also the m = −1 mode (see Fig. 14(b)), which is a positive mode, generates a change in position. In fact, we find from our normal-mode calculation that  X − X0 = αp+ + αq− Y − Y0 = αp− + αq+ , (93) where p+, q+ are the canonical momentum and coordinate (12) corresponding to the special zero mode m = 1, p−, q− correspond to the positive mode m = −1, (X0, Y0) is the position 45 of the Skyrmion in the equilibrium configuration and α is a constant that can be obtained −1/2 S easily from the calculated normal modes. In our calculation, we find α = 0.282 aγ1/2m . Since the system is rotationally invariant, the normal modes output by the computer code may be oriented in any direction but we can always rotate them to satisfy Eq. (93). Since we consider only the modes m = ±1 that couple to position, the unperturbed Hamiltonian is given, to second order, by (see Eq. (13)) H = 1 2 ω(p2− + q2−), (94) where ω is the angular frequency of the positive mode m = −1 (in our example, ω = 0.0293γmSJ). There is no energy term associated with the special zero mode m = 1. If we introduce an external potential that depends only on the position (X, Y ), Eq. (94) becomes H = 1 2 ω(p2− + q2−) + V (X, Y ). Using Hamilton's equations, Eq. (95) gives p+ = −∂H/∂q+ = −α∂V /∂Y q+ = ∂H/∂p+ = α∂V /∂X p− = −∂H/∂q− = −ωq− − α∂V /∂X q− = ∂H/∂p− = ωp− + α∂V /∂Y , from which it follows that X = α p+ + α q− = αωp− Y = α p− + α q+ = −αωq− . Again taking the time derivative and applying Hamilton's equations, this becomes  X = ω Y − α2ω∂V /∂X Y = −ω X − α2ω∂V /∂Y . These equations of motion are equivalent to Eq. 3 in Ref. [14] if we set M = 1/(α2ω), G = −1/α2. (95) (96) (97) (98) (99) (100) The equations of motion (98) consist of a 'gyrocoupling' term, which is also present in Thiele's equation, and an additional inertial term, which gives a contribution to the ac- celeration proportional to the force. For the parameters used in our example, we find 46 M = 4.29× 102 a−2γ−2J−1 and G = −12.6 a−2γ−1mS ≈ −4πa−2γ−1mS. For G, an analytical expression was given in Refs. [14] and [52], with which our calculated value is in excellent agreement. From Eqs. (99) and (100) we also recover ω = −G/M, which is indeed the frequency of the m = −1 mode found in Eq. 4 in Ref. [14] in the absence of an external potential (set K = 0 in that equation). Notice that the above derivation does not contradict the general statement made in Subsec. VII C that a system with a special zero mode should have noninertial dynamics (77). In Eq. (76), we defined the position (sx, sy) in terms of a perfect translation of the magnetic structure. The positive mode m = −1, however, simultaneously induces a change in the configuration of the Skyrmion and is not a perfect translation. In fact, the m = −1 mode causes the spins in the center of the circular domain wall to deviate from their Bloch- type equilibrium orientation, which is tangential to the domain wall. This mode therefore does not represent a change in (sx, sy), while it does represent a change in the Skyrmion position (X, Y ) in the sense of Eq. (92). If we define the position according to Eq. (92), one obtains the partially inertial behavior derived above. In many practical situations (X, Y ) is the right definition of position, since the effective potential couples to the location of the bubble domain and is mostly insensitive to the domain wall. However, on timescales much longer than ω the cyclic effect of the positive mode on the position averages out, and (sx, sy) is again the best representation of the position of the Skyrmion. G. Accuracy of the corrections to the modes due to damping If we introduce damping (η > 0), this has an effect not only on the amplitudes of the modes, which now decay in time, but also on the mode vectors u1, u2 (see Sec. V). Since for large systems we can usually only calculate a number of the lowest modes of the sys- tem, which are of the greatest interest, we are forced to truncate the perturbative expres- sions (41a), (41b) and (44) for these corrections to those modes that are available. In principle, this approximation is uncontrolled. However, we may argue that modes with very different frequencies also have very different characteristic wavelengths and hence have a very small overlap, so that the contribution of high-frequency modes to the damping cor- rection of the low-frequency modes that we are interested in is likely to be negligible. Here, we test the accuracy of the damping correction by comparing the actual time evolution of a 47 FIG. 15. Color) Accuracy of the linearized solution of an initial-value problem for the LLG (a) Error as a function of the amplitude A for zero damping. equation (see text). (b) Error as a function of the damping parameter η for a very small, fixed initial amplitude A = 1 × 10−4 m1/2 S γ−1/2. We consider three different levels of correction for damping in the linearized solution. Red: damping is not taken into account at all. Green: the decay rate ξ(cid:48) from first- order perturbation theory is taken into account, but the zero-damping modes (u1, u2) are used. 2) and the decay rate ξ(cid:48) are corrected to first order of perturbation Blue: both the modes (u(cid:48) theory. For a fair comparison between different values of η, we have scaled the errors by the decay 1, u(cid:48) factor between the initial and final amplitudes, as indicated by the upper horizontal curve. The lower horizontal line indicates the accuracy of the numerical timestepping solution. Skyrmion system to the linearized solutions (36) obtained from normal-mode analysis. This also serves as a test of the expressions (40), (41a) and (41b). We consider the time evolution of an initial configuration m = m0 + Au1k, where mode k is given an initial amplitude A. The details of the simulated system are specified below. In Fig. 15, we plot the difference between the results of a numerical time integration of the LLG equation (32) and the linearized solution (4) or (36). Since the error in the numerical solution can be made very small, we may use this difference to evaluate the accuracy of the normal modes. The error stems from two sources. First, the linearization of the LLG equation necessary for normal-mode analysis results in an error of second order in the amplitude A. Second, the fact that the modes of a damped system are calculated in perturbation theory gives an additional error that depends on the damping parameter η. Figure 15(a) shows the error as a function of the amplitude A for a conservative spin system (η = 0). We find a quadratic dependence, as expected (1). Figure 15(b) shows the error as a function of η, for an amplitude A that is chosen so small that the error from 48 (a)error10−810−610−410−2100102A10−610−410−2100102104(b)error10−810−710−610−510−410−310−2η10−610−410−2100 nonlinearity is smaller than the error in the numerical solution. The error that we see in the plot is thus due to the error in the perturbative solution of the damped modes. We see that if we do not take damping into account at all (4), the error in the solution is of first order in η, as expected. If we take damping into account by using the calculated decay rate ξ(cid:48) (36), but without correcting the modes (u1, u2), the error is much smaller, but it is still of first order in η. If we also correct the modes (u(cid:48) 2), so that we use the full first-order perturbation theory, we get an error of second order in η. Notice that we get this order of accuracy even 1, u(cid:48) though we use only the contributions of the lowest modes to the perturbative correction. We conclude that, at least in this case, any first-order error due to this truncation is so small as to be insignificant. The results in Fig. 15 are obtained in a system of 86 × 86 spins (square lattice) with uniaxial anisotropy (K = 0.12J) and the DM interaction (91) (D = 0.20J). We use an equilibrium configuration m0 containing a single Skyrmion. After relaxation, the Skyrmion is similar to the one in Fig. 14 but has a different radius (owing to the different interaction parameters used). We construct a configuration m = m0 + Au1k in which the second mode (ω = 3.56 × 10−2 γmSJ, ξ(1) = 1.18 × 10−1 γmSJ) is given a finite initial amplitude A. The magnitudes of the magnetic moments are normalized to mS. We then numerically integrate the LLG equation (32) starting from this initial configuration, using the implicit-midpoint −1. The simulated time is timestepping scheme [43, 51] with ∆t = 0.1τ where τ = (γmSJ) 300τ . The above results suggest that it is useful to take into account damping in a calculation of the normal modes. Using the expressions in Sec. V, this can be done relatively easily and at a low computational cost. It is already very useful to take into account the decay rate ξ(cid:48) calculated to first order. An even better accuracy can be achieved by also using the first-order corrections to the modes (u(cid:48) 2). We find that in practice, we get an error of second order in η in the time evolution of a low-frequency mode even when only a relatively 1, u(cid:48) small set of other low-frequency modes were used to calculate the correction. The results also suggest that it is unnecessary to go beyond first-order perturbation theory for damping unless η is unusually large. 49 VIII. CONCLUDING REMARKS Using explicitly the symplectic structure of the dynamical spin system, we have developed a method that allows us to solve the magnetic normal-mode problem in a very general situation, with the only assumption that the equilibrium magnetic structure corresponds to a local minimum of the Hamiltonian. The examples we have considered (1D and 2D domain walls, Skyrmions) clearly demonstrate that zero modes are an essential part of this normal-mode analysis and can be very useful for understanding dynamics. Systems with zero modes were difficult to treat within the framework of previous ap- proaches for the magnetic normal-mode problem. To our knowledge, all previous approaches assume that the dynamical matrix of the spin system is diagonalizable. This is not the case when inertial zero modes are present, which may occur even for the 1D domain wall. Our approach allows one to calculate, in an efficient and scalable manner, all magnetic normal modes, including the spin-wave modes and those modes that are related, for example, to the motion of localized or extended defects (domain walls, Skyrmions, . . . ). For the latter case, we give a clear and computationally efficient procedure to calculate the parameters that determine the motion under external forces, such as effective masses. Last but not least, we have developed an efficient perturbation scheme to take into account dissipation effects and calculate dynamical magnetic susceptibilities. We believe that this approach can be useful in many further problems of spin dynamics, especially those dealing with the motion of Skyrmions and other defects in the magnetic con- figuration under an external field, their collision (momentum transfer), pinning, dissipation, and so on. Appendix: The normal-mode problem of Hamiltonian systems In this Appendix, we investigate the general properties of linear and nonlinear Hamil- tonian systems and their normal modes. An advantage of our general approach is that it explains why it is possible to reduce the magnetic normal-mode problem to the HDGEP: this is a natural consequence of the symplectic structure of the conservative spin system. Moreover, it means that the same method may be reused for other kinds of Hamiltonian systems. 50 The best-known example of a linear Hamiltonian system is a set of point masses cou- pled by harmonic springs. For this system the normal-mode problem can be reduced to the symmetric definite generalized eigenvalue problem (SDGEP) in an obvious way (see Subsec. A. 2). However, the general normal-mode problem of linear Hamiltonian systems is much richer [35]. We consider here the normal-mode problem of a linear Hamiltonian sys- tem with a postive semidefinite Hamiltonian, as results from the linearization of a general Hamiltonian system near an energy minimum. This Appendix is organized as follows. In Subsec. A. 1, we reproduce the definition of a linear Hamiltonian system and consider the types of normal modes that it may have. We also explain how the Hamiltonian structure can be used to efficiently perform mode analysis on a given state vector once the normal modes of the system have been calculated. For comparison, we discuss the well-known special case of a system of coupled point masses in Subsec. A. 2. In Subsec. A. 3, we generalize the results to a nonlinear Hamiltonian system, possibly defined on a Poisson manifold rather than a symplectic manifold. We show that the linearization of a general Hamiltonian system near a local energy minimum results in a linear Hamiltonian system with a positive-semidefinite matrix (cid:104)M Ω(cid:105) (defined in Sec. II). 1. Linear Hamiltonian systems Let us first reproduce the definition of a linear Hamiltonian system on the vector space R2n. Fix some arbitrary basis set e1, . . . , e2n, and let x1, . . . , x2n represent the coefficients of a vector x in this basis. Let the matrix Ω be antisymmetric (Ωij = −Ωji) and invertible. (We will relax the latter condition in Subsec. A. 3.) Then the symplectic form ω(ei, ej) = (Ω−1)ij (A.1) defines a symplectic structure on R2n. Since symplectic forms are bilinear, Eq. (A.1) fixes the value of the form for any pair of vectors. The symplectic structure induces a Poisson bracket {xi, xj} = −Ωij between the variables xi, xj; more generally, for any two functions f, g, {f, g} = − ∂f ∂xi Ωij ∂g ∂xj . 51 (A.2) (A.3) The condition that Ω be invertible ensures that the symplectic form on R2n is nondegenerate. In the special case that the xi with 1 ≤ i ≤ n represent canonical momenta and the xi with n + 1 ≤ i ≤ 2n represent the corresponding canonical coordinates, Ω takes the familiar form  0 In −In 0  , Ω = (A.4) where In is the identity matrix, and in particular, Ω is orthogonal ( ¯Ω = Ω, where we define ¯Ω = Ω−T); but we shall not make this assumption in this paper. It is always possible in principle to construct a system of canonical momenta and coor- dinates of a symplectic vector space. Let us write our momenta and coordinates as linear combinations p(k) = −w2(k)ixi, q(k) = w1(k)ixi (A.5) (A.6) of the variables xi for certain vectors w1(k) and w2(k). By definition, we must have {q(k), p(l)} = δkl and {p(k), p(l)} = {q(k), q(l)} = 0 for all k, l. Using Eq. (A.2), this can be rewritten as w1(k)iΩijw2(l)j = δkl w1(k)iΩijw1(l)j = w2(k)iΩijw2(l)j = 0 (A.7a) (A.7b) (see Eqs. (10a) and (10b)). As a result, we may decompose an arbitrary state vector x as n(cid:88) n(cid:88) k=1 (cid:2)−(w2(k)hxh) Ωijw1(k)j + (w1(k)hxh) Ωijw2(k)j (cid:0)p(k) Ωijw1(k)j + q(k) Ωijw2(k)j (cid:1) (cid:3) xi = = (A.8a) (A.8b) k=1 (see Eqs. (11) and (12)). The vectors ep(k) = Ωijw1(k)jei and eq(k) = Ωijw2(k)jei form a symplectic basis of the symplectic vector space. Let us return to the original system of variables x1, . . . , x2n (not necessarily canonical) of our symplectic vector space. We define a (time-invariant) Hamiltonian function H = 1 2 xiHijxj, 52 (A.9) where H is symmetric. Using the generalized form of Hamilton's equations and the properties of Poisson brackets, we now derive the equation of motion xi = {xi,H} = −ΩijHjkxk, where the dot denotes the time derivative. We may rewrite Eq. (A.10) as with xi = M i jxj, M = −ΩH. (A.10) (A.11) (A.12) We see that for a linear Hamiltonian system, M Ω = ΩTHΩ is symmetric. Conversely, if a given matrix M is such that M Ω is symmetric (or equivalently, if ¯ΩM + M T ¯Ω = 0), it is is called a Hamiltonian matrix [58]. The dynamical system (A.11) is then a linear Hamiltonian system on the symplectic vector space defined by Ω. In Subsec. A. 3, we generalize the result that M Ω is symmetric to Hamiltonian systems that are nonlinear or for which Ω is not necessarily invertible. The matrix M describes the dynamical behavior (A.11) of the linear Hamiltonian system. This matrix is not necessarily diagonalizable [35]; its Jordan normal form may contain Jordan blocks of high order. Moreover, the eigenvalues of these blocks, which often but not always appear in pairs or quadruples, may be zero, real, imaginary or complex. Linear Hamiltonian systems may thus display a wide variety of inequivalent types of motion. An exhaustive list of possibilities is given in Ref. [35]. In this paper, we restrict ourselves to systems where M Ω is positive semidefinite. Even though this condition considerably limits the forms the normal modes may take, we shall see that three inequivalent types still need to be distinguished. It can be shown that any linear Hamiltonian system admits a special symplectic basis in which the Hamiltonian takes its normal form [35, 59]. In terms of the momenta p(k) and coordinates q(k) that correspond to this special symplectic basis, the Hamiltonian is a direct sum of simple terms, each of which belongs to one of the families listed in Ref. [35]. Note that many of those types of irreducible terms depend on not just one but two or more pairs of canonical momenta and coordinates. Here we consider Hamiltonians that are positive semidefinite, for which the possibilities are more limited. Indeed, we have verified that for all but three types, the irreducible term cannot be positive semidefinite by finding 53 a counterexample where the term takes a negative value. The only three exceptions, which are positive semidefinite, are 1 2 Hpos k (p(k), q(k)) = Hspec Hiner (p(k), q(k)) = 0 1 2 (p(k), q(k)) = k k ωk(p2 (k) + q2 (k)) p2 (k), (A.13a) (A.13b) (A.13c) where in Eq. (A.13a), ωk > 0; a term of this type is in fact positive definite. We introduce the names positive, special zero and inertial zero respectively for the three types of terms that may appear in the normal form of a positive-semidefinite Hamiltonian. By Hamilton's equations, p(k) = −∂H/∂q(k) and q(k) = ∂H/∂p(k), the three types of terms correspond to the following types of motion: q(k) = ωkp(k)  p(k) = −ωkq(k)  p(k) = 0  p(k) = 0 q(k) = p(k). q(k) = 0 positive: special zero: inertial zero: (A.14a) (A.14b) (A.14c) It follows immediately from Eqs. (A.8b) and (A.11) that Eq. (A.14a) corresponds to a positive normal mode (3) with ω = ωk, that Eq. (A.14b) corresponds to a special zero normal mode (5) and that Eq. (A.14c) corresponds to a inertial zero normal mode (7), as defined in Sec. II, if we set (ui 2) = (Ωijw1(k)j, Ωijw2(k)j). An important practical consequence of the fact that the normal modes of a Hamiltonian system form a symplectic basis is that we have 1, ui a direct expression (A.8a) for the decomposition of an arbitrary state vector into a linear combination of the normal modes. While the special zero normal mode (5) can be interpreted as the ω → 0 limit of the positive normal mode (3), the inertial zero normal mode (7) is fundamentally different. One might interpret it as the ω → 0 limit of M u1 = u2 M u2 = −ω2 u1, √ which for ω > 0 is equivalent to Eq. (3) if one sets u1 = u1/ (A.15) ω and u2 = √ ωu2. 54 Notice that even if the original dynamical variables xi represent canonical momenta and coordinates (which is not necessary), the special canonical momenta p(k) and canonical coordinates q(k) of the normal form are still, in principle, linear combinations of all of the xi. There is thus no guarantee that p(k) is a linear combination of the original momenta, or that q(k) is a linear combination of the original coordinates, unless the system is of the special form discussed in Subsec. A. 2. 2. Harmonically coupled point masses The variety in the types of dynamics that linear Hamiltonian systems display (see Sub- sec. A. 1 and Ref. [35]) may seem surprising. Such variety is not seen in the archetypal example of a linear Hamiltonian system, a collection of point masses coupled by harmonic springs, for which it is obvious how the normal-mode problem can be cast in the form of a SDGEP. We shall see that this type of system is considerably simplified by the special structure of its Hamiltonian, which is not present in all linear Hamiltonian systems. We discuss the system of coupled oscillators here to show how it is special and to explain why the most common method for solving the normal-mode problem cannot be used in the more (cid:80) general case discussed in Sec. II and Subsec. A. 1. 2pi(S−1)ijpj +(cid:80) The Hamiltonian of a system of harmonically coupled point masses is given by H = 1 2qiDijqj, where D is the force-constant matrix and S is the mass matrix. The matrix S is positive definite; both matrices are symmetric. In the simplest case, 1 i,j i,j we have S = mIn, where m is the mass of a single particle. The variables pi and qi represent the momentum and the displacement of particle i = 1, . . . , n. (In multidimensional systems, we let i represent the spatial direction as well as the particle index; this does not affect the mathematical structure.) If we write the state of the system as a single vector  ∈ R2n,  p q x =  S−1 0 0 D  . H = 55 the matrix Ω takes its standard form (A.4), since the variables pi and qi form a canonical system. The Hamiltonian takes the form (A.9) if we set (A.16) (A.17) Notice that H is block diagonal: the Hamiltonian does not contain any terms that couple coordinates to momenta. The equation of motion is given by  = M  p q  =  p q  0 −D S−1 0  p q  , where we have used Eq. (A.12). The structure of Eq. (A.18) is such that we can derive equations of motion for the momenta and for the coordinates separately. For the coordinates, we have (A.19) The fundamental solutions of this equation may be found by calculating the eigenvectors q∗, which satisfy S−1Dq∗ = λq∗. This equation is equivalent to the SDGEP q = S−1 p = −S−1Dq. Dq∗ = λSq∗. (A.20) If we assume that the Hamiltonian is positive semidefinite, so that the the classification of Subsec. A. 1 is applicable, then D must also be positive semidefinite. We have that λ ≥ 0, and the vector pair (A.18) (A.21)  Sq∗  , 0   0 q∗ (u1, u2) = √ satisfies Eq. (A.15) with ω = λ. If ω > 0, this is a positive normal mode (3); if ω = 0, it is a inertial zero normal mode (7). Notice that special zero normal modes (5) do not occur in a system of coupled point masses. We see that the normal-mode problem of a system of coupled point masses can be reduced to the SDGEP, as is well known. The same is true for the normal-mode problems of the wave equation or in elasticity theory, which have a similar mathematical structure (and are in a sense continuum analogues of systems of harmonically coupled masses). However, the same reduction cannot be applied to arbitrary linear Hamiltonian systems. What makes the system of coupled point masses special is that a) there is a natural system of canonical variables (the momenta and displacements of the individual masses); b) in this canonical system, the Hamiltonian is the sum of a kinetic-energy term, which depends only on the momenta, and a potential-energy term, which depends only on the coordinates; and c) the kinetic-energy term is positive definite. As for the spin system, while it is not hard to construct a system of canonical momenta and coordinates (condition a; see Ref. [41]), in this 56 system the Hamiltonian generally does not separate into a kinetic-energy and a potential- energy part (condition b), especially if the equilibrium configuration is not collinear. One might remark that if the Hamiltonian is positive semidefinite a system of momenta and coordinates that satisfies condition b must exist: such a system is a by-product of the solution of the normal-mode problem (see Subsec. A. 1). The issue, of course, is that we do not know this system when we start. Moreover, the kinetic-energy term is not guaranteed to be positive definite (condition c) unless the Hamiltonian is positive definite. Section IV presents a way in which the normal-mode problem of any linear Hamiltonian system can be reduced to the HDGEP, provided that its Hamiltonian is positive semidefinite. 3. General Hamiltonian systems In this Subsection, we generalize the approach of Subsec. A. 1 in two ways. First, we allow the Hamiltonian system to be nonlinear. Second, we no longer require that the matrix Ω defining the Poisson bracket at x = 0 is invertible. In the language of symplectic geometry, the latter generalization means that the Hamiltonian system may be defined on a Poisson manifold rather than a symplectic manifold. While any symplectic manifold is also a Poisson manifold, the converse is not true. The spin system in Cartesian coordinates (see Sec. III) is an important example. We shall show that even under these relaxed conditions, linearization of the equation of motion of a general Hamiltonian system near an equilibrium point xi = 0 results in a linear Hamiltonian system. In particular, we shall show that the matrix M Ω (see Sec. II) is symmetric. Moreover, we show that (cid:104)M Ω(cid:105) can be interpreted as the Hessian matrix at the equilibrium point of the restriction of the Hamiltonian function to the symplectic leaf that contains x = 0. This implies that (cid:104)M Ω(cid:105) is indeed guaranteed to be positive semidefinite, as we require, provided that we linearize at a constrained local minimum of the Hamiltonian. We fix a nonsingular local system of variables x1, . . . , xm in such a way that xi = 0 is an equilibrium point. In this system of variables, we expand the Hamiltonian H to second order in x as H(x) = H0 − hixi + xiAijxj + O((cid:107)x(cid:107)3), (A.22) for a constant scalar H0 = H(0), vector hi = −∂H/∂xix=0, and symmetric matrix Aij = ∂2H/(∂xi∂xj)x=0. We expand the Poisson bracket to first order as 1 2 {xi, xj} = −Ωij + K ij kxk + O((cid:107)x(cid:107)2). (A.23) 57 The properties of the Poisson bracket (antisymmetry, Jacobi identity) give the following conditions on the coefficients of this expansion: Ωij must be antisymmetric (Ωij = −Ωji); K ij k); and we must have [60] k must be antisymmetric in the first two indices (K ij k = −K ji K ij lΩlk + K jk lΩli + K ki lΩlj = 0. The last condition follows from the Jacobi identity, {xi,{xj, xk}} + {xj,{xk, xi}} + {xk,{xi, xj}} = 0, which holds for any Poisson bracket {·,·}. From Eq. (A.23), we get {xi,{xj, xk}} = −Ωjk{xi, 1} + K jk lΩli + O((cid:107)x(cid:107)). = K jk l{xi, xl} + {xi,O((cid:107)x(cid:107)2)} (A.24) (A.25) (A.26) Since this expression holds at any point x, we obtain Eq. (A.24) by collecting the constant parts of the three cyclic permutations of it that appear in Eq. (A.25). Using Eqs. (A.22) and (A.23) and the general properties of Poisson brackets, we derive the equation of motion to first order from the generalized Hamilton equations, xi = {xi,H} = Ωijhj + M i jxj + O((cid:107)x(cid:107)2), where j = −ΩikAkj − K ik M i jhk. (A.27) (A.28) Equation (A.28) may be considered as the equivalent of Eq. (21) for a general Hamiltonian system. Since xi = 0 at xi = 0, we must have Ωijhj = 0. From this fact and Eq. (A.24), we can derive that M Ω is symmetric, as follows. We may write (M Ω)ij = F ij + Gij, where F ij is given by and Gij is given by F ij = −ΩikAklΩlj = ΩkiAklΩlj, Gij = −K ik lhkΩlj = K ki lhkΩlj. (A.29) (A.30) F ij is obviously symmetric (Aij is symmetric). We can see that Gij is symmetric by rewriting lΩlk(cid:1) hk lΩli − K ij (cid:0)K ki K ki lΩlj − K jk 1 2 lΩljhk + 58 it as Gij = = 1 2 1 2 K kj lΩlihk − 1 2 K ij lΩlkhk, (A.31) where we have used Eq. (A.24). If x = 0 is an equilibrium position, Eq. (A.27) implies Ωijhj = 0 and the last term vanishes. The other two terms together are explicitly symmetric under i ↔ j. Except for the fact that Ω is not necessarily invertible, we could conclude from the symmetry of M Ω that the linearization xi = M i jxj of a general Hamiltonian system near an equilibrium point is a linear Hamiltonian system in the sense of Subsec. A. 1. To be explicit, the matrix Ω of this linear Hamiltonian system is defined, according to Eq. (A.23), by Ωij = −{xi, xj}x=0 = {xj, xi}x=0, (A.32) which is the value of the Poisson bracket between xj and xi at x = 0, while the symmetric matrix M Ω is given by (M Ω)ij = −ΩikAklΩlj − K ik lhkΩlj. (A.33) Since Ω is antisymmetric, its rank is always even. We write rank(Ω) = 2n. If m > 2n (Ω is not invertible), we can make Ω invertible by interpreting the matrices Ω and M Ω as restricted to the 2n-dimensional image space of Ω. In the notation of Sec. II, we get (cid:104)Ω(cid:105) and (cid:104)M Ω(cid:105). We may do this because the image space of M Ω is contained in the image space of Ω. Thus, the matrices (cid:104)M Ω(cid:105) and (cid:104)Ω(cid:105) together define a proper linear Hamiltonian system. Our method for the normal-mode problem requires that (cid:104)M Ω(cid:105) be positive semidefinite (see Sec. IV). We can show that it is if x = 0 is a (constrained) local minimum of the Hamilto- nian H. For simplicity, we first consider the case m = 2n (Ω is invertible). If Ω is invertible, we have h = 0, so that M Ω = −ΩAΩ = ΩTAΩ. Evidently, M Ω is positive (semi)definite if and only if A, the Hessian matrix of H, is positive (semi)definite. Consequently, if x = 0 is a local minimum of H, then M Ω is positive semidefinite. For m > 2n, the dynamical matrix (A.28) is no longer determined only by the Hessian matrix A of H; there is an additional h-dependent term, which is essential. We shall see that the matrix (cid:104)M Ω(cid:105) can be interpreted as the Hessian matrix of the restriction of the Hamiltonian function H to a certain 2n-dimensional submanifold containing x = 0. For example, while the Hamiltonian H = −m·z has no local minimum on R3, is has a constrained c=1 = {m ∈ R3 : (cid:107)m(cid:107) = 1}. For positive semidefiniteness minimum at m = z on the set S2 of (cid:104)M Ω(cid:105) we do not require that x = 0 be an actual local minimum of H; it is sufficient that x = 0 be a constrained local minimum on this submanifold. To define the relevant 59 submanifold in a general setting, it is necessary to use some elements from the theory of symplectic structures and Poisson structures [40]. In a symplectic manifold, any point (that is, any state of the system) may be reached from any other point by following the trajectory generated by a suitably chosen Hamilto- nian function H, or a finite sequence of such trajectories. In a Poisson manifold, this is not necessarily the case. However, by the splitting theorem on Poisson manifolds [40], a Poisson manifold can be divided into equivalence classes of points for which this is possible. These equivalence classes are symplectic submanifolds of the Poisson manifold and are called sym- plectic leaves. Two points of a Poisson manifold are in the same symplectic leaf if one can get from one point to the other through a finite sequence of trajectories induced by Hamiltonian functions. For example, consider a conservative spin system (see Sec. III) with a single spin m ∈ R3, which is governed by the equation of motion m = m × ∇H. Since this equation conserves (cid:107)m(cid:107), a spin in position m = z will never end up in position m = 1 2 z, regardless of the choice of H. However, it may at some point in time reach m = y, for instance if the Hamiltonian is given by H = m · x. Thus, the Poisson manifold of the conservative single-spin system (that is, R3 equipped with the spin Poisson bracket; see Sec. III) splits into symplectic leaves of the form S2 c = {m ∈ R3 : (cid:107)m(cid:107) = c} for c ≥ 0. It can be shown that the 2n-dimensional symplectic leaf containing the equilibrium point x = 0 can locally be parametrized by a vector vi, which we require to lie in the image space of Ωij, as xi = −Ωijvj − 1 2 kΩklvjvl + O((cid:107)v(cid:107)3) K ij (A.34) if we assume that the Poisson bracket of the Poisson manifold is of the form (A.23). By substitution of this expression into (A.22), we find that in terms of v, the Hamiltonian becomes H(v) = H0 + hiΩijvj (cid:0)−ΩikAklΩlj − K ik lhkΩlj(cid:1) vivj + O((cid:107)v(cid:107)3). (A.35) + 1 2 Here we have used that v = O((cid:107)x(cid:107)): the fact that v lies in the image space of Ω guarantees Ωijvj (cid:54)= 0 in Eq. (A.34). If x = 0 is an equilibrium point, the linear term in Eq. (A.35) vanishes (Ωijhj = 0). The matrix of the quadratic term in Eq. (A.35), which is identical to the Hessian matrix of the Hamiltonian H restricted to the symplectic leaf, is identical to 60 (cid:104)M Ω(cid:105) (A.33). (We must write the angular brackets (cid:104)·(cid:105) here because v was assumed to lie in the image space of Ω.) Thus, if x = 0 is a local minimum of H on the symplectic leaf that contains the point x = 0, the matrix (cid:104)M Ω(cid:105) is positive semidefinite and the method presented in Sec. IV can be used. ACKNOWLEDGMENTS We thank A. Secchi, J. H. Mentink, K. Y. Guslienko and O. Eriksson for useful discussions. This work is part of the research programme of the Foundation for Fundamental Research on Matter (FOM), which is part of the Netherlands Organisation for Scientific Research (NWO). [1] W. F. Brown, Micromagnetics (Interscience, New York, 1963). [2] A. Aharoni, Introduction to the Theory of Ferromagnetism, 2nd ed. (Oxford University Press, USA, 2001). [3] For a review see, for example, J. Fidler, R. W. Chantrell, T. Schrefl, and M. Wongsam, in Encyclopedia of Materials: Science and Technology, edited by K. H. J. Buschow, R. W. Cahn, M. C. Flemings, and B. Ilschner (Pergamon, Oxford, 2001) pp. 5642 -- 5651. [4] K. Chen and D. P. Landau, Phys. Rev. B 49, 3266 (1994). [5] B. Skubic, J. Hellsvik, L. Nordstrom, and O. Eriksson, J. Phys.: Condens. Matter 20, 315203 (2008). [6] L. D. Landau and E. M. Lifshitz, Phys. Z. Sowjet. 8, 153 (1935). [7] T. L. Gilbert, IEEE Trans. Magn. 40, 3443 (2004). [8] R. Skomski, "Simple models of magnetism," (Oxford University Press, USA, 2008) Chap. 4, pp. 107 -- 148. [9] T. H. O'Dell, Rep. Prog. Phys. 49, 589 (1986). [10] A. I. Akhiezer, V. G. Baryakhtar, and S. V. Peletminskii, Spin waves (North-Holland, Ams- terdam, 1968). [11] S. V. Vonsovsky, Magnetism, Vol. 2 (Wiley, New York, 1974). [12] A. A. Thiele, Phys. Rev. B 7, 391 (1973). 61 [13] J. S. Helman, H. B. Braun, J. S. Broz, and W. Baltensperger, Phys. Rev. B 43, 5908 (1991). [14] I. Makhfudz, B. Kruger, and O. Tchernyshyov, Phys. Rev. Lett. 109, 217201 (2012). [15] G. Tatara, H. Kohno, and J. Shibata, Phys. Rep. 468, 213 (2008). [16] S. S. P. Parkin, M. Hayashi, and L. Thomas, Science 320, 190 (2008). [17] R. Wieser, E. Y. Vedmedenko, and R. Wiesendanger, Phys. Rev. B 81, 024405 (2010). [18] X. S. Wang, P. Yan, Y. H. Shen, G. E. W. Bauer, and X. R. Wang, Phys. Rev. Lett. 109, 167209 (2012). [19] F. Giesen, J. Podbielski, and D. Grundler, Phys. Rev. B 76, 014431 (2007). [20] F. Montoncello, L. Giovannini, and F. Nizzoli, J. Appl. Phys. 103, 083910 (2008). [21] G. Gubbiotti, M. Madami, S. Tacchi, G. Carlotti, H. Tanigawa, T. Ono, L. Giovannini, F. Mon- toncello, and F. Nizzoli, Phys. Rev. Lett. 97, 247203 (2006). [22] Y. Talbi, P. Djemia, Y. Roussign´e, J. BenYoussef, N. Vukadinovic, and M. Labrune, J. Phys.: Conf. Ser. 200, 072107 (2010). [23] M.-A. B. W. Bolte, G. D. Meier, M. Najafi, and D. P. F. Moller, in Proceedings of the 20th European Conference on Modelling and Simulation, edited by W. Borutzky, A. Orsoni, and R. Zobel (European Council for Modelling and Simulation, 2006) ECMS 2006, May 28-31, 2006, Bonn, Germany. [24] M. Mochizuki, Phys. Rev. Lett. 108, 017601 (2012). [25] R. Arias and D. L. Mills, Phys. Rev. B 63, 134439 (2001). [26] M. Grimsditch, L. Giovannini, F. Montoncello, F. Nizzoli, G. K. Leaf, and H. G. Kaper, Phys. Rev. B 70, 054409 (2004). [27] R. Zivieri and G. Consolo, Adv. Cond. Matter Phys. 2012, 765709 (2012). [28] S. Tacchi, F. Montoncello, M. Madami, G. Gubbiotti, G. Carlotti, L. Giovannini, R. Zivieri, F. Nizzoli, S. Jain, A. O. Adeyeye, and N. Singh, Phys. Rev. Lett. 107, 127204 (2011). [29] S. Tacchi, M. Madami, G. Gubbiotti, G. Carlotti, H. Tanigawa, T. Ono, and M. P. Kostylev, Phys. Rev. B 82, 024401 (2010). [30] L. Giovannini, F. Montoncello, F. Nizzoli, G. Gubbiotti, G. Carlotti, T. Okuno, T. Shinjo, and M. Grimsditch, Phys. Rev. B 70, 172404 (2004). [31] F. Montoncello, L. Giovannini, F. Nizzoli, H. Tanigawa, T. Ono, G. Gubbiotti, M. Madami, S. Tacchi, and G. Carlotti, Phys. Rev. B 78, 104421 (2008). [32] R. Zivieri, F. Montoncello, L. Giovannini, F. Nizzoli, S. Tacchi, M. Madami, G. Gubbiotti, 62 G. Carlotti, and A. O. Adeyeye, Phys. Rev. B 83, 054431 (2011). [33] L. Giovannini, F. Montoncello, and F. Nizzoli, Phys. Rev. B 75, 024416 (2007). [34] R. Zivieri, P. Malag`o, L. Giovannini, S. Tacchi, G. Gubbiotti, and A. O. Adeyeye, J. Phys.: Condens. Matter 25, 336002 (2013). [35] V. I. Arnold, Mathematical Methods of Classical Mechanics, 2nd ed. (Springer, New York, 1989) pp. 381 -- 384. [36] Z. Bai, J. Demmel, J. Dongarra, A. Ruhe, and H. van der Vorst, eds., Templates for the solution of Algebraic Eigenvalue Problems: A Practical Guide (SIAM, Philadelphia, 2000) Chap. 5. [37] A. Knyazev, in Templates for the solution of Algebraic Eigenvalue Problems: A Practical Guide, edited by Z. Bai, J. Demmel, J. Dongarra, A. Ruhe, and H. van der Vorst (SIAM, Philadelphia, 2000) Chap. 11.3. [38] Y. Saad, Iterative Methods for Sparse Linear Systems, 2nd ed. (SIAM, Philadelphia, 2003). [39] S. Labb´e and P.-Y. Bertin, J. Magn. Magn. Mater. 206, 93 (1999). [40] A. Weinstein, J. Diff. Geom. 18, 523 (1983). [41] V. V. Dobrovitski, M. I. Katsnelson, and B. N. Harmon, Phys. Rev. Lett. 90, 067201 (2003). [42] W. Scholz, Scalable Parallel Micromagnetic Solvers for Magnetic Nanostructures, Ph.D. thesis, Fakultat fur Naturwissenschaften und Informatik, TU Wien (2003). [43] M. d'Aquino, C. Serpico, and G. Miano, J. Comp. Phys. 209, 730 (2005). [44] K. S. Novoselov, A. K. Geim, S. V. Dubonos, E. W. Hill, and I. V. Grigorieva, Nature 426, 812 (2003). [45] R. Herz and H. Kronmuller, J. Magn. Magn. Mater. 4, 36 (1977). [46] J. R. Shewchuk, An Introduction to the Conjugate Gradient Method Without the Agonizing Pain, Tech. Rep. (School of Computer Science, Carnegie Mellon University, Pittsburgh, 1994). [47] W. W. Bradbury and R. Fletcher, Numer. Math. 9, 259 (1966). [48] M. Frigo and S. G. Johnson, Proc. IEEE 93, 216 (2005). [49] M. C. Payne, M. P. Teter, D. C. Allan, T. A. Arias, and J. D. Joannopoulos, Rev. Mod. Phys. 64, 1045 (1992). [50] Y. T. Feng and D. R. J. Owen, Int. J. Numer. Methods Eng. 39, 2209 (1996). [51] J. H. Mentink, M. V. Tretyakov, A. Fasolino, M. Katsnelson, and T. Rasing, J. Phys.: Condens. Matter 22, 176001 (2010). 63 [52] A. A. Thiele, Phys. Rev. Lett. 30, 230 (1973). [53] W. Doring, Z. Naturforsch. 3A, 373 (1948). [54] N. L. Schryer and L. R. Walker, J. Appl. Phys. 45, 5406 (1974). [55] J. M. Winter, Phys. Rev. 124, 452 (1961). [56] S. Muhlbauer, B. Binz, F. Jonietz, C. Pfleiderer, A. Rosch, A. Neubauer, R. Georgii, and P. Boni, Science 323, 915 (2009). [57] C. Moutafis, S. Komineas, and J. A. C. Bland, Phys. Rev. B 79, 224429 (2009). [58] N. Burgoyne and R. Cushman, Celest. Mech. 8, 435 (1974). [59] J. Williamson, Amer. J. Math. 58, 141 (1936). [60] C.-S. Liu, K.-C. Chen, and C.-S. Yeh, "J. Mar. Sci. Tech." 17, 228 (2009). 64
1910.03194
2
1910
2019-11-18T06:24:54
Application of Convolutional Neural Network to Quantum Percolation in Topological Insulators
[ "cond-mat.mes-hall", "cond-mat.dis-nn" ]
Quantum material phases such as the Anderson insulator, diffusive metal, and Weyl/Dirac semimetal as well as topological insulators show specific wave functions both in real and Fourier spaces. These features are well captured by convolutional neural networks, and the phase diagrams have been obtained, where standard methods are not applicable. One of these examples is the cases of random lattices such as quantum percolation. Here, we study the topological insulators with random vacancies, namely, the quantum percolation in topological insulators, by analyzing the wave functions via a convolutional neural network. The vacancies in topological insulators are especially interesting since peculiar bound states are formed around the vacancies. We show that only a few percent of vacancies are required for a topological phase transition. The results are confirmed by independent calculations of localization length, density of states, and wave packet dynamics.
cond-mat.mes-hall
cond-mat
a Journal of the Physical Society of Japan LETTERS Application of Convolutional Neural Network to Quantum Percolation in Topological Insulators Tomohiro Mano and Tomi Ohtsuki ∗ Physics Division, Sophia University, Chiyoda-ku, Tokyo 102-8554, Japan Quantum material phases such as the Anderson insulator, diffusive metal, and Weyl/Dirac semimetal as well as topo- logical insulators show specific wave functions both in real and Fourier spaces. These features are well captured by convolutional neural networks, and the phase diagrams have been obtained, where standard methods are not applicable. One of these examples is the cases of random lattices such as quantum percolation. Here, we study the topological insulators with random vacancies, namely, the quantum percolation in topological insulators, by analyzing the wave functions via a convolutional neural network. The vacancies in topological insulators are especially interesting since peculiar bound states are formed around the vacancies. We show that only a few percent of vacancies are required for a topological phase transition. The results are confirmed by independent calculations of localization length, density of states, and wave packet dynamics. Introduction -- Three-dimensional (3D) topological insula- tors (TI)1 -- 3) have been attracting considerable research atten- tion. One of the many interesting features of these materials is the appearance of surface states. These states are protected topologically, namely, they appear because the topology of quantum states in the bulk of the material and that of the vac- uum are different.4) A similar situation is realized when there are spherical or line vacancies in TIs.5, 6) In this case, instead of surface states, bound states emerge around vacancies. The question addressed in this paper is how the bound states are connected when we have many lattice vacancies, and how the system changes with the increase in the density of vacancies. The problem is related to quantum percolation,7 -- 11) where the wave functions on the random lattice begin to be con- nected.12) The main topic studied here is the change in the topological nature of the material by the quantum percolation of bound states. Electron states on random lattice systems are difficult to study, because the conventional methods of using the transfer matrix are not applicable. The scaling analyses of the energy level statistics13) are also difficult, if not impossible,14) owing to a spiky density of states (DoS).11) One way to avoid the difficulty of the transfer matrix is to introduce small but finite transfers in the disconnected bonds, which is applied to the quantum Hall effect.15) Another approach to analyze quantum phase transitions in random lattice TI systems16) is to calcu- late Chern and Z2 numbers.17, 18) Our approach here is to use neural networks.19 -- 29) We have shown in refs.30, 31) that a method based on a convolutional neural network (CNN) is free from the above difficulties and works well in determining the phase diagrams of quantum percolation. In contrast to the study of the DoS where all the information of the energy spectrum is obtained, our approach here is to focus on the eigenstates closest to E = 0, which makes it easier and more efficient to draw a global phase di- agram. In this paper, we adopt the idea of using a CNN to draw the phase diagram of percolative 3D topological insula- tors (3DTIs) in site occupation probability vs gap parameter ∗[email protected] 1 space (see Fig. 2). The results are confirmed by the calcula- tion of the quasi-one-dimensional (Q1D) localization length via the iterative Green's function method,32) the DoS via the kernel polynomial method (KPM),33) as well as wave function dynamics based on the equation of motion method.34, 35) Models and Methods -- We consider the following Wilson -- Dirac-type tight-binding Hamiltonian on a cubic lattice,36, 37) x + eµiαµhx − m2 2 x + eµi βhx + H.c.# H =Xx Xµ=x,y,z V x+eµ, x" it + (m0 + 3m2)Xx 2 xi βhx, (1) where xi denotes a four-component state on a site x = (x, y, z), and eµ is a unit vector in the µ-direction. αµ and β are gamma matrices, αµ = τx ⊗ σµ = 0 σµ σµ 0 ! , β = τz ⊗ 12 = 12 0 0 −12! , (2) where σµ and τµ are Pauli matrices. m0 is the mass parameter, and m2 and t are hopping parameters. In the rest of this paper, we take m2 = 1 as the energy unit and set t = 2. The parameter V x+eµ, x is defined as V x+eµ, x = ( 1 0 (for connected bond) , (for disconnected bond) . (3) Namely, V x+eµ, x = 1 if and only if the nearest-neighbor sites x and x + eµ are connected. We assumed that a site is randomly occupied with probability P and empty with probability 1 − P, so that the Hamiltonian describes the site percolation model in 3DTIs. When all the sites are occupied, P = 1, we can analytically determine the phases:38, 39) the system is in the ordinary insulator (OI) phase for m0 > 0, the strong topolog- ical insulator [STI(000)] phase for 0 > m0 > −2, the weak topological insulator [WTI(111)] phase for −2 > m0 > −4, and the STI(111) phase for −4 > m0 > −6. The indices such as (000) and (111) are the weak indices.40) Typical eigenfunctions at E ≃ 0 are shown in Fig. 1. We fixed m0 = −2.5 so that the system is in the WTI(111) phase in the clean limit, P = 1. The fixed boundary condition (FBC) J. Phys. Soc. Jpn. LETTERS is imposed in the z direction, while periodic boundary condi- tions (PBCs) are imposed in x and y directions. For P = 0.99, the gapless surface state appears in the x − y plane [Fig. 1(a)] as in the clean limit. When we reduced the site occupancy to P = 0.97, the surface states disappear, and the wave func- tion is extended over the whole system [Fig. 1(b)]. Note that the surface state is destroyed by only 3% site vacancies de- spite the robustness of topological materials against disorder. When we further reduced the site occupancy to P = 0.90, the surface state reappears [Fig. 1(c)]. Fig. 1. (Color) Typical eigenfunctions of quantum percolation in 3DTI at m0 = −2.5 with different site occupancies.The gapless surface state appears at P = 0.99 (a) and P = 0.90 (c), but is destroyed at P = 0.97 (b). We first derive a rough phase diagram of quantum perco- lation in 3DTI. We adopt supervised learning with the CNN, which is an efficient machine learning approach to draw phase diagrams of random electron systems.19, 20, 30, 31) In the supervised training, we need a correctly labeled data set (training data) in advance to optimize the weight param- eters of the CNN. Without prior knowledge of quantum per- colation in 3DTI, however, preparing enough training data for each phase is difficult. We therefore use the weight parameters of the CNN that has learned the features of k-space eigenfunc- tions of the 3DTI with random on-site potential,31) where the lattice is regular (V x+eµ, x ≡ 1), but the random on-site poten- tial xi V( x) I4hx , (4) Xx is added with V( x) random potentials. Because of the gener- alization capability of the CNN, we expect it to determine the correct phases for the unlabeled data set (the wave functions of the 3DTI with vacancies).30, 31) An analogy of this approach is that the CNN trained for the Anderson transition with ran- dom on-site potential can correctly identify quantum phases in quantum percolation.30) To train the CNN, we need to prepare the k-space eigen- functions with varying m0 and random potential.20, 31) We con- sider the 24×24×24 lattice with the FBC in the z direction and the PBC in the other directions, diagonalize the Hamiltonian in real space, calculate the eigenfunctions around E = 0 using the sparse matrix diagonalization Intel MKL/FEAST,41) and obtain the k-space eigenfunctions through discrete Fourier transform. Random numbers are generated by the Mersenne Twister algorithm.42) We also study the Q1D localization length for the detailed analysis. As mentioned in the introduction, in the quantum percolation, the transfer matrix method, an effective method for the case of a regular lattice, is not applicable since a ma- trix relating one layer to the other has zero determinant owing to disconnected bonds.31) In this paper, we employ the itera- tive Green's function method32) and calculate the Q1D local- ization length in the geometry L × L × Lz, Lz ≫ L with PBCs in the transverse (x and y) directions. (A similar geometry is employed in the case of wave packet dynamics simulation.) For numerical simulation, the system size in the z direction is truncated at Lz = 100, 000. We shifted the energy slightly from the band center, E = 0 to E = 0.001, to avoid the nu- merical instability of the inverse matrix calculation. Note that if all the clusters that include sites of the first layer are dis- connected at z < Lz, the Green's function method also breaks down. In practice, this situation does not occur because the parameter regime studied here is well above the classical per- colation threshold Pc ≈ 0.312.8, 43) In the case of DoS calculation, cubic systems of size L = 160 with PBCs are considered. Results -- In Fig. 2, we show the phase diagram of quantum percolation in the 3DTI obtained by the CNN. The abscissa shows the mass parameter m0, while the ordinate is the site oc- cupancy P. From this figure, we see that as the site occupancy P decreases, namely, the disorder increases, the absolute value of the topological mass m0 + 3 effectively increases by the renormalization of m0. The STI(000) and the STI(111) phases are therefore in contact with each other around m0 = −3, and the diffusive metal (DM) phase appears around there since topologically different phases cannot be connected continu- ously. In the case of random on-site potential, in contrast, the renormalized mass m0 + 3 decreases with the increase in dis- order, resulting in the transitions from the OI phase to the STI phase and from STI to WTI (so-called topological An- derson insulator transition44 -- 47)). The difference between our case and that of the random on-site potential indicates that the role of lattice vacancy disorder is qualitatively different. We also emphasize that the transition from the WTI(111) phase to the STI phase occurs with less than 5% lattice vacancies, despite the common belief that the topological phase is robust against randomness. Fig. 2. (Color) Phase diagram of quantum percolation in 3D topological in- sulator. The CNN outputs the confidence values POI, PW111, PS000, PS111, and PDM that the wave function belongs to OI, WTI(111), STI(000), STI(111), and DM, respectively, and the intensity 0 × POI + 1 × PW111 + 2 × PS000 + 3 × PS111 + 4 × PDM is plotted. Sample-to-sample fluctuations are confirmed to be small, so the sample average is not taken. Since the above phase diagram shows unexpected fea- tures, we verify the phase boundaries by calculating the Q1D localization length ξQ1D via the iterative Green's func- tion method.32) Figure 3 shows the normalized localization 2 J. Phys. Soc. Jpn. LETTERS bound states around the vacancies during the transport pro- cess.53, 54) From the time dependence of the peak positions, we evaluate the speed v of the ballistic transport and obtain (a) va = ta/ = 2 × m2a/ (a being the lattice constant) and (b) vb = 1.15 × m2a/. The ratio α of the renormalized ve- locity to the bare one, α = vb/va, is estimated to be 0.575. We also estimate the curvatures of the DoSs around E = 0 by fitting the DoS with a quadratic polynomial in the vicinity of E = 0, and obtain (a) ρa ≈ 0.0103 E2 and (b) ρb ≈ 0.0536 E2. From ρ ∝ E2/v3, we estimate α′ = (ρa/ρb)1/3 = 0.577, in good agreement with the estimate of the ballistic velocity ra- tio α. We therefore conclude that the parabolic DoSs inside the minibands [e.g., Fig. 4(f)] are formed by a DSM. Summary and concluding remarks -- In this paper, we have shown that a small amount of vacancies induces a topological phase transition. For example, a weak topological insulator undergoes topological phase transition and becomes a strong topological insulator with only a few percent of vacancies. A few percent of vacancies, at first sight, may sound very small. Around a vacancy, however, a bound state on the order of 10 sites is formed. Such bound states therefore fill a significant amount of sites even for a few percent of vacancies, resulting in metallic states that spread over the system [see Fig. 1(b)]. We emphasize that the vacancy-driven topological transition is in sharp contrast to the case of on-site random potential, where the topological phase transition does not occur as long as the bulk band gap remains. Note also that contrary to the present problem, in the case of on-site potential, the strong-to- weak topological insulator and the ordinary-to-strong topo- logical insulator transitions take place with the increase in randomness. The Hamiltonian considered here preserves the particle- hole symmetry even in the presence of vacancies, i.e., CHC−1 = −HT , C = τy ⊗ σy , C = CT , and belongs to the class DIII.55 -- 57) Furthermore, we have an additional sublattice symmetry for m0 = −3m2, where the last term in Eq. (1) van- ishes. The latter symmetry is rather artificial, since this term may fluctuate and deviate from 0 in actual materials, and some features of the phase diagram such as symmetry around m0 = −3m2 will not be observed in real materials. The sensitiv- ity of topological phases against vacancies, however, remains even in the presence of site-to-site fluctuation of 3m2. We have confirmed that changing the last term in Eq. (1), (m0 + 3m2)Px xi βhx, to P x(m0 + m( x)/2) xi βhx with m( x)/m2 given by the number of bonds connected to the site x breaks the symmetry of the phase diagram around m0 + 3m2, but the overall features of the phase diagram remain unchanged. The addition of on-site randomness, Eq. (4), with V( x) indepen- dently and uniformly distributed in [−W/2, W/2], derives the system to the Wigner -- Dyson symplectic class AII,56 -- 60) but as long as W is small, say W = 0.5, the features of the phase boundaries remain almost unchanged. Acknowledgement The authors would like to thank Dr. Koji Kobayashi for useful discussions. This work was partly supported by JSPS KAKENHI Grant Nos. JP15H03700, JP17K18763, 16H06345, and 19H00658. Fig. 3. (Color) Normalized localization length Λ as a function of P for m0 = −1.5, −2.2, and −2.4. The size of the cross section is L = 8. The peak of the localization length indicates the phase boundary where the states are (semi)metallic and show a larger localization length. We slightly shift the energy to E = 0.001 from E = 0. We have confirmed that the peak position is insensitive to the small shift by examining the case for E = 0.0001. length48 -- 50) Λ = ξQ1D/L as a function of P for m0 = −1.5, −2.2, and −2.4. We see that for each m0, the peak of the localization length is located at the phase boundary in Fig. 2. At m0 = −2.4, for example, the localization length exhibits two peaks around P = 0.97 and P = 0.56, corre- sponding to the phase boundary of WTI(111)-STI(000) and that of STI(000)-OI, respectively (Fig. 2). This increase in Q1D localization length at the phase boundary is consistent with the well-known fact that Dirac semimetals (DSMs) con- tinue to exist at the topological phase boundary even in disor- dered systems.51, 52) To further understand the nature of the topological phase transition due to vacancies, we also study the DoS using the KPM.33) The DoSs in the clean limit, P = 1, at m0 = −1.5, −2.0, and −2.5 are shown in Figs. 4(a)-4(c), respec- tively. The case m0 = −2.0 (b) corresponds to the phase boundary between the STI(000) and the WTI(111) phases, on which the system is a DSM. The linear energy dispersion around E = 0 leads to a parabolic DoS, as is clearly seen in Fig. 4(b). The DoSs with 4% lattice vacancies, P = 0.96, with the same m0's are shown in Figs. 4(d)-4(f). When lattice vacan- cies are present, the bound states appear around them, and these bound states form minibands inside the original band gap. In Fig. 4(f), the miniband shows a parabolic DoS in the vicinity of E = 0 (see the inset), which is consistent with the phase diagram: the parabolic DoS appears for the parameter set (m0, P) = (−2.5, 0.96), which is on the phase boundary in Fig. 2. In the following, we show that this parabolic DoS in the miniband comes from DSM states on the phase boundary. A DSM is characterized by its ballistic transport. To con- firm this, we employ the Chebyshev polynomial expansion for the time-evolution operator34) U(∆t) = exp(−iH∆t), and study the wave packet dynamics in the Q1D geometry. The results for two parameter sets corresponding to the phase boundaries, (a) (m0, P) = (−2.0, 1.0) and (b) (m0, P) = (−2.3, 0.975), are shown in Fig. 5. The ballistic transport in the absence of disorder [Fig. 5(a)] survives even in the pres- ence of randomness [Fig. 5(b)]. The decay of the wave packet in Fig. 5(b) is because part of the wave packet is trapped by the 3 J. Phys. Soc. Jpn. LETTERS Fig. 4. DoSs for P = 1 [(a)-(c)] and P = 0.96 [(d)-(f)] calculated by the KPM with the system size 160 × 160 × 160. In the presence of lattice vacancies (d)-(f), the minibands formed by the bound states around vacancies appear inside the bulk gap. At the WTI(111)-STI(000) phase boundary (f), quadratic energy dependence is observed in the vicinity of E = 0 (see inset). 11) L. Ujfalusi and I. Varga: Phys. Rev. B 91 (2015) 184206. 12) T. Makiuchi, M. Tagai, Y. Nago, D. Takahashi, and K. Shirahama: Phys. Rev. B 98 (2018) 235104. 13) B. I. Shklovskii, B. Shapiro, B. R. Sears, P. Lambrianides, and H. B. Shore: Phys. Rev. B 47 (1993) 11487. 14) A. Kaneko and T. Ohtsuki: Journal of the Physical Society of Japan 68 (1999) 1488. 15) I. A. Gruzberg, A. Klumper, W. Nuding, and A. Sedrakyan: Phys. Rev. B 95 (2017) 125414. 16) R.-L. Chu, J. Lu, and S.-Q. Shen: EPL (Europhysics Letters) 100 (2012) 17013. 17) A. Agarwala and V. B. Shenoy: Phys. Rev. Lett. 118 (2017) 236402. 18) I. Sahlberg, A. Weststrom, K. P oyhonen, and T. Ojanen: arXiv:1902.01623 (2019). 19) T. Ohtsuki and T. Ohtsuki: Journal of the Physical Society of Japan 85 (2016) 123706. 20) T. Ohtsuki and T. Ohtsuki: Journal of the Physical Society of Japan 86 (2017) 044708. 21) P. Broecker, J. Carrasquilla, R. G. Melko, and S. Trebst: Scientific Re- ports 7 (2017) 8823. 22) J. Carrasquilla and R. G. Melko: Nature Physics 13 (2017) 431. 23) Y. Zhang and E.-A. Kim: Phys. Rev. Lett. 118 (2017) 216401. 24) Y. Zhang, R. G. Melko, and E.-A. Kim: Phys. Rev. B 96 (2017) 245119. 25) N. Yoshioka, Y. Akagi, and H. Katsura: Phys. Rev. B 97 (2018) 205110. 26) E. P. van Nieuwenburg, Y.-H. Liu, and S. D. Huber: Nature Physics 13 (2017) 435. 27) P. Zhang, H. Shen, and H. Zhai: Phys. Rev. Lett. 120 (2018) 066401. 28) H. Araki, T. Mizoguchi, and Y. Hatsugai: Phys. Rev. B 99 (2019) 085406. 29) D. Carvalho, N. A. Garc´ıa-Mart´ınez, J. L. Lado, and J. Fern´andez- Rossier: Phys. Rev. B 97 (2018) 115453. 30) T. Mano and T. Ohtsuki: Journal of the Physical Society of Japan 86 (2017) 113704. 31) T. Ohtsuki and T. Mano: arXiv:1909.09821 (2019). 32) T. Ando and H. Aoki: Journal of the Physical Society of Japan 54 (1985) 2238. 33) A. Weisse, G. Wellein, A. Alvermann, and H. Fehske: Rev. Mod. Phys. 78 (2006) 275. 34) R. Kosloff: Annual Review of Physical Chemistry 45 (1994) 145. 35) T. Ohtsuki and T. Kawarabayashi: Journal of the Physical Society of Japan 66 (1997) 314. 36) C.-X. Liu, X.-L. Qi, H. Zhang, X. Dai, Z. Fang, and S.-C. : Phys. Rev. B 82 (2010) 045122. 37) S. Ryu and K. Nomura: Phys. Rev. B 85 (2012) 155138. 38) K.-I. Imura, M. Okamoto, Y. Yoshimura, Y. Takane, and T. Ohtsuki: (Color) Time evolution of wave packet Fig. 5. in the Q1D geometry with cross section of 20 × 20. The parameter sets are (a) (m0, P) = (−2.0, 1.0) and (b) (m0, P) = (−2.3, 0.975). We plot the probability den- sity f (z, t) = R dxdy ψ(x, y, z, t)2 of a wave packet ψ(x, y, z, t). The snap- shots in (a) are for t = 0, 100, 200, 300(/m2 ), while those in (b) are for t = 0, 100, 200, 300, 400, 500(/m2). The initial Gaussian wave packet is pre- pared at z = 0. Averaging over five samples has been performed. Amplitudes near z = 0 in (b) are the remains of ballistic wave packets. 1) M. Z. Hasan and C. L. Kane: Rev. Mod. Phys. 82 (2010) 3045. 2) X.-L. Qi and S.-C. Zhang: Reviews of Modern Physics 83 (2011) 1057. 3) Y. Ando: Journal of the Physical Society of Japan 82 (2013) 102001. 4) J. E. Moore: Nature 464 (2010) 194. 5) W.-Y. Shan, J. Lu, H.-Z. Lu, and S.-Q. Shen: Phys. Rev. B 84 (2011) 035307. 6) K.-I. Imura and Y. Takane: Phys. Rev. B 87 (2013) 205409. 7) S. Kirkpatrick and T. P. Eggarter: Phys. Rev. B 6 (1972) 3598. 8) A. Sur, J. L. Lebowitz, J. Marro, M. H. Kalos, and S. Kirkpatrick: Jour- nal of Statistical Physics 15 (1976) 345. 9) G. Schubert, A. Weisse, G. Wellein, and H. Fehske: inHQS@HPC: Comparative numerical study of Anderson localisation in disordered electron systems, ed. A. Bode and F. Durst (Springer Berlin Heidelberg, Berlin, Heidelberg, 2005), pp. 237 -- 249. 10) A. Aharony and D. Stauffer: Introduction To Percolation Theory: Re- vised Second Edition (Taylor & Francis, London, 1994). 4 J. Phys. Soc. Jpn. LETTERS Phys. Rev. B 86 (2012) 245436. 39) K. Kobayashi, Y. Yoshimura, K.-I. Imura, and T. Ohtsuki: Phys. Rev. B 49) J.-L. Pichard and G. Sarma: J. Phys. C14 (1981) L127. 50) B. Kramer and A. MacKinnon: Reports on Progress in Physics 56 92 (2015) 235407. (1993) 1469. 40) L. Fu and C. L. Kane: Phys. Rev. B 76 (2007) 045302. 41) E. Polizzi: Phys. Rev. B 79 (2009) 115112. 42) M. Matsumoto and T. Nishimura: ACM Trans. Model. Comput. Simul. 51) K. Kobayashi, T. Ohtsuki, K.-I. Imura, and I. F. Herbut: Phys. Rev. Lett. 112 (2014) 016402. 52) S. V. Syzranov, V. Gurarie, and L. Radzihovsky: Phys. Rev. B 91 (2015) 8 (1998) 3. 035133. 43) J. Wang, Z. Zhou, W. Zhang, T. M. Garoni, and Y. Deng: Phys. Rev. E 87 (2013) 052107. 44) J. Li, R.-L. Chu, J. K. Jain, and S.-Q. Shen: Phys. Rev. Lett. 102 (2009) 136806. 45) C. W. Groth, M. Wimmer, A. R. Akhmerov, J. Tworzydło, and C. W. J. 53) K. Kobayashi, M. Wada, and T. Ohtsuki: unpublished . 54) Koji Kobayashi, private communications. 55) M. R. Zirnbauer: Journal of Mathematical Physics 37 (1996) 4986. 56) A. Altland and M. R. Zirnbauer: Phys. Rev. B 55 (1997) 1142. 57) A. P. Schnyder, S. Ryu, A. Furusaki, and A. W. W. Ludwig: Phys. Rev. Beenakker: Phys. Rev. Lett. 103 (2009) 196805. B 78 (2008) 195125. 46) H.-M. Guo, G. Rosenberg, G. Refael, and M. Franz: Phys. Rev. Lett. 58) E. P. Wigner: Mathematical Proceedings of the Cambridge Philosophi- 105 (2010) 216601. cal Society 47 (1951) 790. 47) K. Kobayashi, T. Ohtsuki, and K.-I. Imura: Physical Review Letters 110 (2013) 236803. 48) A. MacKinnon and B. Kramer: Phys. Rev. Lett. 47 (1981) 1546. 59) F. J. Dyson: Journal of Mathematical Physics 3 (1962) 140. 60) F. J. Dyson: Journal of Mathematical Physics 3 (1962) 1199. 5
1703.08962
2
1703
2017-08-31T11:00:55
Entropy spikes as a signature of Lifshitz transitions in the Dirac materials
[ "cond-mat.mes-hall" ]
We demonstrate theoretically that the characteristic feature of a 2D system undergoing $N$ consequent Lifshitz topological transitions is the occurrence of spikes of entropy per particle $s$ of a magnitude $\pm \ln 2/(J-1/2)$ with $2 \leq J \leq N$ at low temperatures. We derive a general expression for $s$ as a function of chemical potential, temperature and gap magnitude for the gapped Dirac materials. Inside the smallest gap, the dependence of $s$ on the chemical potential exhibits a dip-and-peak structure in the temperature vicinity of the Dirac point. The spikes of the entropy per particles can be considered as a signature of the Dirac materials. These distinctive characteristics of gapped Dirac materials can be detected in transport experiments where the temperature is modulated in gated structures.
cond-mat.mes-hall
cond-mat
a Entropy spikes as a signature of Lifshitz transitions in the Dirac materials V.Yu. Tsaran1,+, A.V. Kavokin2,3,+, S.G. Sharapov4,+,*, A.A. Varlamov2,+, and V.P. Gusynin4,+ 1Institut f ur Kernphysik, Johannes Gutenberg Universit at, D-55128 Mainz, Germany 2CNR-SPIN, University "Tor Vergata", Viale del Politecnico 1, I-00133 Rome, Italy 3Physics and Astronomy School, University of Southampton, Highfield, Southampton, SO171BJ, UK 4Bogolyubov Institute for Theoretical Physics, National Academy of Science of Ukraine, 14-b Metrolohichna Street, Kiev 03680, Ukraine *[email protected] +these authors contributed equally to this work ABSTRACT We demonstrate theoretically that the characteristic feature of a 2D system undergoing N consequent Lifshitz topological tran- sitions is the occurrence of spikes of entropy per particle s of a magnitude ± ln 2/(J − 1/2) with 2 ≤ J ≤ N at low temperatures. We derive a general expression for s as a function of chemical potential, temperature and gap magnitude for the gapped Dirac materials. Inside the smallest gap, the dependence of s on the chemical potential exhibits a dip-and-peak structure in the temperature vicinity of the Dirac point. The spikes of the entropy per particles can be considered as a signature of the Dirac materials. These distinctive characteristics of gapped Dirac materials can be detected in transport experiments where the temperature is modulated in gated structures. Introduction Entropy is an important fundamental property of many-body systems. It governs their thermodynamics, heat transfer, ther- moelectric and thermo-magnetic properties. On the other hand, the entropy was always hard to be directly measured exper- imentally. It has been revealed very recently that the entropy per particle, ∂S/∂n, where n is the electron density, can be experimentally studied1. To be more precise, the measured quantity is the temperature derivative of the chemical potential, ∂µ/∂T , which may be extracted by modulating the temperature of the gated structure with a 2D electron gas playing the role of one of the plates of a capacitor. Both derivatives are equal as a consequence of the Maxwell relation s =(cid:18)∂S ∂n(cid:19)T ∂T(cid:19)n = −(cid:18)∂µ . (1) In the theoretical paper2, quite surprisingly, it has been pointed out that in a quasi-two-dimensional electron gas (2DEG) with parabolic dispersion the entropy per electron, s, exhibits quantized peaks at resonances between the chemical potential and size quantization levels. The amplitude of such peaks in the absence of scattering depends only on the subband quantization number and is independent of material parameters, shape of the confining potential, electron effective mass, and temperature. The quantization of entropy per electron was interpreted in2 as a signature of the Lifshitz electronic topological transition3, which in the 2D case is characterised by a discontinuity in the electronic density of states (DOS). The latter is caused by a change of the topological properties, viz. connectivity of the electronic Fermi surface4. Lifshitz transitions widely occur in multi-valley semimetals, doped semiconductor quantum wells, multi-band superconducting systems such as iron-pnictide compounds5 and also in 2D Dirac materials, as we discuss below. In this Report, we analyze theoretically the behavior of the entropy per particle as a function of the chemical potential in a gapped graphene deposited on a substrate and other low-buckled Dirac materials, e.g. silicene and germanene. We show that the entropy per electron in these systems acquires quantized universal values at low temperatures if the chemical potential passes through the edge of consequent gaps. It is a universal property of electronic systems characterised by a step-like behaviour of the density of states. If the chemical potential is resonant to the Dirac point, we find the discontinuity in s at very low temperature. At low but finite temperatures this discontinuity transforms into the combination of a very sharp dip at the negative chemical potential followed by a sharp peak at the positive chemical potential. These predictions offer a new tool for the characterisation of novel crystalline structures. In particular, the very characteristic spikes of entropy that must be relatively easy to observe are indicative of the consequent gaps, in particular due to spin-orbit interaction. We believe that the measurements of the entropy per particle (e.g. following the technique of Ref.1) may reveal hidden peculiarities of the band structure of new materials. Results The link between the discontinuity of the DOS and the quantization of entropy To start with, let us consider an electronic system characterised by a DOS function D(ε) that has a discontinuity. In order to describe Dirac materials specifically, we assume that the DOS is a symmetric function, D(ε) = D(−ε), although this assumption is not essential. We shall assume that the DOS has 2N discontinuities at the points ε = ±∆i and it can be presented in the form D (ε) = f (ε) N ∑ i=1 θ(cid:0)ε2 − ∆2 i(cid:1) . The function f (ε) is assumed to be a continuous even function of energy ε and it may account for the renormalizations due to electron-electron interactions in the system. The case of N = 1 corresponds to a gapped graphene with the dispersion law ε(k) = ±q¯h2v2 F k2 + ∆2 and f (ε) = 2ε/(π¯h2v2 F ), where we have taken into consideration both the valley and spin degeneracy. Here ∆ is the gap, vF is the Fermi velocity, k is the wavevector. The global sublattice asymmetry gap 2∆ ∼ 350 K can be introduced in graphene6 -- 9 if it is placed on top of a hexagonal boron nitride (G/hBN) and the crystallographic axes of graphene and hBN are aligned. law in these materials writes εησ(k) = ±q¯h2v2 The case of N = 2 corresponds to silicene10, germanene11 and other low-buckled Dirac materials12, 13. The dispersion ησ, where η and σ are the valley and spin indices, respectively. Here the valley- and spin-dependent gap, ∆ησ = ∆z − ησ∆SO, where ∆SO is the material dependent spin-orbit gap caused by a strong intrinsic spin-orbit interaction. It has a relatively large value, e.g. ∆SO ≈ 4.2 meV in silicene and ∆SO ≈ 11.8 meV in germanene. The adjustable gap ∆z = Ezd, where 2d is the separation between the two sublattices situated in different planes, can be tuned by applying an electric field Ez. The function f (ε) = ε/(π¯h2v2 F ) is twice smaller than one for graphene, because the first transition in Eq. (2) with i = 1 corresponds to η = σ = ± with ∆1 = ∆SO − ∆z and the second one with i = 2 corresponds to η = −σ = ± with ∆2 = ∆z + ∆SO. F k2 + ∆2 Since the DOS is a symmetric function, instead of the total density of electrons it is convenient to operate with the difference between the densities of electrons and holes (see the Methods) given by n(T,µ, ∆1, ∆2, . . . , ∆N) = 1 4Z ∞ −∞ dεD(ε)(cid:20)tanh ε+ µ 2T − tanh ε− µ 2T (cid:21) , (3) where we set kB = 1. Clearly, n(T,µ) is an odd function of µ and n(T,µ = 0) = 0. The density n in the Dirac materials may be controlled by an applied gate voltage. In what follows we consider the dependence of s on the chemical potential. As it was mentioned above, the entropy per particle is directly related to the temperature derivative of the chemical potential at the fixed density n (see Eq. (1)). The latter can be obtained using the thermodynamic identity (cid:18)∂µ ∂T(cid:19)n = −(cid:18) ∂n ∂T(cid:19)µ(cid:18) ∂n ∂µ(cid:19)−1 T . (4) If the chemical potential is situated between the discontinuity points, ∆i < µ < ∆i+1, and T → 0, one obtains for the first derivative in Eq. (4) (see the Methods) (2) (5) (6) ∂n(T,µ) ∂T = D′(µ) π2T 3 sign(µ), ∆i > 0. On the other hand, at the discontinuity points µ = ±∆J at T → 0, one finds ∂n(T,µ) = ± [D(∆J + 0) − D(∆J − 0)] ∞ Z0 x dx cosh2 x = ± f (∆J) ln 2. ∂T (cid:12)(cid:12)(cid:12)(cid:12)µ=±∆J ∂µ (cid:12)(cid:12)(cid:12)(cid:12)µ=±∆J ∂n(T,µ) One can see that a factor of ln 2 originates from the integration of the derivative of the Fermi distribution (or 1 by the energy. If µ = ±∆J with J < N and T → 0 for the second derivative in Eq. (4), one obtains (see the Methods) 2 tanh z) multiplied = f (∆J) N ∑ i=1 θ(∆2 J − ∆2 i ) = f (∆J)(J − 1/2), (7) 2/8 where the first J − 1 θ functions give J − 1 and the last one gives the 1/2 contribution. Thus, we arrive to the conclusion that the entropy per particle in Dirac materials is s(T → 0,µ = ±∆J) = ± ln 2 J − 1/2 , J = 1, 2, . . . N, (8) while for ∆i < µ < ∆i+1 it vanishes. One can see that the behaviour of entropy per particle for the gapped Dirac systems as a function of chemical potential is analogous to one found in quasi-2DEG with a parabolic dispersion2. This fact allows us to speculate that such universal spikes are related rather to the topological changes of the Fermi surface than to specific form of the spectrum. Gapped Dirac materials In the particular case of a gapped graphene the integral (3) can be done analytically14 + Li2(cid:16)−e− µ+∆ T (cid:17) − Li2(cid:16)−e µ−∆ T (cid:17)  , (9) n(T,µ, ∆) = 2T 2 π¯h2v2 F   ∆ T ln T (cid:17) 1 + exp(cid:16) µ−∆ T (cid:17) 1 + exp(cid:16)− µ+∆ where Li is the polylogarithm function. The derivatives (∂n/∂T )µ and (∂n/∂µ)T are calculated in the Methods, Eqs. (22) and (23). The density of carriers in silicene can be described with use of the formalism developed above for graphene by formally representing silicence as a superposition of two gapped graphene layers characterised by different gaps: n(T,µ, ∆1, ∆2) = 1/2 [n(T,µ, ∆1) + n(T,µ, ∆2)] . Once the carrier imbalance function, n(T,µ, ∆1, ∆2, . . . , ∆N), is found, the entropy per electron can be calculated using Eqs. (1) and (4). In Fig. 1 (a) and (b) we show the dependence s(µ) for graphene and silicene, respectively, for three different values of T . Since the entropy per electron is an odd function of µ, only the region µ > 0 is shown. In the case of silicene we express µ and T in the units of a smaller gap, ∆1. The dependence s(µ) in the vicinity of the second gap, µ = ∆2 = 2∆1 is shown in the insert of Fig. 1 (b) to resolve the spike structure for three temperatures lower than the values on the main plot. Figure 1. The entropy per electron s vs the chemical potential µ > 0, s(−µ) = −s(µ), for three values of temperature. Left panel: (a): Gapped graphene. The chemical potential µ is expressed in the units of ∆; the solid (red) T /∆ = 0.1, dashed (green) T /∆ = 0.25, dash-dotted (blue) T /∆ = 0.5. Right panel: (b): Silicene. µ is in the units of a smaller gap ∆1, the second gap ∆2 = 2∆1; the solid (red) T /∆1 = 0.1, dashed (green) T /∆1 = 0.15, dash-dotted (blue) T /∆1 = 0.2. The vicinity of µ = ∆2 is shown in the insert: the solid (red) T /∆1 = 5 × 10−3, dashed (green) T /∆1 = 1.5 × 10−2, dash-dotted (blue) T /∆1 = 3 × 10−2. The most prominent feature that we find in Fig. 1 (a) and (b) is a sharp peak observed for the chemical potential in the temperature vicinity of the Dirac point, µ ∼ T . If the chemical potential is inside the gap but it is not very close to the Dirac point, T ≪ µ < ∆, and T ≪ ∆ − µ, the entropy per particle in a gapped graphene is s(T,µ, ∆) ≃ sign(µ)(cid:20) ∆ − µ T + 1 + T ∆ + T(cid:21) . (10) 3/8 Near the Dirac point, µ ≪ T ≪ ∆, one finds s(T,µ, ∆) ≃ µ∆ T 2 h1 + O(e−∆/T )i . If the chemical potential crosses the Dirac point at T = 0, the transition from hole-like to electron-like carriers is singular. Eqs. (10) and (11) show how the temperature smears it. The peak inside the gap is mainly due to the specific dependence of the chemical potential on the electron density. Indeed, since s = ∂S(T,µ)/∂n = (∂S(T,µ)/∂µ)(∂µ/∂n), the dependence s(µ) is governed by the sharpest function in the product. The chemical potential grows rapidly at the small density n and then quickly reaches the value µ ≃ ∆, where the derivative ∂µ/∂n becomes small. The peaked behavior of s may be considered as a smoking gun for the gap opening in gapped Dirac materials. Near the Lifshitz transition points: µ = ±∆, we observe that the dependences s(µ) are monotonic functions, so that these points are not marked by spikes. This is typical for any system where DOS has just one discontinuity2. Nevertheless, the entropy per particle quantization rule for graphene s(µ = ±∆) = ±2 ln 2 is fulfilled. One can see that in both panels of Fig. 1, at low temperatures all curves cross each other near this point. The corresponding value s = 2 ln 2 is shown by the dotted line. This numerical result can be confirmed analytically. For T ≪ ∆ we obtain (11) (12) s(T,µ = ∆, ∆) = 2 ln 2 + π2 − 12 ln2 2 3 T ∆ + O(cid:0)T 2(cid:1) . Now we briefly discuss the effect of broadening of the energy levels due to the scattering from static defects. Let us smear the DOS function (2) by convoluting it with the Lorentzian, γ/[π(ω2 + γ2)], where γ is the scattering rate. In the regime γ ≪ T ≪ ∆ one finds s(T,µ = ∆, ∆) = 2 ln 2(cid:20)1 − γ T (cid:18) 1 πln 2 + T ∆(cid:19)(cid:21) . (13) Eq. (13) shows that the universality of the low temperature entropy per particle is broken by the disorder if the mean free path becomes comparable with the thermal diffusion length. The case ∆ = 0 deserves a special attention. In this limit, Eq. (9) acquires a simple form (see the Methods, Eqs. (24) and (25)). For the entropy per particle one finds s(T,µ, 0) =  µ 1 T (cid:16)1 − µ2 6 ln 2(cid:17) , T 2 T µ, T ≪ µ. π2 3 µ ≪ T, (14) It is important to note that the second line of Eq. (14) if multiplied by the factor kB/e yields the Seebeck coefficient for a free electron gas15. Moreover, the general expression for s = −∂µ/∂T , Eq. (25) reproduces the thermal power S that can be extracted from the results based on the Kubo formalism16 that validates the thermodynamic approach of17. The presence of the second gap in silicene and similar materials, ∆2 > ∆1, results in the appearance of the peak in s(µ) ≈ ±2 ln 2/3 near the point µ = ±∆2, as seen in Fig. 1(b). The corresponding value s = 2 ln 2/3 is shown by the dotted line. This peak can be considered as a signature of the second Lifshitz transition which occurs if µ crosses ∆2. Indeed, as it was shown for the quasi-2DEG in2 the peak structure in s(µ) develops only if the number of discontinuities in the DOS, N ≥ 2. Thus, these perspective Dirac materials, where the spin orbit interaction plays a very important role allow the simplest realization of the N = 2 case with two discontinuities on both electron and hole sides of the total DOS. Fig. 2 shows the 3D and density plots of s as a function of µ/∆1 and T /∆1. To be specific, we assumed that ∆1 is the smallest of the gaps and chose ∆2 = 4∆1. The black and blue lines correspond to the contours of constant values s = ±2 ln 2 and s = ±2 ln 2/3, respectively. The range of s in the 3D plot is restricted by −2 ≤ s ≤ 2, so that only the peaks at µ = ±∆2 can be seen. A more careful examination of Fig. 1 (b) shows that the peak occurring near µ = ∆2 is somewhat shifted to smaller than ∆2 values of µ. Looking at Fig. 2 (b) and its insert one can trace how the position of this peak moves towards the point (µ = ∆2, T = 0) as the temperature decreases. In Fig. 2 (a) the increase of its height can be seen. Close to this point (T ≪ ∆2) we obtain analytically s(T,µ = ±∆2) = ±(cid:20) 2 ln 2 3 + π2 − 4 ln2 2 9 T ∆2(cid:21). (15) In what concerns the behaviour the silicene's entropy per particle close to the smallest gap, ∆1, it is described by Eq. (12) with ∆ replaced by ∆1. 4/8 Figure 2. The entropy per electron s as functions of the chemical potential µ and temperature T in the units of ∆1. The gap ∆2 = 4∆1. Left panel: 3D plot. Right panel: Contour plot. Recent successes in fabrication of silicene field-effect transistors18 offers the opportunity of a direct measurement of the entropy per particle in silicene. In the prospective experiment, a double gate structure would be needed that enables one to tune µ and ∆z independently. Such a situation is modelled in Fig. 3, where we show the 3D and density plots of s as a function of µ/∆SO and ∆z/∆SO. As in Fig. 2, the black and blue lines correspond to the contours of constant values s = ±2 ln 2 and s = ±2 ln 2/3, respectively. The points ∆z = ±∆SO correspond to the case where ∆1 = 0 and ∆2 = 2∆SO or ∆1 = 2∆SO and Figure 3. The entropy per electron s as functions of the chemical potential µ and ∆z in the units of ∆SO. The temperature T = 0.3∆SO. Left panel: 3D plot. Right panel: Contour plot. ∆2 = 0, so that the system experiences a transition from two to one gap spectrum. For ∆z < ∆SO the system is a topological insulator and for ∆z > ∆SO it is a band insulator. Discussion We presented original analytical expressions for the entropy per particle in a wide energy range for various Dirac materials. Basing on them we have predicted the characteristic spikes of the entropy per particle at the Lifshitz topological transition points in several 2D Dirac systems. The magnitude of spikes is quantized at low temperatures and is independent of material parameters. The quantized spikes are expected to occur in silicene and germanene. They can also be found in the gapped graphene in the presence of Zeeman splitting and in quasi two-dimensional Dirac and Weyl materials. Note that the same quantization of entropy and spikes occur in a 2DEG in the presence of Zeeman splitting1, see the Methods. Our results are based on the assumption that the function f (ε) in the DOS (2) is continuous. Although this assumption is quite general, it is not fulfilled, for example, in a bilayer graphene. The overall behavior of the entropy per electron ∂S/∂n as a function of the electronic chemical potential may be used as a tool for characterization of the electronic dispersion in novel 5/8 crystal structures. The crucial point is that ∂S/∂n is related to the temperature derivative ∂µ/∂T via the thermodynamic Maxwell relation (1). The last value, as was mentioned in Introduction, can be directly measured using the experimental approach developed in1. It appears that this technique has a three orders of magnitude higher resolution than the other methods and thus it can be very helpful in probing interaction effects in 2D electron systems. The measurements of the entropy per particle can also be used to study the effect of interactions on the DOS in graphene, because the renormalization of the Fermi velocity due to electron-electron interactions19 modifies the function s(n). Methods Relationship between the carrier density and carrier imbalance At thermal equilibrium, the total density of electrons in a nonrelativistic system can be expressed as ntot(T,µ) =Z ∞ −∞ T (cid:19) , dεD(ε) fF D(cid:18)ε− µ (16) where fFD(ε) = 1/[exp(ε/T ) + 1] is the Fermi-Dirac distribution function and we set kB = 1. In a relativistic theory, for example, in QED the number of electrons or positrons is not conserved, while a conserving number operator is needed to build the statistical density matrix20. In QED, the conserved quantity if the difference of the numbers of positively and negatively charged particles: electrons and positrons. In the Dirac materials the "relativistic" nature of carriers is encoded in the symmetric DOS function, D(ε) = D(−ε). Accordingly, it is convenient to operate with the difference between the densities of electrons and holes instead of the total density of electrons21, 22. The difference is given by n(T,µ) =Z ∞ −∞ dεD(ε)[ fF D(ε− µ)θ(ε) − [1 − fFD(ε− µ)]θ(−ε)] = − 1 2Z ∞ −∞ dεD(ε) tanh ε− µ 2T . (17) The last equation can be rewritten in the form of Eq. (3). One can verify that the carrier imbalance n(T,µ) and the total carrier density ntot(T,µ) are related by the expression n(T,µ) = ntot(T,µ) − nhf, where nhf is the density of particles for a half-filled −∞ dεD(ε)θ(−ε). Consequently, there is no difference whether the entropy per particle band (in the lower Dirac cone) nhf =R ∞ in Eq. (1) is defined via the total carrier density ntot or the carrier imbalance n. General expressions for ∂n/∂T and ∂n/∂µ The first temperature derivative in Eq. (4) depends on whether the chemical potential µ hits the discontinuity of the DOS D(ε) given by Eq. (2). Differentiating Eq. (3) over the temperature one obtains ∂n(T,µ) ∂T = sign(µ) 4T Z ∞ −∞ dεD(ε)" ε− µ 2T 1 cosh2 ε−µ 2T − ε+ µ 1 2T cosh2 ε+µ 2T # . Changing the variable ε = 2T x ± µ in two terms and changing the limits of integration, one obtains ∂n(T,µ) ∂T = sign(µ) ∞ Z0 dx [D(µ + 2T x) − D(µ − 2Tx)] x cosh2 x . (18) (19) If the DOS D(ε) has a continuous derivative at the point ε = µ, where ∆i < µ < ∆i+1, one can expand D(µ + 2T x) − D(µ − 2T x) ≃ 4T xD′(µ). Then integrating over x we arrive at Eq. (5) ∂n(T,µ) ∂T ≃ 4T sign(µ)D′(µ) ∞ Z0 x2 dx cosh2 x = sign(µ)D′(µ) π2 3 T. On the other hand, at the discontinuity points µ = ±∆J at T → 0, we arrive at Eq. (6). The second derivative in Eq. (4) in the zero temperature limit is just the DOS. Indeed, we have ∂n(T,µ) ∂µ = 1 8T Z ∞ −∞ dεD(ε)" 1 cosh2 ε+µ 2T + 1 2T # = D(µ), cosh2 ε−µ T → 0. (20) (21) This is because (1/4T ) cosh−2(x/2T ) → δ(x) for x → 0. Substituting the DOS given by Eq. (2) to Eq. (21) we arrive at Eq. (7). 6/8 Explicit expressions for the derivatives ∂n/∂T and ∂n/∂µ for the Dirac materials The carrier imbalance for a gapped graphene is given by Eq. (9). The corresponding derivatives are and (cid:18) ∂n ∂µ(cid:19)T = (cid:18) ∂n ∂T(cid:19)µ = 2 F (cid:20) ∆ 2(cid:18)tanh µ − ∆ 2T − tanh µ+ ∆ 2T (cid:19) + T(cid:18)ln(cid:18)2 cosh µ− ∆ 2T (cid:19) + ln(cid:18)2 cosh µ+ ∆ 2T (cid:19)(cid:19)(cid:21) π¯h2v2 2 π¯h2v2 F  2∆ ln −µln(cid:18)2 cosh T (cid:17) 1 + exp(cid:16) µ−∆ T (cid:17) 1 + exp(cid:16)− µ+∆ 2T (cid:19) − µln(cid:18)2 cosh + 2T Li2(cid:16)−e− µ+∆ 2T (cid:19) + µ − ∆ µ+ ∆ ∆ T T (cid:17) − 2T Li2(cid:16)−e µ−∆ T (cid:17) µsinh(∆/T ) + ∆ sinhµ/T cosh ∆/T + coshµ/T (cid:21) . Eqs. (10) -- (12) and (15) are obtained using the low-temperature expansions of the derivatives, Eqs. (22) and (23). Dirac materials with ∆ = 0 If ∆ = 0 Eq. (9) reduces to n(T,µ) = 2T 2 π¯h2v2 F hLi2(cid:16)−e− µ T(cid:17) − Li2(cid:16)−e µ T(cid:17)i . Using Eq. (4) we obtain the general expression ∂T(cid:19)n (cid:18)∂µ = µ T − 1 ln(cid:0)2 cosh µ 2T(cid:1)hLi2(cid:16)−e− µ T(cid:17) − Li2(cid:16)−e µ T(cid:17)i . Quantization of entropy in the presence of Zeeman splitting In the 2DEG in the presence of Zeeman splitting considered in the Supplementary material of1 the carrier density reads n(µ, T ) = m 4π Thln(cid:16)1 + e(µ+Z)/T(cid:17) + ln(cid:16)1 + e(µ−Z)/T(cid:17)i . (22) (23) (24) (25) (26) Here Z is the Zeeman splitting energy and m is the carrier mass. One can show that the entropy per particle in this case also obeys the quantization rule = 2 ln 2, ∂S ∂n(cid:12)(cid:12)(cid:12)(cid:12)µ=−Z ∂S ∂n(cid:12)(cid:12)(cid:12)(cid:12)µ=Z = 2 ln 2 3 , T → 0. References (27) 1. Kuntsevich, A.Yu., Pudalov, V.M., Tupikov, I.V. and & Burmistrov, I.S. Strongly correlated two-dimensional plasma explored from entropy measurements. Nat. Commun. 6, 7298; 10.1038/ncomms8298 (2015). 2. Varlamov, A.A., Kavokin, A.V. & Galperin, Y.M. Quantization of entropy in a quasi-two-dimensional electron gas. Phys. Rev. B 93, 155404 (2016). 3. Lifshitz I.M. Anomalies of Electron Characteristics of a Metal in the High Pressure. Zh. Eksp. Teor. Fiz. 38, 1569 - 1576 (1960) [Sov. Phys. JETP 11, 1130 - 1135 (1960).] 4. Blanter, Ya.M., Kaganov, M.I., Pantsulaya, A.V. & Varlamov, A.A. The theory of electronic topological transitions. Phys. Rep. 245, 159-257 (1994). 5. Rodriguez, J.P. Collective mode at Lifshitz transition in iron-pnictide superconductors. J. Phys. Cond. Matt. 28, 375701 (2016). 6. Hunt, B. et al. Massive Dirac Fermions and Hofstadter Butterfly in a van der Waals Heterostructure. Science 340, 1427 - 1430 (2013). 7. Woods, C.R. et al. Commensurate -- incommensurate transition in graphene on hexagonal boron nitride. Nat. Phys. 10, 451 - 456 (2014). 7/8 8. Chen, Z.-G. et al. Observation of an intrinsic bandgap and Landau level renormalization in graphene/boron-nitride het- erostructures. Nat. Commun. 5, 4461; 10.1038/ncomms5461 (2014). 9. Gorbachev, R.V. et al. Detecting topological currents in graphene superlattices. Science 346, 448 - 451 (2014). 10. Kara, A. et al. A review on silicene -- New candidate for electronics. Surface Sci. Rep. 67, 1 - 18 (2012). 11. Acun, A. et al. Germanene: the germanium analogue of graphene. J. Phys. Cond. Mat. 27, 443002 (2015). 12. Liu, C.-C., Feng, W. & Yao, Y. Quantum Spin Hall Effect in Silicene and Two-Dimensional Germanium. Phys. Rev. Lett. 107, 076802 (2011). 13. Liu, C.-C. Jiang, H. & Yao, Y. Low-energy effective Hamiltonian involving spin-orbit coupling in silicene and two- dimensional germanium and tin. Phys. Rev. B 84, 195430 (2011). 14. Gorbar, E.V., Gusynin, V.P., Miransky, V.A. & Shovkovy, I.A. Magnetic field driven metal-insulator phase transition in planar systems. Phys. Rev. B 66, 045108 (2002). 15. Abrikosov, A.A. Fundamentals of the Theory of Metals. (Elsevier, Amsterdam, 1988). 16. Sharapov, S.G. & Varlamov, A.A. Anomalous growth of thermoelectric power in gapped graphene. Phys. Rev. B 86, 035430 (2012). 17. Varlamov, A.A. & Kavokin, A.V. Prediction of thermomagnetic and thermoelectric properties for novel materials and systems. Europhys. Lett. 103, 47005 (2013). 18. Tao, L. et al. Silicene field-effect transistors operating at room temperature. Nature Nanotechnology 10, 227 - 231 (2015). 19. Elias, D.C. et al. Dirac cones reshaped by interaction effects in suspended graphene. Nat. Phys. 7, 701 - 704 (2011). 20. Kapusta, J.I & Gale, C. Finite-Temperature Field Theory Principles and Applications. (Cambridge Univer. press, Cabm- ridge, 2006). 21. Sharapov, S.G., Gusynin, V.P. & Beck, H. Magnetic oscillations in planar systems with the Dirac-like spectrum of quasi- particle excitations. Phys. Rev. B 69, 075104 (2004). 22. Sharapov, S.G. Thermodynamic properties of the 2 + 1-dimensional Dirac fermions with broken time-reversal symmetry. J.Phys. A 48, 365002 (2015). Acknowledgements We acknowledge the support of EC for the RISE Project CoExAN GA644076. A.V.K acknowledges support from the EPSRC established career fellowship. V.P.G. and S.G.Sh. acknowledge a partial support from the Program of Fundamental Research of the Physics and Astronomy Division of the NAS of Ukraine No. 0117U00240. Author contributions statement A.V.K., S.G.Sh., A.A.V. and V.P.G. conceived the work. S.G.Sh., A.A.V. and V.P.G. performed calculations. V.Yu.T. has done all numerical computations and prepared the figures. All authors contributed to writing the manuscript. Additional information Competing financial interests: The authors declare no competing financial interests. 8/8
1208.5608
1
1208
2012-08-28T10:00:12
The control of graphene double-layer formation in copper-catalyzed chemical vapor deposition
[ "cond-mat.mes-hall" ]
The growth of graphene during Cu-catalyzed chemical vapor deposition was studied using 12CH4 and 13CH4 precursor gasses. We suggest that the growth begins by the formation of a multilayer cluster. This seed increases its size but the growth speed of a particular layer depends on its proximity to the copper surface. The layer closest to the substrate grows fastest and thus further limits the growth rate of the upper layers. Nevertheless, the growth of the upper layers continues until the copper surface is completely blocked. It is shown that the upper layers can be removed by modification of the conditions of the growth by hydrogen etching.
cond-mat.mes-hall
cond-mat
The control of graphene double-layer formation in copper-catalyzed chemical vapor deposition Martin Kalbac*, Otakar Frank and Ladislav Kavan J. Heyrovsky Institute of Physical Chemistry, Academy of Sciences of CR, Dolejskova 3, 18223 Prague 8, Czech Republic Abstract The growth of graphene during Cu-catalyzed chemical vapor deposition was studied using 12CH4 and 13CH4 precursor gasses. We suggest that the growth begins by the formation of a multilayer cluster. This seed increases its size but the growth speed of a particular layer depends on its proximity to the copper surface. The layer closest to the substrate grows fastest and thus further limits the growth rate of the upper layers. Nevertheless, the growth of the upper layers continues until the copper surface is completely blocked. It is shown that the upper layers can be removed by modification of the conditions of the growth by hydrogen etching. __________________________________________________________________________________ *Corresponding author. Fax: +420 28658 2307. E-mail address: [email protected] (M.Kalbac) 1. Introduction The large scale production of graphene for electronic devices relies on catalytic chemical vapor deposition (CVD).[1] Therefore, main attention is dedicated to understand the mechanism of the graphene formation and also to control the growth. Nevertheless, in spite of many efforts put into the graphene CVD research, there are still many challenges to be solved. Cu or Ni are the most widely used catalysts due to their low cost, etchability and large grain size.[1,2,3,4] Depending on the catalyst, two mechanisms of the graphene growth are proposed.[5] In the case of Ni, the precursor is decomposed at the surface and carbon is dissolved in the metal. When the substrate is cooled down, the solubility of C in Ni decreases and graphene first segregates and then grows on Ni surface.[5,6] Hence, it is very important to control the cooling conditions to reach a monolayer graphene (1-LG).[7] On the other hand, in the case of copper catalyst, the carbon intermediate is not dissolved in the metal since the solubility of C in Cu is negligible even at a very high temperature. Instead, the carbon atoms form graphene directly on the surface already at high temperature, i.e. there is no need to precisely control the cooling of the metal. The CVD on copper is suggested to be surface mediated and self-limiting,[5] once the monolayer is completed, the process does not propagate any more, since the catalytic Cu surface is blocked. Hence, only 1-LG should be formed by the Cu-catalyzed CVD, but in many cases small regions with double- or multilayers are observed.[8] The mechanism of the formation of a multilayer regions is not well understood yet. These multilayer regions may impede the fabrication of graphene devices on large scale, because the multilayer areas disturb the uniformity of the graphene film. Isotope engineering provides a unique possibility for advanced studies on graphene growth by Raman spectroscopy. In the presented study we use either 13C or 12C methane as the carbonaceous precursor to follow the Cu-catalyzed CVD synthesis of graphene in detail. 2 Based on these results we succeed to modify the growth conditions to suppress the presence of double-layers. 2. Experimental section The graphene samples were synthesized using CVD as reported previously.[2,1] In brief: The Cu foil was heated to 1000 °C and annealed for 20 min under flowing H2 (50 standard cubic centimeter per minute (sccm)). Then the foil was exposed to 13CH4 for 3 min. and subsequently to 12CH4 for 3 min. leaving hydrogen gas on with the same flow rate. Finally the substrate was cooled down quickly from 1000 °C to 500 °C under H2. The etching of the top layers was realized by switching off the methane and leaving on the hydrogen gas for additional 1-20 min. at 1000°C. The pressure was kept at 0.35 Torr during the whole growth. The as-grown graphene was subsequently transferred to a clean SiO2/Si substrate using polymethylmethacrylate (PMMA), according to procedures reported previously.[9] The Raman spectra were excited by 2.41 eV laser energy (Ar+ laser, Coherent) and acquired by a LabRam HR spectrometer (Horiba Jobin-Yvon). The spectral resolution was about 1 cm-1. The spectrometer was interfaced to a microscope (Olympus, 100x objective). Raman spectra were fitted by Lorentzian line shapes for the analysis. SEM imaging was performed using a high resolution SEM S-4800 (Hitachi). 3. Results and discussion Figure 1 shows the optical image of graphene transferred onto a Si/SiO2 substrate. The growth was, in this case, stopped before graphene fully covered the copper substrate in order to distinguish the individual graphene grains. An analysis of the optical contrast[10,11] in Figure 1 shows that the grains are formed from 1-LG except for the darker regions located in 3 their centers. These spots correspond to double- or generally to multilayer graphene areas. Despite it is difficult to understand how the multilayers are formed at this stage, their almost exclusive centering on the monolayer grains probably excludes the possibility that the small grains are ‘overgrown’ by larger grains, which would grow faster or start to grow earlier. On the other hand, two other growth mechanisms may be envisaged. Yan et al.[12] proposed a sequential formation of the first layer, which might be eventually followed by the second one. We will discuss below an alternative mechanism of a simultaneous growth of all layers but with different speeds. In order to understand the mechanism of the 2-LG formation in detail we modified our CVD and altered the precursor gas between 13CH4 and 12CH4, respectively during the synthesis. A similar approach has been already used to distinguish between precipitation growth mechanism and the surface growth mechanism on nickel and copper substrates.[13] If the 12CH4 and 13CH4 are altered, the resulting graphene grains are composed of either 12C or 13C labeled areas and the Raman spectroscopy can be used to distinguish them. The frequency downshift of the Raman bands in the 13C enriched material originates from the increased mass of this isotope according to equation (1): [13] (ω0-ω)/ ω0 = 1- [(12 + c0)/(12+c)] 1/2 (1) where ω0 is the frequency of a particular Raman mode in the 12C sample, c = 0.99 is the concentration of 13C in the enriched sample, and c0=0.0107 is the natural abundance of 13C. The frequencies of the G and the G’ modes are about 1600 and 2700 cm-1, respectively for the 12C graphene. According to Eq. 1, the bands should redshift in 13C graphene compared to 12C graphene by about 100 and 200 cm-1 for the G and the G’ mode, respectively, The isotope shift is large enough to distinguish the corresponding Raman bands of the 12C and 13C graphene regions in various environments. We note that the frequency of the G and G’ bands can be also influenced by stress[14,15] or local doping[16,17] but these effects are under 4 normal conditions small compared to the isotope shift, therefore we do not consider them further in this study. Figure 2a shows an optical image of a typical grain grown by alternating 13CH4 and 12CH4 gas sources. For easier identification of the number of layers the as-grown graphene was transferred from copper to Si/SiO2 substrate. The grain in Figure 2 is formed by 1-LG except for a central area which is obviously 2-LG and can be easily identified by darker color. The graphene growth was started using 13CH4, after 3 min the precursor gas was switched to 12CH4 and the growth continued for subsequent 3 min. It should be emphasized that, compared to the previous work,[5] we switched 13CH4 and 12CH4 only once during the growth, hence we were able to clearly distinguish the different regions in a slowly growing layer. Furthermore, we limited a growth time to prevent merging of graphene grains. This simplifies identification of the multilayer spots within graphene grain and more importantly it also rules out the eventual formation of second-layer islands after the first layer completion as suggested previously.[12] To analyze the growth, the Raman spectra were measured in profiles across the graphene grain. Typical results obtained when the Raman profile runs straight over both the 1- LG and 2-LG regions (i.e. across the centre of graphene grain) are presented in Figs. 2b-d and S1. As can be seen from the frequency of the Raman bands (Figs. 2d) the carbon isotope content is altered across the grain. Let us first focus on the intensities of the Raman G’ and G bands in Figs. 2b and c, respectively, at the grain centre. Here, the Raman spectra consist only from contribution of 13C graphene with intensities twice as high as in the rest of the grain (non-zero but very small contribution of the 12C bands originates from the proximity of a 12C region in the top layer, see below). The 13CH4 was used for the first three minutes of the growth, hence the exclusive contribution of 13C to the doubled intensity in the center of graphene grains suggests that the second layer is formed already at first stages of the growth. Therefore it can 5 be suggested that middle 2-LG region of the grain actually corresponds to the initial graphene seed. Going slightly off the center of the graphene grain the contribution of 12C graphene in addition to the signal of 13C graphene starts to be apparent with their overall intensity still being at the level of the initial 13C bands. The signal of 12C graphene again disappears at the border of the central 2-LG region and, at the same time, the signal intensity of 13C is reduced by about 50% compared to the signal intensity in central 2-LG area. Going further in direction towards the grain edge, the signal of 12C graphene appears again, together with the 13C signal vanishing, and remains constant till the grain boundary. The presence of 12C in the double-layer region means that these regions grow during the whole growth, but obviously slower than the dominating single-layer part of the graphene grain. In other words, the top and bottom layers grow independently. We note that a spatial resolution of our spectrometer with 100x objective is about 0.5 µm, the size of the largest grains reaches typically about 20 µm after 6 minutes of the growth, hence we are able to distinguish about 9 s of the growth of the fast growing 1-LG at the given conditions. (Assuming for simplicity the speed of graphene growth is linear within this timeframe; see however, below.) On the other hand, the size of 2-LG central region is about 2 µm after 6 minutes of the growth, which gives the ‘resolution’ of 90 s and provides an estimate that the growth rate of the upper layer is smaller by a factor of ca. 10. This simple calculation shows an importance to switch between 13CH4 and 12CH4 only once during the growth to distinguish areas in 2-LG having different isotope composition. From a practical point of view, it is also important to discuss whether the slowly growing layer is actually on top or underneath the dominating fast growing layer. As shown above, the slowly growing layer is formed simultaneously with the quickly growing one. Therefore in the case that the slowly growing layer is below the quickly growing layer, the precursor 6 species would need to enter between the quickly growing layer and copper and then to travel a relatively large distance to reach the slowly growing layer. In addition, the slowly growing layer would need to lift off the quickly growing layer during the growth. Despite the relatively high growth temperature (1000 °C) we believe that these processes are unlikely. Therefore we suggest that the slowly growing layer is actually located on the top of the faster growing layer. Our suggestion is also in agreement with the recent work of Robertson and Warner.[18] It was proposed previously that the chemisorption of methane on Cu with formation of (CHx)s (x <4) surface-bound complex is thermodynamically unfavorable, but agglomeration into oligomeric (CnHy)s species is a thermodynamically favorable process ultimately leading to the growth of graphitic carbon.[19] Hence the formation of the multilayer graphene seed may be rationalized. The growth of layers depends on the distance between the particular graphene layer and the copper. Since methane splits at the top of the copper surface, the graphene layer closest to it will presumably exhibit the fastest growth. As the bottom layer spreads faster, the distance, which must be traveled by graphene precursors needed for the growth of the upper layers increases and the formation of these layers is slowed down even more. This finally leads to the relatively small total area of multilayer regions on single layer graphene. Also a more detailed analysis of the Figure 1 shows, that larger grains contain larger multilayer regions in the centre as compared to smaller grains. The smaller grains presumably begin to grow later but still contain a multilayer central region. Hence, this observation further supports the idea that the growth starts from a multilayer seed. Within the experimental resolution mentioned above it seems that the growth speed of 2-LG region is similar for the 12C and 13C areas. However, the 13C area is grown during 3 min and within this time the size of the bottom quickly growing layer reaches already the size of about 10 µm. Hence, the change of the distance which must be traveled by carbon atoms to reach 2- 7 LG region may not be relevant anymore. These results are also important for the understanding of the graphene growth mechanism. Assuming that the methane splits over Cu catalyst, the ‘hot’ graphene precursors should be able to travel around over relatively large distance to reach 2-LG in the centre of the graphene grain. This is in agreement with HR TEM results[20] where graphene samples heated by the electrical current showed reconstruction of edges due to moving of carbon atoms around a graphene sheet. A practical consequence of the latter observation is that prolonging the time of the growth would also lead to an increased size of the multilayer regions if there is still a free copper surface. If the copper surface is fully covered by graphene, also the top layers stop to grow or this growth is almost suppressed since there are no carbonaceous intermediate precursors available.[21] Consequently, the formation of a complete double- or multilayer is unlikely. In order to explore the size of the initial multilayer grains we further shortened the growth time to 5 s. The grains with a small size are more difficult to transfer and in addition the grains smaller than about 0.5 µm would not be possible to resolve by optical microscopy or micro Raman measurement. Therefore we visualized the grains directly on copper foil by scanning electron microscope (Figure 3). Despite a very short growth time, several graphene grains were found on the copper substrate. The final size of graphene grains after the growth was about 1 µm corresponding to a growth speed of about 12 µm/min. As mentioned above the six minutes growth leads to grain size of about 20 µm which corresponded to a growth speed of about 3.4 µm/min. This is still slightly faster than 0.5 µm /min reported previously for 10 min growth.[22] Hence it is obvious, that the growth speed is decreasing with the time of the growth especially at early stages of the growth. The previous study[22] suggested linear increase of the size with time of the growth but the first measurement considered in that study 8 was after 2 minutes of the growth and at this time the growth rate might be already stable. As can be also seen from Figure 3, the middle region of the graphene grain is darker, which probably corresponds to a multilayer region. The multilayer region is relatively large with respect to the size of single layer region. Hence, our suggestion, that the layers grow simultaneously at first and in the later stages of the grain growth they decouple, is supported. A straightforward approach to make graphene without multilayers would be the growth of one large domain which would suppress the formation of many multi-layer seeds. Despite a recent progress in the CVD graphene synthesis the domain size is limited to hundreds of micrometers, hence there is still a long way to reach a large scale production using such a method.[23] In addition, such procedures seem to require extremely clean conditions and long growth time[23] which would make the growth expensive. It was also suggested that the copper (111) leads to uniform monolayer.[24,25] Nevertheless, the use of single crystals for the graphene growth would be difficult to scale up. Therefore we suggest a different approach. Since the slowly growing layers are located on top of the faster growing ones, they are well accessible for etchants. In addition, the slowly growing layers would have accessible reactive edges, which are more susceptible to the etching. If a multilayer-free 1-LG is required, it is thus possible to etch away the multilayers. In fact, such an etching step can be interfaced with the growth. Figure 4 shows an example of graphene prepared by a simple hydrogen etching, which followed immediately after the methane source was turned off while still maintaining both the hydrogen flow and also the temperature used for the growth. This shifts the equilibrium towards hydrocarbons formation and the multilayers are etched away. A similar approach has been used recently to reshape the graphene domains.[26] It was suggested previously, that hydrogen limits the growth[26] and it can etch the formed single layer graphene. We have not seen such an effect, because the 9 etching step was realized after the growth when graphene completely covered the surface and no reactive sites on 1-LG were available. Nevertheless, it seems that non perfect areas –for example where two grains merge - can be also etched away if the hydrogen treatment is long enough. This is shown on Figure 4, where the growth of graphene was followed by prolonged hydrogen etching step. The 2-LG regions are clearly removed (cf. Figure 1) and in addition the contact areas between graphene grains are etched away. These results are also in agreement with previous studies of grain contact areas using HRTEM.[27] It was shown that there are defects and dangling bonds at the grain boundaries,[27] which leads to their increased reactivity, hence they should be preferentially etched by hydrogen. 4. Conclusion In conclusion we present a study of the graphene growth using combination of 12CH4 and 13CH4 source gases. Our results suggest that the multilayer regions originate from the graphite-like seeds which are presumably formed at the beginning of the grain growth. Nevertheless, after initial stage of the growth, the top and bottom layers decouple and the top layer in average grows about 10 times slower than the bottom layer. The top layer is accessible for etchants and also it is reactive due to edge defects. Therefore it is possible to etch the top layer by hydrogen as we demonstrated by modified growth conditions. 10 Reference List 1. Li XS, Cai WW, An JH, Kim S, Nah J, Yang DX, Piner R, Velamakanni A, Jung I, Tutuc E, Banerjee SK, Colombo L, Ruoff RS. Large-Area Synthesis of High-Quality and Uniform Graphene Films on Copper Foils. Science 2009;324(5932):1312-1314. 2. Reina A, Jia XT, Ho J, Nezich D, Son HB, Bulovic V, Dresselhaus MS, Kong J. Large Area, Few-Layer Graphene Films on Arbitrary Substrates by Chemical Vapor Deposition. Nano Letters 2009;9(1):30-35. 3. Yu Q, Lian J, Siriponglert S, Li H, Chen YP, Pei SS. Graphene segregated on Ni surfaces and transferred to insulators. Appl.Phys.Lett. 2008;93(11): 4. Kim KS, Zhao Y, Jang H, Lee SY, Kim JM, Kim KS, Ahn JH, Kim P, Choi JY, Hong BH. Large-scale pattern growth of graphene films for stretchable transparent electrodes. Nature 2009;457(7230):706-710. 5. Li X, Cai W, Colombo L, Ruoff RS. Evolution of Graphene Growth on Ni and Cu by Carbon Isotope Labeling. Nano Letters 2009;9(12):4268-4272. 6. Shelton JC, Patil HR, Blakely JM. Equilibrium Segregation of Carbon to A Nickel (111) Surface - Surface Phase-Transition. Surface Science 1974;43(2):493-520. 7. Reina A, Jia XT, Ho J, Nezich D, Son HB, Bulovic V, Dresselhaus MS, Kong J. Large Area, Few-Layer Graphene Films on Arbitrary Substrates by Chemical Vapor Deposition. Nano Letters 2009;9(1):30-35. 11 8. Bhaviripudi S, Jia X, Dresselhaus MS, Kong J. Role of Kinetic Factors in Chemical Vapor Deposition Synthesis of Uniform Large Area Graphene Using Copper Catalyst. Nano Letters 2010;10(10):4128-4133. 9. Reina A, Son H, Jiao L, Fan B, Dresselhaus MS, Liu Z, Kong J. Transferring and Identification of Single- and Few-Layer Graphene on Arbitrary Substrates. J.Phys.Chem.C 2008;112(46):17741-17744. 10. Reina A, Thiele S, Jia X, Bhaviripudi S, Dresselhaus MS, Schaefer JA, Kong J. Growth of Large-Area Single- and Bi-Layer Graphene by Controlled Carbon Precipitation on Polycrystalline Ni Surfaces. Nano Research 2009;2(6):509-516. 11. Ni Z, Wang H, Kasim J, Fan H, Yu T, Wu Y, Feng Y, Shen Z, X. Graphene thickness determination using reflection and contrast spectroscopy. Nano Letters 2007;7(9):2758- 2763. 12. Yan K, Peng H, Zhou Y, Li H, Liu Z. Formation of Bilayer Bernal Graphene: Layer-by- Layer Epitaxy via Chemical Vapor Deposition. Nano Letters 2011;11(3):1106-1110. 13. Kalbac M, Farhat H, Kong J, Janda P, Kavan L, Dresselhaus MS. Raman spectroscopy and in situ Raman spectroelectrochemistry of bi-layer 12C/13C graphene. Nano Letters 2011;11(5):1957-1963. 14. Frank O, Mohr M, Maultzsch J, Thomsen C, Riaz I, Jalil R, Novoselov KS, Tsoukleri G, Parthenios J, Papagelis K, Kavan L, Galiotis C. Raman 2D-Band Splitting in Graphene: Theory and Experiment. Acs Nano 2011;5(3):2231-2239. 15. Frank O, Tsoukleri G, Parthenios J, Papagelis K, Riaz I, Jalil R, Novoselov KS, Galiotis C. Compression Behavior of Single-Layer Graphenes. Acs Nano 2010;4(6):3131-3138. 12 16. Kalbac M, Reina-Cecco A, Farhat H, Kong J, Kavan L, Dresselhaus MS. The Influence of Strong Electron and Hole Doping on the Raman Intensity of Chemical Vapor- Deposition Graphene. Acs Nano 2010;4(10):6055-6063. 17. Das A, Pisana S, Chakraborty B, Piscanec S, Saha SK, Waghmare UV, Novoselov KS, Krishnamurthy HR, Geim AK, Ferrari AC, Sood AK. Monitoring dopants by Raman scattering in an electrochemically top-gated graphene transistor. Nat.Nanotechnol. 2008;3(4):210-215. 18. Robertson AW, Warner JH. Hexagonal Single Crystal Domains of Few-Layer Graphene on Copper Foils. Nano Letters 2011;11(3):1182-1189. 19. Zhang W, Wu P, Li Z, Yang J. First-Principles Thermodynamics of Graphene Growth on Cu Surfaces. J.Phys.Chem.C 2011;115(36):17782-17787. 20. Jia X, Hofmann M, Meunier V, Sumpter BG, Campos-Delgado J, Romo-Herrera JM, Son H, Hsieh YP, Reina A, Kong J, Terrones M, Dresselhaus MS. Controlled Formation of Sharp Zigzag and Armchair Edges in Graphitic Nanoribbons. Science 2009;323(5922):1701-1705. 21. Alstrup I, Chorkendorff I, Ullmann S. The Interaction of Ch4 at High-Temperatures with Clean and Oxygen Precovered Cu(100). Surface Science 1992;264(1-2):95-102. 22. Robertson AW, Bachmatiuk A, Wu YA, Schaeffel F, Rellinghaus B, Buechner B, Ruemmeli MH, Warner JH. Atomic Structure of Interconnected Few-Layer Graphene Domains. Acs Nano 2011;5(8):6610-6618. 13 23. Li X, Magnuson CW, Venugopal A, Tromp RM, Hannon JB, Vogel EM, Colombo L, Ruoff RS. Large-Area Graphene Single Crystals Grown by Low-Pressure Chemical Vapor Deposition of Methane on Copper. J.Am.Chem.Soc. 2011;133(9):2816-2819. 24. Wood JD, Schmucker SW, Lyons AS, Pop E, Lyding JW. Effects of Polycrystalline Cu Substrate on Graphene Growth by Chemical Vapor Deposition. Nano Letters 2011;11(11):4547-4554. 25. Gao L, Guest JR, Guisinger NP. Epitaxial Graphene on Cu(111). Nano Letters 2010;10(9):3512-3516. 26. Vlassiouk I, Regmi M, Fulvio PF, Dai S, Datskos P, Eres G, Smirnov S. Role of Hydrogen in Chemical Vapor Deposition Growth of Large Single-Crystal Graphene. Acs Nano 2011;5(7):6069-6076. 27. An J, Voelkl E, Suk JW, Li X, Magnuson CW, Fu L, Tiemeijer P, Bischoff M, Freitag B, Popova E, Ruoff RS. Domain (Grain) Boundaries and Evidence of "Twinlike" Structures in Chemically Vapor Deposited Grown Graphene. Acs Nano 2011;5(4):2433-2439. 14 Figures and captions Figure 1. Optical microscope image of graphene grains with most of the area covered by 1- LG. The dark spots correspond to double-layer/multilayer regions in the center of each grain and the lightest regions correspond to a free SiO2/Si surface. The scale bar is 20 µm. 15 Figure 2. a) Optical microscope image of a 1-LG graphene grain on Si/SiO2 substrate with 2- LG in the centre. b,c) intensity of the Raman G’ and G bands as measured along the horizontal black line in a) with the grey-filled area representing the sum of the 12C and 13C bands for the particular mode. d) Raman shift of the G’ and G bands along the profile. 16 Figure 3. Scanning electron microscope image of a small graphene grain on a copper substrate grown during the initial 5 s of the reaction. Figure 4. Optical microscope image of 1-LG graphene when the hydrogen etching step is included (left). Optical microscope image of 1-LG graphene grains after extensive hydrogen treatment, where the grain contact parts were etched away (right). The scale bar is 10 µm. 17
1003.1398
1
1003
2010-03-06T16:26:24
From Graphene to Bismuth Telluride: Mechanical Exfoliation of Quasi-2D Crystals for Applications in Thermoelectrics and Topological Insulators
[ "cond-mat.mes-hall" ]
Bismuth telluride (Bi2Te3) and its alloys are the best bulk thermoelectric materials known today. The stacked quasi-two-dimensional (2D) layers of Bi2Te3 were also identified as topological insulators. In this paper we describe a method for graphene-inspired exfoliation of crystalline bismuth telluride films with a thickness of a few atoms. The atomically thin films were suspended across trenches in Si/SiO2 substrates, and subjected to detail characterization. The presence of the van der Waals gaps allowed us to disassemble Bi2Te3 crystal into its quintuple building blocks - five mono-atomic sheets consisting of Te(1)-Bi-Te(2)-Bi-Te(1). By altering the thickness and sequence of atomic planes we were able to create designer non-stoichiometric quasi-2D crystalline films, change their composition and doping, as well as other properties. The exfoliated quintuples and ultra-thin films have low thermal conductivity, high electrical conductivity and enhanced thermoelectric properties. The obtained results pave the way for producing stacks of crystalline bismuth telluride quantum wells with the strong spatial confinement of charge carriers and acoustic phonons for thermoelectric devices. The developed technology for producing free-standing quasi-2D layers of Te(1)-Bi-Te(2)-Bi-Te(1) creates an impetus for investigation of the topological insulators and their possible practical applications.
cond-mat.mes-hall
cond-mat
D. Teweldebrhan, V. Goyal and A.A. Balandin, University of California – Riverside (2009) From Graphene to Bismuth Telluride: Mechanical Exfoliation of Quasi-2D Crystals for Applications in Thermoelectrics and Topological Insulators Desalegne Teweldebrhan, Vivek Goyal and Alexander A. Balandin Nano-Device Laboratory, Department of Electrical Engineering and Materials Science and Engineering Program, Bourns College of Engineering, University of California – Riverside, Riverside, California 92521 USA (Submitted to Nano Letters in October 2009, to appear in March 2010) Abstract Bismuth telluride (Bi2Te3) and its alloys are the best bulk thermoelectric materials known today. The stacked quasi-two-dimensional (2D) layers of Bi2Te3 were also identified as topological insulators. In this paper we describe a method for “graphene-inspired” exfoliation of crystalline bismuth telluride films with a thickness of a few atoms. The atomically thin films were suspended across trenches in Si/SiO2 substrates, and subjected to detail characterization. The presence of the van der Waals gaps allowed us to disassemble Bi2Te3 crystal into its quintuple building blocks – five mono-atomic sheets – consisting of Te(1)-Bi-Te(2)-Bi-Te(1). By altering the thickness and sequence of atomic planes we were able to create “designer” non-stoichiometric quasi-2D crystalline films, change their composition and doping, as well as other properties. The exfoliated quintuples and ultra-thin films have low thermal conductivity, high electrical conductivity and enhanced thermoelectric properties. The obtained results pave the way for producing stacks of crystalline bismuth telluride quantum wells with the strong spatial confinement of charge carriers and acoustic phonons for thermoelectric devices. The developed technology for producing free-standing quasi-2D layers of Te(1)-Bi-Te(2)-Bi-Te(1) creates an impetus for investigation of the topological insulators and their possible practical applications.  Corresponding author; electronic address: [email protected] ; group web-site: http://ndl.ee.ucr.edu 1 D. Teweldebrhan, V. Goyal and A.A. Balandin, University of California – Riverside (2009) Bismuth telluride (Bi2Te3) is a unique material with a potential for diverse range of applications. Since the discovery of its extraordinary thermoelectric properties, Bi2Te3 has become a vital component for thermoelectric industry [1-3]. Bulk Bi2Te3-based materials are known to have the highest thermoelectric figure of merit, ZT ~ 1.14 at room temperature (RT). The thermoelectric figure of merit is defined as ZT=S2T/K, where S=-V/T is the Seebeck coefficient (V is the voltage difference corresponding to a given temperature difference T),  is the electrical conductivity and K is the thermal conductivity, which has contributions from electrons and phonons. It is clear from ZT definition that in order to improve thermoelectric figure of merit one should increase the thermopower S2 and decrease the thermal conductivity. Different approaches have been tried in order to enhance the thermoelectric properties of Bi2Te3 or its alloys. These approaches included the composition change from its stoichiometry, the use of polycrystalline materials with different grain sizes, intentional introduction of structural defects and incorporation of different dopants, e.g. Sb or Se, into Bi2Te3 lattice. The optimization of bulk Bi2Te3 led to incremental improvements but no breakthrough enhancement in ZT was achieved. More promising results (ZT ~2.4 for p-type material at RT) were achieved with Bi2Te3/Sb2Te3 superlattices produced by the low-temperature deposition [4]. A recent study indicated that the low-dimensional structuring of BiSbTe alloys [5] also allows for ZT enhancement to ~1.5 at RT. But still higher ZT values are needed for a major practical impact. It has been shown that ZT above 3 or 4 at RT are needed in order to make thermoelectric cooling or power generation competitive with conventional methods [6]. Such an increase in ZT would lead to a “thermoelectric revolution” and allow one for much more environmentally friendly power generation and cooling. It follows from many theoretical predictions that a drastic improvement in ZT can be achieved in low-dimensional structures where electrons (holes) are strongly confinement in one or two dimensions [7]. Hicks and Dresselhaus [8] predicted that ZT can be increased in Bi2Te3 quantum well by a factor of ~13 over the bulk value. This would require a complete carrier confinement in a quantum well with a width H on the order of ~1 nm and an optimized position of the Fermi level. According to Dresselhaus et al. [7-8], quantum confinement of charge carries in quantum 2 D. Teweldebrhan, V. Goyal and A.A. Balandin, University of California – Riverside (2009) wells leads to a drastic ZT improvement due to the increase in the carrier density-of-states (DOS) near the Fermi level and corresponding increase in the thermopower. The crucial condition for such mechanism is quantum confinement of carriers in quantum wells, which is only possible if materials are crystalline and essentially free of defects. The thickness of the thin film required to achieve the quantum confinement conditions has to be on the order of few atomic layers. Note that in the superlattices commonly used in thermoelectric studies the charge carries are only partially confined if confined at all due to the small potential barrier height and relatively low material quality. The barrier height has a pronounced effect on ZT. Broido and Reinecke [9] have shown theoretically that ZT=3 can be achieved in Bi2Te3 superlattices with infinite potentials when the quantum well width (i.e. thickness of the thin film) is H~3 nm. In the structures with incomplete quantum confinement the maximum ZT decreases to ~2.5 and the required width becomes as small as ~2 nm. Balandin and Wang [10] proposed a different strategy for increasing ZT in low-dimensional structures by reducing its thermal conductivity via spatial confinement of acoustic phonons, which carry bulk of heat in thermoelectric materials. The improvement of thermoelectric properties via phonon engineering [10-11] also can be achieved in thin films or nanowires with the thickness of just few atomic layers and high quality of interfaces. In nanostructures with rough interfaces, the thermal conductivity can be reduced due to phonon scattering on boundaries and defects [12-14]. At the same time, defects and disorder can also lead to electron mobility degradation limiting the improvement. The phonon – confinement mechanism of the thermal conductivity reduction, proposed by Balandin and Wang [10], originates from the decreased phonon group velocity of the confined acoustic phonon modes, which results in the increased scattering on point defects [10-11]. This mechanism works even in atomic films with smooth interfaces and can be utilized without strongly degrading the electron mobility. Thus, in order to employ the full strength of the low-dimensional confinement effects for improving thermoelectric figure of merit either via the electron band-structure and phonon engineering one needs to produce quasi-two-dimensional (2D) structures with a few-atomic layer thickness and high quality interfaces. Conventional chemical vapor deposition, electrochemical 3 D. Teweldebrhan, V. Goyal and A.A. Balandin, University of California – Riverside (2009) or other means are not capable for producing such quality structures. Molecular beam epitaxy (MBE) of low-dimensional thermoelectric materials was also much less successful than that of optoelectronic or electronic materials due to the lattice mismatch and other factors. These considerations create very strong motivations for the search of alternative approaches to fabrication of the stacks of quasi-2D crystals made of Bi3Te3-based materials. Few years ago an interest to the stacked quasi-2D layers of bismuth telluride received an additional impetus from a totally different direction. It has been shown that stacks of quasi-2D layers of Te-Bi-Te-Bi-Te are members of a new type of recently discovered materials referred to as topological insulators [15-16]. The surface state of a quasi-2D crystal of Bi2Te3 is predicted to consist of a single Dirac cone. Moreover, it has been shown that the layered structures of related materials such as Bi2Se3 and Sb2Te3 are also topological insulators. The particles in topological insulators coated with thin ferromagnetic layers have manifested exotic physics and were proposed for possible applications in the magnetic memory where write and read operations are achieved by purely electric means. All these stimulate the search for methods to produce quasi- 2D crystals of bismuth telluride even further. The mechanically exfoliated atomically-thin films of bismuth telluride can be transferred to various substrates and coated with other materials. Most recently, a brief report, we have shown a possibility of cleavage from bulk Bi 2Te3 of thin films and ribbons with the thickness of few-atomic layers [17]. The electrical measurements revealed a rather unusual temperature dependence of their current-voltage characteristics. In this letter, we show that individual atomically-thin layers of bismuth telluride can be mechanically exfoliated from bulk Bi2Te3 in a “graphene-like” fashion. The presence of the van der Waals gaps allowed us to disassemble Bi2Te3 crystal into its quintuple building blocks – five mono-atomic sheets of Te(1)-Bi-Te(2)-Bi-Te(1), which have the thickness of ~1 nm. Moreover, our microscopy data indicate that the intra-quintuple bonds can be broken further leading to quasi- 2D crystals. To the best of our knowledge, this is the very first successful exfoliation of the large-area few-atom-thick layers of crystalline bismuth telluride or related material. The resulting quasi-2D crystals retain their good electrical conduction and poor thermal conduction properties important for thermoelectric applications. Considering that Bi2Te3 materials for the 4 D. Teweldebrhan, V. Goyal and A.A. Balandin, University of California – Riverside (2009) thermoelectric industry are as important as silicon (Si) and silicon oxide (SiO2) for the electronic industry our results may have significant practical impact. The exfoliation procedure can become a new enabling technology for producing stacks of the low-dimensional thermoelectric films with complete quantum confinement of charge carriers and acoustic phonons. The developed technology for producing free-standing quasi-2D layers of Te(1)-Bi-Te(2)-Bi-Te(1) creates an impetus for investigation of topological insulators and their possible practical applications. Bi2Te3 has the rhombohedral crystal structure of the space group D3d 5 – R(-3)m with five atoms in one unit cell. The lattice parameters of the hexagonal cells of Bi2Te3 are aH= 0.4384 nm and cH = 3.045 nm [14]. Its atomic arrangement can be visualized in terms of the layered sandwich structure (see Figure 1). Each sandwich is built up by five mono-atomic sheets, referred to as quintuple layers, along the cH axis with the sequence – [Te(1)-Bi-Te(2)-Bi-Te(1)] – [Te(1)-Bi-Te(2)- Bi-Te(1)] –. Here superscripts (1) and (2) denote two different chemical states for the anions. The outmost atoms Te(1) are strongly bound to three planar Te(1) and three Bi metal atoms of the same quintuple layers and weakly bound to three Te(1) atoms of the next sandwich. Figure 1: Schematic of Bi2Te3 crystal structure of D3d and location of the van der Waals gaps. The Te(1)-Te(1) bond is the weakest while Bi-Te(1) bond is the 5 – R(-3)m space group showing quintuple layers strongest. The mechanical exfoliation mostly results in breaking the Te (1)-Te(1) van der Waals bond and formation of quintuples although in some cases intra-quintuples bonds also break leading to bi-atomic and tri-atomic layers. 5 D. Teweldebrhan, V. Goyal and A.A. Balandin, University of California – Riverside (2009) The binding between adjacent Te(1) layers originates mostly from the weak van der Waals forces although other long-range Coulomb forces play role in the bonding. The stronger bonds inside the quintuple layers are of the covalent or partially ionic nature. The presence of the van der Waals gap between the quintuples results in easy cleavage plane between the adjacent Te (1) layers. The bond strength within the quintuple layers is not the same. The Bi-Te(1) bond is stronger than Bi-Te(2) bond, which is the second weakest points within the crystal structure. It is believed that the Bi-Te(2) bond is covalent while the Bi-Te(1) binding includes both covalent and ionic interaction. The lattice spacing between layers has a direct relationship with the atomic bond strength between the neighboring layers. For this reason the weakest Te(1)-Te(1) bond correspond to the largest spacing d~0.37 nm. What is also important for our purposes is that the strength and length of Bi-Te(2) bond is not much different from the van der Waals gaps of Te(1)- Te(1). The latter suggests that the mechanical exfoliation may lead not only to [Te(1)-Bi-Te(2)-Bi- Te(1)] quintuples but also to separate atomic planes of Bi-Te and Te-Bi-Te. One should note here that for thermoelectric applications, the quintuple layers are of greater interest than single atomic planes of Bi or Te atoms. For this reason, in this study are mostly interested in producing individual quintuples or few-quintuple layers. The quasi-2D quintuple layers are also of principle importance for the investigation of topological insulators. In order to isolate bismuth telluride quintuples and break them into atomic planes we employ a method similar to the one used for exfoliation of single-layer graphene [18-21]. Through a mechanical cleavage process we separated thin films from crystalline bulk Bi2Te3. The process was repeated several times to obtain the layers with just few-atomic planes. Owing to the specific structure of Bi2Te3 crystal along cH direction (cH = 3.045 nm is very large lattice constant as compared to other materials) we were able to verify the number of layers using the optical inspection combined with the atomic force microscopy (AFM) and the scanning electron microscopy (SEM). The thickness of the atomic quintuple is H~1 nm. The step-like changes in the cleaved layers highest of ~1 nm can be distinguished well with AFM. The produced atomic layers were placed on Si substrates containing 300-nm thick SiO2 capping layer. The silicon oxide thickness was selected by analogy with that for graphene on Si/SiO2 [18-21]. We then isolated and separate individual crystal planes which exhibited high crystal quality with little to 6 D. Teweldebrhan, V. Goyal and A.A. Balandin, University of California – Riverside (2009) no structural defects. The mechanical cleavage of Bi2Te3 has led to a portion of quintuples with five atomic planes. In some cases we observed atomic planes with the thickness smaller than that of the quintuple layers. The produced flakes had various shapes and sizes ranging from ~2 m to 30 m. Some flakes had correct geometrical shapes indicative of the facets and suggesting the high degree of crystallinity. We selected large uniform Bi-Te flakes with the dimensions of ~ 20 – 30 m for fabrication of metal contacts for electrical characterization. For detail material characterization, we suspended some of the Bi-Te atomic films over trenches in SiO2/Si substrates. The trenches were fabricated by the reactive ion etching (RIE). They had a depth of ~300 nm and widths ranging from 1 to 5 m. By suspending the ultra-thin atomic films over these trenches we reduced the coupling to the substrate. The latter allowed us to achieve better understanding of the intrinsic properties of the atomically thin layers. The isolated Bi-Te atomic layers were investigated using a high-resolution field-emission scanning electron microscope (XL-30 FEG) operated at 10-15 kV. The diffraction patterns of the crystalline structures of the layers were studied using transmission electron microscopy (TEM). The sample preparation for TEM (FEI-PHILIPS CM300) inspection was carried out through ultrasonic separation in ethanol (C2H5OH) solution. The sonication was most effective with 500 L of C2H5OH solution where the molar concentration of Bi-Te films was held at a constant 1.41 x 10-1 moles/liter throughout the solution. AFM studies were performed using VEECO instrument with the vertical resolution down to ~0.1 nm. Raman spectroscopy was performed for better material identification and characterization of intrinsic properties of the resulting films. A micro-Raman spectrometer (Renishaw RM 2000) was used in a backscattering configuration excited with a visible laser light ( = 488 nm). The spectra were collected through a 50X objective and recorded with 1800 lines/mm grating providing the spectral resolution of ~ 1 cm-1 (software enhanced resolution is ~0.5 cm-1). All spectra were recorded at very low power levels P<0.5 mW measured with the power meter (Orion) at the sample surface. The low power levels were essential to avoid local laser heating, which was much more pronounced than in other material systems due to the extremely low thermal conductivity of Bi2Te3. The details of our Raman instrumentation and measurement procedures were reported by us earlier in the context of graphene investigation [22-24]. The electrodes and contact pads for the ultrathin Bi-Te 7 D. Teweldebrhan, V. Goyal and A.A. Balandin, University of California – Riverside (2009) layers on SiO2 were fabricated and defined by the electron beam lithography (EBL) system (Leo 1550) followed by the metal deposition of 7-nm/70-nm Ti/Au metals with the electron beam evaporator (Temescal BJD-1800). The electrical measurements were carried out using a probe station (Signatone) at ambient conditions. The quintuples, atomic tri-layers and bi-layers we were identified via combined optical, SEM, AFM and TEM inspection (see Supplemental Materials). Our prior extensive experience with graphene and few-layer graphene [22-24] was instrumental in our ability to separate weakly distinguishable optical features in Bi-Te atomic layers placed on SiO2/Si substrates. A relatively large thickness of the unit cell (cH = 3.045 nm) made SEM and AFM identification rather effective. The representative high-resolution SEM micrographs and AFM images presented in Figure 2 (a-f) show SEM, AFM and TEM images of Bi-Te quasi-2-D crystals with the lateral sizes ranging from a few microns to tens of microns. In Figure 2 (a), one can see SEM micrograph of high quality crystalline films with the lateral dimensions of 1-4 m and a thickness of few-atomic planes. Due to the atomic thickness of the films the overlapping regions are seen with a very high contract. The larger area flakes (>20 m) tended to be attached to thicker Bi2Te3 films (Figure 2 (b)). It was our experience that for thicker films the use of the tilted SEM images was more effective in visualizing the quintuples and atomic-layer steps. Although [Te(1)-Bi-Te(2)-Bi-Te(1)] quintuple layers were more readily available among the separated flakes, some regions of flakes had sub-1-nm thickness and appeared as protruding few- atomic-plane films. Figures 2 (c-d) show suspended few-quintuple films. In Figure 2 (e) we present a typical AFM image of steps in the separated films with clear layered structure. One step with the height of ~1 nm corresponds to a quintuple while two steps per a profile height change of ~ 1 nm indicate sub-quintuple units (e.g. bi-layer and a tri-layer). The structural analysis at the nanometer scale was carried out using TEM and the selected area electron diffraction (SAED) technique. The images for this type of characterization were taken with the electron beam energy of 300 kV. The exfoliated samples were dissolved in ethanol and placed on copper grids. The TEM image in Figure 2 (f) shows that the exfoliated Bi-Te flakes dissolved in solution retain their flat structure and do not form clusters after being subjected to ultrasonic vibrations and processing. 8 D. Teweldebrhan, V. Goyal and A.A. Balandin, University of California – Riverside (2009) Figure 2: Images of quasi-2D bismuth telluride crystals showing (a) SEM micrograph of the overlapping few-layer Bi-Te atomic crystals; (b) large-area atomically-thin crystal attached to thick Bi2Te3 film; (c) suspended films with visible quasi-2D layers; (d) suspended few-atom-plane film; (e) AFM micrograph of few-atomic-layer steps in the cleaved films; and (f) TEM micrograph of the quasi-2D bismuth telluride film. 9 D. Teweldebrhan, V. Goyal and A.A. Balandin, University of California – Riverside (2009) In Figure 3 (a) we present an electron diffraction pattern, which indicates that the separated atomically-thin layers of bismuth telluride are crystalline after all processing steps. The elemental composition and stoichiometry of the atomically thin Bi-Te layers were studied by the energy dispersive spectrometry (EDS). The data were recorded for the suspended films (like those shown in Figure 2 (c-d)). Representative EDS spectra for a suspended quasi-2-D crystal and a thick Bi2Te3 film (used as a “bulk” reference) are shown in Figure 3 (b-c). Note that the most pronounced peaks in Figure 3 (b) are those of Si and oxygen (O) proving the electron beam penetration through the suspended atomically-thin film. The Si and O peaks are absent in Figure 3 (c) for thick Bi2Te3 crystal, which absorbes the elecrton beam completely. For one of the films, which had a thickness of ~40 atomic planes (~8 quintuple layers), and occupied an intermediate position between quasi-2D crystals and bulk Bi2Te3 crystals we found that the molar contents of Bi and Te were found to be ~34.7% and 65.3%, respectively. Although the film is crystalline and the stoichiometry for the [Te(1)-Bi-Te(2)-Bi-Te(1)] quintuple layers is preserved, one can talk about an apparent deviation from the stoichiometry due to the fact that the film is not truly bulk. For the large bulk bismuth telluride crystals used for exfoliation of the ultra-thin films we consistently found 40% to 60% ratio of Bi to Te corresponding to Bi2Te3 formula. The analysis of the measured EDS spectrum of the suspended film, which made up ~10% of the total wt%, had a molar content percentage between Bi and Te of ~33.2% and 66.8%, respectively. Thus, the structural make up of that particular ultra-thin film has 1 to 2 ratio of Bi to Te atoms (e.g. Te-Bi-Te), which differs strongly from conventional bulk crystals. The possibility of changing the “effective” atomic composition in the crystalline ultra-thin films is very important for practical applications. It is known from the extensive studies of the thermoelectric properties of bismuth telluride, that a small small variation of ~0.1% in the Bi to Te atomic ratio can change the properties of the material from p-type to n-type [25]. Intentional deviation from stoichiometry during synthesis of bismuth telluride compounds and alloys has been conventionally used for “doping” this type of materials [26]. The close-to-stoichiometric Bi2Te3 is of p-type with a free carrier concentration of approximately 10-19 cm-3. A shift to excess Te leads to an n-type material. Since our atomically thin films are crystalline, the “stoichiometric 10 D. Teweldebrhan, V. Goyal and A.A. Balandin, University of California – Riverside (2009) doping” may work in different ways than in the polycrystalline or disordered alloy bismuth telluride materials. In the atomically-thin crystals the charge can accumulate on the film surfaces or film – substrate interfaces. In this sense, the obtained quasi-2D crystals may open up a new way for doping and tuning the properties of bismuth telluride materials. Figure 3: Structural and compositional characterization data showing (a) electron diffraction pattern indicating that quasi-2D Bi-Te films are crystalline; (b) EDS spectrum of the suspended atomic film of bismuth telluride; and (c) EDS spectrum of the reference thick film. Note that the dominant peaks in the EDS spectrum of the quasi-2D Bi-Te film shown in (b) are those of Si and O proving transparency of the atomic film for the electron beam. These peaks are absent in the spectrum of the reference bulk Bi2Te3. 11 D. Teweldebrhan, V. Goyal and A.A. Balandin, University of California – Riverside (2009) We now turn to the analysis of Raman spectrum of the atomically-thin bismuth telluride films. Bi2Te3 has five atoms in its unit cell and, correspondingly, 15 phonon (lattice vibration) branches near the Brillouin zone (BZ) center (phonon wave vector q=0) [27]. Twelve of those 15 branches are optical phonons while the remaining 3 are acoustic phonons with the A2u + Eu symmetry. The 12 optical modes are characterized by 2A2u + 2Eu + 2A1g + 2Eg symmetry. Each of the Eg and A1g modes are two-fold degenerate. In these phonon modes, the atoms vibrate in-plane and out-of-plane (i.e. perpendicular to the film plane), respectively [28]. We focused our analysis on the phonon peaks in the spectral range from 25 cm-1 to 250 cm-1. Figure 4 (a-b) show SEM image of the Bi-Te atomic film with the locations where the Raman spectra were taken as well as the spectra themselves. These spectra were recorded at very low excitation laser power (~0.22 mW on the sample surface) to avoid local heating. The examined flake was placed in such a way that it had a suspended region as well as regions rested on Si and SiO2. The observed four Raman optical phonon peaks were identified as A1g at ~ 62 cm-1, Eg2 at ~104 cm-1, A1u at ~120 cm-1 and A2g at ~137 cm-1. These peak positions are very close to the previously measured and assigned Raman peaks of bulk crystalline Bi2Te3 [27-29]. Richter et al. [27] in their detail study of phonons in Bi2Te3 provided the following frequencies (in their notation): 134 cm-1 for A, 103 cm- 1 for Eg and 120 cm-1 for A1u. The A1u peak is likely to become Raman active due to the symmetry breaking in atomically thin films. One can notice that the out-of-plane vibrations (at ~137 cm-1 and ~119 cm-1) in the suspended Bi-Te atomic films have higher intensity. The latter may be an indication of the enhancement of these vibration modes in the atomically thin films, which are not supported by the substrate. The Raman study confirms that the exfoliated films are crystalline and atomically thin. A systematic study of the changes in Raman spectrum due to modification of the vibration modes in the exfoliated ultra-thin films suspended or supported on the substrate was complicated due to pronounced local heating effects. Bulk single crystal Bi2Te3 is known to have very low thermal conductivity of ~ 1.5 – 2.0 W/mK along the cleavage plane and 0.6 W/mK along the van der Waals bonding direction [30]. It also has a low melting point of 573oC. The local laser heating was a major problem when we tried to increase the excitation power to the levels conventionally used for other materials. 12 D. Teweldebrhan, V. Goyal and A.A. Balandin, University of California – Riverside (2009) Figure 4: Raman spectra of quasi-2D bismuth telluride crystals. (a) SEM image showing suspended Bi- Te atomic film, which rests partially on SiO2 and Si regions of the substrate. The spectra from the suspended and supported regions were recorded in the locations marked as 1, 2, and 3. (b) Informative Raman bands in the spectra of Bi-Te atomic films recorded at very low excitation power level. Note that the out-of-plane phonon modes in the suspended atomic crystals have higher intensity. 13 D. Teweldebrhan, V. Goyal and A.A. Balandin, University of California – Riverside (2009) The maximum excitation power of the Ar+ laser (with the wavelength of 488 nm) used in this study was 10 mW. Approximately half of the excitation power reaches the sample surface after transmission through the optical system. The use of the power levels above 0.5 mW (corresponded ~0.22 mW on the sample) resulted in appearance of the holes due to local melting or oxidation of the atomically-thin flakes as it is seen in the inset to Figure 5. In this image 100% corresponds to the power of 10 mW set at the laser. We observed reproducibly that the diameter of the laser burned holes was growing with increasing excitation power (the exposure time for each spot was 100 seconds). Figure 5: Evolution of Raman spectra from the Bi-Te atomic film with changing intensity of the excitation laser power illustrating a very narrow range of power levels suitable for exciting informative phonon bands. The power levels above 0.5 mW (corresponding to ~ 0.22 mW on the sample surface) lead to local melting of the atomic Bi-Te crystals in sharp contrast to graphene. The inset shows the spots from which the Raman spectra were recorded. Note the burned holes in the atomic films when the excitation power is above 0.5 mW. 14 D. Teweldebrhan, V. Goyal and A.A. Balandin, University of California – Riverside (2009) Figure 5 shows an evolution in Raman spectra of Bi-Te atomic layers as the excitation power changes. When the power is too low (0.1 mW) no spectrum is excited. The excitation power of 0.5 mW provides meaningful spectra, which are in line with those obtained for bulk Bi2Te3 (at this power level no hole-burning or other laser-induced structural disorder were observed in microscopy images). As the power grows higher than 0.5 mW the Raman spectrum begins to change as a result of the local melting of the material. No laser damage was observed for the bulk Bi2Te3 at these power levels. For this reason, the selection of the right excitation power for Raman spectroscopy of the atomically thin Bi-Te layers is crucial for obtaining informative phonon bands. The drastically different reaction of the films on laser heating confirms their few- atomic layer thickness. In principle, one can envision a method for verifying the thickness of the film by examining the power dependence of the diameter of the burned holes. The observed easy local heating damage to Bi-Te atomic flakes was in sharp contract to graphene, a material characterized by extremely high thermal conductivity [31-32]. We were able to use much higher laser power on suspended graphene without inflicting any damage to its lattice. The heat conduction in strictly 2D systems is a complicated subject deserving special consideration. The thermal conductivity of conventional thin films usually decreases with decreasing film thickness as a result of the acoustic phonon – boundary scattering [12-13]. At the same time, it is also known that the thermal conductivity limited by crystal anharmonisity (also referred to as intrinsic) has a logarithmic divergence in strictly 2D system [33]. This anomalous behavior of the 2D thermal conductivity has been studied extensively for many different crystal lattices and atomic potentials [34-35]. One needs disorder (e.g. extrinsic scattering mechanisms) in order to obtain a finite value of the thermal conductivity in 2-D systems or limit the lateral size of the system [33-35]. In our case of Bi-Te flakes, the samples had the thickness of several atomic layers (not exactly 2D system but rather a quasi-2D) and the extrinsic effects were dominant. Due to the very low thermal diffusivity and thermal conductivity of Bi2Te3 crystals at first place, the induced heat had not escaped fast enough from the local spots leading to the lattice melting and observed lattice damages. As a consequence, for thermoelectric applications, it would be better to use stacks of bismuth telluride quintuple layers, put one on top of the other, 15 D. Teweldebrhan, V. Goyal and A.A. Balandin, University of California – Riverside (2009) rather than atomic bi-layers. Indeed, quintuples are more mechanically robust and expected have even lower thermal conductivity than Bi2Te3 bulk values. It is important to understand if the electrical conductivity of bismuth telluride is preserved after it was structured to films with the thickness of just few atomic layers. For transport measurements were prepared Bi-Te devices with a bottom gate and two top metal contacts (see inset to Figure 6). The RT current – voltage characteristics shown in Figure 6 reveal a weak non-linearity and rather low electrical resistivity of on the order of 10-4 m. Figure 6: Electrical current and resistivity of the quasi-2D bismuth telluride crystal as functions of the applied source – drain bias. The inset shows an optical microscopy image of the test structure. 16 D. Teweldebrhan, V. Goyal and A.A. Balandin, University of California – Riverside (2009) This value (which includes contact resistance) is comparable to the resistivity values frequently measured for thick evaporated Bi2Te3 films used in thermoelectric devices [36-37]. The latter suggests that the charge carriers are not depleted in the samples and that the atomically thin bismuth telluride films retain their electrical properties. We did not observe a strong gating effect for Bi-Te devices while sweeping the back gate bias fro -50V to 50V. That was in a sharp contract to our experiments with the back-gated graphene and few-layer graphene devices [38- 39]. In fabrication of graphene and Bi-Te devices we followed similar procedures and used the same heavily-doped Si/SiO2 wafers. One possible explanation of the weak gating in Bi-Te atomic films can be a strong doping of the flakes due to the “stoichiometric doping” discussed above. The charge accumulation at the interfaces terminated with either Bi or Te atoms can screen the electric field produced by the back gate. The described electrically conducting bismuth telluride quasi-2D crystals can be used as quantum wells with nearly infinite potential barriers for thermoelectric applications. The charge carriers and acoustic phonons in the crystalline quintuple layers with the thickness of ~1 nm will be strongly confined spatially. At the same time, any practical application of thermoelectric nanostructures requires a sufficient “bulk” volume of the material for development of the temperature gradient, in case of cooling, or voltage, in case of power generation. An individual quantum well would not be suitable. For this reason, we envisioned a practical method for utilization of Bi-Te quasi-2D crystals by stacking exfoliated films one on top of the other [40]. The obtained “stacked superlattices” are expected to retain the useful properties of individual atomic films such as quantum confinement of charge carriers and reduced phonon thermal conductivity. Indeed, the potential barriers for charge carries in such crystalline films remain very high unlike in the epitaxially grown Bi2Te3-based superlattices with the lattice matched barriers where the band off-sets are small. The thermal conductivity in the mechanically separated and stacked layers is reduced due to the acoustic phonon – rough boundary scattering or acoustic phonon spatial confinement in exactly the same way as in the individual films. We experimentally tested a possibility of ZT enhancement in prototype stacked films by measuring 17 D. Teweldebrhan, V. Goyal and A.A. Balandin, University of California – Riverside (2009) the thermal conductivity and Seebeck coefficient, and comparing them with those of the reference Bi2Te3 bulk crystals, which was used for the exfoliation of the films. The thermal conductivity K of the prototype stacked atomic-films was determined by two different methods: the laser-flash (Netszch LFA) and the transient planar source (TPS) technique (Hot Disk). Both techniques have been “calibrated” via comparison with the values obtained with the in-house built 3- method [41-43], which is considered to be a standard technique for thin films. We have previously successfully used 3- measurements for electrically insulating thin films with the thickness down to ~1 nm [44]. The Seebeck coefficient of stacked films was determined using MMR system (SB100) consisting of two pairs of thermocouples. Details of the measurements are provided in the Methods. We found significant drop in the cross-plane (in- plane) thermal conductivity from ~0.5 – 0.6 W/mK (~1.5 – 2.0 W/mK) in bulk reference to ~0.1- 0.3 W/mK (~1.1 W/mK) in the stacked films at RT. The thermal conductivity of stacked superlattices revealed a very weak temperature dependence suggesting that the acoustic phonon transport was dominated by the boundary scattering. It is interesting to note that the measured cross-plane K value is close to the minimum thermal conductivity for Bi2Te3 predicted by the Cahill model [45], which gives the low bound of ~0.12 W/mK. We have not observed substantial changes in the thermal power beyond experimental uncertainty with the measured Seebeck coefficient (~234 V/K) only slightly above its bulk reference value. The latter was attributed to the fact that the Fermi level was not optimized in these films. More research is needed to gain complete control of the carrier densities in the mechanically exfoliated films. At the same time, the measured decrease of the thermal conductivity results in the ZT enhancement by about 30–40 % of its bulk value. Additional ZT increase in a wide temperature range can be achieved with the cross-plane electrical gating of the Bi-Te atomic films. Some of us have recently shown theoretically [46] that a combination of quantum confinement of carriers and perpendicularly applied electric field in bismuth telluride nanostructures can be effective for ZT improvement. The developed exfoliation technique can also be extended to other thermoelectric material systems [47]. This approach is particularly promising for the thermoelectric cooling applications 18 D. Teweldebrhan, V. Goyal and A.A. Balandin, University of California – Riverside (2009) at low temperature because the effect is even more pronounced owing to stronger suppression of the thermal conductivity. In conclusion, following the procedures similar to those developed for graphene mechanical exfoliation, we were able to produce bismuth telluride crystals with a thickness of few atoms. The quais-2D atomic crystals were suspended across trenches in Si/SiO2 substrates and subjected to detail characterization using SEM, TEM, EDS, AFM, SAED and micro-Raman spectroscopy. It was established that the presence of the van der Waals gaps in Bi2Te3 crystals allows one to disassemble them into atomic quintuples, i.e. five atomic planes Te-Bi-Te-Bi-Te, which build up 3D crystal. Moreover the microscopy analysis shows that the bonds inside quintuples can be broken further leading to Bi-Te bi-layers and Te-Bi-Te tri-layers. By altering the thickness and sequence of atomic planes one can create “designer” non-stoichiometric crystalline films and change their properties. The exfoliated quintuples have low thermal conductivity and good electrical conductivity. The “stacked superlattices” made of the mechanically exfoliated bismuth telluride films show enhanced thermoelectric properties. The obtained results may lead to completely new scalable methods for producing low-dimensional thermoelectrics and atomic- layer engineering of their properties. The described technology for producing free-standing quasi-2D layers of Te-Bi-Te-Bi-Te can be used for investigation of the topological insulators and their possible practical applications. Methods The first technique used for K measurements is the laser-flash technique (Netszch LFA). By timing the heat pulse propagation through our samples of known thicknesses we applied the transient method to measure the thermal diffusivity and thermal conductivity. The experimental setup was equipped with an adjustable Xenon-Flash-Lamp for heating the sample on one end while a contactless IR detector was used to measure the temperature rise at the other end. The specific instrument used for this study had a capability to measure K in the range from below 0.1 W/mK to 2000 W/mK. We have previously “calibrated” the laser-flash measurement technique with other standard methods for measuring thermal conductivity available in our laboratory [41-44]. Due to the geometry of the setup the measured K has to 19 D. Teweldebrhan, V. Goyal and A.A. Balandin, University of California – Riverside (2009) be interpreted as mostly cross-plane component of the thermal conductivity tensor. In the experiments the light pulse “shot” hit the sample and a temperature rise (up to 2 K) was measured by an InSb IR detector. The thermal conductivity is defined as K=Cp, where  is the thermal diffusivity of the film determined in the experiment as = 0.139×Z2/t1/2, t1/2 is the measured half-rise time of temperature, Cp is the heat capacity, and  is the mass density of the material. For the numerical analysis of the experimental data we used several theoretical approaches, including the Parker, Cowan, and Clark - Taylor analysis. These analysis curves were plotted with the experimental temperature time rise in order to extrapolate diffusivity and correct the result for heat loss to side-walls of sample holder. The bismuth telluride films were prepared from the bulk Bi2Te3 crystals and stacked on a Corning glass substrate. The original Bi2Te3 crystal was used as a bulk reference. The laser-flash measurements revealed the RT thermal conductivity of the stacked films to be ~ 0.1-0.3 W/mK, which is significantly lower than that of the bulk reference sample (K=0.5 - 0.6 W/mK for cross-plane and K=1.5-2.0 W/mK for in-plane). Our results for the reference bulk Bi2Te3 crystal and thin films are in agreement with previously reported data for bulk and Bi2Te3-based nanoparticles [30, 48]. The second TPS technique (Hot Disk) provided K values, which correspond to averaged in-plane component of the thermal conductivity. In the TPS measurements, a thin Ni heater-sensor covered with a thin electrically insulating layer was sandwiched between two stacked films under test. The samples were heated by short electrical current pulses for few seconds. The temperature rise in response to the dissipated heat was determined from the change in the resistance of the sensor. The time dependence of the temperature rise was used to extract the thermal conductivity from the equation [49] , where τ is the parameter related to the thermal diffusivity α and the transient measurement time tm through the expression , r is the radius of the sensor, P is the input power for heating the sample, and D(τ) is the modified Bessel function. The RT values obtained for thermal conductivity were ~1.1 W/mK, which also represent a significant drop compared to the bulk reference. The Seebeck coefficient was determined using MMR system (SB100) consisting of two pairs of thermocouples. One pair was formed with the junctions of copper and a reference material (constantan wire with the known Seebeck coefficient of ~ 36 V/K). The other pair was formed with the junctions of copper and the layers under test. We modified the sample stage of the system in order to be able to use it with our thin films. The computer controlled sample stage was attached to the cold stage 20 )()()(12/3DrKPT2/12/rtm D. Teweldebrhan, V. Goyal and A.A. Balandin, University of California – Riverside (2009) refrigerator and provides a pre-set stable temperature during the measurement. The sample chamber was kept at pressure below 10 mTorr while in operation. The measured value was about ~234 V/m at RT. Acknowledgements The authors acknowledge the support from DARPA – SRC through the FCRP Center on Functional Engineered Nano Architectonics (FENA) and Interconnect Focus Center (IFC) as well as from US AFOSR through contract A9550-08-1-0100. Special thanks go to Mohammad Rahman and Zahid Hossain for their help with TEM and AFM measurements. The authors are indebted to other Nano-Device Laboratory (NDL) group members for assistance with the sample preparations. References [1] Ioffe, A.F., Semiconductor Thermoelements (Nauka, Moscow, 1956) (in Russian); or Ioffe, A.F., Semiconductor Thermoelectric and Thermoelectric Cooling (Infosearch, London, 1957.) [2] Goldsmid, H.J.; Douglas, R.W. Thermoelectric Br. J. Appl. Phys. 1954, 5, 458. [3] Wright, D.A. Nature 1958, 181, 834. [4] Venkatasubramanian, R.; Siivola, E.; Colpitts, T.; O’Quinn, B.; Nature 2001, 413, 597-602. [5] Xie, W. et al., J. Applied Phys. 2009, 105, 113713. [6] DiSalvo F.J. Science 1999, 285, 703. [7] Dresselhaus, M.S., et al. Physics of the Solid State 1999, 41, 679. [8] Hicks, L. D.; Dresselhaus, M. S. Phys. Rev. B. 1993, 47, 12727. [9] Broido, D.A.; Reinecke, T.L. Appl. Phys. Lett. 1995, 67, 100. [10] Balandin, A.;Wang, K.L. Physical Review B 1998, 58, 1544; Balandin A. and Wang K.L. J. Applied Physics 1998, 84, 6149. 21 D. Teweldebrhan, V. Goyal and A.A. Balandin, University of California – Riverside (2009) [11] Zou, J.; Balandin, A.; J. Applied Physics, 2001, 89, 2932. [12] Chen, G., Borca-Tasciuc, T., Yang, B., Song, D., Liu, W. L., Zeng, T., and Achimov, D. A. Thermal Science and Engineering 1999, 7, 43-51. [13] Borca-Tasciuc, T., Achimov, D., Liu, W. L., Chen, G., Ren, H.-W., Lin, C.-H., and Pei, S. S. Microscale Thermophysical Engineering 2001, 5, 225-231. [14] Feutelais, Y.; Legendre, B.; Rodier, N.; Agafonov, V. Mater. Res. Bull. 1993, 28, 591-596. [15] For a review see Qi, X.-L.; Zhang, S.-C. Physics Today, January 2010, 33-38. [16] Bernevig, B.A.; Hughes, T.L.; Zhang, S.-C. Science, 2006, 314, 1757; Konig, M., et al., Science, 2007, 318, 766. [17] Teweldebrhan, D.; Goyal, V.; Rahman, M.; Balandin, A.A. Appl. Phys. Lett., 2010, 96, 053107. [18] Novoselov, K. S.; Geim, A. K.; Morozov, S. V.; Jiang, D.; Zhang, Y.; Dubonos, S.V.; Grigorieva, I. V.; and Firsov A. A. Science, 2004, 306, 666. [19] Novoselov, K. S.; Jiang, D.; Schedin, F.; Booth, T. J.; Khotkevich, V. V.; Morozov, S. V.; Geim, A. K. PNAS, 2005, 102, 10453. [20] Novoselov, K.S., Geim, A.K., Morozov, S.V., Jiang, D., Katsnelson, M.I., Grigorieva, I.V., Dubonos. S.V., and Firsov A. A. Nature 2005, 438, 197-200. [21] Zhang, Y., Tan, J. W., Stormer, H. L. & Kim, P. Nature 2005, 438, 201–204. [22] Calizo, I.; Balandin, A.A.; Bao, W.; Miao, F.; and Lau, C. N. Nano Lett. 2007, 7, 2645. [23] Calizo, I.; Bao, W.; Miao, F.; Lau, C. N.; and Balandin A.A. Appl. Phys. Lett. 2007, 201904. 22 D. Teweldebrhan, V. Goyal and A.A. Balandin, University of California – Riverside (2009) [24] Calizo, I.; Bejenari, I; Rahman, M.; Liu, G. and Balandin, A.A. J. Appl. Phys. 2009, 106, 043509. [25] Jones P.; Hubera,T. E., Melngailisb, J.; Barryb,J.; Ervin, M. H.; Zhelevac, T. S.; Nikolaevad, A.; Leonid Konopkod, Graf M. IEEE Inter. Conf. Of Thermoelectrics 2006, 693. [26] Golia, S.; Arora, M..; Sharma R.K.; Rastogi, A.C. Current Appl. Phys., 2003, 3, 195-197. [27] Richter, W.; Kohler, H.; Becker, C. R. Phys. Stat. Sol. B, 1977, 84, 619. [28] Russo V.; Bailini A.; Zamboni M.; Passoni M.; Conti C.; Casari C. S.; Li Bassi A.; and Bottani C. E. J. Raman Spectroscopy, 2008, 39, 205-210. [29] Lu W.; Ding Y.; Chen Y.; Wang Z. L.; Fang, J. J. Am. Chem. Soc. 2005, 127, 10112-10116. [30] Cahill et. al; J. Appl. Phys. 2003 93, 2; Chiritescu, C.; Mortensen, C.; Cahill, D.G.; Johnson, D.; Zschack, P. J. Appl. Phys., 2009, 106, 073503. [31] Balandin, A.A.; Ghosh, S.; Bao, W.; Calizo, I.; Teweldebrhan, D.; Miao, F. and Lau, C.N.; Nano Letters 2008, 8, 902.; Ghosh, S.; Calizo, I.; Teweldebrhan, D.; Pokatilov, E.P.; Nika, D.L., Balandin, A.A.; Bao, W.; Miao, F.; and Lau, C.N. Appl. Phys. Lett. 2008, 92, 151911. [32] Nika, D.L.; Ghosh, S.; Pokatilov, E.P.; Balandin, A.A. Appl. Phys. Lett. 2009, 94, 203103; Nika, D.L.; Pokatilov, E.P.; Askerov, A.S.; and Balandin, A.A. Phys. Rev. B 2009, 79, 155413. [33] Lepri, S.; Livi, R.; Politi, A. Phys. Rep. 2003, 377, 1-80. [34] Basile, G.; Bernardin, C.; Olla, S. Phys. Rev. Lett. 2006, 96, 204303. [35] Dhar, A. Phys. Rev. Lett. 2001, 86, 5882. [36] Ji; X.H., et al., Materials Lett., 2005, 59, 682. [37] Yang, J.Y; et al., J. Alloys and Compounds 2000, 312, 326. [38] Shao, Q., Liu, G., Teweldebrhan, D.; Balandin, A.A.; Rumyantsev, S.; Shur, M. and Yan, D. IEEE Electron Device Lett. 2009, 30, 288. 23 D. Teweldebrhan, V. Goyal and A.A. Balandin, University of California – Riverside (2009) [39] Liu, G.; Stillman, W.; Rumyantsev, S.; Shao, Q.; Shur, M.; and Balandin, A.A. Appl. Phys. Lett. 2009, 95, 033103. [40] Teweldebrhan, D.; Balandin, A.A.; Methods for Producing Atomically-Thin Bismuth Telluride Films and Stacked Superlattices for Thermoelectric and Topological Insulator Applications, invention disclosure (University of California – Riverside, December 2009). [41] Shamsa, M.; Liu, W.L.; Balandin, A.A.; Casiraghi, C.; Milne, W.I.; Ferrari, A.C. Appl. Phys. Lett., 2006, 89, 161921. [42] Ghosh, S.; Teweldebrhan, D.; Morales, J.R.; Garay, J.E.; Balandin, A.A. J. Appl. Phys., 2009, 106, 113507; Ikkawi, R; Amos, N.; Lavrenov, A.; Krichevsky, A.; Teweldebrhan, D.; Ghosh, S.; Balandin, A.A.; Litvinov, D.; Khizroev, S. J. Nanoelectron. Optoelectron. 2008, 3, 44-54. [43] Shamsa, M.; Ghosh, S.; Calizo, I.; Ralchenko, V.; Popovich, A; Balandin, A.A.; J. Appl. Phys. 2008, 103, 083538. [44] Balandin, A.A.; Shamsa, M.; Liu, W.L.; Casiraghi C.; Ferrari, A.C. Appl. Phys. Lett. 2008, 93, 043115. [45] Cahill, D.; Watson, S.; Pohl, R. Phys. Rev. B 1992, 46, 6131 – 6140. [46] I. Bejenari; V. Kantser; A.A. Balandin, Phys. Rev. B. (in print) see also at arXiv: 0908.0624v2; Bejenari, I.; Kantser, V. Phys. Rev. B 2008, 78, 115322. [47] Casian, A.; Sur, I.; Scherrer, H.; Dashevsky, Z. Phys. Rev. B 2000, 61, 15965. [48] Dirmyer, M.R.; Martin, J.; Nolas, G.S.; Sen, A.; Badding, J.V., Small, 2009, 5(8), 933-937. [49] S. E. Gustafsson, Rev. Sci. Instrum. 62, 797 (1991). 24
1111.4862
1
1111
2011-11-21T13:14:00
Exact results for intrinsic electronic transport in graphene
[ "cond-mat.mes-hall", "cond-mat.other" ]
We present exact results for the electronic transport properties of graphene sheets connected to two metallic electrodes. Our results, obtained by transfer-matrix methods, are valid for all sheet widths and lengths. In the limit of large width-to-length ratio relevant to recent experiments, we find a Dirac-point conductivity of $2e^2/\sqrt{3}h$ and a sub-Poissonian Fano factor of $2 - 3\sqrt{3}/\pi \simeq 0.346$ for armchair graphene; for the zigzag geometry these are respectively 0 and 1. Our results reflect essential effects from both the topology of graphene and the electronic structure of the leads, giving a complete microscopic understanding of the unique intrinsic transport in graphene.
cond-mat.mes-hall
cond-mat
Exact results for intrinsic electronic transport in graphene Shijie Hu,1, 2 Wei Du,1 Guiping Zhang,1 Miao Gao,1 Zhong-Yi Lu,1 and Xiaoqun Wang1 1Department of Physics, Renmin University of China, Beijing 100872, China 2Institute of Theoretical Physics, CAS, Beijing 100080, China (Dated: November 6, 2018) We present exact results for the electronic transport properties of graphene sheets connected to two metallic electrodes. Our results, obtained by transfer-matrix methods, are valid for all sheet widths and lengths. In the limit of large width-to-length ratio relevant to recent experiments, we find a Dirac-point conductivity of 2e2/√3h and a sub-Poissonian Fano factor of 2 − 3√3/π ≃ 0.346 for armchair graphene; for the zigzag geometry these are respectively 0 and 1. Our results reflect essential effects from both the topology of graphene and the electronic structure of the leads, giving a complete microscopic understanding of the unique intrinsic transport in graphene. PACS numbers: 72.80.Vp, 73.22.Pr, 74.25.F-,73.40.Sx Graphene, a graphite monolayer of carbon atoms form- ing a honeycomb lattice, has a distinctive electronic structure whose low energy excitations are described by massless Dirac fermions. The successful extraction of micron-scale graphene sheets from a natural graphite crystal, and their deposition onto an oxidized Si wafer [1], was a truly seminal event which ushered in a new era of realistic experimental and theoretical exploration. The subsequent explosion of graphene activity has fo- cused on fundamental questions concerning the transport properties of relativistic particles in graphene and on its potential applications as a high-mobility semiconductor. Theoretical predictions [2] for two-dimensional Dirac- fermion systems give an intrinsic conductivity σ0 of or- der e2/h. Minimal conductivities around this value were observed at the Dirac point [3] in Ref. [1], while later measurements [4] suggested that σ0 → 4e2/πh when the width-to-length ratio of the sample is sufficiently large. This is the value obtained using massless Dirac fermions and graphite leads in a Landauer-Buttiker (LB) formula- tion [5, 6]. It is associated with a maximum of 1/3 in the Fano factor, F0 [6], which reflects the partial transmission of quantized charge through the finite graphene system. Measurements of the current shot noise in both ballistic [7] and diffusive [8] graphene systems have indeed found that F0 ≈ 1/3 in short and wide samples. Many authors have addressed different aspects of the graphene trans- port problem, which we summarize below. While the finite conductivity and suppressed Fano factor are gener- ally expected in graphene systems, the underlying physics remains rather poorly understood, not least because the carrier density at the Dirac point is zero. In this paper, we consider graphene sheets of both arm- chair (AGS) and zigzag (ZGS) geometry, connected to two metallic leads as illustrated in Fig. 1. By estab- lishing a transfer-matrix formulation within this minimal model, we present exact results for the anomalous intrin- sic transport properties of graphene. We demonstrate that the AGS and ZGS are completely different, and ex- plain in detail the non-universal dependence of σ and F x and a FIG. 1: Schematic representation of an armchair graphene sheet connected to electrodes at interfaces I and II. Primitive vectors a y for the electrodes and a1 and a2 for the sheet give length L = √3Na1/4 and width W = (M−1)a1; a1 = a2 = ay with a1 = 2.46A for graphene. The ZGS case, obtained by a π/2 rotation, has length L = (N − 1)a1 and width W = √3Ma1/4, still with N × M sites. on geometry, filling, and gate voltage. The low-energy properties of graphene can be de- scribed by a nearest-neighbor, one-orbital tight-binding model for π-electrons on a hexagonal lattice, H = −t2 Xhij,i′j ′i c† ij ci′j ′ + µXij c† ij cij, (1) where c† ij is an electron creation operator at lattice site rij ≡ (xi, yj), h. . .i denotes nearest-neighbor sites, t2 is the hopping integral, and µ the chemical potential. The two electrodes are represented by semi-infinite rectangu- lar strips with hopping t0, while the interface hopping is t1. We take the interface contact to be perfect and impose open boundary conditions on the two free edges of the sheet; it is the geometry of these edges which determines our nomenclature (AGS or ZGS). Because graphene has two sublattices, sheets of size N × M lattice sites are taken to have width M and length N = 4m (AGS) or N = 2m (ZGS) with m an integer. as ψ(E) = Pij αijiji [iji = c† We begin with the AGS case (Fig. 1) by construct- ing a transfer-matrix equation for the scattering of elec- trons between two electrodes. In the Schrodinger equa- tion Hψ(E) = Eψ(E), the wave function is represented ij0i for rij ], with the complex coefficients αij to be determined. E = EF is the Fermi energy of the electrodes, which is set by their occupation nc. There are M right- and M left-traveling waves (channels) in each electrode, each channel charac- y = nπ terized by a transverse wavenumber kn M +1/2 with n = 1, . . . , M . The longitudinal wavenumber kn x is re- lated to kn x + cos kn y by EF = −2t0(cos kn With a unit-amplitude, right-traveling wave incident y ). on the sheet in the nth channel of the left electrode, αL αR ij = Pn′ (cid:16)δn′neikn ij = Pn′ tn′neikn ′ ′ x xi + rn′ne−ikn ′ x xi(cid:17) sin(kn′ y yj), (2) x xi sin(kn′ y yj), for the left and right electrodes, where rn′n and tn′n are respectively reflection and transmission coefficients from channel n to n′. For each site rij in the sheet, Pτ,δ αi+τ,j+δ = µαij , where τ, δ specify the nearest- neighbor sites of rij and µ = (µ − EF )/t2. We ex- press the M coefficients α for a given i as the vector ~αi = (αi1, ..., αiM )T in order to connect ~αi with its neigh- boring slices through the 2M×2M transfer matrix Ti, (cid:20) ~αi−1 ~αi (cid:21) = Ti(cid:20) ~αi ~αi+1 (cid:21) . (3) A translation period involves four different slices (Fig. 1), so Ti cycles through the four 2×2 block matrices (cid:21) , (cid:21) , (cid:21) , B = (cid:20) µY −Y (cid:21) , D = (cid:20) µY T −Y T A = (cid:20) µI −X T C = (cid:20) µI −X (4) 0 0 0 0 I I I I where X is lower-bidiagonal with nonzero elements equal to 1, Y is the inverse of X, and I is the identity. Recursive application of Eq. (3) for N slices (N/4 translation periods) relates the coefficients αL and αR at the left and right interfaces through N 0 0 0 ~αL N ~αR (cid:20) ~αL 4 (cid:20) I 0 t1I (cid:21)(cid:20) ~αR 1 (cid:21) = (cid:20) t2I 0 I (cid:21) (ABCD) N +1 (cid:21) , (5) where t1 = t2 1/(t0t2), t2 = 1/t1. By considering the one-period transfer matrix ABCD, one finds that the transverse modes in the AGS are unmixed by scattering processes, remaining independent and retaining the free- particle dispersion ǫn = −2 − 2 cos kn y . This makes the LB formalism underlying our transport calculations par- ticularly appropriate. Thus Eq. (5) can be decomposed into the set of binary linear equations 2 N gn x hn(cid:21)(cid:20)rnn hn(cid:21)=(cid:20) an bn −bn cn(cid:21) 4 (cid:20) 1 x(cid:21), t1eikn n − 2µ2 − ǫn, bn = (µ3 − µ)ǫ−1 (cid:20) −t1 tnn(cid:21)=(cid:20) t1 x(cid:21),(cid:20)gn −e−ikn eikn with an = (µ2 − µ4)ǫ−1 cn = (µ2 − 1)ǫ−1 y ) = tnn2 as mission probability Tn ≡ T (kn 1 Analytic solution for tnn from Eq. (6) gives the trans- n , and ancn + b2 (6) n + µ, n = 1. , (7) Tn = γ1 cosh (N θn/2) + γ2 sinh (N θn/2) + γ3 3 + ν 2 2 − ν 2 4 )/8, γ2 = sign(κ2 2 + ν 2 3 + ν 2 1 + ν 2 x , ν2 = t− cos kn where γ1 = (ν 2 1 + ν 2 ±)(ν1ν2 + ν3ν4)/4, γ3 = (−ν 2 4 )/8, ν1 = (−2ξ− + ξ+t+ cos kn x , ν3 = ξ+t−, x / sin kn x )/ sin kn ν4 = t+, ξ± = (κ+/κ− ± κ−/κ+)/2, κ± = (cn − an ± 2bn)1/2, t± = t1 ± t2, and cosh θn = (an + cn)/2. The conductivity σ and Fano factor F may now be computed exactly from Eqs. (6) and (7), leading to √3N 4M σ = 2e2 h Xn Tn, F = Pn Tn(1 − Tn) Pn Tn . (8) These expressions are completely general within the LB framework, and are applicable for all sheet sizes (N, M ). For the purposes of this Letter, we focus on the physi- cal insight contained in Eq. (8) for the situation relevant to most graphene experiments, namely wide electrodes patterned onto the sample with rather narrow separation [7, 8]. In this limit of large W/L(∼ M/N ), the sum in Eq. (8) is replaced by an integral over ky. For convenience we set t0 = t1 = t2, which creates no special symmetries. The Dirac-point conductivity σ0 and the corresponding Fano factor F0 may then be expressed analytically as σ0 = F0 = 2√3arctan (cos kc x/ sin kc x) x/ sin kc y cos kc x sin kc x/ cos kc x π sin kc sec2 kc , x − e2 h 1 2 2arctan (cos kc x/ sin kc x) , (9) where kc y is the Dirac-point wavenumber 2π/3 of the AGS and kc x is determined by EF . At half-filling of the electrodes, i.e. nc = 1 and EF = 0, we obtain σ0 = 2e2/√3h ≈ 1.1547e2/h and F0 = 2 − 3√3/π ≈ 0.3460. Our results for the AGS are similar but not identical to the values 4e2/πh and 1/3 of Ref. [6]. While the elec- tronic structure of the electrodes leads to a small quan- titative difference between the two studies, we will show below that the symmetry-breaking effect of the electrode interfaces causes a strong qualitative difference. The fact that σ0 6= 0 at the Dirac point µ = 0, despite the vanish- ing density of states, is an intrinsic property of the AGS quite distinct from conventional mesoscopic systems. To analyze the physical origin of this behavior, Fig. 2 shows the full dependence of σ0 and F0 on nc and on the 3 Thus our exact results illustrate the inherent dependence of experimental observations on both W/L and L [3, 4], and demonstrate further that such behavior can be in- trinsic, rather than appearing only as a consequence of sample disorder or interfacial defects. 0 ∝ 16/√3 M We turn now to the ZGS. The geometry of this case requires a transfer matrix Ti expressed in terms of two 2×2 block matrices and a quartic form of Eq. (6) for tnn, which is solved numerically to obtain σ and F from Eq. (8). Figure 4 shows the dependence of σ0 and F0 on M/N , again with t0 = t1 = t2 and µ = 0. The ZGS also possesses metallic and semiconducting branches, which alternate with respect to the sheet length N rather than to its width M . The asymptotic behavior is metallic, with σu N for mod(2N + 1, 3) = 0, and semi- conducting with σd 0 ∼ 0.2801/N 2 otherwise [Fig. 4(a)]. The corresponding Fano factors [Fig. 4(b)] are F u 0 ∼ (1− 0.2801/N 2)/(1 + 32.98N 2/ M 0 ∼ 1− 0.08223/N 2, the two branches merging only when M N ≫ 32.98N 2. Graphene sheets in this limit of W/L would therefore have σ0 = 0 and F0 = 1 at the Dirac point, implying a Poissonian shot-noise quite different from the AGS. A ∼ 2e2/√3h (reaching its finite minimal conductivity, σ0 < maximal value when EF = ±1), and a sub-Poissonian F0 are obtained for all nc 6= 0, 1, 2 [Figs. 4(c) and (d)]. The origin of the contrasting intrinsic transport prop- erties of the AGS and ZGS for the Dirac point lies in the special nature of zigzag chains in graphene. The key point is how this affects scattering at the interfaces. Be- N ) and F d cause Pτ,δ αi+τ,j+δ = 0 for any site rij in the sheet, the wavenumber of extended states is 2π/3 when projected onto the zigzag chain direction, and zero in the orthog- onal direction. In the AGS, zigzag chains are parallel to the interfaces so that kc y = 2π/3 for mod(2M + 1, 3) = 0. Thus the incident traveling wave is not deformed at the interface and there is no interfacial scattering. Conse- quently, T (kc y ) ∼ 1 in a regime of width O(1/L) about kc y [inset Fig. 2(a)], resulting in a finite y) = 1 and T (kn y = kc aspect ratio M/N of the sheet for µ = 0. In Figs. 2(a) and (b), for nc = 1, σ0 and F0 alternate as M increases between semiconducting and metallic behavior, the lat- ter obtained when mod(2M + 1, 3) = 0 and there ex- ists a resonant channel with Tn = 1 at kn y [in- set Fig. 2(a)]. As the sheet width is increased, the two branches merge at M/N ∼ 1.5 with σ0 and F0 indepen- dent of M/N ; only when M > ∼ N are sufficiently many channels with Tn ∼ 1 available that their contributions to the sum in Eq. (8) are constant. For such sheets [in- set Fig. 2(b)], channels with T > ∼ 0.9 contribute to F0 with a distribution P (T ) ∝ 1/√1 − T while channels with T < ∼ 0.1 have P (T ) ∝ 1/T [9]. Although P (T ) resembles the universal bimodal distribution for a dis- ordered mesoscopic system, which also has F0 ∼ 1/3, the underlying physics is completely different: the sub- Poissonian behavior is caused by the interference of rel- ativistic quantum particles, which results in transport contributions Tn ∼ exp(−kn yN ) away from the res- onant channel [inset Fig. 2(a)]. This type of behavior, namely σ0 6= 0 and F0 ∼ 1/3, is obtained in the AGS only for 0.63 < Figure 3 shows the effects of a gate voltage on σ and F for nc = 1. For a finite sheet, the number of Fermi momenta (number of energy bands intersected) increases with µ, each peak in σ and F corresponding to one more contributing resonant channel. When the sheet is suf- ficiently wide [Fig. 3(a)], channels are added at nearly equal intervals, resulting in almost periodic oscillations, whereas for M/N < ∼ 1.5 [Fig. 3(b)] the effects of added channels appear quasi-periodic. Superposed on the os- cillation is a linear and slightly asymmetric behavior of σ about the Dirac point. The former is a consequence of the linear dispersion of graphene and the latter of the electron-hole asymmetry caused by the electrodes [10]. ∼ 1.83 [Figs. 2(c) and (d)]. ∼ nc < y − kc 6.0 4.0 2.0 ) h / 2 e ( 0 σ 0.0 1.2 T(ky) 1.0 0.5 0.0 2.07 0.1 2π/3 2.11 ky (a) P(T) 0 F 0.6 0.0 0 0.0 0 ~1/T ~(1-T)-1/2 0.1 0.9 2 4 M/N 6 1.0 (b) 0 A 1.5 1.0 0.5 0.0 1.0 0.7 0.4 (c) A 1.5 (d) 0.1 2 0.5 1 nc FIG. 2: (Color online) Dirac-point conductivity σ0 (a,c) and Fano factor F0 (b,d) as functions of M/N for nc = 1 (a,b) and of nc with M/N = 10 (c,d). Dots denote σ0 = 2e2/√3h in (c) and F0 = 2 − 3√3/π in (d) at nc = 1, while crosses denote σ0 = 4e2/πh in (c) and F0 = 1/3 in (d), obtained at nc ≈ 1.39. Insets: transmission probability T (ky) around kc y = 2π/3 in (a) and its distribution P (T ) (see text) in (b). Calculations performed with N = 1000 in the system of Fig. 1. FIG. 3: Conductivity σ (a,c) and Fano factor F (b,d) for the AGS with M = 10000 and nc = 1, shown as functions of µ for M/N = 10 (a,b) and M/N = 1 (c,d). 100 10-3 10-6 10-9 T(ky) -10-2 0 0 10-2 ky (a) 1.5 1.0 0.5 0.0 1.0 0.7 0.4 (c) 102 104 M/N 106 (b) 0 A 0.5 1 nc A 1.5 (d) 0.1 2 4 at the Dirac point makes all leads equivalent. Numerical treatments, of the same model [15] and in a more gen- eral framework [16], have probed size, gate-voltage, and impurity effects. While these and other studies [6, 17] note that the AGS and ZGS cases should differ, the fun- damentally different nature (σ0 = 0, F0 = 1) of the ZGS case and the microscopic origin of the different intrinsic transport properties have been missed. Further, because we have analyzed the intrinsic transport arising due to lead and interface geometry, we may conclude that dis- order effects are not required to obtain the anomalous behavior observed in experiment [3, 4, 11]. ) h / 2 e ( 0 σ 0 F 6.0 4.0 2.0 0.0 100 10-3 10-6 10-9 100 FIG. 4: (Color online) σ0 (a,c) and F0 (b,d) for a ZGS, shown as functions of M/N at nc = 1 (a,b) and of nc for M/N = 1200 (c,d). Inset: T (ky) around kc y = 0. Red and blue curves indicate respectively metallic and semiconducting situations, calculated with N = 1000 and 999. y ,kc y 6= kc ∼ nc < σ0 after the summation in Eq. (8) if 0.63 < ∼ 1.83 [Fig. 2(c)]. In the ZGS, zigzag chains connect the left and right electrodes, kc y = 0, and the armchair inter- faces involve two sublattices, with two values of kn x corre- sponding to each kn y . This induces interfacial scattering. As a consequence, for nc = 1 the transmission ampli- tudes are suppressed very strongly for any kn y and Tn = δkn y + Tb [inset Fig. 4(a)], where Tb is a very small background of width O(1/L3) arising from interfa- cial scattering. Neither term contributes to the integral in the limit of large W/L and L, whence σ0 = 0 and F0 = 1. When nc 6= 1 [18], imaginary kn x values appear y }, giving contributions to T (kn for some channels {kn y ) over a greater width and leading to a finite σ0 [Fig. 4(c)]. Thus it is the topological difference in the geometry along and across a hexagonal lattice which results in two fun- damentally different types of interfacial scattering, and hence in the contrasting intrinsic transport properties of AGS and ZGS systems. This microscopic insight was not included in any previous studies. Many investigations of graphene transport may be found in recent literature. Augmenting the general re- sults cited above, experimental studies of the conductiv- ity minimum have addressed the coherence of Dirac-point transport [11], the role of contacts and sample edges [12], and how interface charging leads to asymmetric gate- voltage effects [13]. Many theoretical studies have consid- ered transmission coefficients in a finite graphene system, all restricted (as here) to the case of non-interacting elec- trons: from its weak interactions and the vanishing den- sity of states at the Dirac point, the fundamental trans- port properties of graphene are expected to emerge at the band-structure level. These investigations all differ from ours in the approximations applied, or in system size and geometry, or in the method of calculation, and hence in the nature of their conclusions. In an effective contact model [14] for a sufficiently large system, mode selection To conclude, we have presented exact solutions of the transfer-matrix equations for graphene sheets with metal- lic electrodes. Our results are microscopic and com- pletely general, and can be used to show that the Dirac- point conductivity and the Fano factor tend respectively to σ0 = 2e2/√3h and F0 = 2 − 3√3/π for armchair graphene sheets in the short and wide limit relevant to experiments. The same quantities tend to 0 and 1 re- spectively for zigzag graphene sheets. Our exact results suggest that the measured finite minimum conductivity and sub-Poissonian Fano factor are the consequence of armchair rather than zigzag graphene systems, and show how this fundamental difference depends on the avail- ability of resonant transmission channels, which is deter- mined in turn by the geometry of the hexagonal lattice. The authors thank B. Normand, E. Tosatti, B. G. Wang, X. R. Wang, X. C. Xie, Lu Yu, and Y. S. Zheng for fruitful discussions. This work was supported by the Chinese Natural Science Foundation, Ministry of Educa- tion, and National Program for Basic Research (MST). [1] K. S. Novoselov et al., Science 306, 666 (2004). [2] E. Fradkin, Phys. Rev. B 33, 3263 (1986); N. H. Shon and T. Ando, J. Phys. Soc. Japan 67, 2421 (1998); E. V. Gorbar, V. P. Gusynin, V. A. Miransky, and I. A. Shovkovy, Phys. Rev. B 66, 045108 (2002). [3] K. S. Novoselov et al., Nature 438, 197 (2005). [4] F. Miao et al., Science 317, 1530 (2007). [5] M. I. Katsnelon, Euro. Phys. J. B 51, 157 (2006). [6] J. Tworzydlo et al., Phys. Rev. Lett. 96, 246802 (2006). [7] R. Danneau et al., Phys. Rev. Lett. 100, 196802 (2008). [8] L. DiCarlo, et al., Phys. Rev. Lett. 100, 056801 (2008). [9] The distribution of the transmission probability is de- fined as P (T ) = 1 ∂ky/∂T . π [10] When graphite leads are used, σ is symmetric [6]. [11] H. B. Heersche et al., Nature 446, 56 (2007). [12] E. J. H. Lee et al., Nature Nanotech. 3, 486 (2008). [13] B. Huard, N. Stander, J. A. Sulpizio, and D. Goldhaber- Gordon, Phys. Rev. B 78, 121402 (2008). [14] H. Schomerus, Phys. Rev. B 76, 045433 (2007). [15] J. P. Robinson and H. Schomerus, Phys. Rev. B 76, 115430 (2007). [16] S. Barraza-Lopez, M. Vanevi´c, M. Kindermann, and M. Y. Chou, Phys. Rev. Lett. 104, 076807 (2010). [17] Y. M. Blanter and I. Martin, Phys. Rev. B 76, 155433 (2007). [18] Detailed analysis for nc 6= 1 will be presented elsewhere. 5
1008.4235
4
1008
2011-04-04T06:18:35
Hydrodynamic theory of surface excitations of three-dimensional topological insulators
[ "cond-mat.mes-hall" ]
Edge excitations of a fractional quantum Hall system can be derived as surface excitations of an incompressible quantum droplet using one dimensional chiral bosonization. Here we show that an analogous approach can be developed to characterize surface states of three-dimensional time reversal invariant topological insulators. The key ingredient of our theory is the Luther's multidimensional bosonization construction.
cond-mat.mes-hall
cond-mat
Hydrodynamic theory of surface excitations of three-dimensional topological insulators N.M. Vildanov1 1I.E.Tamm Department of Theoretical Physics, P.N.Lebedev Physics Institute, 119991 Moscow, Russia Edge excitations of a fractional quantum Hall system can be derived as surface excitations of an incompressible quantum droplet using one dimensional chiral bosonization. Here we show that an analogous approach can be developed to characterize surface states of three-dimensional time reversal invariant topological insulators. The key ingredient of our theory is the Luther's multidimensional bosonization construction. PACS numbers: 73.20.-r, 73.43.-f, 67.10.Jn, 72.25.Mk 1 1 0 2 r p A 4 ] l l a h - s e m . t a m - d n o c [ 4 v 5 3 2 4 . 8 0 0 1 : v i X r a Topological insulators are materials with insulating bulk and topologically protected metallic edge or surface states.1 -- 3 Two-dimensional TIs are also called as quan- tum spin Hall systems. Edge states of QSH systems are analogous to quantum Hall edge states. However there is an important distinction3,4: edge states of the QHE with up and down spins propagate in the same direction, whereas QSH edge states with opposite directions of spin counter-propagate. This is the consequence of the time reversal invariance of QSHE, which is broken in conven- tional QH systems. Therefore the former are called chiral and the latter are called helical edge states. There are two classes of non-trivial time reversal in- variant TIs in three-dimensions which are called strong and weak.5 -- 7 While weak TIs are layered 2D QSH states (in the sense that these two systems can be adiabati- cally coupled to each other), strong TIs are purely three- dimensional. Surface states of these TIs are massless 2D Dirac fermions. Weak and strong TIs are distinguished by the number of Dirac cones on the surface: strong TIs have an odd number and weak TIs have an even number of Dirac cones on the surface. Gapless modes of strong TIs are robust and insensitive to weak interactions and disorder. Surface states of three-dimensional time reversal in- variant TIs are spin filtered, such that h~s(−k)i = −h~s(k)i, which means that spin density and charge cur- rent are coupled (see, e.g., Ref.[8]). This suggests that three dimensional strong TIs realize quantum spin Hall effect in every radial direction. "Radial direction" here means that one considers tomographic projection of the surface states on certain direction. This tomographically projected state in certain cases can be viewed as a two dimensional quantum spin Hall system. In this paper we explicitly show how to construct such mapping mathe- matically. In constructing this mapping we assume that a hydrodynamic theory of the QSHE edges can be de- veloped in the similar way as for QHE edges.9 -- 11 Sev- eral interesting physical quantities characterizing three- dimensional TIs are introduced. It should be made clear that many of the ideas pre- sented here taken separately are not new. We have only combined them in a single picture. We also note that this paper is illustrative rather than rigorous. A good description of the hydrodynamic theory of the FQHE edge states can be found in [12]. Here we briefly mention the main points. Then we modify this theory to describe surface states of strong topological insulators. Suppose that the FQHE states are incompressible ir- rotational liquid without bulk excitations. Then the only low lying excitations are the surface deformations of the quantum droplet. The droplet is confined by a smooth potential well. The electric field of the potential well will generate a persistent current along the edge fluctuating part of which is given by j = σxy[ez, E]h(x), σxy = ν e2 h where ν is the filling fraction, ez is the unit vector along the z axis, h(x) is the displacement of the edge from its equilibrium value, x is the coordinate along the edge. One-dimensional density of the edge wave ρ(x) is re- lated to the displacement of the edge h(x) through ρ(x) = nh(x), where n = ν eH hc is the two dimensional electron density in the bulk. Then continuity equation reads ∂th − v∂xh = 0 (1) where v = c E drift with the velocity v. H . This means that the electrons at the edge Hamiltonian (energy) of the edge waves is given by H = 1 2 eZ hρEdx = πv ν Z ρ2dx (2) It is easy to quantize this Hamiltonian. Rewriting (1) and (2) in the momentum representation and identify- ing ρk with the coordinate variable one finds that the corresponding canonical momentum is given by pk = 2πiρ−k/νk (zero mode with k = 0 is excluded from the theory due to incompressibility of the liquid). From the commutation relations [pk, ρk′ ] = iδkk′ one obtains the Kac-Moody algebra for the currents [ρk, ρk′ ] = ν 2π kδk+k′ (3) This theory provides complete description of low lying excitations of the Laughlin state.12 Now we want to employ this theory to QSH systems. The easiest way to understand QSHE is to consider the case when spin sz is conserved.13 Then one can define two sectors with spin up and down. Such decomposition is possible even when spin is not conserved.14 Then one can define the Chern numbers n↑,↓ for the spin up and down sectors in the usual manner.15,16 In a time reversal invari- ant system the total Chern number n↑ + n↓ = 0 is zero. However the difference of the two Chern numbers in gen- eral is not zero and one can define the spin Chern number according to Cs = 1 2 (n↑ − n↓). Loosely speaking, Cs de- termines the number of gapless edge modes in the system. It was shown that the edges of a QSH system with even Cs are localized by disorder, while edges of a QSH sys- tem with odd Cs are robust against small time reversal invariant perturbations.17,18 The case n↑ = −n↓ = 1 is the simplest and to describe edge states of such a system one needs two uncoupled incompressible liquids ρ1 and ρ2 with the edge state Hamiltonians (2), which are re- lated by time reversal symmetry. Edge excitations travel in opposite directions with the velocity u = eE/hn. Here the index 1 refers to spin up and the index 2 refers to spin down sectors. In analogy with the above case, we assume that the low lying excitations of a three-dimensional topological insulator are the surface excitations of two uncoupled incompressible quantum liquids confined by a potential well, with the following Hamiltonian: H = Z 1 2 ρ0eE(cid:2)h2 1(x, y) + h2 2(x, y)(cid:3) dxdy (4) where ρ0 is the density of the electronic liquid, x = (x, y), x and y are coordinates along the surface. Two- dimensional density of the surface waves is ρi(x, y) = ρ0hi(x, y), i = 1, 2. Incompressibility of the liquid means that R hi(x, y)dxdy = 0. It will turn out that after mak- ing some assumptions about the dynamics of the fields hiθ, this case corresponds to a strong TI with a single Dirac cone on the surface. The essence of Luther's approach is to consider a D-dimensional space as a set of one-dimensional "rays".19 -- 23 Following these ideas, we write the surface state Hamiltonian (4), as a sum over tomographic pro- jections H = ZR dθZ 1 2 1θ(ξ) + h2 neE(cid:2)h2 2θ(ξ)(cid:3) dξ (5) integrating over the right hemi-circle R = {−π/2 ≤ θ ≤ π/2}. Here the surface displacement of the tomographi- cally projected system hθ(ξ) is defined as where 2π3n(cid:19)1/2 hiθ(ξ) = Z ∞ 0 (cid:18) kρ0 dkZ cos k(ξ−ξ′)hi(x′, y′)dx′dy′ (6) k = kk, k = (cos θ, sin θ), ξ = k · x, ξ′ = k · x′; since z coordinate remains intact, we can consider the planes (ξ, z) which are labeled by θ. We call these planes as to- mographic planes or tomographic projections of the ini- tial three-dimensional system. n is the two-dimensional 2 density of the electronic liquid in the tomographic plane, which is determined from the consistency of the two de- scriptions. Electric field of the confining potential well is the same in both cases.30 It is easily verified that tomographic projections are also incompressible liquids. Now we make the following assumption that these tomo- graphic planes are QSH systems. From the topological band theory we can be sure that this is correct at least for three values of the parameter θ (see the discussion at the end of the paper). The fact that this is correct for all θ will be justified below, because this is the only assump- tion that leads to the desired result: single Dirac cone on the surface which will be obtained after fermioniza- tion of the model. Then one finds that excitations have linear spectrum ωk = uk, where u = eE/hn. Thus one can relate the unknown parameter of the theory n to the parameters of the three-dimensional theory. The choice of the range of θ is not unique and this reflects the fact that splitting of the full Hilbert space induced by the time reversal operation is not unique. When time reversal symmetry is preserved such split- ting is necessary to obtain a non-trivial Chern number of a 2D system, because the Chern number of the whole Hilbert space vanishes (in 3D one needs to consider cer- tain 2D sections of the Brillouin zone and further split them using time reversal operation). One can define Fourier components of hiθ(ξ): hiθ(k) = Z hiθ(ξ)e−ikξdξ (7) Since by our assumption hiθ(k) are the edge modes of a QSH system, they must satisfy the equations of motion (continuity equations) ∂th1θ(k) = iukh1θ(k) and ∂th2θ(k) = −iukh2θ(k). From (6) one also has h1θ(±k) = q kρ0 2πn h1(±k). This finally leads to ∂th1(±k) = ±iukh1(±k) ∂th2(±k) = ∓iukh2(±k) (8) (9) when k is in the right hemi-circle. quantized form the Hamiltonian (4) is In the second- HB = Xk∈R uk(α† kαk + β† kβk) (10) [αk, α† k′] = [βk, β† k′] = δk,k′, [αk, β† k′ ] = 0 e.g, αk is related to h1(k) through αk = pρ0/nkh1(−k), α† k = pρ0/nkh1(k) when k ∈ R. The Hamiltonian (10) is half of the massless Klein-Gordon model, exactly what is needed to construct massless two-component Dirac fermion.19 This is similar to that chiral bosons in one-dimension are the half of the ordinary bosons. One can associate the following bosonic fields with this model φ1(θ, k · x) = (cid:18) S 2π2(cid:19)1/2Z ∞ 0 e−αk/2dk × (αkeik(k·x) + h.c.) (11) φ2(θ, k · x) = −(cid:18) S 2π2(cid:19)1/2Z ∞ e−αk/2dk 0 × (β† keik(k·x) + h.c.) (12) α is the cutoff which should be taken to zero at the end; S is the surface area. These fields do not correspond to any local observables. However, Luther showed that appropriate functions of these fields do. Here we review some of the details of the Luther's construction for completeness.31 Suppose we have a fermionic Hamiltonian HF = uXk k(~k · ~σ)ak a† (13) This is a Hamiltonian of massless Dirac fermions. It can be diagonalized by a transformation U = eiS, S = iXk π 4 a† kV · ~σak (14) where V = (− sin θ, cos θ). Fermi operators transform according to a′ k = e−iπV·~σ/4ak The diagonal Hamiltonian is H ′ F = Xk uka′† k σza′ k (15) (16) The boson representation is given by ψ(θ, k · x) = e−iπV·~σ/4ψ′(θ, k · x) (17) ψ′(θ, k · x) = 1 2πα (cid:18)exp[φ1(θ, k · x)] exp[φ2(θ, k · x)](cid:19) (18) (Klein factors necessary to ensure anticommutation rela- tions are omitted for simplicity; for details see [19,20]). The usual Fermi fields are given by ψ(x) = ZR dθψ(θ, k · x) (19) The representation (11),(12),(17-19) is constructed in such a way that the correlation functions of free fermionic fields are correctly reproduced. Note a crucial point that in the definition (19) the integration is only over half of the whole circle. Thus we see that the Hamiltonian (4) together with the equations of motions (8) and (9) (or 3 alternatively the Hamiltonian (5) where hiθ(ξ) are edge states of a QSH insulator) is equivalent to massless Dirac Hamiltonian. This corresponds to strong TI with a sin- gle Dirac cone on the surface. These calculations confirm our initial intuition. There is only one Z2 invariant in two-dimensions.24 It can be defined as Cs mod 2.25 In three-dimensions there are four Z2 invariants.5 -- 7 Three of them are equivalent to invariants of two-dimensional topological insulators and are defined as invariants of some sections of the Brillouin zone. The fourth topological invariant ν0 is purely three- dimensional. TIs with ν0 = 0 are called weak and TIs with ν0 = 1 are called strong. This invariant determines the number of Kramers degenerate Dirac points enclosed by the Fermi surface. In any time reversal invariant system with spin orbit interactions there are two-dimensional Dirac points in the surface spectrum. Therefore the above considerations should be clarified. Single Dirac fermion on the surface already means that the insulator is a strong topologi- cal insulator. We will show this directly and in parallel discuss the relation of our picture to the conventional theory of topological insulators. To make connection of this picture with the band topology, we use the simple argument for counting the topological invariants due to Roy26, which is quoted below. For us the important as- pect of this work is how the Z2 invariants of certain planes in the Brillouin zone, such as px = py, can be computed. Let represent the Brillouin zone by a cube {−π ≤ px, py, pz ≤ π} and the Z2 invariants associated with the planes px = 0, px = π, py = 0, py = π by ν1, ν1, ν2, ν2 respectively. Then the Z2 invariant of the plane px = py equals ν1 + ν2 and the Z2 invariant distinguishing strong topological insulators from weak topological insulators equals ν0 = ν1 − ν1 = ν2 − ν2. Any 3D topological insu- lator with time reversal invariance can be characterized by four invariants, which may be chosen to be ν1, ν2, ν3 and ν0. Above we assumed that every tomographic plane sup- ports QSHE. In fact, it is sufficient to consider only three planes (then for the rest this would be satisfied automat- ically). Let these planes be px = π, py = π, px = py. We will consider for concreteness the Dirac point (π, π, 0) and the surface states on the (x, y) plane having small 2D momentum k around px = π,py = π (direction of k is given by the angle θ). In this case θ = 0 corresponds to the plane py = π, θ = π/2 to the plane px = π, and θ = π/4 to the plane px = py. Suppose that Z2 invariant of each of this planes is odd, thus corresponding to non- trivial insulator. Then we have ν1 = ν2 = ν1 + ν2 = 1, which gives ν0 = 1. This corresponds to strong topolog- ical insulating phase. If there is no such a point in the Brillouin zone, for which all three Z2 invariants are 1, then the insulator is in the weak topological insulating phase with ν0 = 0. (see also Fig.3 in the Ref. [27]) In general, it isn't possible to define a Z2 invariant of a plane with arbitrary θ using topological band theory. It seems that this is possible only for planes such as tan θ = 4 m/n, where m and n are two co-prime integers. In the case we have considered, all such invariants are equal to 1. Recently, bosonization approach was applied to topo- logical insulators also in the work [28], but in a differ- ent context. In passing we also note that surface excita- tions of certain 3D topological superconductors29 can be viewed, in every radial direction, as edge states of a 2D topological superconductor in the same class. It would be interesting to explore this case as well. In summary, we have shown that low lying excitations of a strong TI with a single Dirac cone on the surface are the surface deformations of a droplet of incompress- ible quantum liquid. These excitations have very unusual form, however they have a simple meaning when one con- siders tomographic projections of this liquid (as defined in the text): they are two chiral waves propagating in opposite directions. Thus, surface excitations of a strong TI with a single Dirac cone on the surface are the sum of QSH edge states. However, this is not true for the entire topological insulator, i.e., a strong TI can not be presented as a sum of 2D TIs. This paper can be consid- ered as an another physical illustration of the fact, that 3D topological insulators can be characterized by 2D in- variants. I would like to acknowledge P.I. Arseev, A.G. Semenov and especially S.M. Apenko and V.V. Losyakov for nu- merous useful discussions and comments on the earlier versions of this manuscript and Yu.E. Lozovik for draw- ing my attention to topological insulators. 1 M. Z. Hasan and C. L. Kane, Rev. Mod. Phys. 82, 3045 96, 106401 (2006). (2010). 2 J. E. Moore, Nature 464, 194 (2010). 3 X. L. Qi and S. C. Zhang, Physics Today, 63, 33 (2010). 4 M. Buttiker, Science 325, 278 (2009). 5 L. Fu, C.L. Kane, and E.J. Mele, Phys. Rev. Lett. 98, 106803 (2007). 6 J.E. Moore and L. Balents, Phys. Rev. B 75, R121306 (2007). 7 R. Roy, Phys. Rev. B 79, 195322 (2009). 8 S. Raghu, S.B. Chung, X.-L. Qi, and S.-C. Zhang, Phys. Rev. Lett. 104, 116401 (2010). 9 D.H. Lee and X.G. Wen, Phys. Rev. Lett. 66, 1765 (1991). 10 F.D.M. Haldane, Bulletin of APS 35, 254 (1990). 11 M. Stone, Annals of Physics 207, 38 (1991); Int. J. Mod. Phys. B 5, 509 (1991). 12 X.G. Wen, Int. J. Mod. Phys. B 6, 1711 (1992). 13 C.L. Kane and E.J. Mele Phys. Rev. Lett. 95 226801 (2005). 14 E. Prodan, Phys. Rev. B 80, 125327 (2009). 15 D. J. Thouless, M. Kohmoto, M. P. Nightingale, and M. 18 C. Xu and J. E. Moore, Phys. Rev. B 73, 045322 (2006). 19 A. Luther, Phys. Rev. B 19, 320 (1979). 20 A. Luther, Phys. Rep. 49, 261 (1979). 21 H. Aratyn, Phys. Rev. D 28, 2016 (1983); Nucl. Phys. B 227, 172 (1983). 22 P.W. Anderson, Phys. Rev. Lett. 67, 2092 (1991). 23 C. M. Sommerfield, in Strings and Symmetries, edited by G. Akta¸s, C. Sa¸clıoglu, and M. Serdaroglu, Lecture Notes in Physics, vol. 447 (Springer Verlag, Berlin, 1995). 24 C.L. Kane and E.J. Mele, Phys. Rev. Lett. 95, 146802 (2005). 25 D. N. Sheng, Z. Y. Weng, L. Sheng, and F. D. M. Haldane, Phys. Rev. Lett. 97, 036808 (2006). 26 R.Roy, arXiv:1004.3507 27 L. Fu and C.L. Kane, Phys. Rev. B 76, 045302 (2007). 28 G.Y. Cho and J.E. Moore, arXiv:1011.3485 29 X.L. Qi, T.L. Hughes, S. Raghu, S.C. Zhang, Phys. Rev. Lett. 102, 187001 (2009). 30 We assume that E is constant on the surface or varies very slowly as a function of x and y. den Nijs, Phys. Rev. Lett. 49, 405 (1982). 31 Although Luther considered three-dimensional fermions, it 16 J. E. Avron, R. Seiler, and B. Simon, Phys. Rev. Lett. 51, is easy to extend his results to two dimensions. 51 (1983). 17 C. Wu, B.A. Bernevig, and S.-C. Zhang, Phys. Rev. Lett.
1903.03312
1
1903
2019-03-08T07:46:48
On the resilience of magic number theory for conductance ratios of aromatic molecules
[ "cond-mat.mes-hall" ]
If simple guidelines could be established for understanding how quantum interference (QI) can be exploited to control the flow of electricity through single molecules, then new functional molecules, which exploit room-temperature QI could be rapidly identified and subsequently screened. Recently it was demonstrated that conductance ratios of molecules with aromatic cores, with different connectivities to electrodes, can be predicted using a simple and easy-to-use 'magic number theory'. In contrast with counting rules and 'curly-arrow' descriptions of destructive QI, magic number theory captures the many forms of constructive QI, which can occur in molecular cores. Here we address the question of how conductance ratios are affected by electron-electron interactions. We find that due to cancellations of opposing trends, when Coulomb interactions and screening due to electrodes are switched on, conductance ratios are rather resilient. Consequently, qualitative trends in conductance ratios of molecules with extended pi systems can be predicted using simple 'non-interacting' magic number tables, without the need for large-scale computations. On the other hand, for certain connectivities, deviations from non-interacting conductance ratios can be significant and therefore such connectivities are of interest for probing the interplay between Coulomb interactions, connectivity and QI in single-molecule electron transport.
cond-mat.mes-hall
cond-mat
1 On the resilience of magic number theory for conductance ratios of aromatic molecules. Lara Ulčakar1, Tomaž Rejec2,1, Jure Kokalj3,1, Sara Sangtarash*, Hatef Sadeghi*, Anton Ramšak2,1, John H. Jefferson* and Colin J. Lambert* * Department of Physics, Lancaster University, Lancaster, LA1 4YB, United Kingdom. 1 Jožef Stefan Institute, Ljubljana, Slovenia. 2 Faculty of Mathematics and Physics, University of Ljubljana, Ljubljana, Slovenia. 3 Faculty of Civil and Geodetic Engineering, University of Ljubljana, Ljubljana, Slovenia. Corresponding author: [email protected] ABSTRACT If simple guidelines could be established for understanding how quantum interference (QI) can be exploited to control the flow of electricity through single molecules, then new functional molecules, which exploit room-temperature QI could be rapidly identified and subsequently screened. Recently it was demonstrated that conductance ratios of molecules with aromatic cores, with different connectivities to electrodes, can be predicted using a simple and easy-to-use "magic number theory." In contrast with counting rules and "curly-arrow" descriptions of destructive QI, magic number theory captures the many forms of constructive QI, which can occur in molecular cores. Here we address the question of how conductance ratios are affected by electron-electron interactions. We find that due to cancellations of opposing trends, when Coulomb interactions and screening due to electrodes are switched on, conductance ratios are rather resilient. Consequently, qualitative trends in conductance ratios of molecules with extended pi systems can be predicted using simple "non-interacting" magic number tables, without the need for large-scale computations. On the other hand, for certain connectivities, deviations from non-interacting conductance ratios can be significant and therefore such connectivities are of interest for probing the interplay between Coulomb interactions, connectivity and QI in single-molecule electron transport. Introduction Understanding and exploiting room-temperature quantum interference (QI) in single molecules is the key to creating new high-performance single-molecule devices and thin-film materials formed from self-assembled molecular layers. During the past decade, experimental and theoretical studies of single molecules attached to metallic electrodes have demonstrated that room-temperature electron transport is controlled by QI within the core of the molecule [1-20]. Many of these demonstrations have been achieved by noting that in contrast with artificial quantum dots, where atomic-scale details of the coupling of a dot to external electrodes are not known, the connectivity to the core of a single molecule may be controlled to atomic accuracy. Fig. 1 shows two examples of molecules with a common anthanthrene core, connected via triple bonds and pyridyl anchor groups to gold electrodes. The anthanthrene core (represented by a lattice of 6 hexagons) of molecule 1 and the anthanthrene core of molecule 2 are connected differently to the triple bonds. Therefore it is natural to ask how the electrical conductance and interference properties of such molecules are affected by connectivity. 2 Figure 1. Examples of molecules with anthanthrene cores, connected via triple bonds and pyridyl anchor groups to the tips of gold electrodes, which in turn connect to crystalline gold leads (not shown). Molecule 1 has a connectivity i-j and electrical conductance 𝜎𝑖𝑗, while molecule 2 has a connectivity l-m and electrical conductance 𝜎𝑙𝑚. …………………………………………………………………………………………………………… In a typical experiment using mechanically controlled break junctions or STM break junctions [13-18], fluctuations and uncertainties in the coupling to electrodes are dealt with by measuring the conductance of such molecules many thousands of times and reporting the statistically-most-probable electrical conductance, just before the junction breaks. If 𝜎𝑖𝑗 is the statistically-most-probable conductance of a molecule such as 1, with connectivity i-j and 𝜎𝑙𝑚 is the corresponding conductance of a molecule such as 2, with connectivity l-m, then it was recently predicted theoretically and demonstrated experimentally [21-23] that for polyaromatic hydrocarbons (PAHs) such as anthanthrene, the statistically-most-probable conductance ratio 𝜎𝑖𝑗/𝜎𝑙𝑚 is independent of the coupling to the electrodes and could be obtained from tables of "magic numbers," which for bipartite PAHs in the absence of electron-electron interactions, are simply tables of integers. If 𝑀𝑖𝑗 (𝑀𝑙𝑚) is the magic number corresponding to connectivity i-j (l-m), then this "magic ratio theory" predicts 𝜎𝑖𝑗𝜎𝑙𝑚=(𝑀𝑖𝑗𝑀𝑙𝑚)2. (1) From a conceptual viewpoint, magic ratio theory views the shaded regions in Fig. 1 as "compound electrodes", comprising both the anchor groups and gold electrodes, and focuses attention on the contribution from the core alone. The validity of Eq. (1) rests on the following key foundational concepts [1,2, 21-23]: 1. weak coupling 2. locality 3. connectivity 4. mid-gap transport 5. phase coherence 6. connectivity-independent statistics 3 When these conditions apply, the complex and often uncontrolled contributions from electrodes and electrode-molecule coupling cancel in conductance ratios and therefore a theory of conductance ratios can be developed by focussing on the contribution from molecular cores alone. The term "weak coupling" means that the central aromatic subunit such as anthanthrene should be weakly coupled to the anchor groups via spacers such as acetylene. "Locality" means that when a current flows through an aromatic subunit, the points of entry and exit are localised in space. For example in molecule 1, the current enters at a particular atom i and exits at a particular atom j. The concept of "connectivity" recognises that through chemical design, spacers can be attached to different parts of a central subunit with atomic accuracy and therefore it is of interest to examine how the flow of electricity depends on the choice of connectivity to the central subunit. The concept of "mid-gap transport" is recognition of the fact that unless a molecular junction is externally gated by an electrochemical environment or an electrostatic gate, charge transfer between the electrodes and molecule ensures that the energy levels adjust such that the Fermi energy EF of the electrodes is usually located in the vicinity of the centre of the HOMO-LUMO gap and therefore transport takes place in the co-tunnelling regime. In other words, transport is usually "off-resonance". The concept of "phase coherence" recognises that in this co-tunnelling regime, the phase of electrons is usually preserved as they pass through a molecule and therefore transport is controlled by QI. The condition of "connectivity-independent statistics" means that the statistics of the coupling between the anchor groups and electrodes should be independent of the connectivity to the aromatic core. When each of these conditions applies, it can be shown [1,2,21,22] that the most probable electrical conductance corresponding to connectivity i,j is proportional to 𝐺𝑖𝑗(𝐸F)2 where 𝐺𝑖𝑗(𝐸F) is the Green's function of the core alone, evaluated at the Fermi energy of the electrodes. In the absence of time-reversal symmetry breaking, 𝐺𝑖𝑗(𝐸F) is a real number. Since only conductance ratios are of interest, we define magic numbers by 𝑀𝑖𝑗=𝐴𝐺𝑖𝑗(𝐸F), (2) where A is an arbitrary constant of proportionality, chosen to simplify magic number tables and which cancels in Eq. (1). Magic ratio theory applies to any single-molecule junction, provided conditions 1-6 are satisfied. It represents an important step forward, because apart from the Fermi energy 𝐸F, no information about the electrodes is required. The question we address below is what are the precise values of the numbers 𝑀𝑖𝑗 and how are they affected by electron-electron interactions? In the literature, several papers discuss the conditions for destructive QI, for which 𝑀𝑖𝑗≈0 [6,9-18, 24-29]. On the other hand, magic ratio theory aims to describe constructive QI, for which 𝑀𝑖𝑗 may take a variety of non-zero values. If 𝐻 is the non-interacting Hamiltonian of the core, then since the matrix 𝐺(𝐸F)=(𝐸F−𝐻)−1, the magic number table is obtained from a matrix inversion, whose size and complexity reflects the level of detail contained in 𝐻. The quantities 𝑀𝑖𝑗 were termed "magic" [21-23], because even a simple theory based on connectivity alone yielded values, which were found to be in remarkable agreement with experiment. For example for molecule 1, the prediction was 𝑀𝑖𝑗=−1, whereas for molecule 2, 𝑀𝑙𝑚=−9 and therefore the electrical conductance of 2 was predicted to be 81 times higher than that of 1, which is close to the measured value of 79. This large ratio is a clear manifestation of quantum interference (QI), since such a change in connectivity to a classical resistive network would yield only a small change in conductance. To obtain the above values for 𝑀𝑖𝑗 and 𝑀𝑙𝑚, the Hamiltonian 𝐻 was chosen to be 4 𝐻=(0𝐶𝐶𝑡0), (3) where the connectivity matrix 𝐶 of anthanthrene is shown in Fig. 2b. In other words, each element 𝐻𝑖𝑗 was chosen to be -1 if 𝑖,𝑗 are nearest neighbours or zero otherwise and since anthanthrene is represented by the bipartite lattice in which odd numbered sites are connected to even numbered sites only, 𝐻 is block off diagonal. The corresponding core Green's function evaluated at the gap centre 𝐸F=0 is therefore obtained from a simple matrix inversion 𝐺(0)=−𝐻−1. Since 𝐻 and therefore −𝐻−1 are block off-diagonal, this yields the following structure for the magic number table of the PAH core 𝑀=(0𝑀𝑡𝑀0). The off-diagonal block of the magic number table 𝑀 for anthanthrene is shown in Fig. 2c. As noted above, for molecule 1, with connectivity 9-22, 𝑀9,22=−1, whereas for molecule 2, with connectivity 3-12, 𝑀3,12=−9. Figure 2. (a) The numbering system for pi orbitals of an anthanthrene core. (b) The connectivity table 𝐶 for anthanthrene. (c) The non-interacting magic number table 𝑀 corresponding to the anthanthrene lattice (a). (d) The interacting magic number table 𝑀int corresponding to the anthanthrene lattice in the presence of electron-electron interactions, calculated within the Hartree-Fock approximation. The depth of shading in the tables is in proportion to the table entries and highlights the qualitative agreement between the non-interacting and interacting magic number tables. …………………………………………………………………………………………………………… 5 Magic number tables such as Fig. 2c are extremely useful, since they facilitate the identification of molecules with desirable conductances for future synthesis. Conceptually, tables obtained from Hamiltonians such as Eq. (3) are also of interest, since they capture the contribution from intra-core connectivity alone (via the matrix 𝐶, comprising -1's or zeros), while avoiding the complexities of chemistry. Although magic number tables obtained from such connectivity matrices were shown to agree qualitatively with break junction measurements of several different molecules carried out by different experimental groups [22], the errors in the experimental estimates of conductance ratios are rather large and the number of molecules tested is small. Therefore it is of interest to seek to improve the accuracy of magic number tables by utilising more accurate core Hamiltonians. An essential ingredient missing from the Hamiltonian of Eq. (3) is electron-electron interactions and therefore in what follows we aim to obtain improved estimates of magic numbers by including the effect of Coulomb interactions and screening. Results will be presented for a variety of graphene-like molecules, including benzene, naphthalene, anthracene, pyrene and anthanthrene. The main outcome of this study is exemplified by the interacting magic number table 𝑀int for anthanthrene, whose lower off-diagonal block 𝑀int is presented in Fig. 2d. Note that magic numbers are only defined up to a constant of proportionality, which does not affect the predicted conductance ratios. Therefore to facilitate comparison between interacting and non-interacting values, in the table of Fig. 2d, the constant is chosen to minimise the mean square deviation between the non-interacting and interacting M-tables. The latter shows for example, that in the presence of Coulomb interactions, the magic number for molecule 1, changes from -1 to 𝑀9,22int=−0.44, whereas for molecule 2, the magic number changes from -9 to 𝑀3,12int=−5.44. Hence interacting magic number theory predicts that the conductance of 2 is (-5.44/-0.44)2=152 times higher than that of 1 (or more precisely 148 if magic numbers to 3 decimal places are used, as presented in the Supplementary Information (SI)). This demonstrates that the conductance ratio of 81, predicted by non-interacting magic numbers is qualitatively correct (ie to within a factor of 2). Furthermore comparison between tables c and d in Fig. 2 shows that the non-interacting magic number table captures the qualitative trends of the interacting magic number table. This qualitative agreement is remarkable, since the former can be obtained from a few lines of e.g. MATLAB code, while the latter is the result of a substantial many-body calculation. Results for both non-interacting and interacting magic number tables of a range of PAHs are presented in the SI. Our main conclusion is that non-interacting magic numbers are a useful qualitative guide for predicting conductance ratios, even in the presence of Coulomb interactions and screening. Results In the following numerical simulations, the transmission coefficient Tij(E) describing the probability that electrons of energy E can pass from one electrode to another via sites i,j. Systems with the chiral symmetry have a symmetric energy spectrum which means that for half-filled systems the Fermi energy is at the gap centre. Therefore, conductance ratios are obtained from Tij(0). To include the effects of the Coulomb interaction, we first generalise the Hamiltonian of Eq. (3) to the interacting Parr-Pariser-Pople (PPP) model [30-32]. We base our treatment of the Coulomb interaction on a scheme proposed by Ohno [33], which obtains inter-site interaction integrals by smoothly interpolating between the Hubbard integral U for zero separation between sites and an unscreened Coulomb interaction for large separations between sites. This is an established model for the aromatic molecules and yet its simplicity enables us to study the effect of interaction. Recently it was shown experimentally [34] that molecular levels shift as a result of Coulomb interaction with image charges in the metal leads, resulting in a HOMO-LUMO gap renormalization. Therefore, we also take into 6 account additional electric potential screening, which is induced by the conducting electrodes. We model the latter as infinite parallel plates located at a distance d from each of the connection sites. Calculations for smaller molecules (benzene, naphthalene, and anthracene) are performed using both the Lanczos exact diagonalization method [35] and using the restricted Hartree-Fock (HF) approximation (for technical details see Methods and the SI). We use the latter since we consider effects of the Coulomb interaction in the simplest scheme possible (for superior approximate methods as for example GW method see [36]). For the larger molecules (pyrene and anthanthrene) the Lanczos "calculation is not feasible. For the smaller molecules, where it is possible to compare the Lanczos method with the HF approximation, agreement was found for the HOMO-LUMO gaps (within approx. 1%) and conductances (within approx. 10%) for different connectivities. This gives us confidence that use of the HF approximation for the larger molecules is valid. As a first example, we present results for the conductance ratio of molecules with naphthalene cores (see Fig. 3a), with two different connectivities, denoted 6-9 and 3-8, whose non-interacting magic ratio is 4 [see table in Fig. 3c of the SI]. To elucidate the effects of varying the strength of interactions, we multiply all the interaction integrals by a scale factor λ and examine the effect of varying λ. The upper table in Fig. 3b shows a comparison between results obtained using HF and direct Lanczos diagonalization for different values of the scaling parameter λ, ranging from λ = 0 (non-interacting) to λ = 1 (interacting) and to the greater, unphysical value of λ = 2. For λ = 1, the lower table in Fig. 3b shows the effect of screening by electrodes at different distances d from molecule, ranging from d = d0, where d0 is the carbon-carbon bond length, to d = ∞ (no screening). Fig. 3c shows that the HF approximation reproduces the exact Lanczos HOMO-LUMO gap correctly for naphthalene and while there is a small discrepancy in the transmission coefficient (Fig. 3d) at the Fermi level E = 0, the HF conductance ratio is qualitatively correct, deviating appreciably from the exact value only when λ becomes much larger than the physically-relevant one. Note that the conductance ratio at λ = 0 is not exactly equal to the non-interacting ratio of 4 due to the presence of a small but finite coupling of the molecule to the electrodes. The lower table in Fig. 3b shows that screening by the electrodes does not change the ratio appreciably even though the renormalization of the HOMO-LUMO gap is different for different connectivities. The difference in gap renormalisation occurs, because screening is more effective when the distance between electrodes is small. The gap is thus reduced more by screening for the 6-9 connectivity, where the long axis of the molecule is parallel to electrode surfaces, than for the 3-8 connectivity, where it is perpendicular to them. If the QI between different paths through the molecule did not change, one would expect the conductance ratio to be proportional to the ratio of inverse gaps squared and therefore the conductance ratio at d/d0 = 1 should have increased by 31% compared to the conductance ratio in absence of screening. Here this effect is almost exactly compensated by the screening induced change of QI between different paths through the molecule. The results in Fig. 3b show that for naphthalene, the HF and Lanczos predictions for the conductance ratio are rather close to each other and to that of (non-interacting) magic number theory. As a second example, Fig. 4b shows HF results for the conductance ratio of molecules 1 and 2 with anthanthrene cores and Fig. 4c shows their corresponding transmission functions 𝑇(𝐸). As for naphthalene, the conductance ratio increases from the non-interacting value when interactions are present (upper table in Fig. 4b), but here the deviations from the non-interacting magic ratio of 81 are more pronounced. In contrast with naphthalene, the conductance ratio is also affected by screening: when the electrodes become closer to the molecule, the ratio drops back towards the non-interacting value. In contrast with 7 naphthalene, the rescaling of the HOMO-LUMO gaps of both connectivities would lead to an increase of the conductance ratio (by 37% for d/d0 = 1), so the drop of the conductance ratio can be attributed to screening-induced change in the QI of different paths through the molecule. Figure 3. HF and Lanczos results for the naphthalene molecule within the PPP model. a) Naphthalene molecules with 6-9 (left) and 3-8 (right) connectivities to electrodes, whose non-interacting conductance ratio is predicted to be 4. Arrows show how currents are distributed in a molecule when a small source-drain bias is applied between the electrodes. b, top) HF and Lanczos conductance ratios (columns 2 and 3, respectively) and HOMO-LUMO gaps (columns 4 and 5, respectively) for different interaction strengths λ, with no screening by electrodes. b, bottom) HF and Lanczos conductance ratios for the physical value of λ=1, in the presence of screening by electrodes at different distances d away from the molecule, measured in units of the carbon-carbon bond length d0. An infinite distance corresponds to no screening. The two values of the HOMO-LUMO gap separated by a semicolon correspond to the 6-9 and 3-8 connectivities, respectively. c) The density of states in the molecule for the 6-9 connectivity (top) and for the 3-8 connectivity (bottom). The coloured and the black line show the HF and the Lanczos result, respectively. d) The transmission function for the 6-9 connectivity (top) and for the 3-8 connectivity (bottom). The coloured and black lines show HF and the Lanczos result, respectively. …………………………………………………………………………………………………………… 8 To highlight the correlation (and differences) between non-interacting and interacting conductance ratios, the blue dots in Fig. 4d are plots of HF conductance ratios versus those predicted by non-interacting magic numbers for all possible pairs of connectivities and shows that there is a significant degree of correlation between the two. The main conclusion from these results and for corresponding results for other molecules (see SI) is that although Coulomb interactions and screening cause the conductance ratios to vary, in many cases the non-interacting magic ratios provide the correct qualitative trend. In the case of anthanthrene (Fig. 4), the non-interacting ratio of 81 is surprisingly close to the most-physical conductance ratio of 79.3, which occurs at λ = 1 and a screening distance of d/d0 = 1. Figure 4. Results for the anthanthrene molecule within the PPP model. a) The anthanthrene molecule attached to electrodes for molecule 2 with 3-12 connectivity (left) and molecule 1 with 9-22 connectivity (right). Arrows as in Fig. 3a. b) As in Fig. 3b, but only HF results are tabulated here. c) Transmission functions for the 3-12 connectivity (blue) and for the 9-22 connectivity (red). d) Correlations of the HF conductance ratio (horizontal axis) for a particular pair of connectivities with the non-interacting (blue dots) and the infinite-range interaction (orange dots) conductance ratio for the same pair of connectivities. Results for all possible pairs of connectivities are shown. …………………………………………………………………………………………………………… The above results are obtained from the PPP model, which coincides with the non-interacting Hamiltonian of Eq. (3) when 𝑈=0. This model preserves chiral symmetry and guarantees that the centre of the HOMO-LUMO gap lies in the middle of the energy spectrum (𝐸=0). The model captures the effect of connectivity and Coulomb interactions, without introducing complexities 9 associated with the chemical nature of the molecules. To include the latter, we used density functional theory to compute the transmission coefficient 𝑇(𝐸) of molecules with different connectivities attached to gold electrodes. Fig. 5a shows plots of log𝑇(𝐸) versus E for the 3-8 and 6-9 connectivities of naphthalene and Fig. 5b shows log𝑇(𝐸) versus E for the 3-12 and 9-22 connectivities of anthanthrene. To highlight the further role of chemistry, the bottom right inset of Fig. 5b shows corresponding results when the anthanthrene core is directly coupled to gold electrodes, as shown in the bottom left inset. For energies in the shaded regions of these plots, the ratio of geometric averages of transmission coefficients approximately coincides with the non-interacting magic ratio rule (see Table 1, column 7). Figure 5. (a) DFT results for the transmission coefficients of naphthalene with 6-9 and 3-8 connectivities attached to gold electrodes; (b) DFT results for transmission coefficients of anthanthrene with 3-12 and 9-22 connectivities (molecules 1 and 2) attached to gold electrodes. The bottom-right inset shows corresponding transmission coefficients when the anthanthrene cores are directly coupled to gold electrodes. ……………………………………………………………………………………………………………………… PPP and DFT results for conductance ratios of benzene, anthracene and pyrene with two connectivities are presented in Figs. 7-12 of the SI. Except for benzene, the conductance ratios for those connectivities were measured experimentally. Table 1 shows a comparison between these results, the non-interacting magic ratios and experiment. Molecule (i-j; l-m) Non-interacting ratio PPP (HF) PPP (Lanczos) Infinite range interaction PPP 𝑑/𝑑0=1 (HF) DFT Experiment benzene (1-2; 1-4) 1 1.39 1.60 1.75 - - - naphthalene (6-9; 3-8) 4 4.41 4.84 4.59 4.38 2 5.1 [15] anthracene (1-8; 5-12) 16 21.2 26.3 19.8 22.0 13 10.2 [15] pyrene (5-12; 4-11) 9 3.92 - 4.65 5.78 9 8 [21] anthanthrene (3-12; 9-22) 81 148 - 2400 79.3 81 79 [22] 10 Table 1. Conductance ratios for various molecules, for a pair of connectivities listed in the first column. Column 2: Ratios obtained using non-interacting magic number theory. Column 3: PPP with no screening by electrodes, calculated within the HF approximation. Column 4: PPP with no screening by electrodes, calculated using the Lanczos method, where available. Column 5: PPP with an infinite range interaction. Column 6: PPP with screening by electrodes at d/d0 = 1, within the HF approximation. Column 7: DFT geometric average ratio over the shaded regions of figure 5. Column 8: experimental ratios, where available. …………………………………………………………………………………………………………… The effect of interaction on conductance ratios can be roughly estimated by considering the PPP model in the infinite-range interaction limit (where the interaction integrals take the same value 𝑈 for all pairs of sites in a molecule), which can be solved exactly for an isolated molecule. In this limit, the core Green's function takes the form 𝐺(0)=−(𝐻+12𝑈sgn𝐻)−1 and can be easily evaluated from the connectivity matrix C and 𝑈 alone, with 𝐻=(0𝐶𝐶𝑡0) (see Supplementary Information). For 𝑈 we take the average value of the PPP interaction integrals in a given molecule. This is a useful limit, because as shown by Table 1, for all molecules except anthanthrene the conductance ratios calculated from 𝐺 correctly predict the direction in which the PPP ratio will deviate from the non-interacting magic ratio. Furthermore, the infinite-range interaction prediction is quantitatively correct within approx. 20%. Unfortunately, for anthanthrene with 3-12 and 9-22 connectivities, the infinite-range interaction limit conductance ratio is not a good approximation to the PPP ratio. We traced the latter failure to the fact that the Green's function element corresponding to the 9-22 connectivity crosses zero as a function of the interaction strength in the vicinity of the actual value of interaction. Therefore, the conductance ratio is very sensitive to the actual form and strength of interaction for this connectivity. The orange dots in Fig. 4d are plots of HF conductance ratios versus those predicted by infinite range interaction model for all possible pairs of connectivities and show that there is a significant improvement compared with the non-interacting magic ratios. Moreover, as shown in Fig. 16 in the SI, typically the infinite-range interaction model correctly predicts in which direction the PPP conductance ratio will deviate from the non-interacting value. The main result contained in Fig. 3, Fig. 4, and Table 1 is that the non-interacting conductance ratios are typically similar to those obtained in the presence of Coulomb interactions and therefore despite their simplicity, are a useful guide for predicting conductance ratios and identifying connectivities with high or low conductance. Furthermore for small molecules, where Lanczos results for the PPP model are available, the Lanczos ratios agree with those obtained using HF. On the other hand, there are cases where interactions cause a strong deviation from non-interacting conductance ratios. We identified several pairs of connectivities for different molecules, where this is the case. For example, for anthanthrene we predict the conductance ratio for 6-7 and 1-10 connectivities to be about 275, which is much larger than the non-interacting ratio of 16 for this pair of connectivities. Additional examples are presented in Table 3 and 4 of the SI. These connectivities are interesting, because experimental measurement of their conductance ratios would establish that at least for certain connectivities, Coulomb interactions are needed to describe transport through such molecules. 11 Discussion We have used exact (Lanczos) diagonalization, Hartree-Fock theory and density functional theory to examine conductance ratios of polyaromatic hydrocarbons with different connectivities to electrodes, which can be predicted using a simple and easy-to-use "magic number tables," such as those shown in Figs. 2c and 2d (and in Figs. 2-6 of the SI). We find that when Coulomb interactions and screening due to electrodes are switched on, conductance ratios are rather resilient, even though the conductances themselves vary. Consequently, although the precise numbers depend on the strength of the interaction and on screening, qualitative trends in conductance ratios can be predicted using non-interacting magic number tables. Overall the differences between HF, Lanczos and DFT predictions and variations due to screening are found to be comparable with deviations from experimental values. Therefore at the current level of experimental measurement, non-interacting magic numbers provide a useful tool for identifying molecules for subsequent experimental screening, without the need for large-scale computations involving electron-electron interactions. On the other hand, we have also identified examples where conductance ratios are sensitive to interactions. These molecules would be interesting targets for future synthesis, since their conductance ratios would demonstrate that in general both QI and interactions play an important role in controlling the flow of electricity through single molecules. Methods When analysing the PPP model, calculations for smaller molecules (benzene, naphthalene, and anthracene) are performed using both the Lanczos exact diagonalization method [35] and for larger molecules, where exact diagonalization is not feasible, we use the restricted Hartree-Fock (HF) approximation (for technical details see Methods and the SI). In both cases, the wide band approximation was used, in which the self energy due to the contacts is modelled by a single number. When including chemical details at an atomistic level, we use the SIESTA implementation of DFT combined with non-equilibrium Green's functions, in which the full self-energy matrix is computed. This dual approach to modelling is needed, because correlated ab initio calculations with chemical specificity are not feasible. A similar combination of methods was utilised in [36], where in addition, the GW method was used. Within the PPP model, interactions are present within the molecule only, whereas interactions within the DFT mean-field treatment are present in both the molecules and electrodes. DFT-NEGF: The optimized geometry and ground state Hamiltonian and overlap matrix elements of each structure was self-consistently obtained using the SIESTA implementation of density functional theory (DFT). SIESTA employs norm-conserving pseudo-potentials to account for the core electrons and linear combinations of atomic orbitals to construct the valence states. The generalized gradient approximation (GGA) of the exchange and correlation functional is used with the Perdew-Burke-Ernzerhof parameterization (PBE) a double-ζ polarized (DZP) basis set, a real-space grid defined with an equivalent energy cut-off of 250 Ry. [35, 36] The geometry optimization for each structure is performed to the forces smaller than 40 meV/Å. The mean-field Hamiltonian obtained from the converged DFT calculation or a simple tight-binding Hamiltonian was combined with Gollum quantum transport code [37] to calculate the phase-coherent, elastic scattering properties of the system consisting of left (source) and right (drain) leads and the scattering region. The transmission coefficient T(E) for electrons of energy E (passing from the source to the drain) is calculated via the 12 relation 𝑇(𝐸)=𝑇𝑟 {Γ𝑅(𝐸)𝒢(𝐸)Γ𝐿(𝐸)𝒢†(𝐸)}. In this expression, Γ𝐿,𝑅(𝐸)=𝑖(Σ𝐿,𝑅(𝐸)−Σ𝐿,𝑅†(𝐸)) describe the level broadening due to the coupling between left (L) and right (R) electrodes (which are modelled with atomic precision as shown in Fig. 5) and the central scattering region, Σ𝐿,𝑅(𝐸) are the retarded self-energies associated with this coupling and 𝒢=(𝐸𝑆−𝐻−Σ𝐿−Σ𝑅)−1 is the retarded Green's function, where H is the Hamiltonian and S is overlap matrix. Using obtained transmission coefficient 𝑇(𝐸), the conductance could be calculated by Landauer formula (𝜎=𝜎0∫𝑑𝐸 𝑇(𝐸)(−𝜕𝑓/𝜕𝐸)) where 𝜎0=2𝑒2/ℎ is the conductance quantum, 𝑓(𝐸)=(1+exp ((𝐸−𝐸𝐹)𝑘𝐵𝑇⁄))−1 is the Fermi-Dirac distribution function, T is the temperature and 𝑘𝐵 is Boltzmann's constant. Hartree-Fock: The PPP Hamiltonian, 𝐻int=∑𝐻𝑖𝑗𝑖𝑗𝑠𝑐𝑖𝑠†𝑐𝑗𝑠+12∑𝑈𝑖𝑗𝑖𝑗(𝑛𝑖−1)(𝑛𝑗−1), contains matrix elements Hij of the non-interacting Hamiltonian (for the nearest-neighbour hopping integral we take γ = 2.4 eV) and interaction integrals Uij, which in the absence of screening by electrodes we calculate using the Ohno interpolation [33]: Uij = U/(1 + (U/(e2/4πε0dij))2) − 1/2 where U = 11.13 eV is the Hubbard parameter and dij is the distance between sites i and j. The interatomic distance is d0 = 1.4 Å. We take the image charge effects into account by analytically solving [38] the Poisson's equation for the electrostatic Greens function in a simplified geometry, namely we assume the electrodes are two infinite parallel plates located at a distance d away from each of the connectivity sites. We decouple the interaction terms within the restricted HF approximation, yielding renormalized hopping matrix elements 𝐻𝑖𝑗HF=𝐻𝑖𝑗−Uij〈𝑐js† cis〉. The expectation value is calculated from the Slater determinant built from the occupied scattering states of a molecule attached to electrodes. We model electrodes as tight-binding chains with nearest-neighbour hopping integral of 10γ. The hopping integral between the connectivity site on the molecule and the nearest electrode site is γ, leading to coupling ΓL,R = 0.2γ (in the wide band limit we can neglect the energy dependence of Γ). The procedure is iterated until a self-consistent solution is obtained. Due to the chiral symmetry possessed by the PPP Hamiltonian of our molecules, the HF Hamiltonian has the same structure as the non-interacting one, i.e. the hopping integrals between atoms on the same sublattice as well as on-site energies remain zero. Once the convergence is achieved, the conductance is calculated with the Landauer-Büttiker formula [39,40] with the transmission function T(E) read from the scattering state at energy E. We also performed unrestricted HF calculations where we allowed each sublattice to develop a magnetization. We found that the antiferromagnetic solution becomes the ground state only for interaction strengths that exceed the physically relevant ones by more than approx. 50%. For details, see the Supplementary information. Systems with the chiral symmetry have a symmetric energy spectrum which means that for half-filled systems the Fermi energy is at the gap centre. [36] The chiral symmetry is defined and its consequences are explained in Supplementary Note 3. There it is shown that the PPP model as well as the corresponding Hartree-Fock Hamiltonian have this symmetry. Chiral symmetry ensures that the energy spectrum of the molecule is symmetric with respect to the centre of the HOMO-LUMO gap. Clearly HF is an effective non-interacting theory, which creates new effective hoppings between non-neighbouring sites, which are absent from the non-interacting model. The inclusion of arbitrary long-range hoppings could significantly change the magic ratios, whereas those generated by the HF approximation using physically-relevant parameters do not. Lanczos: The size of the Hilbert space grows exponentially with the size of the molecule and the exact full diagonalization of the PPP Hamiltonian is in our case limited to smallest system of benzene molecule. We therefore apply the Lanczos method [41], which allows for the treatment of larger systems as well as the calculation of ground state properties exactly. Within the Lanczos method one 13 obtains the ground state ψ0⟩ of an isolated molecule by starting from a random many-body state and then iteratively applying the Hamiltonian for generation of new basis states, within which the effective Hamiltonian is tridiagonal and easy to diagonalize. On the other hand, the core Green's function G is obtained by starting the iterative procedure from 𝑐𝑖𝑠ψ0⟩ or 𝑐𝑖𝑠†ψ0⟩, and by calculating matrix elements between two series of Lanczos eigenstates for the Lehmann representation. The results converge within 80 iterative steps. The Green's function 𝒢 of a molecule attached to electrodes is then calculated within the elastic co-tunneling approximation [42,43], i.e., the presence of the electrodes is taken into account with the Dyson's equation 𝒢−1=𝐺−1−ΣL−ΣR. The self-energies ΣL,R due to coupling to electrodes correspond to the same electrode-molecule couplings as in the HF calculation. The approximation is valid far from transmission resonances and above the Kondo temperature of the system. In our case both conditions are satisfied, because the Fermi level is at the centre of the HOMO-LUMO gap and there is no unpaired electron in the molecule. In the elastic co-tunneling approximation the conductance can again be calculated with the Landauer-Buttiker formula, with the transmission function obtained from 𝒢 as 𝑇(𝐸)=Tr{ΓR(𝐸)𝒢(𝐸)ΓL(𝐸)𝒢†(𝐸)}. [44] Data Availability All data generated or analysed during this study are included in this published article (and its Supplementary Information files). References (1) Lambert, C.J. Basic Concepts of Quantum Interference and Electron Transport in Single-Molecule Electronics. Chem. Soc. Rev. 44, 875-888 (2015). (2) Lambert, C.J., Liu, S-X. A Magic Ratio Rule for Beginners: A Chemist's Guide to Quantum Interference in Molecules. Chemistry-A European Journal 24, 4193-4201 (2017). (3) Sadeghi, H., Mol, J. A., Lau, C. S., Briggs, G. A. D., Warner, J., Lambert, C. J. Conductance Enlargement in Picoscale Electroburnt Graphene Nanojunctions. Proc. Natl. Acad. Sci. 112 (9), 2658-2663 (2015). (4) Sedghi, G., García-Suárez, V. M., Esdaile, L. J., Anderson, H. L., Lambert, C. J., Martín, S., Bethell, D., Higgins, S. J., Elliott, M., Bennett, Long-Range Electron Tunnelling in Oligo-Porphyrin Molecular Wires. N. Nat. Nanotechnol. 6, 517 (2011). (5) Zhao, X., Huang, C., Gulcur, M., Batsanov, A. S., Baghernejad, M., Hong, W., Bryce, M. R., Wandlowski, T. Oligo(aryleneethynylene)s with Terminal Pyrydyl Groups: Synthesis and Length Dependence of the Tunnelling-to-Hopping Transition of Single-Molecule Conductances. Chem. Mat. 25 (21), 4340-4347 (2013). (6) Markussen, T., Stadler, R., Thygesen, K. S. The Relation between Structure and Quantum Interference in Single-Molecule Junctions. Nano Lett. 10 (10), 4260-4265 (2010). (7) Papadopoulos, T., Grace, I., Lambert, C. Control of Electron Transport Through Fano Resonances in Molecular Wires. Phys. Rev. B. 74, 193306 (2006). (8) Bergfield, J. P., Solis, M. A., Stafford, C. A. Giant Thermoelectric Effect from Transmission Supernodes. ACS Nano. 4 (9), 5314-5320 (2010). (9) Ricks, A. B., Solomon, G. C., Colvin, M. T., Scott, A. M., Chen, K., Ratner, M. A., Wasielewski, M. R. Controlling Electron Transfer in Donor-Bridge-Acceptor Molecules Using Cross-Conjugated Bridges. J. Am. Chem. Soc. 132 (43), 15427-15434 (2010). (10) Markussen, T., Schiötz, J., Thygesen, K. S. Electrochemical Control of Quantum Interference in Anthraquinone-Based Molecular switches. J. Chem. Phys. 132, 224104 (2010). (11) Solomon, G. C., Bergfield, J. P., Stafford, C. A., Ratner, M. A. When "small" Terms Matter: Coupled Interference Features in the Transport Properties of Cross-Conjugated Molecules. Beilstein J. Nanotechnol. 2, 862-871 (2011). (12) Vazquez, H., Skouta, R., Schneebeli, S., Kamenetska, M., Breslow, R., Venkataraman, L., Hybertsen, M. Probing the Conductance Superposition Law in Single-Molecule Circuits with Parallel Paths. Nat. Nanotechnol. 7, 663-667 (2012). (13) Ballmann, S., Härtle, R., Coto, P. B., Elbing, M., Mayor, M., Bryce, M. R., Thoss, M., Weber, H. B. Experimental Evidence for Quantum Interference and Vibrationally Induced Decoherence in Single-Molecule Junctions. Phys. Rev. Lett. 109, 056801 (2012). 14 (14) Aradhya, S. V., Meisner, J. S., Krikorian, M., Ahn, S., Parameswaran, R., Steigerwald, M. L., Nuckolls, C., Venkataraman, L. Dissecting Contact Mechanics from Quantum Interference in Single-Molecule Junctions of Stilbene Derivatives. Nano Lett. 12 (3), 1643-1647 (2012). (15) Kaliginedi, V., Moreno-García, P., Valkenier, H., Hong, W., García-Suarez, V. M., Buiter, P., Otten, J. L., Hummelen, J. C., Lambert, C. J., Wandlowski, T. Correlations between Molecular Structure and Single-Junction Conductance: A Case with Oligo(phenylene-ethynylene)-Type Wires. J. Am. Chem. Soc. 134 (11), 5262-5275 (2012 ). (16) Aradhya, S. V., Venkataraman, L. Single-Molecule Junctions Beyond Electronic Transport. Nat. Nanotechnol. 8, 399-410 (2013). (17) Arroyo, C. R., Tarkuc, S., Frisenda, R., Seldenthuis, J. S., Woerde, C. H., Eelkema, R., Grozema, F. C., van der Zant, H. S. Signatures of Quantum Interference Effects on Charge Transport Through a Single Benzene Ring. Angew. Chem. Int. Ed. 52 (11), 3152-3155 (2013). (18) Guédon, C. M., Valkenier, H., Markussen, T., Thygesen, K. S., Hummelen, J. C., van der Molen, S. J. Observation of Quantum Interference in Molecular Charge Transport. Nat. Nanotechnol. 7 (5), 305-309 (2012). (19) Manrique, D. Z., Al-Galiby, Q., Hong, W., Lambert, C. J. A New Approach to Materials Discovery for Electronic and Thermoelectric Properties of Single-Molecule Junctions. Nano Letters. 16, 1308−1316 (2016). (20) Manrique, D. Z., Huang, C., Baghernejad, M., Zhao, X., Al-Owaedi, O., Sadeghi, H., Kaliginedi, V., Hong, W., Gulcur, M., Wandlowski, T., Bryce, M. R., Lambert, C. J. A Quantum Circuit Rule for Interference Effects in Single-Molecule Electrical Junctions. Nature Communications 6, 6389 (2015). (21) Sangtarash, S., Huang, C., Sadeghi, H., Sorohhov, G., Hauser, J., Wandlowski, T., Hong, W., Decurtins, S., Liu, S-X, Lambert, C. J. Searching the Hearts of Graphene-like Molecules for Simplicity, Sensitivity and Logic. J. Am. Chem. Soc. 137 (35), 11425-11431 (2015). (22) Geng, Y., Sangtarash, S., Huang, C., Sadeghi, H., Fu, Y., Hong, W., Wandlowski, T., Decurtins, S., Lambert, C. J., Liu, S-X. Magic Ratios for Connectivity-Driven Electrical Conductance of Graphene-like Molecules. J. Am. Chem. Soc. 137 (13), 4469-4476 (2015). (23) Sangtarash, S., Sadeghi, H., Lambert, C. J. Exploring Quantum Interference in Heteroatom-substituted Graphene-like Molecules. Nanoscale, 8, 13199 -- 13205 (2016). (24) Nozaki, D., Toher, C. Reply to Comment on "Is the Antiresonance in Meta-Contacted Benzene Due to the Destructive Superposition of Waves Traveling Two Different Routes around the Benzene Ring?" J. Phys. Chem. 121, 11739−11746 (2017). (25) Nozaki, D., Lucke, A., Schmidt, W.G. Molecular Orbital Rule for Quantum Interference in Weakly Coupled Dimers, Low-Energy Giant Conductivity Switching Induced by Orbital Level Crossing. J. Phys. Chem. Lett. 8, 727−732 (2017). (26) Zhao, X., Geskin, V., Stadler, R. Destructive Quantum Interference in Electron transport: A Reconciliation of the Molecular Orbital and the Atomic Orbital Perspective. J. Chem. Phys. 146, 092308 (2017). (27) Reuter, M.G., Hansen, T. Communication: Finding Destructive Interference Features in Molecular Transport Junctions. J. Chem. Phys. 141, 181103 (2014). (28) Garner, M.H., Solomon, G. C., Strange, M. Tuning Conductance in Aromatic Moleules: Constructive and Counteractive Substituent Effects. J. Phys. Chem. C 120, 9097−9103 (2016). (29) Borges, A., Fung, E-D., Ng, F., Venkataraman, L., Solomon, G. C. Probing the Conductance of the sigma-System of Bipyridine Using Destructive Interference. J. Phys. Chem. Lett. 7, 4825−4829 (2016). (30) Pariser, R., Parr, R. G. A Semi-Empirical Theory of the Electronic Spectra and Electronic Structure of Complex Unsaturated Molecules. I. J. Chem. Phys. 21, 466 (1953). (31) Pople, J. A. Electron Interaction in Unsaturated hydrocarbons. Trans. Faraday Soc. A 49, 1375 (1953). (32) Reich, S., Maultzsch, J., Thomsen, C., Ordejón, P. Tight-Binding Description of Graphene. Phys. Rev. B 66, 035412 (2002). (33) Ohno, K. Parameters in Semi-Empirical Theory. Theor. Chim. Acta 2, 291 (1964). (34) Perrin, M. L., Verijl, C. J. O., Martin, C. A., Shaikh, A. J., Eelkema, R., van Esch, J. H., van Ruitenbeek, J. M., Thijssen, J. M., van der Zant, H. S. J., Dulic, D. Large Tunable Image-Charge Effects in Single-Molecule Junctions. Nature nanotechnol. 8, 282 (2013). (35) Soler, J. M., Artacho, E., Gale, J. D., Garcia, A., Junquera, J., Ordejon, P., Sanchez-Portal, D. The SIESTA Method for ab initio Order-N Materials Simulation. J. Phys.: Condens. Matter 14, 2745 (2002). (36) Pedersen, K. G. L., Strange, M., Leijnse, M., Hedegard, P., Solomon, G. C., Paaske, J. Quantum Interference in off-resonant Transport Through Single Molecules. Phys. Rev. B 90, 125413 (2014). (37) Ferrer, J., Lambert, C. J., García-Suárez, V. M., Manrique, D. Z., Visontai, D., Oroszlany, L., Rodríguez-Ferradás, R., Grace, I., Bailey, S. W. D., Gillemot, K., Sadeghi, K. H., Algharagholy, L. A. GOLLUM: A Next-Generation Simulation Tool for Electron, Thermal and Spin Transport. New J. Phys. 16, 093029 (2014). (38) Kaasbjerg, K., Flensberg, K. Image Charge Effects in Single-Molecule Junctions: Breaking of Symmetries and Negative-Differential Resistance in a Benzene Single-Electron Transistor. Phys. Rev. B 84, 115457 (2011). 15 (39) Landauer, R. Electrical Resistance of Disordered One-Dimensional Lattices. Philos. Mag. 21, 863 (1970). (40) Büttiker, M. Four-Terminal Phase-Coherent Conductance. Phys. Rev. Lett. 14, 1761 (1986). (41) Jaklič, J., Prelovšek, P. Finite-Temperature Properties of Doped Antiferromagnets. Adv. Phys. 49, 1-92 (200). (42) Averin, D. V., Nazarov, Y. V. Virtual Electron Diffusion During Quantum Tunneling of the Electric Charge. Phys. Rev. Lett. 65, 2446 (1990). (43) Groshev, A., Ivanov, T., Valtchinov, V. Charging Effects of a Single Quantum level in a Box. Phys. Rev. Lett. 66, 1082 (1991). (44) Bergfield, J. P., Stafford, C. A. Many-Body Theory of Electronic Transport in Single-Molecule Heterostructures. Phys. Rev. B 79, 245125 (2009). Acknowledgements L.U., T.R., J.K., and A.R. acknowledge the support of the Slovenian Research Agency under Contract No. P1- 0044. This work is supported by FET Open project 767187 -- QuIET, the EU project BAC-TO-FUEL and the UK EPSRC grants EP/N017188/1, EP/N03337X/1 and EP/P027156/1.H.S. and S.S. acknowledge the Leverhulme Trust (Leverhulme Early Career Fellowships no. ECF-2017-186 and ECF-2018-375) for funding. Author Contributions C.J.L., A.R., T.R. and J.H.J. conceived and conducted the project. L.U. and T.R. carried out the Hartree-Fock formalism, J.K. contributed results with Lanczos technique and S.S. and H.S. carried out the DFT calculations. C.J.L., L.U. and T.R. wrote the manuscript. All authors took part in the discussions and reviewed the manuscript. Additional Information Supplementary information accompanies this paper Competing Interests: The authors declare no competing interests.
1503.08058
1
1503
2015-03-27T13:12:37
On adatomic-configuration-mediated correlation between electrotransport and electrochemical properties of graphene
[ "cond-mat.mes-hall" ]
The electron-transport properties of adatom-graphene system are investigated for different (random, correlated, and ordered) spatial configurations of adatoms over different types of high symmetry sites with various adsorption heights. K adatoms in monolayer graphene are modeled by the scattering potential adapted from the independent self-consistent ab initio calculations. The results are obtained numerically using the quantum-mechanical Kubo-Greenwood formalism. A band gap may be opened only if ordered adatoms act as substitutional atoms, while there is no band gap opening for adatoms acting as interstitial atoms. The type of adsorption sites strongly affect the conductivity for random and correlated adatoms, but practically does not change the conductivity when they form ordered superstructures with equal periods. Depending on electron density and type of adsorption sites, the conductivity for correlated and ordered adatoms is found to be enhanced in dozens of times as compared to the cases of their random positions. These the correlation and ordering effects manifest weaker or stronger depending on whether adatoms act as substitutional or interstitial atoms. The conductivity approximately linearly scales with adsorption height of random or correlated adatoms, but remains practically unchanged with adequate varying of elevation of ordered adatoms. Correlations between electron transport properties and heterogeneous electron transfer kinetics through K-doped graphene and electrolyte interface are investigated as well. The ferri-/ferrocyanide redox couple is used as an electrochemical benchmark system. K adsorption of graphene electrode results to only slight suppress of the heterogeneous standard rate constant. Band gap, opening for ordered and strongly short-range scatterers, has a strong impact on the dependence of the electrode reaction rate as a function of electrode potential.
cond-mat.mes-hall
cond-mat
On adatomic-configuration-mediated correlation between electrotransport and electrochemical properties of graphene T. M. Radchenko,1 V. A. Tatarenko,1 I. Yu. Sagalianov,2 Yu. I. Prylutskyy,2 P. Szroeder,3 and S. Biniak4 1Deptartment of Solid State Theory, G. V. Kurdyumov Institute for Metal Physics of NASU, 36 Acad. Vernadsky Blvd., UA-03680 Kyiv, Ukraine 2Taras Shevchenko National University of Kyiv, 64 Volodymyrska Str., UA-03022 Kyiv, Ukraine 3Faculty of Physics, Astronomy and Informatics, Institute of Physics, Nicolaus Copernicus University, Grudziadzka 5/7, 87-100 Toru´n, Poland and 4Faculty of Chemistry, Nicolaus Copernicus University, Gagarina 7, 87-100 Toru´n, Poland (Dated: March 19, 2021) The electron-transport properties of adatom -- graphene system are investigated for different spatial configurations of adsorbed atoms: when they are randomly-, correlatively-, or orderly-distributed over different types of high symmetry sites with various adsorption heights. Potassium adatoms in monolayer graphene are modeled by the scattering potential adapted from the independent self- consistent ab initio calculations. The results are obtained numerically using the quantum-mechanical Kubo -- Greenwood formalism. A band gap may be opened only if ordered adatoms act as substi- tutional atoms, while there is no band gap opening for adatoms acting as interstitial atoms. The type of adsorption sites strongly affect the conductivity for random and correlated adatoms, but practically does not change the conductivity when they form ordered superstructures with equal periods. Depending on electron density and type of adsorption sites, the conductivity for corre- lated and ordered adatoms is found to be enhanced in dozens of times as compared to the cases of their random positions. These the correlation and ordering effects manifest weaker or stronger depending on whether adatoms act as substitutional or interstitial atoms. The conductivity ap- proximately linearly scales with adsorption height of random or correlated adatoms, but remains practically unchanged with adequate varying of elevation of ordered adatoms. Correlations between electron transport properties and heterogeneous electron transfer kinetics through potassium-doped graphene and electrolyte interface are investigated as well. The ferri-/ferrocyanide redox couple is used as an electrochemical benchmark system. Potassium adsorption of graphene electrode results to only slight suppress of the heterogeneous standard rate constant. Band gap, opening for ordered and strongly short-range scatterers, has a strong impact on the dependence of the electrode reaction rate as a function of electrode potential. PACS numbers: 72.80.Vp, 81.05.ue, 82.20.Pm I. INTRODUCTION Adsorbed atoms and molecules are probably the most important examples of point defects in the physics of graphene.1 In addition to remarkable intrinsic electronic and mechanical properties of pure graphene, its struc- ture and properties can also be modified and controlled by adsorption and doping of atoms and molecules. That is why last few years studies of atom adsorption of both metallic2 -- 44 and nonmetallic40 -- 51 adsorbates on graphene attract a considerable attention. Overwhelm- ing majority of theoretical and computational studies of adatom -- graphene systems deal with first-principles density-functional calculations, which require high com- putational capabilities, therefore the size of graphene computational domains in these calculations are mostly limited to periodic supercells and localized fragments containing a relatively small number of atoms (sites). Nevertheless, the first-principle study is suitable and fruitful, and therefore prevalent now, for calculation of energetic, structural, and magnetic parameters: ad- sorption (binding) energy and height of adatoms, dif- fusion (migration) barrier energy, in-plane and vertical graphene-lattice distortion amplitude, charge transfer, electric-dipole moment, magnetic moments of an isolated atom and total graphene -- adatom system, etc.14 -- 50 Because of the hexagonal symmetry of the graphene lattice, possible adsorption sites for a single atom can be reduced into three types with high-symmetry posi- tions: so-called hollow center (H -type), bridge center (B - type), and (a)top (T -type) adsorption sites as illustrated in Fig. 1. The most favorable (stable) adsorption site is determined by placing the adatom onto these three ad- sorption sites, and each time by optimizing structures to obtain minimum energy and atomic forces; as a result, the highest binding (adsorption) energy of adatom cor- responds to its the most favorable site. Analysis of the density-functional-theory-based studies,14 -- 45 covering al- most all the periodic table, yields: (i) for metals, the most stable adsorption sites are the H-sites, followed by the B-sites, and then the T -sites, although the energy differences between the H and B or T sites are very small for the alkali and group-III metals, particularly for potassium (see Table I), which we regard as an example of adsorbate in the present study; (ii) for both metals and nonmetals, adsorption heights for more favorable sites are lower as compared with heights of the lesser favorable ad- sorption sites. 5 1 0 2 r a M 7 2 ] l l a h - s e m . t a m - d n o c [ 1 v 8 5 0 8 0 . 3 0 5 1 : v i X r a 2 Figure 1: (Color online) Typical configurations of adatom -- graphene system: top (left) and perspective (right) views of graphene lattice with hollow center (H ), bridge center (B ), and (a)top (T ) adsorption sites. Table I: Literature data on calculated adsorption energies and heights for K adatoms occupying hollow (H ), bridge (B ), and top (T ) adsorption sites in graphene. Calculated parameter Adsorption site Adsorption energy [eV] H -type B -type T -type 0.785a 0.726a 0.720a 0.802b 0.739b 0.733b 1.461c 1.403c 1.405c 0.810d 2.62a 2.60b 2.52c 2.58d a−dReferences 37 -- 40 (respectively). Adsorption height [A] 2.67b 2.59c 2.67b 2.55c Data of Table I for K adsorption on graphene read that values of adsorption energy reported in the litera- ture disagree by as much as almost 100%, while adsorp- tion heights differ by up to 5%.52 Similar inconsistencies of the literature data occur also for other periodic-table elements. For example, Cu and Sn prefer T -site bonding (at the heights of 2.12 A and 2.82 A, respectively) ac- cording to Refs. 22 and 38, while B -site bonding (2.03 A and 2.42 A) in accordance with Refs. 33 and 40. On the one hand, such discrepancies in determination of the energy stability of adsorption sites has resulted in a con- troversy and questions concerning the accuracy of theo- retical models (calculations) used in those studies. On the other hand, this motivates us to study how the po- sitioning of adatoms on each of H, B, and T site types affects the transport properties of graphene in compari- son with the cases of their location on two other types of the sites. Distributions of adatoms over the H, B, or T graphene- lattice adsorption sites are not always random, as it is usually in three-dimensional metals and alloys, where adatoms are introduced by alloying, which is generically a random process.13 Diluted atoms may have a tendency towards the spatial correlation53 or even ordering.50,54 -- 58 Moreover, since graphene is an open surface, (ad)atoms can be positioned onto it with the use of scanning tunneling59 or transmission electron60 microscopes al- lowing to design (ad)atomic configurations as well as or- dered (super)structures with atomic precision. Recently, several ordered configurations of hydrogen adatoms on graphene have been already directly observed by scan- ning tunneling microscopy in Ref. 50. Though many properties of atom adsorption onto graphene have been extensively studied in many works, there is still no one paper on how such a variety of the spatial arrangements of adatoms (viz., their random, cor- related, and ordered distributions in the H, B, and T types of bonding with varying adsorption heights) influ- ences (if any) on electron transport in graphene. Such a problem formulation arises in context of the possibility to consider (ad)atomic spatial configurations as an ad- ditional tool for modification and controlling graphene's transport properties. Another part of our paper deals with attempt to detect adatom-mediated correlation between electron transport and electrochemical properties of graphene. Under- standing of its electrochemical properties, especially the electron transfer kinetics of a redox reaction between graphene surface (electrode) and redox couple in elec- trolyte, is essential61 for its potential in energy conversion and storage to be realized,62,63 as well as opens up inter- esting opportunities for using graphene as an electrode material for field effect transistors64,65 and electrochem- ical senors.66,67 To examine the heterogeneous electron transfer kinetics at highly oriented pyrolytic graphite (HOPG) and glassy carbon (GC) electrode, several elec- troactive species were used.68 Results show that electron transfer is slower at the basal plane of HOPG than at the edge plane. The kinetics of the electron transfer is enhanced after electrode pretreatment. However, in epi- taxial graphene, only a part of the surface is electroactive, even after electrochemical pretreatment.69 Experimental results confirmed the belief that point and edge defects as well as oxygenated functional groups can mediate elec- tron transfer.70 Contrary to the traditional view, high- resolution electrochemical imaging experiments have re- vealed that electron transfer occurs at both the basal planes of graphite as at the edge sites.71 To examine these discrepancies, we calculate the electron transfer kinetics at graphene with randomly-, correlatively-, and orderly- adsorbed atoms described by scattering potential mani- festing both short- and long-range features, and also use strongly short-range scattering potential. Results show that electron transfer still occurs for adsorbed graphene. The rest of the paper is organized as follows. Section II consists of two subsections containing models for electron transport and transfer. In the first subsection, we for- mulate the Kubo -- Greenwood-formalism-based numerical model for electron transport in graphene, which is appro- priate for realistic graphene sheets with millions of atoms. The size of our computational domain is up to 10 mil- lions of atoms that corresponds to ≈ 500× 500 nm2. The second subsection encloses the basic model we use to cal- culate the rate constant of electron transfer between solid (graphene) electrode and redox couple in electrolyte us- ing the Gerischer -- Marcus approach. Section III presents and discusses the obtained results. Finally, the conclu- sions of our work are given in Sec. IV. II. MODELS A. Electron transport To investigate the charge transport in adatom -- graphene system, an exact numerical technique within the Kubo -- Greenwood formalism,72 -- 91 which captures all (ballistic, diffusive, and localization) transport regimes, is employed. Within the framework of this approach, the energy (E) and time (t) dependent diffusivity D(E, t)92 is governed by the wave-packet propagation:73 -- 91 D(E, t) = (cid:10)∆ X 2(E, t)(cid:11)/t,93 where the mean quadratic spreading of the wave packet along the direction x reads as73 -- 91 (cid:10)∆ X 2(E, t)(cid:11) = Tr[ (X(t) − X(0))2δ(E − H)] Tr[δ(E − H)] (1) with X(t) = U †(t) X U (t) -- the position operator in the Heisenberg representation, U (t) = e−i Ht/ -- the time- evolution operator, and a standard p-orbital nearest- neighbor tight-binding Hamiltonian H is94,95 3 (2) H = −uPi,i′ c† i ci′ +PiVic† i ci, where c† i (ci) is a standard creation (annihilation) opera- tor acting on a quasiparticle at the site i. The summation over i runs the entire honeycomb lattice, while i′ is re- stricted to the sites next to i; u = 2.7 eV is the hopping integral for the neighboring C atoms occupying i and i′ sites at a distance a = 0.142 nm between them; and Vi is the on-site potential defining scattering strength on a given graphene-lattice site i due to the presence of impu- rity adatoms. The impurity scattering potential plays a crucial role in the transport model we use at hand. For adatoms located on H -type sites (see Fig. 1), the impurity scattering potential in the Hamiltonian matrix is introduced as on-site energies Vi varying with dis- tance r to the center of a hexagon on which the adatom projects according to the potential profile V = V (r) < 0 in Fig. 2(a) adapted from the self-consistent ab initio calculations96 for K adatoms on the height h ∼= 2.4 A over the graphene surface. As the fitting97 shows, this potential is far from the Coulomb- or Gaussian-like shapes commonly used in the literature for charged impu- rities in graphene, while two-exponential fitting exactly reproduces the potential. Such a scattering potential presents both short- and long-range features,79 although its short-range characteristics become rather stronger for adatoms that are nonrandom (correlated and ordered) in their spatial positions. Transforming scattering potential V = V (r) into its dependence on distance from the lattice site directly to adatom, V = V (l), where l = √r2 + h2 as demonstrably from Fig. 1, one can obtain its depen- dence on both r and h, V = V (r, h), which is presented in Fig. 2(b). As follows from Fig. 2(b), if r = a and h = 2.4 A = 1.69a, V = −0.37u, which agrees with Fig. 2(a). For adatoms positioned on B - and T -type sites (Fig. 1), we use the same scattering potential V = V (r) as in Fig. 2(a) with difference that r denotes distance from the lattice site to the middle of a C -- C bond and to a C atom, respectively. Strictly speaking, V = V (r) for adatoms on H -, B -, and T -type sites (Fig. 1) should be different, however just approach of the same scattering potential for these three types of adatom locations allows us to reveal manifestation of configurational effects in the transport properties of graphene we are interested in the present study. In case of correlation, adatoms are no longer consid- ered to be randomly located. To describe their spatial correlation, we adopt a model98,99 using the pair distri- bution function p(Ri − Rj) ≡ p(r): p(r) =(cid:26) 0, r < r0 1, r ≥ r0 (3) where r = Ri − Rj is a distance between the two adatoms, and a correlation length r0 defines minimal dis- 4 Figure 2: (Color online) Scattering potential for potassium adatoms with (a) fixed adsorption height h = 2.4 A and (b) varying h. Here, ab initio calculations (•)96 are fitted97 by different functions, viz . Gaussian (V = U e−r with fitting parameters U = −0.37u and ξ = 2.21a defining a potential height and an effective potential radius, respectively), Coulomb (V = Q/r with Q = −0.36ua), and two-exponential (V = U1e−r/ξ1 + U2e−r/ξ2 with U1 = −0.45u, ξ1 = 1.47a, U2 = −0.20u, ξ2 = 2.73a); r is a distance from the projection of adatom to the lattice site as shown in Fig. 1. 2/2ξ2 tance that can separate any two of them. Note that for the randomly distributed adatoms, r0 = 0. Although the correlation length r0 is found to be insensitive to impurity (potassium) density,53 the maximal correlation length r0max depends on both relative adatom concentra- tion and positions of adsorption sites as given in Table II. In our calculations for nK = 3.125% of correlated (potas- sium) adatoms, we chose r0 = rH,B 0max = 7a for hollow and bridge sites, and rT 0max = 5a for top sites. In case of adatom ordering, we consider superlattice structures in Fig. 3, where the relative content of ordered (potassium) adatoms is the same as for random and cor- relation cases, nK = 1/32 = 3.125%. This structures form interstitial [Fig. 3(left) and Fig. 3(center)] or sub- stitutional [Fig. 3(right)] superstructures, where distri- bution of adatoms over the honeycomb-lattice interstices or sites, respectively, can be described by the single-site occupation-probability functions derived via the static concentration wave method.100 -- 104 In the computer im- plementation, nK = 3.125% of potassium adatoms oc- cupy sites within the same sublattice and can be de- scribed via a single-site function: P (R) =(cid:26) 1, n1 + n2 = 4Z 0, otherwise (4) where n1, n2, and Z belong to the set of integers, n1 and n2 denote coordinates of sites in an oblique coordinate system formed by the basis translation vectors a1 and a2 shown in Fig. 3, and R denotes origin position of the unit cell where the considered interstice [Fig. 3(left) and Fig. 3(center)] or site [Fig. 3(right)] resides. The dc conductivity σ can be extracted from the dif- fusivity D(E, t), when it saturates reaching the maxi- mum value, limt→∞ D(E, t) = Dmax(E), and the dif- Table II: Relation between the relative concentration of im- purity adatoms (ni) occupying H -, B -, or T -type sites (see Fig. 1) and the largest correlation distance (r0max ) expressed in units of the lattice parameter a = 0.142 nm. Site ni H, B rH,B T 0max [a] 18 rT 0max [a] 13 0.5% 1% 2% 3% 4% 5% 13 9 9 6 7 5 6 4 5 3 fusive transport regime occurs. Then the semiclassical conductivity at a zero temperature is defined as81,82 σ = e2 ρ(E)Dmax(E), (5) where −e < 0 denotes the electron charge and ρ(E) = ρ/Ω = Tr[δ(E − H)]/Ω is the density of sates (DOS) per unit area Ω (and per spin). The DOS is also used to calculate the electron density as ne(E) = ´ E −∞ ρ(E)dE − nions, where nions = 3.9 · 1015 cm−2 is the density of the positive ions in the graphene lattice compensating the negative charge of the p-electrons (at the neutrality (Dirac) point of pristine graphene, ne(E) = 0). Com- bining the calculated ne(E) with σ(E), we compute the density dependence of the conductivity σ = σ(ne). Note that we do not go into details of numerical calcu- lations of DOS, D(E, t), and σ since details of the com- putational methods, we utilize here (Chebyshev method for solution of the time-dependent Schrodinger equation, calculation of the first diagonal element of the Green's function using continued fraction technique and tridiag- onalization procedure of the Hamiltonian matrix, aver- aging over the realizations of impurity adatoms, sizes of initial wave packet and computational domain, boundary conditions, etc.) are given by Radchenko et al.86 5 Figure 3: (Color online) Top view of graphene lattice with ordered adatoms resided on hollow (left), bridge (centre), and top (right) sites. B. Electron transfer To calculate the heterogeneous rate constant of elec- tron transfer from the reduced form of redox cou- ple to graphene electrode, we used Gerischer -- Marcus model.105 -- 111 In this model it is assumed that electron transfer between solid electrode and redox couple in elec- trolyte is much faster than reorientation of the solvent molecules (diabatic representation). As a result, the rate constant of the electrode reaction depends only on the electron DOS in the solid and the distribution energy levels of the reduced (oxidized) form, WRed (Ox) in the solution. If the vacuum energy as a reference energy level is chosen, the electrochemical potential of electrons occupying energy levels of ions, ¯µe,redox, is equivalent to the Fermi level of the redox couple in the solution, EF ,redox.112 As oxidized and reduced form interact with surrounding polar solvent in a different way, energy levels of oxidized and reduced form are shifted each other by 2λ, where λ is the reorganization energy. In our calculations, we used the Gaussian distribution of the electronic states of the reduced form given by109 P (E) = 1 √4kBT λ exp"− (E − EF ,redox − λ)2 4kBT λ # , (6) where kB is the Boltzmann constant, T is the absolute temperature. The Fe(CN)3−/4− redox couple has been chosen as a benchmark system. For ferri-/ferrocyanide redox couple, the λ value ranges between 0.5 eV and 1.0 eV.113 In our calculations we have used intermediate value of 0.71 eV.110 3 Dependence of the cathodic reaction rate on the elec- trode potential kc(V ) is given by the integral109,110 kc ∝ [1 − f (E, V )] DOS(E, V ) P (E) dE. (7) Here, DOS(E, V ) = DOS(E − eV ), and f (E, V ) = f (E − eV ), where f (E) is the Fermi -- Dirac distribution. To determine the position of electron bands of graphene electrode in relation to the Gaussian distribution of en- ergy levels of the reduced form, vacuum energy has been chosen as a reference. The value of EF in relation to the For the Fe(CN)3−/4− vacuum energy is equal to the work function, which has been determined experimentally for mono- and bilayer graphene using Kelvin probe force microscopy giving the EF [vs. vacuum] = −4.6 eV.114 redox couple, we used the EF ,redox[vs. vacuum] value determined from the half wave potential obtained by cyclic voltammograms. Measure- ments carried out on epitaxial graphene and HOPG give value ranging from V1/2 = −0.025 V vs. Ag/AgCl to V1/2 = 0.268 V vs. Ag/AgCl.69 According to Ref. 115, zero potential of the Ag/AgCl reference electrode is shifted in relation to the vacuum potential by −5.04 V. Assuming the half wave potential value to be 0.20 V, we found the value of EF ,redox[vs. vacuum] = −4.84 eV. Thus, we have assumed in our model that the Fermi level of the Fe(CN)3−/4− redox couple is shifted in relation to the Fermi level of the graphene by −1.27 eV. 3 3 III. RESULTS AND DISCUSSION As it was mentioned in Secs. I and II, we consider potassium as an example of adsorbate in the present study. The most energy favorable adsorption sites for K dopants in graphene are H -type sites as listed in Table I. Therefore results obtained at potential in Fig. 2(a) and H -type sites are appropriate for K adsorbed graphene first of all. Results obtained for B - and T -type sites can be associated with K adsorbate in a model assumption for revealing manifestation of configurational effects in electron transport. Figure 4(a) shows the DOS and the electron density ne = ne(E) for graphene with nK = 3.125% of random, correlated, and ordered potassium adatoms, which are described by the scattering potential in Fig. 2(a) and are distributed over the H -type adsorption sites. DOS- curves for B - and T -type are similar to those shown in Fig. 4(a) with difference that Fermi level in case of T sites is shifted more far with respect to E = 0 to the (left) side of negative E < 0 -- energies of holes in our denotations. The Dirac (neutrality) point shifts to- wards negative energies (gate voltage) due to electron (n-type) doping dictated by the asymmetry (negativity) 6 2/2ξ2 Figure 4: (Color online) (a), (b) Density of states (DOS) for (a) potential in Fig. 2(a) and for (b) short-range Gaussian potential V = U e−r (with potential height U = −2u and effective potential radius ξ = 0.5a), where (a) 3.125% of random, correlated, or ordered adatoms occupy hollow sites, while (b) 3.125% (stoichiometry 1/32), 6.25% (1/16), and 12.5% (1/8) of ordered adatoms reside on top sites. (c), (d) Rate constant (kc) of the cathodic reaction of oxidation of Fe(CN)4− 3 at mono-layer graphene electrode for respective (a), (b) DOS. Insets in (a) and (b) show the same as in the main panels, but with another scales. As a reference, DOS (a) and rate constant (c), (d) for pure graphene electrode are shown. of the scattering potential. The calculated DOS-curves in Fig. 4(a) for random, correlated, and ordered K adatoms are similar with two differences take place in the case of ordering: (i) peaks (fluctuations), which appear close to E/u ≈ −3 at correlation, begin to manifest them- selves in all energy interval (weakly away from the re- gions of the van Hove singularities and E/u ≈ 3, but stronger close to them); (ii) at a Fermi energy level, the DOS drops to zero (but even small band gap does not open). Appearing of the peaks (fluctuations) in DOS is due to the periodicity of the scattering-potential distri- bution describing ordered positions of adatoms on the sites of interstitial [Figs. 3(left) and 3(center)] or sub- stitutional [Fig. 3(right)] graphene-based superstructure. Additional calculations91 [see also inset in Fig. 4(b)] show that the peaks become stronger and even transform into discrete energy levels with broadening as impurity con- centration and/or periodic potential increase. Positioning of ordered adatoms on the T -type sites [Fig. 3(right)] makes possible band gap opening, which is clearly seen in Fig. 4(b) for a strongly short-range, e.g., Gaussian potential with very small effective potential ra- dius ξ < a. The band gap is induced by the periodic potential leading to the ordered distribution of adatoms directly above the C atoms belonging to the same sub- lattice, thus breaking of symmetry of two graphene sub- lattices. Note that adatoms on T sites act as substitu- tional point defects -- impurities or vacancies -- which can also induce the band gap opening if they are distributed orderly54,89,116 -- 118 or belong to the same sublattice even being randomly located.119,120 However, we did not ob- serve the band gap appearing if ordered adatoms reside on H and B adsorption sites (thereby act as interstitial dopants) as it is reported by Cheianov et al. for adatoms occupying H 55 and B 56 sites. We attribute the lack of the band gap opening (when ordered adatoms occupy H and B sites) to the absence of the breaking of global lat- tice symmetry in these cases. Obtained densities of electronic states enter into Eq. (7) and thereby enable us to calculate the electrode- potential-dependent rate constants at various adatomic configurations as well as concentrations. Figure 4(c) demonstrates the rate constant of the reaction of ox- idation of ferrocyanide ions, kc, at graphene electrode with potassium impurity as a function of electrode po- tential. At a potential of about −0.1 V vs. Ag/AgCl, increase of the cathodic reaction rate is observed. Con- trary to metallic electrodes, the increase of the kc is not monotonic. In the range of calculated potentials, the plot of kc vs. V has a hump. The local minimum ap- pears within the electrochemical window of water, i.e. within the range 0.6−0.8 V vs. Ag/AgCl. The monotonic increase is observed at the positive electrode potentials beyond the water window (V > 0.8 V vs. Ag/AgCl). Dif- ference between the kc vs. V plots calculated for the pure graphene and graphene with K adatoms is seen clearly. However, as well as the shape of DOS-curves close to the Dirac point [Fig. 4(a)], the shape of kc-plots of im- pure graphene [Fig. 4(c)] is only negligibly affected by the impurity configuration. Generally, K-impurity slow down the reaction kinetics in the electrode potentials in the range of water window. At the pure graphene elec- trode, the maximum of the hump is located at poten- tial of 0.503 V vs. Ag/AgCl (kc = 0.194 a.u.), whereas at graphene with K adatoms in the concentration of 3.125% the maximum is observed at lower potential of 0.453 V vs. Ag/AgCl (kc = 0.123 a.u.). The same applies to the position of the local minimum, which is down- shifted in graphene with K adatoms by 0.17 V. Contrary to the impurity configuration, the concen- tration of adatoms influences strongly the kc [Fig. 4(d)] similarly to the influence on the DOS [Fig. 4(b)]. With increasing adatomic concentration, the position of the local maximum shift towards lower potentials from the value of 0.503 V vs. Ag/AgCl (kc = 0.123 a.u.) for pure graphene to 0.393 V vs. Ag/AgCl (kc = 0.091 a.u.). Also the local minimum shifts down form the potential value of 0.843 V vs. Ag/AgCl to 0.393 V vs. Ag/AgCl to 0.573 V vs. Ag/AgCl for pure graphene and graphene with adatomic concentration of 12.5%, respectively. In a part of the kc plot in the range of higher potentials (V > 0.8 V vs. Ag/AgCl) an additional hump is appar- ent at 12.5% of adatoms. 3 Assuming 0.2 V vs. Ag/AgCl as a standard electrode potential (when the rates of both cathodic and anodic re- actions are equal), in Table III we compare values of the standard rate constant, ks, for electron transfer between the Fe(CN)3−/4− redox couple and impure graphene at weakly long-range scattering potential in Fig. 2(a) and strongly short-range Gaussian potential V = U e−r 2/2ξ2 with potential height U = −2u and effective potential radius ξ = 0.5a. While the adatomic configurations do not affect significantly the shape of the kc plot, apparent differences in the ks at different ranges of the scattering potential action are seen. When the long-range potential is used, the electron transfer is moderately suppressed by adatoms in random (≈31%), correlated (≈33%), and ordered (≈32%) configurations as compared to the elec- tron transfer of pure graphene. On the other hand, weak dependence between impurity concentration and the ks value is observed if the short-range potential is used; qua- drupling the adatomic content causes the decrease of ks 7 Table III: Standard rate constants, ks, for electron trans- fer between graphene electrode and ferro-/ferricyanide redox couple for different ranges of the scattering-potential action, adatomic configurations and concentrations. Type of potential Configuration Stoichiometry ks [a.u.] Long-range Random Correlated Ordered Short-range Ordered Pure 1/32 (3.125%) 0.0595 0.0571 0.0586 1/32 (3.125%) 0.0791 0.0740 1/16 (6.25%) 0.0610 1/8 (12.5%) 0 0.0858 by only ≈23%. Thus, the use of the long-range potential more strongly suppresses the electron transfer kinetics. It is worth noting that the best kinetics is observed at pure electrode. Our findings are not compatible with ex- perimental results obtained in Ref. 68. Discrepancies are probably due to the hydrophobic properties of graphene. In contrast to the case of randomly-arranged adatoms, when steady diffusive regime is reached for a relatively short time [Fig. 5(a)], in case of their correlation and especially ordering, a quasi-ballistic regime is observed during a long time as it is shown in Figs. 5(b) and (c). This (quasi-ballistic) behavior of diffusivity, D(t), indi- cates a very low scattered electronic transport, at which maximal value of D(t) is substantially higher for corre- lated and much more for ordered adatoms as compared with their random distribution. If the diffusive regime is not completely reached, the semiclassical conductivity, σ, cannot be in principle defined. However, we extracted σ for the case of ordered adatoms using the highest D(t) when quasi-ballistic behavior turns to a quasi-diffusive regime with an almost saturated diffusivity coefficient. Figure 6 represents calculated conductivity (σ) as a function of electron (ne > 0) or hole (ne < 0) con- centration, σ = σ(ne), for different positions (viz. H, B, and T ) and distributions (viz. random, correlated, and ordered) of adatoms in graphene. For visual conve- nience, we arranged the same (nine) curves in two groups: Figs. 6(a) -- (c) demonstrate how correlation and ordering affect the conductivity for each of H, B, and T adsorp- tion types, while Figs. 6(d) -- (f) exhibit how these three types of sites influence on the conductivity for each of random, correlated (with maximal correlation lengths as listed in Table II), and ordered adatomic distributions. The conductivity exhibits linear or nonlinear (viz. sub- linear) electron-density dependencies. The linearity of σ = σ(ne) takes place at randomly-distributed potassium adatoms and indicates dominance of the long-range con- tribution to the scattering potential, while sublinearity occurs at nonrandom (viz. correlated and ordered) posi- tions of K adatoms and is indicative of the dominance of short-range component of the scattering potential. This is in accordance with many previous studies (see, e.g., Ref.86 and references therein) in which pronounced lin- earity and sublinearity of σ = σ(ne) are observed for 8 Figure 5: (Color online) Time-dependent diffusivity within the energy range E ∈ [−0.5u, 0.5u] for random (a), correlated (b), and ordered (c) potassium adatoms located on hollow sites. Figure 6: (Color online) Conductivity vs. the electron density for nK = 3.125% of random, correlated, and ordered potassium adatoms occupying hollow (H ), bridge (B ), or top (T ) adsorption sites. Curves in upper and lower figures are the same, but grouped in a different way to distinguish configuration effects induced by correlation or ordering from those caused by difference in type of adsorption sites: H, B, or T. long-range scattering potential (appropriate for screened charged impurities ionically bond to graphene) and short- range potential (appropriate for neutral covalently bond adatoms), respectively. These results illustrate manifes- tation of contrasting scattering mechanisms for different spatial distributions of metallic adatoms. One can see from Figs. 6(a) -- (c) that conductivities for correlated (σcor) and ordered (σord) adatoms are dozens of times enhanced as compared with case of randomly-distributed adatoms (σrnd). These enhance- ments (σcor/σrnd and σord/σrnd) depends on electron den- sity and type of adsorption sites. It is easy to deter- cor(ne)/σB ord(ne)/σB cor(ne)/σH cor(ne)/σT ord(ne)/σH ord(ne)/σT mine from Figs. 6(a) -- (c) that the ratio σcor/σrnd ranges rnd(ne) . 5, 2 . σB as 2 . σH rnd(ne) . 6, and 3 . σT rnd(ne) . 7; while σord/σrnd ranges as 3 . σH rnd(ne) . 8, 3 . σB rnd(ne) . 9, and 4 . σT rnd(ne) . 15 (here, superscripts denote types of adsorption sites). As follows from Figs. 6(d) -- (f), in a random adatomic state σT rnd, while for correlated and ordered states, σT cor and σT ord ≈ σB ord, respectively. That is why the highest increase of σ due to correlation (σcor/σrnd) or ordering (σord/σrnd) takes place for the T-site bonding, followed by the B sites, and then the H sites. The increasing of ord ≈ σH rnd < σB rnd < σH cor < σB cor ≈ σH 9 Figure 7: (Color online) Electron-density-dependent conductivity for different adsorption heights, h, of 3.125% of random (a), correlated (b), and ordered (c) K adatoms resided on hollow sites. σ due to adatomic correlation or ordering is expected in a varying degree for any constant-sign (V > 0 or V < 0) scattering potential, but this is not the case when the potential is sign-changing (V ≷ 0).86 ≈ 2σT consider the cases of less favorable for potassium bridge and top sites since it leads to qualitatively the same re- sults.) As follows from Figs. 7(a) and (b), at least for hole densities (−ne > 0), two (three) time increased or decreased h for randomly- or correlatively-distributed K- adatoms results to approximately two (three) time en- hanced or reduced σ, respectively. Thus the conductiv- ity approximately linearly scales with adsorption height of random or correlated adatoms, σ(h) ∝ h. However, for ordered potassium adatoms, the σ remains practi- cally unchanged with varying of h in the realistic range of adsorption heights (see Table I) and even in all range at issue (0 6 h 6 3.6 A) for hole densities [Fig. 7(c)]. We attribute this to the dominance of short-range scat- terers in case of their ordered state as it was mentioned above. Indeed, the Gaussian fitting for the scattering potential in Fig. 2(a) yields the effective potential radius ξ = 2.21a, which is commensurable with quantities of ad- sorption heights h at issue (and even less than h = 3.6 A). In conclusion of this section, note that our numeri- cal calculations of conductivity in Figs. 6 and 7 agree with experimentally observed features of σ = σ(ne) in potassium-doped graphene:5,53 (i) asymmetry in the con- ductivity for electrons versus holes (which, however, can be weakened and even totally suppressed due to the spa- tial correlation or especially ordering of adatoms as well as increasing of their adsorption height), (ii) shifting of minimum conductivity at a charge neutrality point to more negative gate voltage, (iii) linearity or sublinear- ity of conductivity at lower or higher gate voltage, re- spectively, and (iv) increase in conductivity due to cor- relation in the positions of adatoms that was also sus- tained theoretically98 within the standard semiclassical Boltzmann approach in the Born approximation. A sig- nificant sublinear behavior of electron-density-dependent conductivity and its saturation for very high densities at the spatial correlations among the charged impurity loca- tions in contrast to the strictly linear-in-density graphene conductivity for uncorrelated random charged impurity scattering [Figs. 6(a) -- (e) and 7(a) -- (b)] is also in agree- ment with theoretical findings in Refs. 98 and 99. rnd > σB rnd > σT rnd, particularly σH rnd If adatoms are randomly-positioned on the (H, B, or T ) adsorption sites, the conductivity is dependent on their type: σH rnd [Fig. 6(d)]. Here, the differences in σ are caused by dif- ferent values of on-site potentials for these three types of adatomic positions although the same potential pro- file V = V (r) [Fig. 2(a)] is used for them. The stronger (weaker) on-site potential Vi corresponds to the smaller (larger) distance r from the given graphene-lattice site i to the nearest adsorption site, which is more close (distant) for the H (T ) type, followed by the B type, and then the T (H ) type. If adatoms are correlated, the conductivity is dependent on whether they act as interstitial (H or B sites) or substitutional (T sites) atoms: σH cor [Fig. 6(e)], which can be at- tributed to the values of maximal correlation lengths (Table II) defining correlation degree for H, B and T sites, rH 0max . Finally, if adatoms form or- dered superstructures (superlattices) with equal periods (Fig. 3), the conductivity is practically independent on the adsorption type (especially for not very high charge carrier densities): σH cor ≈ σB 0max = rB ord ≈ σB ord ≈ σT ord [Fig. 6(f)]. cor > σT 0max > rT In our model, increase (or decrease) of adatomic eleva- tion over the graphene surface results to more weak (or strong) scattering-potential amplitude, i.e. physically it means more weak (or strong) regime of electron scatter- ing on charged impurity adatoms. Although the values of adsorption height, h, reported in the literature for potas- sium do not disagree as much as for the adsorption energy (see Table I), for the model and calculation completeness, we range h in a wide interval (up to h = 3.6 A) includ- ing an exotic case of h = 0, when impurity atoms act as strictly interstitial ones. Calculated curves represent- ing the charge-carrier-density-dependent conductivity for (random, correlated, and ordered) adatoms resided on (the most favorable for potassium) hollow sites and ele- vated on different h are shown in Fig. 7. (Here, we do not IV. CONCLUSIONS By employing numerical calculations, we systemati- cally studied the effects of different (random, correlated, and ordered) spatial configurations of potassium adatoms onto high-symmetry [hollow- (H ), bridge- (T ), and top- type (T )] adsorption sites with various elevations over the graphene sheet on its electron transport and elec- trochemical properties to ascertain correlation between them. We conclude as follows. (i) The charge carrier density dependence of the con- ductivity is indicative of dominance of long-range scat- tering centers for their random spatial distribution, while short-range scatterers dominate for their correlated and ordered states. This demonstrates manifestation of con- trasting scattering mechanisms for different spatial dis- tributions of metallic adatoms. (ii) A band gap may be opened only if ordered adatoms act as substitutional atoms (i.e. reside on T -type sites) due to the breaking of graphene lattice point symmetry, while there is no band gap opening for adatoms acting as interstitial atoms (i.e. occupying H - or B -type sites). (iii) If adatoms are randomly-positioned on the H, B - or T sites, the conductivity is dependent on their type: rnd > σB σH rnd. For spatially-correlated adatoms, the conductivity is dependent on whether they act as in- terstitial or substitutional atoms: σH If adatoms form ordered superstructures (superlattices) with equal periods, the conductivity is practically inde- pendent on the adsorption type (especially for low elec- tron densities): σH cor ≈ σB rnd > σT cor > σT cor. ord ≈ σB ord ≈ σT ord. (iv) Depending on electron density and type of ad- sorption sites, the conductivity for correlated and or- dered K adatoms is found to be enhanced in dozens of times as compared to the cases of their random positions. The correlation and ordering effects manifest stronger for adatoms acting as substitutional atoms and weaker for those acting as interstitial atoms. (v) The electron -- hole asymmetry in the conductivity 10 for randomly-positioned adatoms weakens and even may be totally suppressed for correlated and especially or- dered ones as well as for increased of their adsorption height. (vi) The conductivity dependence with adsorption height of random or correlated adatoms scales approx- imately as σ(h) ∝ h. However, for ordered adatoms, σ remains practically unchanged with varying of h within its realistic range. (vii) Only slight suppress of electron transfer kinet- ics in electrolyte at K-doped graphene electrode is re- vealed. Strong correlation between the band gap in graphene and the shape of the electrode-potential depen- dence of electrochemical rate constant is seen, when the strongly short-range scattering potential during the elec- tron transport in graphene is used. At the same time, the influence of this potential on the suppress of the standard electrochemical rate constant is much weaker as com- pared to the case of the long-range electron-scattering potential in graphene. Comparison of the electron trans- fer calculations to experiment shows that the hydropho- bicity of graphene is a key factor, which suppresses the kinetics of heterogeneous electron transfer in electrolyte at graphene electrode. Acknowledgments Authors acknowledge the Polish -- Ukrainian joint re- search project under the agreement on scientific coop- eration between the Polish Academy of Sciences and the National Academy of Sciences of Ukraine for 2015 -- 2017 (No. 793). The work was also partly supported by the project "Enhancing Educational Potential of Nico- laus Copernicus University in the Disciplines of Math- ematical and Natural Sciences" as part of Sub-measure 4.1.1 Human Capital Operational Programme (Project No. POKL.04.01.01-00-081/10). T.M.R. thanks Igor Zo- zoulenko and Artsem Shylau for their shared experience. 1 M. I. Katsnelson, Graphene: Carbon in Two Dimensions 9 J. A. Rodr´ıguez-Manzo, O. Cretu, and F. Banhart, ACS (Cambridge University Press, New York, 2012). Nano 4, 3422 (2010). 2 Z. Jia, B. Yan, J. Niu, Q. Han, R. Zhu, D. Yu, and X. 10 J. H. Garcia, B. Uchoa, L. Covaci, and T. G. Rappoport, Wu, Phys. Rev. B 91, 085411 (2015). Phys. Rev. B 90, 085425 (2014). 3 K. M. McCreary, K. Pi, and R. K. Kawakami, Appl. Phys. 11 Y. Virgus, W. Purwanto, H. Krakauer, and S. Zhang, Lett. 98, 192101 (2011). 4 Y. Gan, L. Sun, and F. Banhart, Small 4, 587 (2008). 5 J.-H. Chen, C. Jang, S. Adam, M. S. Fuhrer, E. D. Williams, and M. Ishigami, Nature Phys. 4, 377 (2008). 6 C. Uthaisar, V. Barone, and J. E. Peralta, J. Appl. Phys. 106, 113715 (2009). 7 Y. Kubota, N. Ozava, H. Nakanishi, and H. Kasai, J. Phys. Soc. Jpn. 79, 014601 (2010). 8 C. Vo-Van, Z. Kassir-Bodon, H.Yang, J. Coraux, J. Vogel, S. Pizzini, P. Bayle-Guillemaud, M. Chshiev, L. Ranno, V. Guisset, P. David, V. Salavador, and O. Fruchart, New J. Phys. 12, 103040 (2010). Phys. Rev. Lett. 113, 175502 (2014). 12 V. Sessi, S. Stepanow, A. N. Rudenko, S. Krotzky, K. Kern, F. Hiebel, P. Mallet, J.-Y. Veuillen, O. Sipr, and J. Honolka, New J. Phys. 16, 062001 (2014). 13 A. H. Castro Neto, V. N. Kotov, J. Nilsson, V. M. Pereira, N. M. R. Peres, and B. Uchoa, Solid State Comm. 149, 1094 (2009). 14 B. Uchoa, C.-Y. Lin, and A. H. Castro Neto, Phys. Rev. B 77, 035420 (2008). 15 H. Sevincli, M. Topsakal, E. Durgun, and S. Ciraci, Phys. Rev. B 77, 195434 (2008). 16 I. Zanella, S. B. Fagan, R. Mota, and A. Fazzio, J. Phys. 11 Chem. C 112, 9163 (2008). 17 Y. L. Mao, J. M. Yuan, and J. X. Zhong, J. Phys.: Con- dens. Matter. 20, 115209 (2008). Katsnelson, in Physics and Applications of Graphene -- Theory, edited by Sergey Mikhailov (InTech, 2011), pp. 29 -- 44. 18 S. Malola, H. Hakkinen, and P. Koskinen, Appl. Phys. 43 T. O. Wehling, M. I. Katsnelson, and A. I. Lichtenstein, Lett. 94, 043106 (2009). Phys. Rev. B 80, 085428 (2009). 19 Q. E. Wang, F. H. Wang, J. X. Shang, and Y. S. Zhou, 44 M. Klintenberg, S. Lebegue, M. I. Katsnelson, and O. J. Phys.: Condens. Matter. 21, 485506 (2009). 20 I. S.-Martinez, A. Felten, J. J. Pireaux, C. Bittencourt, and C. P. Ewels, J. Nanosci. Nanotechnol. 9, 6171 (2009). 21 H. Johll, H. C. Kang, and E. S. Tok, Phys. Rev. B 79, Eriksson, Phys. Rev. B 81, 085433 (2010). 45 C. Ataca, E. Akturk, H. S¸ahin, and S. Ciraci, J. Applied Phys. 109, 013704 (2011). 46 M. Wu, E.-Z. Liu, and J. Z. Jianga, Appl. Phys. Lett. 93, 245416 (2009). 082504 (2008). 22 M. Wu, E.-Z. Liu, M. Y. Ge, and J. Z. Jiang, Appl. Phys. 47 Y. G. Zhou, X. T. Zu, F. Gao, J. L. Nie, and H. Y. Xiao, Lett. 94, 102505 (2009). 23 P. A. Khomyakov, G. Giovannetti, P. C. Rusu, G. Brocks, J. van den Brink, and P. J. Kelly, Phys. Rev. B 79, 195425 (2009). 24 A. V. Krasheninnikov, P. O. Lehtinen, A. S. Foster, P. Pyykko, and R. M. Nieminen, Phys. Rev. Lett. 102, 126807 (2009). 25 K.-H. Jin, S.-M. Choi, and S.-H. Jhi, Phys. Rev. B 82, 033414 (2010). 26 V. Z´olyomi, ´A . Ruszny´ak, J. Kurti, and C. J. Lambert, J. Phys. Chem. C 114, 18548 (2010). 27 E. J. G. Santos, A. Ayuela, and D. S´anchez-Portal, New J. Phys. 12, 053012 (2010). 28 X. Liu, C. Z. Wang, M. Hupalo, Y. X. Yao, M. C. Tringides, W. C. Lu, and K. M. Ho, Phys. Rev. B 82, 245408 (2010). 29 M. Hupalo , X. Liu , C.-Z. Wang , W.-C. Lu , Y.-X. Yao , K.-M. Ho , and M. C. Tringides, Adv. Mater. 23, 2082 (2011). 30 A. Lugo-Solis and I. Vasiliev, Phys. Rev. B 76, 235431 (2007). 31 I. Suarez-Martinez, A. Felten, J. J. Pireaux, C. Bitten- court, Ch. P. Ewels, J. Nanoscience and Nanotechnol. 9, 6171 (2009). 32 X. Liu, C. Z. Wang, M. Hupalo, W. C. Lu, M. C. Tringides, Y. X. Yaoa, and K. M. Hoa, Phys. Chem. Chem. Phys. 14, 9157 (2012). 33 C. Cao, M. Wu, J. Jiang, and H.-P. Cheng, Phys. Rev. B 81, 205424 (2010). 34 H. Valencia, A. Gil, and G. Frapper, J. Phys. Chem. C 114, 14141 (2010). 35 T. P. Hardcastle, C. R. Seabourne, R. Zan, R. M. D. Brydson, U. Bangert, Q. M. Ramasse, K. S. Novoselov, and A. J. Scott, Phys. Rev. B 87, 195430 (2013). 36 S. Naji, A. Belhaj, H. Labrim, M. Bhihi, A. Benyoussef, and A. El Kenz, Int. J. Quantum Chemistry 114, 463 (2014). 37 X. Liu, C. Z. Wang, Y. X. Yao, W. C. Lu, M. Hupalo, M. C. Tringides, and K. M. Ho, Phys. Rev. B 83, 235411 (2011). 38 K. T. Chan, J. B. Neaton, and M. L. Cohen, Phys. Rev. B 77, 235430 (2008). 39 L. Qiao, C. Q. Qu, H. Z. Zhang, S. S. Yu, X. Y. Hu, X. M. Zhang, D. M. Bi, Q. Jiang, and W. T. Zheng, Diamond & Related Materials 19, 1377 (2010). 40 K. Nakada and A. Ishii, Solid State Comm. 151, 13 (2011); K. Nakada and A. Ishii, in Graphene Simulation, edited by J. R. Gong (InTech, 2011), Ch. 1, pp. 3 -- 20. 41 A. Ishii, M. Yamamoto, H. Asano, and K. Fujiwara, J. Phys.: Conf. Ser. 100, 052087 (2008). 42 B. Sachs, T. O. Wehling, A. I. Lichtenstein, and M. I. J. Appl. Phys. 105, 014309 (2009). 48 H. Gao, J. Zhou, M. Lu, W. Fa, and Y. Chen, J. Appl. Phys. 107, 114311 (2010). 49 V. V. Ivanovskaya, A. Zobelli, D. Teillet-Billy, N. Rougeau, V. Sidis, and P. R. Briddon, Eur. Phys. J. B 76, 481 (2010). 50 C. Lin, Y. Feng, Y. Xiao, M. Durr, X. Huang, X. Xu, R. Zhao, E. Wang, X.-Z. Li, and Z. Hu, Nano Lett. 15, 903 (2015). 51 S. Yuan, H. De Raedt and M. I. Katsnelson, Phys. Rev. B 82, 115448 (2010). 52 Inconsistency of the literature data have been also re- ported in Ref. 30, where in Table I adsorption energies and heights for K-graphene clusters differ by as much as ≈350% and up to ≈3%, respectively, but not 400% and 12% as authors30 pointed out. 53 J. Yan and M. S. Fuhrer, Phys. Rev. Lett. 107, 206601 (2011). 54 V. V. Cheianov, O. Syljuasen, B. L. Altshuler, and V. I. Fal'ko, Eur. Phys. Lett. 89, 56003 (2010). 55 V. V. Cheianov, V. I. Fal'ko, O. Syljuasen, and B. L. Altshuler, Solid State Comm. 149, 1499 (2009). 56 V. V. Cheianov, O. Syljuasen, B. L. Altshuler, and V. I. Fal'ko, Phys. Rev. B 80, 233409 (2009). 57 C. A. Howard, M. P. M. Dean, and F. Withers, Phys. Rev. B 84, 241404(R) (2011). 58 C.-L. Song, B. Sun, Y.-L. Wang, Y.-P. Jiang, L. Wang, K. He, X. Chen, P. Zhang, X.-C. Ma, and Q.-K. Xue, Phys. Rev. Lett. 108, 156803 (2012). 59 D. M. Eigler and E. K. Schweizer, Nature 344, 524 (1990). 60 J. C. Meyer, C. O. Girit, M. F. Crommie, and A. Zettl, Nature 454, 319 (2008). 61 M. Velick´y, D. F. Bradley, A. J. Cooper, E. W. Hill, I. A. Kinloch, A. Mishchenko, K. S. Novoselov, H. V. Patten, P. S. Toth, A. T. Valota, S. D. Worrall, and R. A. W. Dryfe, ACS Nano, 8, 10089 (2014). 62 L. Zhao, L. Zhao, Y. Xu, T. Qiu, L. Zhi, and G. Shi, Electrochim. Acta 55, 491 (2009). 63 F. Bonaccorso, L. Colombo, G. Yu, M. Stoller, V. Tozzini, A. C. Ferrari, R S. Ruoff, and V. Pellegrini, Science 347, 1246501 (2015). 64 X. Li, Y. Zhu, W. Cai, M. Borysiak, B. Han, D. Chen, R. D. Piner, L. Colombo, and R. S. Ruoff, Nano Lett. 9, 4359 (2009). 65 Z. Yan, Z. Sun, W. Lu, J. Yao, Y. Zhu, and J. M. Tour, ACS Nano 5, 1535 (2011). 66 K. R. Ratinac, W. Yang, J. J. Gooding, P. Thordarson, and F. Braet, Electroanalysis 23, 803 (2010). 67 X.-R. Lia, J. Liua, F.-Y. Konga, X.-C. Liub, J.-J. Xua, and H.-Y. Chen, Electrochem. Comm. 20, 109 (2012). 68 R. L. McCreery, Chem. Rev. 108, 2646 (2008). 69 P. Szroeder, N. G. Tsierkezos, M. Walczyk, W. Strupi´nski, 12 A. G´orska-Pukownik, J. Strzelecki, K. Wiwatowski, P. Schar, and U. Ritter, J. Sol. State Electrochem. 18, 2555 (2014). 70 K. Cline, M. T. McDemott, and R. L. McCreery, J. Phys. Chem. 98, 5314 (1994). 71 S. C. S. Lai, A. N. Patel, K. McKelvey, P. R. Unwin, Angew. Chem. Int. Edition 51, 5405 (2012). 72 O. Madelung, Introduction to Solid-State Theory (Springer, Berlin, 1996). 73 S. Roche and D. Mayou, Phys. Rev. Lett. 79, 2518 (1997). 74 S. Roche, N. Leconte, F. Ortmann, A. Lherbier, D. Sori- ano, and J.-Ch. Charlier, Solid State Comm. 153, 1404 (2012). 75 T. Markussen, R. Rurali, M. Brandbyge, and A.-P. Jauho, Phys. Rev. B 74, 245313 (2006); T. Markussen, Master Thesis, Technical University of Denmark, 2006. 76 F. Triozon, J. Vidal, R. Mosseri, and D. Mayou, Phys. cal diffusive regime when D is independent on time t, and the mean quadratic spreading of a wave packet is linearly proportional to time, (cid:10)∆ X 2(E, t)(cid:11) ∝ t. However, even in the case when D depends on t, both definitions lead to qualitatively the same results,82 thereby justifying uti- lization of D(E, t) = (cid:10)∆ X 2(E, t)(cid:11)/t, which demands less computational capabilities. 94 N. M. R. Peres, Rev. Mod. Phys. 82, 2673 (2010). 95 S. Das Sarma, S. Adam, E. H. Hwang, and E. Rossi, Rev. Mod. Phys. 83, 407 (2011). 96 Ch. Adessi, S. Roche, and X. Blase, Phys. Rev. B 73, 125414 (2006). 97 Here, we use the standard way of finding the best fit: so-called chi-square minimization procedure within the Levenberg -- Marquardt algorithm combining the Gauss -- Newton method and the steepest descent method. 98 Q. Li, E. H. Hwang, E. Rossi, and S. Das Sarma, Phys. Rev. B 65, 220202(R) (2002). Rev. Lett. 107, 156601 (2011). 77 F. Triozon, S. Roche, A. Rubio, and D. Mayou, Phys. 99 Q. Li, E. H. Hwang, and E. Rossi, Solid State Comm. Rev. B 69, 121410(R) (2004). 152, 1390 (2012). 78 A. Lherbier, B. Biel, Y.-M. Niquet, and S. Roche, Phys. 100 A. G. Khachaturyan, Theory of Structural Transforma- Rev. Lett. 100, 036803 (2008). tions in Solids (Dover Publications, New York, 2008). 79 A. Lherbier, X. Blase, Y.-M. Niquet, F. Triozon, and S. 101 T. M. Radchenko and V. A. Tatarenko, Solid State Phe- Roche, Phys. Rev. Lett. 101, 036808 (2008). 80 A. Lherbier, Simon M.-M. Dubois, X. Declerck, S. Roche, Y.-M. Niquet, and J.-Ch. Charlier, Phys. Rev. Lett. 106, 046803 (2011). 81 N. Leconte, A. Lherbier, F. Varchon, P. Ordejon, S. Roche, and J.-C. Charlier, Phys. Rev. B 84, 235420 (2011). 82 A. Lherbier, Simon M.-M. Dubois, X. Declerck, Y.-M. Niquet, S. Roche, and J.-Ch. Charlier, Phys. Rev. B 86, 075402 (2012). 83 G. Trambly de Laissardiere and D. Mayou, Mod. Phys. Lett. B 25, 1019 (2011). nom. 150, 43 (2009). 102 T. M. Radchenko and V. A. Tatarenko, Solid State Sci. 12, 204 (2009). 103 T. M. Radchenko and V. A. Tatarenko, Physica E 42, 2047 (2010). 104 T. M. Radchenko, V. A. Tatarenko, and H. Zapolsky, Solid State Phenom. 138, 283 (2008). 105 H. Gerischer, Zeitschrift fur Physikalische Chemie N. F. 26, 223 (1960). 106 H. Gerischer, Zeitschrift fur Physikalische Chemie N. F. 26, 325 (1960). 107 H. Gerischer, Zeitschrift fur Physikalische Chemie N. F. 84 H. Ishii, N. Kobayashi, and K. Hirose, Phys. Rev. B 82, 27, 4879 (1961). 085435 (2010). 85 D. V. Tuan, J. Kotakoski, T. Louvet, F. Ortmann, J. C. Meyer, and S. Roche, Nano Lett. 13, 1730 (2013). 86 T. M. Radchenko, A. A. Shylau, and I. V. Zozoulenko, Phys. Rev. B 86, 035418 (2012). 87 T. M. Radchenko, A. A. Shylau, I. V. Zozoulenko, and A. Ferreira, Phys. Rev. B 87, 195448 (2013). 88 T. M. Radchenko, A. A. Shylau, and I. V. Zozoulenko, Solid State Comm. 195, 88 (2014). 89 T. M. Radchenko, V. A. Tatarenko, I. Yu. Sagalianov, and Yu. I. Prylutskyy, Phys. Lett. A 378, 2270 (2014). 90 Luis E. F. Foa Torres, Stephan Roche, and Jean- Christophe Charlier, Introduction to Graphene-Based Nanomaterials: From Electronic Structure to Quantum Transport (Cambridge University Press, New York, 2014). 91 T. M. Radchenko, V. A. Tatarenko, I. Yu. Sagalianov, and Yu. I. Prylutskyy, in Graphene: Mechanical Properties, Potential Applications and Electrochemical Performance, edited by Bruce T. Edwards (Nova Science Publishers, New York, 2014), pp. 219 -- 259; arXiv:1406.0783. 92 In the literature,73 -- 88 D(E, t) is frequently called a dif- fusion coefficient since it has a dimension of diffusivity. Nevertheless, it is not a diffusion coefficient in a nondif- fusive ballistic regime, when there are no any scatterings. 93 Note that the general definition of the diffusivity is as follows: D(E, t) = d(cid:10)∆ X 2(E, t)(cid:11)/dt. This definition be- comes equivalent to D(E, t) = (cid:10)∆ X 2(E, t)(cid:11)/t in a classi- 108 H. Gerischer, in Physical Chemistry, edited by H. Eyring et al. (Academic Press, New York, 1970), vol. 4, p. 463. 109 R. Memming, Semiconductor Electrochemistry (Wiley- VCH, Weinheim, 2001). 110 P. Szroeder, Physica E 44, 470 (2011). 111 P. Szroeder, A. G´orska, N. G. Tsierkezos, U. Ritter, and W. Strupi´nski, Mat.-wiss. u. Werkstotech. 44, 226 (2013). 112 H. Gerischer and W. Ekardt, Appl. Phys. Lett. 43, 393395 (1983). 113 A. J. Bard and L. R. Faulkner, Electrochemical Methods: Fundamentals and Applications (John Wiley, New York, 1980). 114 Y.-J. Yu, Y. Zhao, S. Ryu, L. E. Brus, K. S. Kim, and P. Kim, Nano Lett., 9, 3430, (2009). 115 S. Trasatti, J. Electroanal. Chem. 52, 313 (1974). 116 C.-H. Park, Li Yang, Y.-W. Son, M. L. Cohen, and S. G. Louie, Nature Phys. 4, 213 (2008). 117 R. Martinazzo, S. Casolo, and G. F. Tantardini, Phys. Rev. B 81, 245420 (2010). 118 S. Casolo, R. Martinazzo, and G. F. Tantardini, J. Phys. Chem. C 115, 3250 (2011). 119 A. Lherbier, A. R. Botello-Mendez, and J. C. Charlier, Nano Lett. 13, 1446 (2013). 120 V. M. Pereira, J. M. B. Lopes dos Santos, and A. H. Castro Neto, Phys. Rev. B 77, 115109 (2008).
1901.07642
1
1901
2019-01-22T23:16:15
Comparing the anomalous Hall effect and the magneto-optical Kerr effect through antiferromagnetic phase transitions in Mn$_3$Sn
[ "cond-mat.mes-hall", "cond-mat.mtrl-sci" ]
In the non-collinear antiferromagnet Mn$_3$Sn, we compare simultaneous measurements of the anomalous Hall effect (AHE) and the magneto-optical Kerr effect (MOKE) through two magnetic phase transitions: the high-temperature paramagnetic/antiferromagnetic phase transition at the N\'eel temperature ($T_N \approx$420~K), and a lower-temperature incommensurate magnetic ordering at $T_1 \approx$270~K. While both the AHE and MOKE are sensitive to the same underlying symmetries of the antiferromagnetic non-collinear spin order, we find that the transition temperatures measured by these two techniques unexpectedly differ by approximately 10~K. Moreover, the applied magnetic field at which the antiferromagnetic order reverses is significantly larger when measured by MOKE than when measured by AHE. These results point to a difference between the bulk and surface magnetic properties of Mn$_3$Sn.
cond-mat.mes-hall
cond-mat
Comparing the anomalous Hall effect and the magneto-optical Kerr effect through antiferromagnetic phase transitions in Mn3Sn A. L. Balk, N. H. Sung, S. M. Thomas, P. F. S. Rosa, R. D. McDonald, J. D. Thompson, E. D. Bauer, F. Ronning, and S. A. Crooker Materials Physics and Applications Division, Los Alamos National Laboratory, Los Alamos, NM 87545, USA In the non-collinear antiferromagnet Mn3Sn, we compare simultaneous measurements of the anomalous Hall effect (AHE) and the magneto-optical Kerr effect (MOKE) through two magnetic phase transitions: the high-temperature paramagnetic/antiferromagnetic phase transition at the N´eel temperature (TN ≈420 K), and a lower-temperature incommensurate magnetic ordering at T1 ≈270 K. While both the AHE and MOKE are sensitive to the same underlying symmetries of the antiferromagnetic non-collinear spin order, we find that the transition temperatures measured by these two techniques unexpectedly differ by approximately 10 K. Moreover, the applied magnetic field at which the antiferromagnetic order reverses is significantly larger when measured by MOKE than when measured by AHE. These results point to a difference between the bulk and surface magnetic properties of Mn3Sn. Non-collinear antiferromagnets such as Mn3Sn and Mn3Ge have recently emerged as a fascinating class of materials that can exhibit a large anomalous Hall effect (AHE) despite having a negligibly small net magnetic moment [1 -- 6]. The AHE can arise in these and related antiferromagnetic (AF) materials when the underlying spin order not only breaks time-reversal symmetry but also lacks additional spatial symmetries that would oth- erwise force the AHE to vanish. Together with spin-orbit coupling, this can lead to a band exchange splitting and a non-zero value of the integrated Berry curvature over the occupied bands [7 -- 11], even in the absence of net magnetization. A related phenomenon that is also traditionally associ- ated with the presence of a net magnetic moment is the magneto-optical Kerr effect (MOKE), wherein linearly- polarized light rotates and/or becomes elliptically polar- ized upon reflection from a material's surface. Although MOKE is inherently a much more surface-sensitive probe than AHE, both phenomena result from off-diagonal components of the material's conductivity tensor, as dis- cussed recently [12] (e.g., σxz(ω) -- terms of this form generate currents that are transverse to applied electric fields). Such off-diagonal conductivity terms can in fact be non-zero in materials with specific non-collinear anti- ferromagnetic order, as shown recently [1 -- 3, 5, 7, 8, 11]. As such, anomalously large MOKE signals were also pre- dicted in certain non-collinear antiferromagnets [12], and indeed they were very recently observed in Mn3Sn by Higo et al. [13]. Both the AHE and MOKE are of prac- tical interest as they can enable simple electrical and op- tical probes of non-collinear AF order, analogous to their widespread use to study ferromagnets. More fundamen- tally, both effects provide experimental tests for theoret- ical models [1, 7 -- 12, 14, 15] that predict the influence of spin structure on measurable properties, based on under- lying symmetry considerations. Mn3Sn has a hexagonal crystal structure (P 63/mmc space group), with "-AB-AB-" stacking of the planes along the [0001] direction. Each plane contains a kagome lattice of Mn spins, as depicted in Fig. 1(a). Mn3Sn ex- hibits a rich magnetic phase diagram, beginning (at high temperatures) with a paramagnetic-to-antiferromagnetic phase transition at its N´eel temperature TN ≈ 420 K. Below TN , neutron diffraction measurements [16 -- 18] in- dicate an in-plane inverse-triangular AF ordering of the Mn spins shown schematically in Fig. 1(a). This non- collinear AF state is characterized by nearly perfect com- pensation of the Mn spins within a unit cell, with only a very small residual in-plane magnetic moment of ∼0.003 µB/Mn remaining. Furthermore, slightly Mn-deficient crystals also exhibit an additional first-order magnetic phase transition below room temperature at T1 ≈ 270 K, that is believed to reflect a change from a commensurate inverse-triangular magnetic order to an incommensurate spin structure that is helically modulated along the [0001] direction [19 -- 22]. This leads to a collapse of the resid- ual net moment, and recent studies have also shown that the AHE also disappears below T1 [23, 24], indicating a change in the underlying symmetry of the AF order. While these AF phase transitions in Mn3Sn have been studied with neutron scattering and by electrical means, it is not yet known how they influence surface-sensitive MOKE signals, which to date have been reported only near room temperature [13]. Here, we perform simultaneous MOKE and AHE mea- surements of slightly Mn-deficient Mn2.97Sn1.03 (hence- forth referred to as Mn3Sn) as it is temperature-tuned through its AF phase transitions at T1 and TN . Be- tween T1 and TN , both methods evince sizable signals due to the inverse-triangular AF order, as well as a large hysteresis in applied magnetic fields B that arises from field-induced reversal of the AF order. However, the co- ercive field measured by MOKE (≈120 mT) is over twice as large as that measured by AHE (≈50 mT). Moreover, while both the MOKE and AHE signals vanish at low 9 1 0 2 n a J 2 2 ] l l a h - s e m . t a m - d n o c [ 1 v 2 4 6 7 0 . 1 0 9 1 : v i X r a temperatures below T1, the actual transition tempera- tures measured by the two techniques unexpectedly dif- fer by about 10 K. We also observe ∼10 K difference in TN . These results point to different magnetic behavior at the surface of Mn3Sn as compared to the bulk. We study Mn3Sn crystals grown by the molten metal self-flux method [23, 25]. Samples were cut and mechan- ically polished to a mirror finish using 0.05 µm grit pol- ishing paper. Laue diffraction confirmed that the sur- face prepared for MOKE studies is within 30 mrad of the (0001) crystal plane. As shown in the experimental schematic of Fig. 1(b), 25 µm diameter Pt wires were spot-welded on the (01¯10) face for AHE measurements. The sample was mounted between the poles of an electro- magnet on a temperature-controlled stage with 100 mK stability. Magnetic fields B were applied in the kagome plane, along the [01¯10] direction. All measurements were performed in a dry air environment. We measured longi- tudinal MOKE, using 632.8 nm P-polarized light incident at 45◦ from the surface normal along the [01¯10] direction, as depicted. The spot diameter on the sample is 5 µm and the Kerr rotation θK imparted on the reflected light is measured by balanced photodiodes. Simultaneously, we measured the AHE by applying an ac current along the [0001] direction (perpendicular to the kagome planes) while detecting the Hall resistivity ρH along the in-plane [2¯1¯10] direction using standard lock-in techniques. We note that prior studies have established that both the AHE and MOKE are very anisotropic in Mn3Sn [2 -- 4, 6, 13], with hysteretic signals vanishing when B is applied along the [0001] direction (i.e., perpendicular to the kagome planes), and maximized when B lies in the kagome planes. The MOKE and AHE geometries that we use here are both chosen to be sensitive to the anomalous signals that arise from the inverse-triangular antiferro- magnetic ordering of the Mn spins [2 -- 4, 13]. Figure 1(c) shows both θK and ρH measured in Mn3Sn at room temperature (T =295 K) versus B. Both show large signals, with clear switching and magnetic hystere- sis. As discussed above and as shown in previous experi- ments [2, 3, 13, 23], these large signals originate from the symmetry properties of the underlying inverse-triangular spin order. The ability to reverse the sense of this AF or- der (and therefore switch the sign of the AHE and MOKE signals) is due to the residual net moment which, to- gether with B, acts as a "lever" to invert the underlying AF magnetic structure. The amplitudes of the hystere- sis loops, ∆θK and ∆ρH , are in agreement with recent studies [2, 3, 13, 23]. However, the switching (coercive) field measured by MOKE is over twice that measured by AHE (120 mT vs. 50 mT). This marked contrast pro- vides a first indication that the surface and bulk mag- netic behavior of Mn3Sn is not the same. For reference, the grey curve in Fig. 1(c) shows the bulk magnetiza- tion of this sample acquired by SQUID magnetometry, where the switching of the AF order is revealed by the 2 FIG. 1. (a) Non-collinear inverse-triangular AF spin struc- ture of Mn3Sn (at room temperature). Red arrows indicate Mn spins, the blue dot represents Sn. The crystal plane be- neath the (0001) surface plane is depicted with reduced (grey) contrast. (b) Experimental setup. Longitudinal MOKE is measured on the (0001) surface while the AHE is sensed along [2¯1¯10] direction using current along the [0001] direction. Mag- netic fields B are applied along [01¯10]. The Mn3Sn crystal di- mensions are 2 mm × 2 mm × 1 mm. (c) Simultaneous mea- surements of MOKE (red, top) and AHE (blue, bottom) at room temperature, versus B. Magnetic hysteresis is observed, showing transitions of magnitude ∆θK and ∆ρH . Note the very different AF switching (coercive) field. The grey loop is the bulk magnetization measured separately via SQUID magnetometry with B along [01¯10]. concomitant switching of the small 0.003 µB/Mn resid- ual moment. The coercive field coincides with that mea- sured by the AHE, consistent with the expectation that the AHE is sensitive to bulk magnetic properties. Sub- tle differences in the shape of the AHE and magnetiza- tion hysteresis loops have been discussed recently in the context of real-space Berry curvature due to AF domain walls [6]. We now compare MOKE and AHE signals as the Mn3Sn sample is cooled below room temperature and through its phase transition at T1. Figures 2(a-c) show both measurements at selected temperatures: At 278 K, both continue to exhibit large signals and robust mag- netic hysteresis. However, at 267 K the two signals differ dramatically -- the AHE continues to show a substantial signal and clear magnetic hysteresis, while in contrast MOKE shows no signal (and no hysteresis). We empha- size that these measurements were performed simulta- neously, indicating that the bulk and the surface of the 3 probe laser positioned at different locations on the (0001) surface plane. We note that this difference cannot arise from artifacts due to thermal gradients in the sample: the top surface of the sample on which MOKE is detected must be, if anything, slightly warmer than the bulk of the crystal and the sample stage (because T1 and the sample stage are below the temperature of the surrounding dry- air environment), which would lead to a slightly lower apparent T MOKE in the experiment -- opposite to what is observed in Fig. 2(d). 1 N N N Similarly, we also investigate whether MOKE and AHE show different N´eel temperatures TN at the high- temperature antiferromagnetic-paramagnetic phase tran- sition. Fig. 2(d) shows that both ∆θK and ∆ρH vanish as the temperature is increased, indicating a traversal of TN . Upon subsequent cooling, these curves are re- traced without discernible thermal hysteresis. However, once again the data reveal ≈10 K difference between the transition temperatures. In this case, however, T MOKE is lower than T AHE . As before, this difference cannot be due to thermal artifacts between the sample and the sur- rounding environment: a cooler temperature at the sur- face than in the bulk would result in a higher apparent T MOKE , in contrast to observation. These measurements therefore indicate that the surface and bulk of Mn3Sn undergo AF phase transitions at different temperatures. Finally we explore in more detail the large factor-of- two disparity between the AF switching field (i.e., the co- ercive field µ0Hc) measured by MOKE and by AHE, that was shown earlier in Figs. 1 and 2. To check whether this large difference could be due to local extrinsic pinning from isolated defects at the sample surface, we measure θK(B) at fifty random locations on the (0001) surface plane, each separated by > 50 µm. Six representative hysteresis loops are shown in Fig. 3(a). There is scat- ter in µ0Hc, revealing some influence of extrinsic pinning forces. However, a histogram of all measured µ0Hc values [Fig. 3(b)]reveals a mean value of 120 mT, with only ±20 mT variation that is far smaller than the ∼70 mT differ- ence between µ0Hc measured by MOKE and by AHE. Various mechanisms could account for the unexpected differences between the values of T1, TN , and µ0Hc that are measured in the bulk of Mn3Sn (by AHE) and at the surface (by MOKE). We estimate the penetration depth of the 632.8 nm probe light in Mn3Sn to be of order 20 nm (based on carrier densities reported in [24]), which signif- icantly exceeds the ∼5 nm lengthscale of the helical mod- ulation that is believed to exist in the low-temperature incommensurate AF phase below T1 [16 -- 18]. Since no in- dication of smaller bulk-like coercive fields are observed in the MOKE data, nor are the AF transitions at T1 and TN noticeably less sharp that those measured by AHE, the different surface magnetic properties of Mn3Sn likely extend within the sample on at least this length scale. Surface oxidation could influence the magnetism detected by MOKE. To test this we re-polished the (0001) surface FIG. 2. (a-c) Simultaneous measurements of AHE and MOKE versus B, at temperatures 278 K, 267 K, and 261 K. Note that at 267 K the two measurements show very different be- havior, indicating a marked difference between the bulk and surface magnetic properties. (d) Temperature dependence of the amplitudes of the magnetic hysteresis loops, as measured by AHE (blue) and by MOKE (red). Both ∆ρH and ∆θK reveal the first-order AF phase transition near ≈270 K; how- ever the measured transition temperature T MOKE is approxi- mately 10 K higher than T AHE . Moreover, the measured N´eel is ∼10 K lower than T AHE temperature T MOKE . 1 N 1 N sample exhibit very different magnetic behavior at this temperature. Finally, at 261 K both methods show no signal, indicating that both the bulk and the surface have transitioned to the low-T incommensurate AF phase. To explore this difference in more detail, both ρH (B) and θK(B) are measured continuously as the tempera- ture is ramped from 300 K down to 260 K, and then back up to 300 K (at 0.05 K/s). The amplitudes of the magnetic hysteresis loops, ∆ρH and ∆θK, are shown in Fig. 2(d). The AHE reveals a first-order phase transi- tion in the bulk of the sample at T AHE = 265 K (with ∼5 K of thermal hysteresis), consistent with recent work [23]. However, the MOKE data reveal a rather different transition temperature, T MOKE = 275 K, at the surface of the sample. This 10 K difference in T1 is much larger than any experimental uncertainty in temperature, and was confirmed in multiple temperature sweeps with the 1 1 4 studies of these phenomena and closer comparisons of various experimental techniques to probe non-collinear AF order. In summary, we have shown that MOKE measure- ments can be used to probe temperature-dependent phase transitions in non-collinear antiferromagnets such as Mn3Sn. Similar to the AHE, MOKE is directly sensi- tive to the symmetry properties of the underlying mag- netic order, providing a facile means to study AF order in this class of materials. Unexpectedly, simultaneous MOKE and AHE studies reveal different transition tem- peratures T1 and TN , as well as significantly different AF switching (coercive) fields in the inverse-triangular AF phase. These results point to different surface and bulk magnetic properties, which may be relevant for potential applications using Mn3Sn or other non-collinear antifer- romagnets. We thank C. Batista for helpful discussions. This work was supported by the Los Alamos LDRD program. The MOKE and AHE studies were performed at the Na- tional High Magnetic Field Laboratory (NHMFL) at Los Alamos, which is supported by NSF DMR-1644779, the State of Florida, and the US Department of Energy. [1] J. Kubler and C. Felser, Europhys. Lett. 108, 67001 (2014). [2] S. Nakatsuji, N. Kiyohara, and T. Higo, Nature 527, 212 (2015). [3] A. K. Nayak, J. E. Fischer, Y. Sun, B. Yan, J. Karel, A. C. Komarek, C. Shekhar, N. Kumar, W. Achnelle, J. Kubler, C. Felser, and S. S. P. Parkin, Sci. Adv. 2, e1501870 (2016). [4] N. Kiyohara, T. Tomita, S. Nakatsuji, Phys. Rev. Appl. 5, 064009 (2016). [5] S. Nakatsuji, T. Higo, M. Ikhlas, T. Tomita, and Z. Tian, Philos. Mag. 97, 30 (2017). [6] X. Li, L. Xu, H. Zuo, A. Subedi, Z. Zhu, and K. Behnia, SciPost Phys. 5, 063 (2018). [7] H. Chen, Q. Niu, and A. H. MacDonald, Phys. Rev. Lett. 112, 017205 (2014). [8] M.-T. Suzuki, T. Koretsune, M. Ochi, and R. Arita, Phys. Rev. B 95, 094406 (2017). [9] N. Nagaosa, J. Sinova, S. Onada, A. H. MacDonald, and N. P. Ong, Rev. Mod. Phys. 82, 1539 (2010). [10] D. Xiao, M.-C. Chang, and Q. Niu, Rev. Mod. Phys. 82, 1959 (2010). [11] Y. Zhang, Y. Sun, H. Yang, J. Zelezny, S. P. P. Parkin, C. Felser, and B. Yan, Phys. Rev. B 95, 075128 (2017). [12] W. Feng, G.-Y Guo, J. Zhou, Y. Yao, and Q. Niu, Phys. Rev. B 92, 144426 (2015). [13] T. Higo, H. Man, D. B. Gopman, L. Wu, T. Koretsune, O. M. J. van 't Erve, Y. P. Kabanov, D. Rees, Y. Li, M. Suzuki, S. Patankar, M. Ikhlas, C. L. Chien, R. Arita, R. D. Shull, J. Orenstein, and S. Nakatsuji, Nat. Photon. 12, 73-78 (2018). [14] J. Liu and L. Balents, Phys. Rev. Lett. 119, 087202 (2017). FIG. 3. (a) The plot shows a few of the fifty MOKE hysteresis loops (θK vs. B) that were measured at different locations on the Mn3Sn (0001) surface plane. T =295 K. The locations were separated by >50 µm, and reveal some variation in the AF switching (coercive) field µ0Hc at the surface of Mn3Sn. (b) Histogram of µ0Hc values measured with MOKE. The much smaller switching field measured in the Mn3Sn bulk by the AHE is indicated by the blue arrow. plane and then (within 15 minutes) continuously mea- sured θK(B) hysteresis loops over several hours in ambi- ent conditions. We did not observe any change in µ0Hc, arguing against slow surface oxidation as a cause for the different magnetic behavior. It is also possible that the surface preparation itself causes local disorder which in- creases µ0Hc and changes T1 and TN due to increased pinning forces, although we note that good crystal qual- ity at the (0001) surface was confirmed by clean Laue diffraction signals. Finally, preliminary studies of other Mn3Sn samples under applied uniaxial strain [26] allow us to extrapolate and estimate that over 3% strain would be necessary to account for the observed 10 K change in T1. This estimated value, while large, is in fact compa- rable to surface strains induced by mechanical polishing that have been measured in other materials [27, 28]. We note that differences between surface and bulk magnetism have been observed in other AFs such as NiO, GdIn3, and UO2 [29 -- 32] where different exchange forces, stoichiometry, or disorder at the sample surface can lead to phase transitions with different temperatures as com- pared to the bulk. In Mn3Sn, a smaller TN at the surface is consistent with decreased AF exchange interactions at the surface. This is at least in line with neutron scat- tering results [22], which show significant inter-plane ex- change interactions along the [0001] direction in Mn3Sn. Whether this can also account for the larger value of T1 at the surface is not yet clear. It is also worth noting that the slightly Mn-rich crystals studied recently by Higo [13] do not appear to exhibit substantially different switching fields when studied by MOKE and by AHE. The recent availability of Mn3Sn thin films [33] should allow further 5 [15] H. Yang, Y. Sun, Y. Zhang, W.-J. Shi, S. S. Parkin, and and K. Behnia, Phys. Rev. Lett. 119, 056601 (2017). B. Yan, New J. Phys. 19, 015008 (2017). [25] P. C. Canfield and Z. Fisk, Philos. Mag. B 65, 1117-1123 [16] S. Tomiyoshi and Y. Yamaguchi, J. Phys. Soc. Jpn. 51, (1992) 2478-2486 (1982). [26] S. M. Thomas, N. H. Sung, P. F. S. Rosa, E. D. Bauer, [17] T. Nagamiya, S. Tomiyoshi, and Y. Yamaguchi, Solid and F. Ronning, In prep. (2018). State Comm. 42, 5 (1982). [27] Z. Hang, H. Shen, and F. H. Pollak, J. Appl. Phys. 64, [18] P. J. Brown, V. Nunez, F. Tasset, J. B. Forsyth, and P. Radhakrishna, J. Phys.: Condens. Matter 2, 9409-9422 (1990). [19] G. J. Zimmer and E. Kr´en, AIP Conf. Proc. 5, 513 (1972). [20] E. Kr´en, J. Paitz, G. Zimmer and ´E. Zsoldos, Physica B 80, 226-230 (1975). [21] H. Ohmori, S. Tomiyoshi, H. Yamauchi, and H. Ya- mamoto, J. Mag. Magn. Mater. 70, 249 (1987). [22] J. W. Cable, N. Wakabayashi, and P. Radhakrishna, Solid State Comm. 88, 161 (1993). [23] N. H. Sung, F. Ronning, J. D. Thompson, and E. D. Bauer, Appl. Phys. Lett. 112, 132406 (2018). [24] X. Li, L. Xu, L. Ding, J. Wang, M. Shen, X. Lu, Z. Zhu, 6 (1988). [28] H. Shen and F. H. Pollak, Appl. Phys. Lett. 45, 692 (1984). [29] A. Malachias, E. Granado, R. Lora-Serrano, P. G. Pagliuso, and C. A. P´erez, Phys. Rev. B 77, 094425 (2008). [30] M. Marynowski, W. Franzen, M. El-Batanouny, and V. Staemmler, Phys. Rev. B 60, 6053 (1999). [31] G. M. Watson, D. Gibbs, G. H. Lander, B. D. Gaulin, L. E. Berman, Hj. Matzke, and W. Ellis, Phys. Rev. B 61, 8966 (2000). [32] M. Pleimling, J. Phys. A: Math Gen. 37, R79 (2004). [33] A. Markou, J. M. Taylor, A. Kalache, P. Werner, S. S. P. Parkin, and C. Felser, Phys. Rev. Mater. 2, 051001(R) (2018).
1708.06428
1
1708
2017-08-21T21:56:47
Micromagnetic simulations of magnetoelastic spin wave excitation in scaled magnetic waveguides
[ "cond-mat.mes-hall", "physics.app-ph" ]
We study the excitation of spin waves in scaled magnetic waveguides using the magnetoelastic effect. In uniformly magnetized systems, normal strains parallel or perpendicular to the magnetization direction do not lead to spin wave excitation since the magnetoelastic torque is zero. Using micromagnetic simulations, we show that the nonuniformity of the magnetization in submicron waveguides due to the effect of the demagnetizing field leads to the excitation of spin waves for oscillating normal strains both parallel and perpendicular to the magnetization. The excitation by biaxial normal in-plane strain was found to be much more efficient than by uniaxial normal out-of-plane strain. For narrow waveguides with widths of 200\,nm, the excitation efficiency of biaxial normal in-plane strain was comparable to that of shear strain.
cond-mat.mes-hall
cond-mat
Micromagnetic simulations of magnetoelastic spin wave excitation in scaled magnetic waveguides Rutger Duflou,1, 2 Florin Ciubotaru,1, a) Adrien Vaysset,1 Marc Heyns,1, 2 Bart Sor´ee,1, 2, 3 Iuliana P. Radu,1 and Christoph Adelmann1, b) 1)Imec, B-3001 Leuven, Belgium 2)KU Leuven, Faculteit Ingenieurswetenschappen, B-3001 Leuven, Belgium 3)Universiteit Antwerpen, Departement Fysica, B-2000 Antwerpen, Belgium We study the excitation of spin waves in scaled magnetic waveguides using the mag- netoelastic effect. In uniformly magnetized systems, normal strains parallel or per- pendicular to the magnetization direction do not lead to spin wave excitation since the magnetoelastic torque is zero. Using micromagnetic simulations, we show that the nonuniformity of the magnetization in submicron waveguides due to the effect of the demagnetizing field leads to the excitation of spin waves for oscillating normal strains both parallel and perpendicular to the magnetization. The excitation by bi- axial normal in-plane strain was found to be much more efficient than by uniaxial normal out-of-plane strain. For narrow waveguides with widths of 200 nm, the ex- citation efficiency of biaxial normal in-plane strain was comparable to that of shear strain. Keywords: Magnetoelasticity, Magnetoelectricity, Micromagnetic Simulations, Spin Waves 7 1 0 2 g u A 1 2 ] l l a h - s e m . t a m - d n o c [ 1 v 8 2 4 6 0 . 8 0 7 1 : v i X r a a)Electronic mail: [email protected] b)Electronic mail: [email protected] 1 The control and manipulation of ferromagnetic nanostructures using the magnetoelectric effect has recently received increasing interest.1 -- 5 Of special interest has been the magne- toelectric generation of spin waves due to a potentially much higher energy efficiency than current-based excitation schemes, e.g. based on inductive coupling to microwaves or spin torque oscillators. Such magnetoelectric spin wave transducers can therefore be considered as key elements of future low-power magnonic devices.7 -- 10 Magnetoelectric excitation and control of ferromagnetic resonance (FMR) as well as prop- agating spin waves have been studied in both multiferroic materials, such as BiFeO3,6 and magnetoelectric compounds.11 -- 17 Magnetoelectric compounds consist of piezoelectric and magnetostrictive layers coupled via strain. The strain generated by the piezoelectric layer upon application of an electric field leads to an effective magnetic anisotropy field in the magnetostrictive layer. The resulting magnetization dynamics can then be described by the Landau-Lifshitz-Gilbert equation. While the physics of magnetoelastic coupling has been established decades ago,18,19 the magnetization dynamics in scaled micromagnetic systems have only recently received attention20 due to their potential for nanoscale magnonic devices. In this paper, we study the generation of spin waves in scaled ferromagnetic waveguides by a local magnetoelastic transducer for different strain geometries. We show that the demag- netizing fields plays a key role and can be used to design efficient magnetoelastic (and thus magnetoelectric) spin wave transducers. The magnetoelastic energy per unit volume as a function of magnetization m = M /MS (MS being the saturation magnetization of the ferromagnet) and strain tensor ε is given in a first-order approximation by21 (cid:0)εxx(m2 Emel =B1 x − 1/3) + εyy(m2 y − 1/3) + εzz(m2 + B2(εxymxmy + εyzmymz + εxzmxmz). z − 1/3)(cid:1) (1) (2) Here, B1 and B2 denote the magnetoelastic coupling constants. The corresponding effective magnetic field, H = −∇M Emel/µ0, is then given by 2B1εxxmx + B2(εxymy + εxzmz)  , 2B1εyymy + B2(εxymx + εyzmz) 2B1εzzmz + B2(εxzmx + εyzmy) H = − 1 µ0MS with µ0 the vacuum permeability. It is easy to see that for normal strains parallel or per- 2 pendicular to the magnetization, the resulting effective field is parallel to the magnetization or zero, respectively. Therefore, no torque τ ∝ m × H is exerted on the magnetization in such geometries [see Eq. (S1) in the supplementary material]. Several remedies for this issue are possible, such as the application of strains with a shear component, as in the case e.g. of Rayleigh surface acoustic waves.12,16 Alternatively, a slanted magnetization with respect to a normal strain, either due to an oblique external magnetic field or a canted magnetic anisotropy,7 can also lead to nonzero torques. Future magnonic devices may however employ narrow waveguides with dimensions in the 100 nm range.22 In such waveguides, when magnetized transversally (along the y-direction), the magnetization is nonuniform due to the nonuniformity of the demagnetizing field in this configuration. This is illustrated in Figs. S1(a) and (b) in the supplementary information for 10 nm thick waveg- uides with widths of 200 nm and 500 nm, respectively. These -- and all following -- simulations were performed using the Object Oriented MicroMagnetic Framework (OOMMF).23 The pa- rameters of the magnetic waveguide material corresponded to permalloy with an exchange coefficient of A = 1.3 × 10−11 J/m and a saturation magnetization MS = 8 × 105 A/m.24 As in the spin wave excitation studies below, an external transverse magnetic bias field of 50 mT was applied. The simulation results show both nonzero x and z components near the edges of the waveguides. Nonuniformities were larger for the narrower waveguide, where nonzero x and z components extended into the center of the waveguide. The magnetoelastic excitation of spin waves in the narrow waveguides was then simulated using the YY MEL module within OOMMF.24 The external transverse magnetic bias field resulted in Damon-Eshbach-like spin wave modes. The damping constant was assumed to be α = 0.005, with a gradual increase to a value of 0.8 within 1 µm of the ends of the 10 µm long waveguide to avoid backreflection of the spin waves. The magnetoelastic coupling con- stants were B1 = B2 = 7.85 × 106 J/m3. External strains were applied in a 200 × 200 nm2 region in the center of the waveguides with a sinusoidal amplitude modulation with the frequency f = 8 GHz, well above the FMR frequencies of 5.6 GHz and 4.6 GHz for the 500 and 200 nm wide waveguides, respectively. The strain was considered to be uniform in the excitation region and quasi-static. The model therefore neglects effects of phonon propaga- tion and phonon -- magnon interactions in the rest of the waveguide. Such a situation can be experimentally realized in good approximation e.g. by including a magnetostrictive layer underneath a piezoelectric actuator that is exchange-coupled to an otherwise nonmagne- 3 tostrictive waveguide. Below, we discuss the magnetoelastic generation of spin waves in three different excitation geometries: (i) uniaxial normal out-of-plane strain, (ii) biaxial normal in-plane strain, and (iii) in-plane shear strain. We first discuss the effect of oscillating uniaxial out-of-plain strain (Fig. 1) with all components of the strain tensor being zero except εzz.25 Experimentally, this may be realized by a piezoelectric actuator with a top contact exerting stress on the waveguide underneath [Fig. 1(a)]. In this geometry, the generated effective anisotropy field is proportional to mz = Mz/MS [see Eq. (2)]. Figures 1(b) and (c) show the resulting magnetization oscillation pattern (Mz component) in 200 nm and 500 nm wide waveguides, respectively, after excitation for 9 ns with a uniaxial out-of-plane strain oscillating at 8 GHz. All patterns here and below were obtained in the linear regime, i.e. spin wave amplitudes were proportional to the magnitude of the strain. For comparability purposes, all amplitudes were normalized to the exciting voltage. Magnetization pattern are always shown for 1 V of applied voltage. Detailed quantitative descriptions of the strain tensors used in the simulations can be found in the supplementary information. The 500 nm waveguide showed a complex magnetization pattern due to the superposition of multiple spin wave modes. By contrast, the 200 nm wide waveguide showed a much more uniform wave front. Simulations were also performed with an oscillating external magnetic field, mimicking excitations by the Oersted field of a microwave antenna. In this case, the same mode patterns were observed (data not shown), suggesting that they are inherent to the waveguide rather than to the excitation mechanism. In both cases, we thus observe spin wave excitation by the magnetoelastic effect due to uniaxial out-of-plane strain. As shown in Figs. S1(a) and (b), the magnetization was not uniform due to the nonuniformity of the demagnetizing field. This led to nonzero mz in the waveguide. However, due to the shape anisotropy of the film, the mz component was rather small. Therefore, the generated magnetoelastic torques were weak and spin wave amplitudes rather low, as shown in Fig. 1(d) for both 200 nm and 500 nm wide waveguides, respectively. In these graphs, the spin wave amplitude was calculated as the average deviation from the equilibrium magnetization over the cross section of the waveguide and over one excitation period. The spin wave amplitude was found to be much larger in the 200 nm wide waveguide than in the 500 nm wide one, where it was essentially negligible. This can be understood 4 by a larger nonuniformity of the demagnetizing field in the narrower waveguide, resulting in increased mz. In all cases, an exponential decay of the spin wave amplitude along the waveguide was observed. According to the analytic calculations of the dispersion relations, the spin waves at 8 GHz have a higher group velocity in 500 nm wide waveguide when compared to the 200 nm case, hence the difference in the decay length observed in Fig. 1(d). By contrast, the mx component along the waveguide induced by the effect of the demag- netizing field was much larger than mz due to the shape anisotropy of the waveguide. As shown in Eq. (2), this can be exploited by applying εxx, which leads to a component of the effective anisotropy field proportional to mx. This situation can be realized by a normal biaxial in-plane strain, e.g. experimentally by a piezoelectric actuator with side contacts [Fig. 2(a)]. In this case, the strain tensor contains nonzero εxx and εyy components with opposite signs.25 Figures 2(b) and 2(c) display the distribution of the Mz component of the magnetization in the two waveguides of 200 nm and 500 nm width, respectively, after excitation for 9 ns by oscillating biaxial in-plane strain. The frequency was 8 GHz, as above. In the 200 nm wide waveguide, the same spin wave mode as in Fig. 1(b) was observed, albeit with a much larger amplitude. Moreover, a clear mode pattern became visible in the 500 nm wide waveguide [Fig. 2(c)]. Figure 1(d) shows that the amplitude of spin waves excited by biaxial in-plane strain was about 104 larger than for uniaxial out-of-plane strain. As discussed above, this can be attributed to a much larger mx component in the waveguide with respect to mz due to shape anisotropy. In addition, for the narrow waveguide, the demagnetizing field led to a spontaneous symmetry breaking, giving rise to an average mx in the whole sample, rather than only at the edges (see Fig. S1 in the supplementary information), further enhancing the spin wave excitation efficiency. It is instructive to compare the spin wave excitation efficiency in scaled waveguides using biaxial in-plane strain to strain geometries where the torque is nonzero even for uniform magnetization, e.g. for in-plane shear strain with nonzero εxy. In this case, a term propor- tional to my appears in the effective field in Eq. (2). Such shear strains can be experimentally realized by rotating the piezoelectric actuator used to generate biaxial in-plane strain by 45◦ around z, as shown in Fig. 3(a). A detailed derivation of the used strain tensor and its explicit form can be found in the supplementary information. 5 Figures 3(b) and 3(c) show snapshot images of Mz for the two waveguides of 200 nm and 500 nm width, respectively, after excitation for 9 ns by in-plane shear strain εxy oscillating at 8 GHz. Amplitudes of the resulting spin waves propagating along the waveguides are shown in Fig. 3(d). In contrast to the above cases, the dependence of the spin wave amplitude on the waveguide width was weak since nonuniformities of the demagnetizing field do not play a necessary role in generating torques on the magnetization in this geometry. For a 500 nm wide waveguide, shear stress led to about one order of magnitude larger spin wave amplitudes with respect to biaxial in-plane strain. However, for 200 nm wide waveguides, shear stress was even found to be slightly less efficient in exciting spin waves than biaxial in-plane strain, corroborating the strong impact of the demagnetizing field. While a nonzero mx is strongly beneficial for in biaxial in-plane strain and increases the torque on the magnetization, it actually decreases the torque in the case of shear strain, as the main torque component is proportional to m2 y − m2 x.25 In conclusion, we have studied the excitation of spin waves in scaled magnetic waveguides by the magnetoelastic effect using micromagnetic simulations. In the case of a uniform mag- netization, normal strains along the principal axes parallel or perpendicular to the magneti- zation do not lead to torques and therefore cannot excite spin waves. In scaled waveguides, the effects of nonuniform demagnetizing fields lead however to nonzero torques and spin wave generation even for normal strain along principal axes. Biaxial in-plane strain was found to be about four orders of magnitude more efficient than uniaxial out-of-plane strain. In 200 nm wide waveguides, biaxial in-plane stress was found to be even more efficient than shear stress that leads to nonzero torques even in uniformly magnetized waveguides. This indicates that magnetoelectric spin wave transducers using biaxial in-plane strain may be highly efficient to excite spin waves in scaled waveguides in a Damon-Eshbach geometry without the need to generate shear strains. See the supplementary material for an expression of the magnetoelastic torque, detailed quantitative descriptions of the strain tensors used in the simulations, including their deriva- tions, as well as the components of the magnetization in 200 and 500 nm wide waveguides. 6 REFERENCES 1M. Fiebig, J. Phys. D: Appl. Phys. 38, R123 (2005). 2W. Eerenstein, N. D. Mathur, and J. F. Scott, Nature 442, 759 (2006). 3G. Srinivasan, Ann. Rev. Mater. Res. 40, 153 (2010). 4C. A. F. Vaz, J. Hoffman, C. H. Ahn, and R. Ramesh, Adv. Mater. 22, 2900 (2010). 5S. Fusil, V. Garcia, A. Barth´el´emy, and M. Bibes, Ann. Rev. Mater. Res. 44, 91 (2014). 6P. Rovillain, R. de Sousa, Y. Gallais, A. Sacuto, M. A. Masson, D. Colson, A. Forget, M. Bibes, A. Barth´el´emy, and M. Cazayous, Nature Mater. 9, 975 (2010). 7A. Khitun and K. L. Wang, J. Appl. Phys. 110, 034306 (2011). 8I. P. Radu, O. Zografos, A. Vaysset, F. Ciubotaru, J. Yan, J. Swerts, D. Radisic, B. Briggs, B. Soree, M. Manfrini, M. Ercken, C. Wilson, P. Raghavan, S. Sayan, C. Adelmann, A. Thean, L. Amaru, P. E. Gaillardon, G. De Micheli, D. E. Nikonov, S. Manipatruni, and I. A. Young, Proc. IEEE Intern. Electron Dev. Meet. (IEDM), 32.5.1 (2015). 9S. Dutta, S.-C. Chang, N. Kani, D. E. Nikonov, S. Manipatruni, I. A. Young, and A. Naeemi, Sci. Rep. 5, 9861 (2015). 10A. Khitun, D. E. Nikonov, and K. L. Wang, J. Appl. Phys. 106, 123909 (2009). 11M. Liu, O. Obi, J. Lou, Y. Chen, Z. Cai, S. Stoute, M. Espanol, M. Lew, X. Situ, K. S. Ziemer, V. G. Harris, and N. X. Sun, Adv. Funct. Mater. 19, 1826 (2009). 12M. Weiler, L. Dreher, C. Heeg, H. Huebl, R. Gross, M. S. Brandt, and S. T. B. Goennen- wein, Phys. Rev. Lett. 106, (2011); L. Dreher, M. Weiler, M. Pernpeintner, H. Huebl, R. Gross, M. S. Brandt, and S. T. B. Goennenwein, Phys. Rev. B 86, 134415 (2012). 13M. Weiler, H. Huebl, F. S. Goerg, F. D. Czeschka, R. Gross, and S. T. B. Goennenwein, Phys. Rev. Lett. 108, 176601 (2012). 14M. Liu, B. M. Howe, L. Grazulis, K. Mahalingam, T. Nan, N. X. Sun, and G. J. Brown, Adv. Mater. 25, 4886 (2013). 15T. Nan, Z. Zhou, M. Liu, X. Yang, Y. Gao, B. A. Assaf, H. Lin, S. Velu, X. Wang, H. Luo, J. Chen, S. Akhtar, E. Hu, R. Rajiv, K. Krishnan, S. Sreedhar, D. Heiman, B. M. Howe, G. J. Brown, and N. X. Sun, Sci. Rep. 4, (2014). 16S. Cherepov, P. K. Amiri, J. G. Alzate, K. Wong, M. Lewis, P. Upadhyaya, J. Nath, M. Bao, A. Bur, T. Wu, G. P. Carman, A. Khitun, and K. L. Wang, Appl. Phys. Lett. 104, 082403 (2014). 7 17G. Yu, Z. Wang, M. Abolfath-Beygi, C. He, X. Li, K. L. Wong, P. Nordeen, H. Wu, G. P. Carman, X. Han, I. A. Alhomoudi, P. K. Amiri, and K. L. Wang, Appl. Phys. Lett. 106, 072402 (2015). 18C. Kittel, Phys. Rev. 110, 836 (1958). 19J. R. Eshbach, J. Appl. Phys. 34, 1298 (1963). 20C. Chen, A. Barra, A. Mal, G. Carman, and A. Sepulveda, Appl. Phys. Lett. 110, 072401 (2017). 21C. Kittel, Rev. Mod. Phys. 21, 541 (1949). 22O. Zografos, B. Sor´ee, A. Vaysset, S. Cosemans, L. Amaru, P. E. Gaillardon, G. De Micheli, R. Lauwereins, S. Sayan, P. Raghavan, I. P. Radu, and A. Thean, Proc. IEEE Intern. Conf. Nanotechnol. (IEEE-NANO), 686 (2015). 23M. J. Donahue and D. G. Porter, OOMMF User's Guide, Version 1.0., Interagency Report NISTIR 6376, National Institute of Standards and Technology, Gaithersburg, MD (1999). 24Y. Yahagi, B. Harteneck, S. Cabrini and H. Schmidt, Phys. Rev. B. 90, 140405 (2014). 25For a detailed description of the form of the used strain tensors and their derivations, see the supplementary material. 8 FIG. 1. (Color online) Uniaxial normal out-of-plane strain: (a) Device design consisting of a piezo- electric pillar (red) on top of the magnetic waveguide (blue). The piezoelectric pillar is actuated by an rf voltage source via a top electrode. Mz snapshot images of the magnetization oscillation pattern in (b) 200 nm and (c) 500 nm wide waveguides, respectively, after 9 ns of excitation by uniaxial out-of-plane strain oscillating at 8 GHz. The static background magnetization was sub- tracted to enhance the signal. (d) Corresponding amplitudes of the generated spin waves as a function of propagation distance x for both the 200 nm and 500 nm waveguides. 9 FIG. 2. (Color online) Biaxial normal in-plane strain:(a) Device design consisting of a piezoelectric pillar (red) on top of the magnetic waveguide (blue). The pillar is connected to an rf voltage source via two contacts placed on its sidewalls to generate biaxial in-plane strain. Mz snapshot images of the magnetization oscillation pattern in (b) 200 nm and (c) 500 nm wide waveguides, respectively, after 9 ns of excitation by biaxial strain oscillating at 8 GHz. (d) Corresponding amplitudes of the generated spin waves as a function of propagation distance x for both the 200 nm and 500 nm waveguides. 10 FIG. 3. (Color online) In-plane shear strain: (a) Device design including a piezoelectric pillar (red) on top of the magnetic waveguide (blue). The pillar is connected to an rf voltage source via two contacts on the sides (yellow) and it is rotated by 45◦ to generate shear strain. Mz snapshot images of the magnetization oscillation pattern in (b) 200 nm and (c) 500 nm wide waveguides, respectively, after 9 ns of excitation by a shear strain oscillating at 8 GHz. (d) Corresponding amplitudes of the generated spin waves as a function of propagation distance x for both the 200 nm and 500 nm waveguides. 11
1610.04555
1
1610
2016-10-14T17:50:06
Experimental Phase Diagram of a One-Dimensional Topological Superconductor
[ "cond-mat.mes-hall" ]
Topological superconductors can host Majorana quasiparticles which supersede the fermion/boson dichotomy and offer a pathway to fault tolerant quantum computation. In one-dimensional systems zero-energy Majorana states are bound to the ends of the topologically superconducting regions. An experimental signature of a Majorana bound state is a conductance peak at zero source-drain voltage bias in a tunneling experiment. Here, we identify the bulk topological phase in a semiconductor nanowire coupled to a conventional superconductor. We map out its phase diagram through the dependence of zero-bias peak on the chemical potential and magnetic field. Our findings are consistent with calculations for a finite-length topological nanowire. Knowledge of the phase diagram makes it possible to predictably tune nanowire segments in and out of the topological phase, thus controlling the positions and couplings of multiple Majorana bound states. This ability is a prerequisite for Majorana braiding, an experiment in which Majorana quantum states are exchanged in order to both demonstrate their non-abelian character and realize topological quantum bits.
cond-mat.mes-hall
cond-mat
Experimental Phase Diagram of a One-Dimensional Topo- logical Superconductor Jun Chen1,∗, Peng Yu1,∗, John Stenger2, Moıra Hocevar3, Diana Car4, S´ebastien R. Plissard5, Erik P.A.M. Bakkers4,6, Tudor D. Stanescu2, † & Sergey M. Frolov1, ‡ 1Department of Physics and Astronomy, University of Pittsburgh, Pittsburgh, PA 15260, USA 2Department of Physics and Astronomy, West Virginia University, Morgantown, WV 26506, USA 3Institut N´eel CNRS, 38042 Grenoble, France 4Eindhoven University of Technology, 5600 MB, Eindhoven, The Netherlands 5LAAS CNRS, Universit´e de Toulouse, 31031 Toulouse, France 6QuTech and Kavli Institute of Nanoscience, Delft University of Technology, 2628 CJ Delft, The Netherlands Topological superconductors can host Majorana quasiparticles which supersede the fermion/boson dichotomy and offer a pathway to fault tolerant quantum computation 1–3. In one-dimensional systems zero-energy Majorana states are bound to the ends of the topologically supercon- ducting regions4. An experimental signature of a Majorana bound state is a conductance peak at zero source-drain voltage bias in a tunneling experiment5,6. Here, we identify the bulk topological phase in a semiconductor nanowire coupled to a conventional superconductor7,8. We map out its phase diagram through the dependence of zero-bias peak on the chemical po- tential and magnetic field. Our findings are consistent with calculations for a finite-length topological nanowire9–11. Knowledge of the phase diagram makes it possible to predictably tune nanowire segments in and out of the topological phase, thus controlling the positions and couplings of multiple Majorana bound states. This ability is a prerequisite for Majorana braiding, an experiment in which Majorana quantum states are exchanged in order to both demonstrate their non-abelian character and realize topological quantum bits12,13. We use a prescription for generating Majorana bound states (MBS) that includes four ingredi- ents: a one-dimensional quantum wire, with spin-orbit interaction and induced superconductivity, under external magnetic field B7, 8. This combination of ingredients induces a topological super- conductor when the following condition is satisfied (Fig. 1a): ∗These authors contributed equally to this work. †Current address: Condensed Matter Theory Center and Joint Quantum Institute, Department of Physics, Univer- ‡E-mail: [email protected] sity of Maryland, College Park, Maryland 20742-4111, USA 1 (cid:112) ∆2 + µ2 EZ > (1) where EZ = gµBB is the Zeeman energy, with g the effective Land´e g-factor, µB the Bohr mag- neton. ∆ is the induced superconducting gap at B = 0, and µ is the chemical potential in the quantum wire, with µ = 0 set to coincide with the lowest energy of a one-dimensional subband at B = 0. We test Equation (1) in a device built around an InSb semiconductor nanowire with a super- conducting NbTiN contact used to induce superconductivity, and a normal metal Pd contact used to perform tunneling spectroscopy by varying bias voltage V between normal and superconducting contacts (Fig. 1b) (See Methods Summary). Both magnitude and direction of field B can be con- trolled, as B should be pointed away from the direction of the effective spin-orbit field in order to Figure 1: Zero-bias peak in a nanowire device controlled by gate voltages. a, topological phase diagram described by Eq. (1). Dashed lines indicate settings of µ in panels e,d and c. b, scanning electron micrograph of the device used in this work. An InSb nanowire is half-covered by a superconductor NbTiN, and normal metal Pd contact. The nanowire is placed on FG and BG metal gates. c − e, differential conductance maps in bias voltage V vs. magnetic field B at three different settings of BG1. 2 (2e2/h)-0.500.5V (mV)cBG1=-0.53 VbPdNbTiNFG1BG1BG2BG3FG20.010.030.040.080.020.04dBG1=-0.42 VB (T)eBG1=-0.31 V0.00.51aµ EZedc-0.500.5-0.500.5Topological phase(Δ, 0)200 nm induce MBS. The induced superconducting gap ∆ is set by the NbTiN/InSb interface transparency as well as by the electronic band structure in the nanowire. We treat ∆ as a fixed parameter. Chemi- cal potential µ in the nanowire is tunable with local gate electrodes placed underneath the nanowire. We adjust voltage on gate F G1 to create a tunneling barrier between normal and superconducting sides. Gate BG1 located next to the tunneling barrier and underneath the superconductor is used to vary the chemical potential in the nanowire segment under investigation. We first demonstrate the ability to generate or eliminate a zero-bias peak (ZBP) in conduc- tance over a wide range of B by switching voltage on gate BG1. Figs. 1c-1e present scans of bias voltage versus magnetic field applied along the nanowire at three different BG1 voltages. The scan obtained at BG1 = −0.42 V (Fig. 1d) shows a ZBP persistent in magnetic field up to B = 1 T. When BG1 is changed by ±0.11 V (Figs. 1c,1e), only a gradual closing of the induced gap is observed, with no subgap states up to 1 T. Thus, Figs. 1c-1e constrain the ZBP phase diagram (horizontal lines in Fig. 1a). The ZBP shows no significant dependence on other gates (F G2, BG2 and BG3), which indicates ZBP is from quantum states located in the nanowire above BG1 (see supplementary information). In Fig. 2 we present the emergence and the evolution of the zero bias peak within the phase space identified in Fig. 1. At zero field, a bias vs. gate scan exhibits an induced gap ∆ = 0.25 meV (Fig. 2a). We assign conductance maxima at V = ±0.25 mV and around BG1 = −0.4 V to an increase in the density of states at the bottom of the second one-dimensional subband (see supplementary information for discussion). At B = 0.25 T (Fig. 2b) the apparent gap decreases but the regime remains qualitatively similar to that at B = 0 T. We point out that all bias vs. gate data from this device is asymmetric in bias. Namely, resonances that shift to more positive bias voltage with more positive gate voltage dominate. This effect is frequently observed in nanowire devices5, 14, and we attribute this effect to the tunneling barrier asymmetry 15. At B = 0.32 T (Fig. 2c), conductance within the induced gap is increased in the center of the BG1 range, giving an indication of a closing gap at BG1 = −0.4 V. According to theory behind Eq. (1), the gap should close around µ = 0 at the topological phase transition. At B = 0.36 T a well-defined conductance resonance crosses zero bias and extends across the gap (Fig. 2d). The resonance appears to stick to zero bias in a widening range of BG1 at higher magnetic fields (Figs. 2e-2f). Towards the edges of each BG1 scan, the conductance peak strongly deviates from zero bias and gradually merges into the apparent induced gap. At the boundary defined by Eq. (1), Majorana bound states at the opposite ends of the topological segment of the nanowire grow in 3 Figure 2: The emergence of the zero-bias peak. a − i, conductance maps in bias voltage V vs. BG1 at different magnetic fields indicated in the lower right corner of each panel. Arrows in panel f mark the ZBP onset gate voltages plotted in Fig. 3. The dashed line in panel h is obtained by tracing the visible maximum in subgap conductance and flipping the resulting trace around V = 0. length and strongly overlap because of the finite length of the segment. This overlap of the two MBS leads to the MBS energy deviating from zero16–20. In addition to the strong deviations from zero bias at the phase boundaries, we observe that for B ≥ 0.5 T (Figs. 2g-2i) the peak wavers away from zero bias near the center of the scans. Particle-hole symmetry in the superconductor dictates that the energy spectrum within the gap must be symmetric with respect to zero bias. This is not observed due to barrier asymmetry15. However, to propose how the full spectrum inside the gap looks, we trace a subgap resonance in Fig. 2h and flip it along the zero bias line. The full spectrum obtained this way suggests that the small deviations from zero bias also originate from zero-bias peak splitting due to gate-dependent 4 (2e2/h)0.25 T0.32 T0.36 T0.4 T0.48 T0.5 T0.54 T0.58 Tabcdefghi0 T-0.500.5-0.5-0.4-0.3BG1 (V)V (mV)-0.500.5-0.500.5-0.5-0.4-0.3-0.5-0.4-0.30.020.040.060.08 overlap of MBS within the topological phase (see Fig. 4). We map out the phase diagram of zero-bias peaks: from Fig. 2 and Fig. S3 in supplementary information, we pick the onset points of zero bias peaks in gate BG1 as well as in magnetic field, and plot them in Fig. 3. The two data sets obtained this way are consistent with the square root dependence predicted by Eq. (1). Based on the diagram, we identify µ = 0 at BG1 = −0.4 V. The minimal onset field B = 0.33 T converts into Zeeman energy of 0.4 meV (using g = 40), which is greater than the apparent gap at B = 0 T. However, in finite-length superconductors this is Figure 3: Phase diagram of zero-bias peaks. Zero-bias peak onset points are collected from data in Fig. 2 (black squares) and Fig. S3 (blue circles), with error bars judged by deviation of the peak from zero bias within 1/2 of the full width of half maximun of ZBPs. Data extracted from Fig. S3 are offset by +0.02 V in BG1 to compensate for a systematic shift due to a charge switch. The top axis EZ is calculated from magnetic field using g = 40. The right axis µ is calculated from BG1 according to 10 meV/V (see Fig. S7a in the supplementary information), and set to be zero at the parabolic vertex, BG1 = −0.395 V. Equation 1 is plotted in solid line, using ∆ = 0.25 mV. 5 0.00.20.40.60.81.0-0.5-0.4-0.3EZ (meV)BG1 (V)µ (meV)B (T)0.00.51.0-0.50.00.5 expected: due to MBS splitting at the topological transition point the ZBP should onset at a higher field. For the same reason, ZBP should appear in a narrower range of chemical potential around µ = 0 for a fixed field. As a result, the area of the phase diagram with ZBP present is reduced for finite-size systems. In Fig. 3 the theoretical phase transition line predicted by Eq.(1) indeed encircles the extracted ZBP onset points. The resonance which we investigate as MBS is pinned near zero bias over significant phase diagram area to the right of the onset curve in Fig. 3. The range of ZBP in both chemical potential and Zeeman energy greatly exceeds the ZBP width, which is between 30 and 100 µeV. The phase diagram area with a ZBP is strongly diminished when magnetic field orientation deviates from the nanowire main axis and approaches the spin-orbit field orientation, previously established as perpendicular to the nanowire (see supplementary information)5, 21, 22. Zero-bias peaks in nanowire devices may also originate from trivial Andreev bound states14. We present apparent Andreev bound states observed in the same device as well as a similar device at different settings of BG1 in supplementary information. In our devices, as opposed to MBS, these states cross zero bias over a narrow range of field and gate voltage that is comparable to the peak width. Next, we set up a quasi one-dimensional tight-binding model to numerically study a finite- length nanowire under the conditions set by Eq. (1). To match the experimental conditions, a high potential barrier is created above F G, potential above BG1, µBG1, is continuously tuned, while potential above BG2 and BG3 is kept constant (Fig. 4a). Fig. 4a also shows calculated wavefunction amplitude profiles of the two MBS. The left MBS decays into the barrier region above F G. The right MBS has an evanescent tail which extends to non-topological regions above BG2 and BG3. These tails are responsible for a reduced overlap between left and right MBS. Due to the small MBS overlap, the oscillations of the MBS don't reach large amplitudes in energy. Conductance map at zero bias in chemical potential versus Zeeman energy is calculated from the tunneling rates of quantum states (Fig. 4b). The boundary of increased zero-bias conductance is consistent with experimental data in Fig. 3, where the minimum onset field of ZBP is also observed to be larger than EZ = ∆. The oscillations inside the high conductance region are due to MBS oscillations. If thermal broadening is included, conductance resonances appear as a single zero-bias peak despite MBS oscillations. In Fig. 4c, at a finite Zeeman splitting of 1.7 ∆, we observe an extended 6 Figure 4: Tight-binding model results reveal two weakly coupled Majorana bound states. a, model schematics. A nanowire is contacted by a superconductor and a normal metal. The potential profile in shown in black curve. A plane wave eikx coming from N can tunnel into the nanowire through the barrier above F G. The chemical potential above BG1, µBG1, is tunable, while potentials above BG2 and BG3 are fixed. The calculated wavefunction amplitudes for zero- energy states are shown in red and blue. b, conductance map taken at zero bias. The red curve corresponds to a plot of Eq. (1). c, conductance map in bias energy vs. chemical potential at EZ = 1.7 ∆. d, conductance map in bias energy vs. Zeeman energy splitting at µBG1 = 0 meV. In b − d, thermal broadening is set to 50 µeV to match the experimental ZBP width. ZBP at the center of the map. The zero-bias state occupies a similar range of chemical potential as in the experimental conductance map in Fig. 2f, except that both branches of the spectrum are visible in the simulation. Conductance is suppressed at more negative values of the chemical po- tential because the states move farther from the probe lead N. In the conductance map at chemical potential µBG1 = 0 meV (Fig. 4d), an extended zero-bias peak is present from EZ = 1.5 ∆ up to EZ = 5.8 ∆. See Methods Summary and supplementary information for calculation details. 7 a042bμBG1(meV)003120.4-0.4d6μBG1(meV)0-11-0.600.6eV/ΔEZ(Δ)μBG1= 0 meVEZ=1.7 ΔaSuperconductorNanowireBG1BG2BG3FGikxeNc0.030.070.060.150.070.14EZ(Δ)(2e2/h)(2e2/h) Comparison between the model (Figs.4b-4d) and the experiment (Figs.1-3) allows us to con- clude that ZBP occurs in the parameter region that is consistent with the predicted topological superconducting phase. This observation makes it significantly less likely that these zero-bias peaks have an origin other than Majorana bound states. Beyond finite-size effects, the detailed experimental phase diagram of zero-bias peaks can be used in future experiments to study how the topological phase is affected by electron-electron interactions23, disorder24, vector potentials and electrostatics25, 26. Methods InSb nanowires are grown by Au-catalyzed Vapor-Liquid-Solid mechanism in a metalorganic vapor phase epitaxy reactor. Nanowires are deposited onto bottom gate chips using a micro- manipulator. Nanowires have a diameter between 60-100 nm. The bottom gates are made of Ti(5 nm)/Au(10 nm), with FG gates 50/100 nm wide and BG gates 200 nm wide. A layer of high-κ dielectric HfO2(10 nm) is deposited onto the bottom gates. Prior to contact deposition, the nanowire is processed in a 1/500 diluted ammonium sulfide solution by baking at 55 ◦C for 30 minutes to remove a native oxide layer. The superconducting contact is a trilayer of Ti(5 nm)/NbTi(5 nm)/NbTiN(180 nm) optimized to suppress subgap conductance27. While the induced gap is clearly visible in a wide gate range, the subgap conductance remains finite and the gap is increasingly soft at finite field (see Fig. S1 in supplementary information). Coverage of the nanowire by the superconductor is reduced to minimize gate screening and enlarge the range of tunable chemical potential. The normal contact is a Ti(15 nm)/Pd(150 nm) stack, before its deposition a gentle argon plasma cleaning is performed in situ. Measurements are performed in a dilution refrigerator at a base temperature of 30 mK, by standard low-frequency lock-in technique (77.77 Hz, 5 µV). Multiple stages of filtering are used to enhance signal-to-noise ratio. For all the measurements, bias voltage is applied to the normal contact and the superconducting contact is grounded. The theoretical model consists of a tight binding Hamiltonian for both the lead and the nanowire with induced superconductivity. The Hamiltonian includes hopping and chemical po- tential in both the nanowire and the metallic lead. In addition, in the nanowrie there is Rashba spin-orbit coupling, a magnetic field oriented along the wire, induced superconductivity, and po- tentials above each gate. The differential conductance is then extracted by applying plane wave boundary conditions at the end of the lead and solving for the anomalous reflection coefficients. 8 1. Read, N. & Green, D. Paired states of fermions in two dimensions with breaking of parity and time-reversal symmetries and the fractional quantum hall effect. Physical Review B 61, 10267 (2000). 2. Kitaev, A. Y. Fault-tolerant quantum computation by anyons. Annals of Physics 303, 2–30 (2003). 3. Nayak, C., Simon, S. H., Stern, A., Freedman, M. & Sarma, S. D. Non-abelian anyons and topological quantum computation. Reviews of Modern Physics 80, 1083 (2008). 4. Kitaev, A. Y. Unpaired majorana fermions in quantum wires. Physics-Uspekhi 44, 131 (2001). 5. Mourik, V. et al. Signatures of majorana fermions in hybrid superconductor-semiconductor nanowire devices. Science 336, 1003–1007 (2012). 6. Nadj-Perge, S. et al. Observation of majorana fermions in ferromagnetic atomic chains on a superconductor. Science 346, 602–607 (2014). 7. Lutchyn, R. M., Sau, J. D. & Das Sarma, S. Majorana fermions and a topological phase tran- sition in semiconductor-superconductor heterostructures. Physical review letters 105, 077001 (2010). 8. Oreg, Y., Refael, G. & von Oppen, F. Helical liquids and majorana bound states in quantum wires. Physical review letters 105, 177002 (2010). 9. Potter, A. C. & Lee, P. A. Majorana end states in multiband microstructures with rashba spin-orbit coupling. Physical Review B 83, 094525 (2011). 10. Stanescu, T. D., Lutchyn, R. M. & Sarma, S. D. Majorana fermions in semiconductor nanowires. Physical Review B 84, 144522 (2011). 11. Mishmash, R. V., Aasen, D., Higginbotham, A. P. & Alicea, J. Approaching a topological phase transition in majorana nanowires. Physical Review B 93, 245404 (2016). 12. Alicea, J., Oreg, Y., Refael, G., von Oppen, F. & Fisher, M. P. Non-abelian statistics and topological quantum information processing in 1d wire networks. Nature Physics 7, 412–417 (2011). 13. Van Heck, B., Akhmerov, A., Hassler, F., Burrello, M. & Beenakker, C. Coulomb-assisted braiding of majorana fermions in a josephson junction array. New Journal of Physics 14, 035019 (2012). 9 14. Lee, E. J. et al. Spin-resolved andreev levels and parity crossings in hybrid superconductor- semiconductor nanostructures. Nature nanotechnology 9, 79–84 (2014). 15. Kouwenhoven, L. P., Austing, D. G. & Tarucha, S. Few-electron quantum dots. Reports on Progress in Physics 64, 701 (2001). 16. Albrecht, S. M. et al. Exponential protection of zero modes in majorana islands. Nature 531, 206–209 (2016). 17. Prada, E., San-Jose, P. & Aguado, R. Transport spectroscopy of n s nanowire junctions with majorana fermions. Physical Review B 86, 180503 (2012). 18. Sarma, S. D., Sau, J. D. & Stanescu, T. D. Splitting of the zero-bias conductance peak as smok- ing gun evidence for the existence of the majorana mode in a superconductor-semiconductor nanowire. Physical Review B 86, 220506 (2012). 19. Stanescu, T. D., Lutchyn, R. M. & Sarma, S. D. Dimensional crossover in spin-orbit- coupled semiconductor nanowires with induced superconducting pairing. Physical Review B 87, 094518 (2013). 20. Rainis, D., Trifunovic, L., Klinovaja, J. & Loss, D. Towards a realistic transport modeling in a superconducting nanowire with majorana fermions. Physical Review B 87, 024515 (2013). 21. Nadj-Perge, S. et al. Spectroscopy of spin-orbit quantum bits in indium antimonide nanowires. Phys. Rev. Lett. 108, 166801 (2012). 22. Lin, C.-H., Sau, J. D. & Das Sarma, S. Zero-bias conductance peak in majorana wires made of semiconductor/superconductor hybrid structures. Phys. Rev. B 86, 224511 (2012). 23. Stoudenmire, E., Alicea, J., Starykh, O. A. & Fisher, M. P. Interaction effects in topological superconducting wires supporting majorana fermions. Physical Review B 84, 014503 (2011). 24. Adagideli, i. d. I., Wimmer, M. & Teker, A. Effects of electron scattering on the topological properties of nanowires: Majorana fermions from disorder and superlattices. Phys. Rev. B 89, 144506 (2014). 25. Nijholt, B. & Akhmerov, A. R. Orbital effect of magnetic field on the majorana phase diagram. Preprint arXiv: 1509.02675 (2015). 26. Vuik, A., Eeltink, D., Akhmerov, A. & Wimmer, M. Effects of the electrostatic environment on the majorana nanowire devices. New Journal of Physics 18, 033013 (2016). 10 27. Zhang, H. et al. Ballistic majorana nanowire devices. Preprint arXiv: 1603.04069 (2016). 28. van Weperen, I., Plissard, S. R., Bakkers, E. P. A. M., Frolov, S. M. & Kouwenhoven, L. P. Quantized conductance in an insb nanowire. Nano Letters 13, 387–391 (2013). 29. Kammhuber, J. et al. Conductance quantization at zero magnetic field in insb nanowires. Nano Letters 16, 3482–3486 (2016). 30. van Weperen, I. et al. Spin-orbit interaction in insb nanowires. Phys. Rev. B 91, 201413 (2015). 31. Blonder, G., Tinkham, M. & Klapwijk, T. Transition from metallic to tunneling regimes in superconducting microconstrictions: Excess current, charge imbalance, and supercurrent conversion. Physical Review B 25, 4515 (1982). Acknowledgements We thank A. Akhmerov, S. De Franceschi, V. Mourik, F. von Oppen, D. Pekker, F. Pientka, M. Wimmer for valuable discussions. Work is supported by NSF DMR-125296 and ONR N00014- 16-1-2270. T.S. acknowledges support from NSF DMR-1414683. Author Contributions D.C., S.P. and E.B. grew InSb nanowires. J.C., P.Y. and M.H. fabricated devices. J.C., P.Y. and S.F. performed the measurements. J.S. and T.S. performed numerical simulations. All authors analyzed results and wrote the manuscript. Correspondence Correspondence and requests for materials should be addressed to S.M.F. (email: frol- [email protected]). 11 Supplementary Information Contents I Dependence of ZBP on gates, magnetic field and field orientation II Conductance resonances above the gap III Trivial Andreev bound states IV Description of the numerical model V Supplementary numerical results VI Supplementary Figures 12 14 15 17 19 26 I Dependence of ZBP on gates, magnetic field and field orientation We first present linecuts from Figs. 1 and 2 in the main text, shown in Fig. S1. At zero field, we observe an induced gap of ∆ = 0.25 mV, and a ratio of conductance outside and inside the gap of 2.8 (Fig. S1a). Figs. S1b-f are linecuts of Figs.2a, 2f and Figs. 1c-e, respectively. We then demonstrate dependence of the ZBP on other gates besides BG1. We set magnetic field B = 0.5 T and gate BG1 = −0.42 V, where a pronounced ZBP appears, then scan the other gates individually, as shown in Fig. S2. ZBP does not move with gates F G1, F G2, BG2 and BG3. Given the fact that the ZBP is only tunable with BG1, we conclude that the quantum states giving rise to ZBP must be located within the nanowire segment above BG1. We now turn to scans of bias voltage versus magnetic field in small steps of gate BG1, shown in Fig. S3. All scans demonstrate a conductance resonance originating from the zero-field 12 gap edge and evolving into a zero-bias peak at finite magnetic field. The onset points of zero-bias peaks are marked with arrows. The ZBP onset field Bonset is strongly dependent on BG1. For BG1=−0.46 V, Bonset = 0.8 T, while for BG1 = −0.395 V, Bonset = 0.33 T. The onset point first shifts to lower fields as BG1 is increased (from −0.475 V to −0.395 V), and then shifts to higher fields (from −0.395 V to −0.33 V). Past the onset point, the ZBP or a split peak persist near zero bias for a significant range of magnetic field. The onset points from scans in Fig. S3 have been picked and plotted in magnetic field vs. BG1 in Fig. 3 in the main text, which demonstrates the phase diagram of ZBP. In Fig. 2 of the main text, we have demonstrated that ZBP is tunable with BG1 for fields up to B = 0.58 T. Figs. S4a-d are similar to Fig. 2. However, at B = 0.6 T (Fig. S4e), the region with ZBP becomes fragmented into two parts. With magnetic field increasing further, the left part shows additional fragmentation and loops can be resolved (Figs. S4f-h). In the coupled Majorana interpretation these are manifestations of several oscillation periods17–20. It is also possible that this is a manifestation of orbital effects that are expected to play a larger role at higher fields25. However, because only one branch of the spectrum is clearly visible it is not possible to make a more detailed analysis. Next, we rotate the in-plane magnetic field, as shown in Fig. S5. From zero degree to an angle of π/6 with respect to the nanowire main axis, the zero-bias peak is present at fields above 0.3 T (Figs. S5a-c). At larger angles from π/4 to π/2, no extended ZBP is observed (Figs. S5d-g). From angles 5π/6 to π, the ZBP restores again(Figs. S5h-j). In fact, no clear superconducting gap or subgap states are observed above 0.3 T for larger angles in Fig. S5. Fig. S5k gives an overview of the angle dependence of the ZBP. At a fixed magnetic field B = 0.5 T, ZBP is present in a window of angles between −0.2π and 0.2π, at angles above 0.2π the peak deviates from zero bias. Since the direction of spin-orbit effective field was previously established to point at π/2, the angle dependence of ZBP indicates the relevance of spin-orbit interaction in making the subgap state survive to large Zeeman splittings. These observations are in line with previous reports on ZBP in hybrid devices, as well as with theoretical calculations?, 5. In Fig. S6 we present gate scans at different magnetic fields, while keeping the field direction perpendicular to the nanowire. Similar to scans at small angles, it shows that conductance increases with magnetic field in the center of the gate range, then a conductance resonance crosses zero bias and extends across the bias range. However, at this angle perpendicular to the nanowire there is no 13 ZBP over an extended range of BG1. At higher fields, the resonance becomes blurred and possibly splits. II Conductance resonances above the gap We present zero-field data in the expanded range of BG1 and V in Fig. S7. Note that the gate voltages do not perfectly match the main text due to a charge jump from a scan of large range of BG1. The regime presented in Figs. 1-2 is now in the vicinity of BG1 = −0.5 V. We observe a broad conductance resonance that crosses the gap (marked by the solid line in Fig. S7a). This is the resonance that coincides with the ZBP presented in the main text. Other resonances above the gap are discernible at more positive gate voltages. While all of these resonances disperse strongly with BG1, they have a relatively weak dependence on F G1 (Fig. S7c), and dont have measurable dependence on F G2 (Fig. S7d). Indeed, the positions of the resonances are greatly affected by BG1, but not by other gates. Note that the presented range of F G1 is smaller, but because this gate is not fully under the superconductor thus it is much stronger electrostatically coupled to the nanowire than BG1. The relative insensitivity to other gates suggests that quantum states giving rise to resonances in Fig. S7a are localized in the nanowire above BG1. The resonances at BG1 < 0.25 V are not sensitive to BG2, while for BG1 > 0.25 V we observe some more faint resonances that are tunable with both BG1 and BG2 (Fig. S7e). Thus, when BG1 tunes the nanowire to much higher density, states that extend across several gates underneath the superconductor become resolved. This regime has not been further studied in this device and is a topic of a future study. One explanation for the resonance at BG1 = −0.5 V is that it is a manifestation of the density of states singularity near the edge of a 1D subband. This is indirectly supported by pinch- off traces of BG1, obtained with barrier FG1 open (in circle) and closed (in square) (Fig. S7b). BG1 is not capable of completely stopping current through the device because it is shunted by a high transparency contact to the superconductor. However, a transition between two current values which we interpret as zero density and high density above BG1 is observed in the vicinity of BG1 = −0.5 V when F G1 is in the transmitting regime (open), indicating that this gate voltage is within the pinch-off region of BG1, plausibly near one of the subband edges. In a multiband quantum wire, a topological phase onset similar to that described by Eq. (1) occurs close to the chemical potential at which each new one-dimensional subband aligns with the Fermi level. The subband spacing in InSb nanowires was previously found to be 10− 15 meV28, 29. Thus for fields B = 1 T, or EZ = 1.2−1.5 meV, gate voltage ranges with MBS should be separated 14 by much larger gate voltage ranges without MBS. The second resonance (marked by the dashed line in Fig. S7a) is separated from the first one by 2 meV, an energy calculated from the dispersion of the resonances in bias and gate. This is a spacing smaller than the typical subband spacing. Thus, at least not all of the resonances are due to the subband edge singularities this is also supported by the fact that the first and second resonances disperse differently with BG1 suggesting that they correspond to spatially distinct regions with different capacitive coupling to BG1. The magnetic field evolution of the resonances that extend into the normal state and the deeper discussion of their origins will be published separately. Our analysis concludes that the resonance at BG1 = −0.5 V corresponds to the second subband edge (labeled by N = 2 in Fig. S7c). It was not possible to clearly isolate another broad resonance similar to that at BG1 = −0.5 V, and study another candidate MBS in this device. We hypothesize that the next such resonance is located near BG1 = 0.1 V (labeled by N = 3 in Fig. S7c), where too many trivial resonances appear simultaneously with a broader conductance feature of slope similar to the dashed line in Fig. S7a. This region is separated from the region marked by the solid line by approximately 10 meV in chemical potential. The first subband may lie at more negative gate voltages near BG1 = −1.2 V. A broad resonance can be resolved in that range of BG1 in Fig. S7c(labeled by N = 1), at F G1 = 0.17 V. However, the conductance in that regime was too low to perform a thorough study. The fact that we did not reach the last subband is confirmed by the fact that induced gap is observed in Fig. S7a (marked by arrows) at voltages more negative than the resonance marked by the solid line. Indeed, if this were the first subband then we would not expect any induced superconductivity at negative chemical potentials where the density in the semiconductor would be zero. III Trivial Andreev bound states In Fig. S8 we study the subgap spectrum in the vicinity of the second resonance (marked by the dashed line in Fig. S7a). Here two subgap resonances appear within the gap at finite field. However, these resonances cross zero bias over a much narrower field interval compared to states studied in the main text. Overall, their field and gate dependence is highly reminiscent of Andreev bound states previously reported in nanowire quantum dots coupled to superconductors14. We thus conclude that these states are not MBS but rather that they are trivial states localized near F G1 above BG1. We note that such resonances are also expected in finite-length topological superconductors at chemical potentials much larger than zero (see theory discussion below and 15 Fig. S14). Next, we present data on trivial Andreev bound states (ABS) in another device of very similar geometry, as shown in Fig. S9. In this device an accidental quantum dot formed above BG1, and Coulomb blockade was observed (data not shown). Also in this device the data is less asymmetric, and both branches of the spectrum are clearly resolved. Figs. S9a-c demonstrate Andreev bound states in BG1 at different magnetic fields. We see a gap feature similar to the one presented in the main text at zero field. At B = 0.25 T, two conductance resonances cross at zero bias (Fig. S9b). At higher field a characteristic loop is formed in the center of the scan (Fig. S9c). Figs. S9d-j demonstrate Andreev bound state evolution with magnetic field for different settings of BG1. A general feature is that a pair of conductance resonances split with magnetic field, and the inner branches cross at zero bias, while the outer branches merge into the gap edge. We point out that at zero field the energies of ABS are different at different BG1, thus they reach zero bias and cross their opposite bias copy at different fields (having similar Zeeman splitting). The ZBP observed here is from two Andreev levels crossing, it does not pin to zero bias for any significant field range. The crossing point is robust in field angle, i.e. it occurs at all tested field angles though it shifts position in field according to the g-factor anisotropy14. Approximately, the width of a single Andreev bound state in bias voltage is 0.1 meV, and the extent of ZBP in field is 0.1 T which is 0.1 − 0.15 meV in Zeeman splitting (Fig. S9h). Thus the resonances don't pin to zero bias for a range of energies much longer than the peak width. An exception is shown in Fig. S9d, where a longer ZBP is observed in a narrow range of gate voltage. This extended ZBP is due to additional ABS approaching zero bias at B > 0.5T . We also note that the ABS zero-bias crossing point would trace out a curve very similar to that in Fig. 3 of the main text, if plotting in the phase diagram space of EZ vs µ. However, the region separated by that curve would have zero conductance at zero bias except for the points right at the ABS zero crossing. In summary, tunneling measurements of trivial ABS and topological MBS share many fea- tures. Both types of states evolve from an apparent induced gap, and reach zero bias at finite field. Both types of states can produce a zero-bias peak onset curve consistent with Equation (1). Thus, extreme caution should be used in all experiments when identifying non-trivial topological states. In this work, we differentiate the two types of bound states through the extent of zero bias peaks in field and gate range, field orientation dependence and through comparison with theory. We find that when magnetic field is aligned with the nanowire, the ZBP studied in the main text is pinned to zero bias over a range of Zeeman splitting and chemical potential that greatly exceeds the ZBP 16 width in bias, thus filling up the inner area of the phase diagram with zero or near-zero energy states. This is not the case when the field is not aligned with the nanowire, or for other ZBPs which we identify as trivial. IV Description of the numerical model HM = −(cid:88) (cid:88) The theoretical modeling of the normal metal-semiconductor-superconductor hybrid system is based on a simple quasi one-dimensional tight-binding model consisting of Ny parallel coupled chains (Fig. S10). The metallic lead is described by the Hamiltonian tδ m(c † ici+δ + c † i+δci) + µm † ici, c (S1) i,δ i where i = (ix, iy), with 1 ≤ iy ≤ Ny labeling the chains and 0 ≤ ix ≤ Nm labeling the position along the chains, while δ = (δx, δy) designates nearest-neighbors along and across the chains. The hopping parameters along and across the chains are tδx m = 3.8 meV and the chemical potential of the normal lead is µm = −7.6 meV. The electron creation operator is written in spinor form, c m = tm = 3.8 meV, tδy m = t(cid:48) † i = (c † † i↓). i↑, c The semiconductor wire, including the effects of gate potentials, spin-orbit coupling, applied Zeeman field, and proximity-induced superconductivity, is modeled by the tight-binding Hamilto- nian (cid:88) tδ sm(c † ici+δ + c † i+δci) + (µsm + Vi)c † ici αδ R(c † i+δx σyci − c i † i+δy σxci + h.c.) (S2) HSM = −(cid:88) (cid:88) (cid:88) i,δ i 2 + i,δ + Γ (cid:88) † i σxci + ∆ c † † i↓ + ci↑ci↓), i↑c (c i i sm = t(cid:48) sm = t0 = 9.5 meV and tδy where σµ, with µ = x, y, z, are Pauli matrices. The position along the chains containing Nsm sites is labeled by ix, with Nm + 1 ≤ ix ≤ Nm + Nsm ≡ N. The matrix elements for hopping along and across the chains are tδx 0 = 1.1 meV, respectively, and the chemical potential of the wire is µsm = −5.2 meV. The position-dependent local term Vi = V (ix) describes the potential generated by the bottom gates as well as tunnel barrier potential. In our model we sep- arate the Vi into three regions, the barrier potential located at the left side of the wire, region above BG1 and runs over forty sites, then region above BG2, BG3 along the remainder of the wire and remains at zero for all calculations. The strengths of the longitudinal and transverse components R = αR = 0.2 meV and of the Rashba spin-orbit coupling are characterized by the coefficients αδx 17 R = α(cid:48) αδy R = 0.7 meV, respectively, while the Zeeman splitting corresponding to a magnetic field applied along the wire is given by Γ30. Proximity-induced superconductivity is described by the last term in Eq. (S2), with ∆ = 0.25 meV representing the induced pair potential. The coupling between the normal lead and the proximitized semiconductor wire is described by the coupling Hamiltonian with t = 2.3 meV the hoping energy between the lead and the semiconductor. HM−SM = t † Nmiy cNm+1iy c † Nm+1iy cNmiy ). (S3) (c (cid:88) iy To calculate the differential conductance for charge tunneling from the metallic lead into the end of the semiconductor wire we use the Blonder-Tinkham-Klawijk (BTK) formalism 31. More specifically, we calculate the reflection and transmission coefficients by solving the Bogoliubov-de Gennes (BdG) equation for H = HM + HSM + HM−SM with open boundary conditions on the normal lead. In the experiment the bulk superconductor is grounded and we assume that current propagates trough it as supercurrent. Consequently, the transmission coefficient vanishes and we only have to account for the normal and Andreev reflection. We note that in the presence of a quasiparticle current (e.g., at high bias voltage) the bulk superconductor has to be explicitly in- cluded in the formalism, with appropriate boundary conditions. The BdG equation that determines the normal (rN) and Andreev (rA) reflection coefficients is N(cid:88) N y(cid:88) (cid:88) jx=0 jy=1 σ(cid:48) (Hiσ,jσ(cid:48) − ω δi,jδσ,σ(cid:48))Ψj,σ(cid:48) = 0, (S4) for ix = 1, . . . , N iy = 1, . . . , Ny and σ = ±, (cid:80) where H is the (first quantized) BdG Hamiltonian that can be easily extracted from Eqs. (S1-S3) iHijψj, where the † by writing the total (second quantized) Hamiltonian in the form H = 1 i,j ψ 2 † † fermion creation and annihilation operators are contained in the Nambu spinors, ψ i↓ci↑ci↓). i = (c (S4) has to be solved for all chains, 1 ≤ iy ≤ Ny. For the normal lead it is conve- Eq. nient to define the transverse modes φν (1 ≤ ν ≤ Ny) characterized by the wave functions φν(iy) =(cid:112)2/(Ny + 1) sin[iyνπ/(Ny + 1)]. Each pair (ν, σ) corresponding to a given transverse † i↑c mode and spin orientation defines a transport channel and the reflection coefficients rN and rA are matrices with matrix elements indexed by these channel labels. The boundary conditions for an incoming electron in channel (ν, σ) can be expressed in terms 18 of reflection coefficients as Ψjx=0,jy = φν(jy)  eikν e a + (cid:88) ν(cid:48) δσ,↑ δσ↓ 0 0 Ψjx=1,jy = φν(jy) where a = 0.1 nm is the lattice constant while kν ω and −ω, respectively, e(h)(ω) = cos−1 kν where ν = 2t(cid:48) m cos[νπ/(Ny + 1)].  ν(cid:48) φν(cid:48)(jy) δσ↓ 0 0 [rN ]νσ,ν(cid:48)↓ [rA]νσ,ν(cid:48)↑ [rA]νσ,ν(cid:48)↓  + δσ,↑ (cid:88) [rN ]νσ,ν(cid:48)↑ (cid:18) e and kν −µm + ν ± ω [rN ]νσ,ν(cid:48)↑  e−ikν(cid:48) (cid:88) (cid:19) [rN ]νσ,ν(cid:48)↓ 0 0 , 2tm φν(cid:48)(jy) e a + φν(cid:48)(jy) ν(cid:48)  0 0 [rA]νσ,ν(cid:48)↑ [rA]νσ,ν(cid:48)↓  eikν(cid:48) h a, (S6) (S5) h are wave vectors in the lead at energies (cid:88) (cid:88) ν,ν(cid:48) σ,σ(cid:48) dI dV = (cid:90) With the boundary conditions given by Eq. (S5), the BdG equation (S4) reduces to a sys- tem of N linear equations (with N unknown coefficients) from which one can easily extract the reflection coefficients [rN (A)]νσ,ν(cid:48)σ(cid:48) for a given incoming channel (νσ) and all possible reflection channels (ν(cid:48)σ(cid:48)). The procedure is repeated by 2Ny times, once for each incoming channel. Since normal reflection does not contribute to the injected current, the conductance is given by the An- dreev reflection coefficients 31. In the unit of 2e2/h we have [rA(ω = V )]νσ,ν(cid:48)σ(cid:48)2, (S7) where V is the bias voltage. To include the effect of finite temperature, the conductance is broad- ened by convolving with the Fermi function, G(V, T ) = dω G0(ω) 4T cosh[(V − ω)/2kbT ] , (S8) where G0 = dI/dV is the zero temperature conductance given by Eq. (S7) at voltage bias ω. V Supplementary numerical results In the presence of a nonuniform, step-like gate potential (see main text, Fig. 4a) the low- energy states have most of their weight either in the wire segment above BG1, or in the segment 19 above BG2 and BG3. The states that contribute to the measured differential conductance are almost entirely confined to the region above BG1, as experimentally confirmed by the insensitivity of the measured dI/dV to variations of the BG2 − BG3 potential. Therefore, it is tempting to model the system as a short wire with a length equal to the BG1 segment 19. Indeed, the lowest energy state corresponding to a step-like potential is very similar to the lowest energy state of a short wire, as shown in Fig. S11. Note that, in both cases the lowest energy state can be viewed as a pair of overlapping Majorana bound states. However, in the case of a long wire with a step-like potential the rightmost Majorana can leak into the BG2 − BG3 region, which results in significantly reducing the overlap with the other Majorana bound state as compared to the short wire case. Consequently, the amplitude of the energy splitting oscillations for a long wire with step-like potential will differ significantly from that corresponding to a short wire, as illustrated in the lower panels of Fig. S11. In particular we emphasize the qualitatively different dependence of this amplitude on the Zeeman field: for a short wire the amplitude of the oscillations increases rapidly with the Zeeman field 19; by contrast, for the long wire with step-like potential the amplitude decreases with the field (within a certain range of physically relevant values of the magnetic field). Having established that a step-like background potential generates features that are quali- tatively different from those corresponding to a short wire, we now focus on understanding the dependence of the low-energy spectrum on the BG1 gate potential and the emergence of nearly- zero energy states at finite magnetic fields. The dependence of the low energy spectrum on µBG1 is shown in the left panels of Fig. S12 for three different values of the Zeeman field. We also cal- culate the differential conductance for tunneling into the (left) end of the wire. The corresponding conductance maps are shown in the right panels of Fig. S12. At zero field all states are above the induced superconducting gap. Note that each parabola- like curve corresponds to a low-energy state that "lives" in the region above BG1 and changes its energy as µBG1 varies. For µBG1 < −5.5 meV these states have energies way above the chemical potential and do not contribute to the low-energy spectrum. Increasing µBG1 results in the chemical potential reaching the bottom of a confinement-induced band, then successively crossing the low- energy states from this band, which results in the "parabolas" shown in Figs. S12a, b. Note that, the strength of the signature of each state in conductance map depends on its amplitude at the tunnel barrier. For the parameters in this calculation, this strength increases as energy goes up, the weakest signature corresponding to the bottom of the band (see Fig. S12b). 20 At finite magnetic field, the lowest energy state approaches zero within a finite range of gate voltages corresponding to the chemical potential near the bottom of the band, e.g., −5.1 meV≤ µBG1 ≤ −4.6 meV for EZ = 1.7 ∆. Next, we fix the gate voltage and calculate the dependence of the energy spectrum on the Zeeman field. The results for µBG1 = −4.7 meV (corresponding to the zero-energy crossing in Fig. S12e, f) are shown in Fig. S13. For EZ > 1.7 ∆ the lowest energy state is pinned near zero for values of the Zeeman field within a range of 4δ = 1 meV. However, for larger values of µBG1, i.e., when the chemical potential is not in the vicinity of the bottom of the band, the lowest-energy state does not pin to zero, although it does cross zero at certain (large) values of the Zeeman field. This situation is illustrated in Fig. S14. We note that in this regime the zero-energy crossings represent a generic feature but the tendency of pinning to zero energy is absent. Qualitatively, this behavior can be understood in terms of overlapping Majorana bound states with different characteristic length scales ξM. Roughly, ξM is proportional to the Fermi velocity and, therefore, is minimal when the chemical potential is close to the bottom of the band and increases as we move up in energy. The case illustrated in Fig. S13 corresponds to ξM being smaller than the length of the BG1 region, which can accommodate two Majorana bound states without significant overlap resulting in a low-energy mode that is pinned to zero. By contrast, the regime illustrated in Fig. S14 corresponds to ξM comparable to or larger than the length of the BG1 segment, which results in a strong overlap of the Majoranas. A pair of such strongly overlapping Majorana modes can be more conveniently viewed as a (regular) Bogoliubov quasiparticle that, generically, has finite energy but may have zero energy for a discrete set of parameters (i.e., values of the gate potential and Zeeman field). These results, which are obtained using a rather simple model of the nanostructure, are qual- itatively consistent with the experimental findings. Of course, the real structure is characterized by additional details that are not captured by this simplified model, but generate specific features in the measurements. To account for these features one has to enrich the modeling. However, this typically increases significantly the number of unknown parameters and may require fine tunning these parameters (which span an extremely large parameter space). Here, instead, we focus on the robust and rather generic features predicted by the simplified model. We conclude with two examples of experimental features that are not captured by the sim- plified model, but could be accounted for by including additional ingredients into the theoretical 21 treatment of the problem. First, we note that the experimentally measured differential conduc- tance is characterized by resonances in the bias voltage V - BG1 plane which breaks particle-hole symmetry (see Fig. S7). By contrast, the calculated differential conductance is characterized by particle-hole symmetric "parabolas". One can introduce particle-hole asymmetry by adding dissi- pation, although the details of the dissipation mechanism responsible for the observed asymmetry are not clear. Alternatively, one can consider that in the high-barrier, low-tunneling regime the differential conductance is related to the local density of states (LDOS); the presence of dissipa- tion corresponds to only consider the particle contribution to the LDOS (i.e., neglecting the hole contribution). The dependence of the LDOS (including the contribution from the particle sector) on the gate potential µBG1 and the bias voltage V is shown in Fig. S15. The key message is that observing "stripy" features like those in Fig. S7 is consistent with tunneling into a discrete set of states that have most of their spectral weight inside the segment of the wire above BG1 and couple strongly with the gate potential. The absence of the resonances below a certain value of µBG1 signals that the chemical potential has reached the bottom of the subband. In the regime corresponding to δµ ∼ ∆ (i.e., chemical potential close to the bottom of the subband) one expects the emergence of well separated Majorana bound states at finite magnetic fields. In turn, these weakly overlapping Majorana modes are responsible for (nearly) zero bias peaks in the differential conductance that are pinned near zero bias over a significant range of gate voltages (see Fig. S12) and Zeeman fields (Fig. S13). The second example concerns the brightness of the "first" resonance, i.e., the stripe corre- sponding to the lowest values of BG1. In experiment, the first resonance(marked by the solid line) is much brighter than the next few ones, as shown in Fig. S7. By contrast, in the calcu- lations the first resonance is the weakest (see, for example, Fig. S15). In general, the strength of both differential conductance and LDOS is determined by the amplitude of the wave function inside the tunnel barrier region. Typically, higher energy states, which have Fourier components associated with higher values of the wave vector (i.e., loosely speaking, larger values of the Fermi momentum), penetrate deeper into the barrier region and, consequently have higher visibility. This is, indeed, the case if we assume that the step-like potential has a constant value throughout the BG1 region. However, the exact potential profile, which cannot be determined experimentally and is extremely difficult to calculate, may be slightly different. If, for example, we assume that the BG1 potential, instead of being flat, has a small dip as one approaches the tunnel barrier (see fig. S16), the brightness of the low-energy states changes significantly. In particular, the first resonance becomes much stronger. This is explained by the fact that in the presence of the non- homogeneous potential on bottom gates, the lowest energy state develops a local maximum near 22 the potential dip, which results in a significant increase of its penetration into the barrier region. This example illustrates very clearly that in order to account for all the details of the experimen- tally observed features it is essential to incorporate information about the nonuniform background potential into the theoretical model. As a final note, we point out that because the semiconductor wire is partially covered by a superconductor the (effective) background potential will also have a nontrivial transverse profile. Moreover, this profile will be different for different cross-sections along the wire. This is expected to generate a position-dependent spin-orbit coupling strength as well as a position-dependent induced pair potential. All these effects could have an impact on the experimentally-measured quantities. Nonetheless, the picture revealed by the simple model described here, which, in essence, predicts the emergence of weakly overlapping Majorana bound states, is expected to be rather generic and robust, as long as the non-homogeneity of the system does not exceed a certain threshold. 1. Read, N. & Green, D. Paired states of fermions in two dimensions with breaking of parity and time-reversal symmetries and the fractional quantum hall effect. Physical Review B 61, 10267 (2000). 2. Kitaev, A. Y. Fault-tolerant quantum computation by anyons. Annals of Physics 303, 2–30 (2003). 3. Nayak, C., Simon, S. H., Stern, A., Freedman, M. & Sarma, S. D. Non-abelian anyons and topological quantum computation. Reviews of Modern Physics 80, 1083 (2008). 4. Kitaev, A. Y. Unpaired majorana fermions in quantum wires. Physics-Uspekhi 44, 131 (2001). 5. Mourik, V. et al. Signatures of majorana fermions in hybrid superconductor-semiconductor nanowire devices. Science 336, 1003–1007 (2012). 6. Nadj-Perge, S. et al. Observation of majorana fermions in ferromagnetic atomic chains on a superconductor. Science 346, 602–607 (2014). 7. Lutchyn, R. M., Sau, J. D. & Das Sarma, S. Majorana fermions and a topological phase tran- sition in semiconductor-superconductor heterostructures. Physical review letters 105, 077001 (2010). 8. Oreg, Y., Refael, G. & von Oppen, F. Helical liquids and majorana bound states in quantum wires. Physical review letters 105, 177002 (2010). 9. Potter, A. C. & Lee, P. A. Majorana end states in multiband microstructures with rashba spin-orbit coupling. Physical Review B 83, 094525 (2011). 23 10. Stanescu, T. D., Lutchyn, R. M. & Sarma, S. D. Majorana fermions in semiconductor nanowires. Physical Review B 84, 144522 (2011). 11. Mishmash, R. V., Aasen, D., Higginbotham, A. P. & Alicea, J. Approaching a topological phase transition in majorana nanowires. Physical Review B 93, 245404 (2016). 12. Alicea, J., Oreg, Y., Refael, G., von Oppen, F. & Fisher, M. P. Non-abelian statistics and topological quantum information processing in 1d wire networks. Nature Physics 7, 412–417 (2011). 13. Van Heck, B., Akhmerov, A., Hassler, F., Burrello, M. & Beenakker, C. Coulomb-assisted braiding of majorana fermions in a josephson junction array. New Journal of Physics 14, 035019 (2012). 14. Lee, E. J. et al. Spin-resolved andreev levels and parity crossings in hybrid superconductor- semiconductor nanostructures. Nature nanotechnology 9, 79–84 (2014). 15. Kouwenhoven, L. P., Austing, D. G. & Tarucha, S. Few-electron quantum dots. Reports on Progress in Physics 64, 701 (2001). 16. Albrecht, S. M. et al. Exponential protection of zero modes in majorana islands. Nature 531, 206–209 (2016). 17. Prada, E., San-Jose, P. & Aguado, R. Transport spectroscopy of n s nanowire junctions with majorana fermions. Physical Review B 86, 180503 (2012). 18. Sarma, S. D., Sau, J. D. & Stanescu, T. D. Splitting of the zero-bias conductance peak as smok- ing gun evidence for the existence of the majorana mode in a superconductor-semiconductor nanowire. Physical Review B 86, 220506 (2012). 19. Stanescu, T. D., Lutchyn, R. M. & Sarma, S. D. Dimensional crossover in spin-orbit- coupled semiconductor nanowires with induced superconducting pairing. Physical Review B 87, 094518 (2013). 20. Rainis, D., Trifunovic, L., Klinovaja, J. & Loss, D. Towards a realistic transport modeling in a superconducting nanowire with majorana fermions. Physical Review B 87, 024515 (2013). 21. Nadj-Perge, S. et al. Spectroscopy of spin-orbit quantum bits in indium antimonide nanowires. Phys. Rev. Lett. 108, 166801 (2012). 24 22. Lin, C.-H., Sau, J. D. & Das Sarma, S. Zero-bias conductance peak in majorana wires made of semiconductor/superconductor hybrid structures. Phys. Rev. B 86, 224511 (2012). 23. Stoudenmire, E., Alicea, J., Starykh, O. A. & Fisher, M. P. Interaction effects in topological superconducting wires supporting majorana fermions. Physical Review B 84, 014503 (2011). 24. Adagideli, i. d. I., Wimmer, M. & Teker, A. Effects of electron scattering on the topological properties of nanowires: Majorana fermions from disorder and superlattices. Phys. Rev. B 89, 144506 (2014). 25. Nijholt, B. & Akhmerov, A. R. Orbital effect of magnetic field on the majorana phase diagram. Preprint arXiv: 1509.02675 (2015). 26. Vuik, A., Eeltink, D., Akhmerov, A. & Wimmer, M. Effects of the electrostatic environment on the majorana nanowire devices. New Journal of Physics 18, 033013 (2016). 27. Zhang, H. et al. Ballistic majorana nanowire devices. Preprint arXiv: 1603.04069 (2016). 28. van Weperen, I., Plissard, S. R., Bakkers, E. P. A. M., Frolov, S. M. & Kouwenhoven, L. P. Quantized conductance in an insb nanowire. Nano Letters 13, 387–391 (2013). 29. Kammhuber, J. et al. Conductance quantization at zero magnetic field in insb nanowires. Nano Letters 16, 3482–3486 (2016). 30. van Weperen, I. et al. Spin-orbit interaction in insb nanowires. Phys. Rev. B 91, 201413 (2015). 31. Blonder, G., Tinkham, M. & Klapwijk, T. Transition from metallic to tunneling regimes in superconducting microconstrictions: Excess current, charge imbalance, and supercurrent conversion. Physical Review B 25, 4515 (1982). 25 VI Supplementary Figures Figure S1: Linecuts from Fig. 1 and Fig. 2 in the main text. a, a linecut of bias scan at F G1 = 0.14 V and B = 0 T. It shows a clear induced gap with gap edge at V = 0.25 mV. The ratio of conductance outside and inside the gap is 2.8. b, c, linecuts correspond to Fig. 2a and Fig. 2f, respectively. d − f, line cuts correspond to Figs. 1c, 1d and 1e, respectively. Vertical offset is 0.005(in the unit of 2e2/h) in Figs. S1b-f. 26 -0.50.00.50.000.010.020.03 B = 0 TGN/GS = 2.8-0.50.00.50.00.10.20.3 BG1= -0.5 VBG1= -0.3 VB = 0 T-0.50.00.50.000.050.100.150.200.25BG1= -0.3 V B = 0.48 TBG1= -0.5 V-0.50.00.50.00.10.20.3 V (mV)B =0 TBG1= -0.42 VB =1 T-0.50.00.50.000.050.100.150.20 B =0 TBG1= -0.53 VB =1 T-0.50.00.50.000.050.100.150.200.25 B =0 TB =1 TBG1= -0.31 VdI/dV (2e2/h)V (mV)abcdef Figure S2: Gates dependence of ZBP. a − d, at fixed magnetic field B = 0.5 T and BG1 = −0.42 V, conductance maps are plotted in bias vs. barrier gate F G1, gate F G2 at the normal side as well as big gates BG2 and BG3 under the superconductor. 27 0.0040.0080.1350.1400.145-0.50.00.5V (mV)FG1 (V)0.0120.0182.93.0FG2 (V)0.0350.045-0.500.5-0.40.00.4V (mV)BG2 (V)0.070.0900.51BG3 (V)(2e2/h)abcd(2e2/h)(2e2/h)(2e2/h)0.150 Figure S3: Zero-bias peak evolution with BG1. Conductance maps in bias voltage V vs. B at different BG1 indicated in the right corner of each panel. Note that, due to a charge jump, all the gate voltages of BG1 have been shifted by +0.02 V. The dashed lines mark zero bias voltage line. Arrows mark the ZBP onset fields plotted in Fig. 3. The onset fields are picked by judging from line cuts of bias scans where the conductance peaks first hit zero bias voltage. 28 (2e2/h)BG1= -0.475 V-0.47 V-0.465 V-0.46 V-0.455 V-0.45 V-0.445 V-0.435 V-0.43 V-0.425 V-0.42 V-0.415 V-0.41 V-0.405 V-0.4 V-0.395 V-0.39 V-0.385 V-0.38 V-0.375 V-0.37 V-0.365 V-0.36 V-0.355 V-0.35 V-0.345 V-0.44 V0.020.040.060.0800.51-1-0.500.51V (mV)B (T)00.5100.5100.5100.5100.51000.75-101-101-0.50.5000.7500.7500.75V (mV)00.75-0.34 V-0.335 V-0.33 V00.75 Figure S4: ZBP evolution at a large range of magnetic field. a − h, conductance maps in bias vs. BG1 at different magnetic fields indicated in lower right corners of each panel, from 0.2 T to 1 T. The dashed lines mark zero bias voltage line. 29 0.020.040.06-0.5-0.4-0.3-1.00.01.0V (mV)BG1 (V)(2e2/h)-1.00.01.0abcdefgh0.2 T0.3 T0.4 T0.5 T0.6 T0.7 T0.8 T1 T-0.5-0.4-0.3-0.5-0.4-0.3-0.5-0.4-0.3 Figure S5: Magnetic field orientation dependence of ZBP. a − j, conductance maps in bias vs. magnetic field at different angles indicated in the upper right corner of each panel, from 0 to π. k, conductance maps in bias vs. field angle at a fixed field B = 0.5 T, the vertical dashed line marks the angle at π/2. l, Schematics of magnetic field direction. The angle is defined with respect to nanowire main axis. The dashed lines mark zero bias voltage line. m, Schematics of the band structure in magnetic field at an angle of 0 and π/2. 30 0.00.30.6-1.00.01.0V (mV)B (T)0.040.080.00.20.40.6-1.0-0.50.00.51.0V (mV)Angle (π)0.00.30.6-1.00.01.0-1.00.01.0-1.00.01.00.00.30.6B (T)0.10.05θBSOBθ= π/2θ= 0(2e2/h)abcdefghijklm 0 π/12 π/6 π/4 π/3 5π/12 π/2 5π/6 11π/12 πB= 0.5 T(2e2/h) Figure S6: ZBP evolution with BG1 at an angle of π/2. a − h, conductance maps in bias vs. BG1 at different magnetic fields indicated in the lower right corner of each panel. The dashed lines mark zero bias voltage line. 31 0.020.030.040.05-0.5-0.4-0.3-0.50.00.5V (mV)BG1 (V)-0.50.00.5-0.5-0.4-0.3-0.5-0.4-0.3-0.5-0.4-0.3(2e2/h)a0 Tb0.15 Tc0.25 Td0.36 Te0.42 Tf0.46 Tg0.52 Th0.6 T Figure S7: Expanded scan of BG1, and gates dependence of resonances. a, a conductance map in bias vs. BG1 in expanded range. The first resonance is marked by a solid line and the second one is marked by a dashed line. Dispersion of the first(solid line) and second(dashed line) resonances are 10 meV/V and 25 meV/V, respectively. b, DC current in gate traces of BG1 with FG1 set to be closed (square) and open(circle), respectively. The voltage bias are 2 mV and 10 mV, respectively. c − d, Dependence of the resonances at zero bias on barrier gate F G1, gate F G2 at the normal contact side, and BG2 under the superconductor. Dashed lines labled by N = 1, N = 2 and N = 3 in c correspond to bottom of the first, second and third subband, respectively. All the scans are taken at zero magnetic field. 32 -10120.00.10.2Current (uA)BG1 (V)0.600.650.020.08-1.0-0.50.00.5-101V (mV)BG1(V)0.10.30.130.150.17-10BG 1(V)FG1 (V)0.050.152.52.72.9-0.50.0BG1 (V)FG2 (V)0.010.02-2-101-0.50.00.5BG1 (V)BG2 (V)FG1 closedFG1 openabcdeN=1N=2N=3(2e2/h)(2e2/h)(2e2/h)(2e2/h) Figure S8: Gate and field dependence of the second resonance. The second resonance is shown in Fig. S7, marked by the dashed line. a − d, conductance maps in bias vs. BG1 at different magnetic fields. e − h, conductance maps in bias vs. field at different BG1. 33 0.10.150.200.20.4-0.50.00.5V (mV)B (T)-0.25-0.15-101V (mV)BG1 (V)0.050.1-0.25-0.15-0.25-0.15-0.25-0.1500.20.400.20.400.20.4abcdefghB=0 TB=0.1 TB=0.2 TB=0.3 TBG1=-0.225 VBG1=-0.215 VBG1=-0.205 VBG1=-0.195 V(2e2/h)(2e2/h) Figure S9: Trivial ABS in another device. a − c, conductance maps in bias vs. BG1 at three different fields indicated in the lower right corner of each panel. d − i, conductance maps in bias vs. field at different BG1 indicated in the lower right corner of each panel. 34 0.10.20.750.800.85-0.40.00.4V (mV)BG1 (V)0.750.800.850.750.800.85-0.40.00.4V (mV)-0.40.00.400.51B (T)00.5100.51abcdefghiBG1=0.75 VBG1=0.78 VBG1=0.81 VBG1=0.83 VBG1=0.85 VBG1=0.87 VB=0 TB=0.25 TB=0.35 T Figure S10: Schematic representation of the tight-binding Hamiltonian H = HM + HSM + HM−SM given by Eqs. (S1-S3), which describes the metal-proximitized semiconductor structure. Two parallel chains (Ny = 2) are explicitly shown and for each site (represented by an ellipse) the particle-hole and spin sectors are represented by red/blue circles and up/down arrows, respectively. m, and t), on-site and chemical potentials (Vi, µm, and µsm), Zee- The hopping parameters (tδ R and αδy R ), and pair potential (∆) are represented by man field (Γ), Rashba spin-orbit coupling (αδx arrows that couple different chains, sites, and/or sectors. sm, tδ 35 Figure S11: Top: Comparison between the spatial dependence of the amplitudes of the lowest- energy states corresponding to a long wire with a step-like potential (a) and a short wire of length equal to the BG1 region (b). Bottom: Zeeman field dependence of the low-energy spectrum for a long wire with step-like potential (c) and a short wire corresponding to the BG1 segment (d). The amplitude of the zero-energy splitting oscillations show a qualitatively different dependence on the Zeeman field. 36 0.40.8Ψ20.10.3246-101246abcdX (μm)X (μm)EZ(Δ)EZ(Δ)E(Δ)00 Figure S12: Left: Low-energy spectrum as a function of µBG1 for three different values of the Zeeman field (EZ = 0.0 ∆(a), EZ = 0.8 ∆(c), and EZ = 1.7 ∆(e)). Right:(b, d, f), Conductance maps of the differential conductance as functions of bias voltage V and µBG1 for the same Zeeman field as the left panels. A small thermal broadening of 0.02 meV is used here, to emphasize the close correspondence with the corresponding spectra. 37 -5.3-4.3μBG1(meV)-11-0.50.5E-0.50.5(Δ)eV/Δ0.20.40.050.140.050.15aceE =0 ZE =0.8Δ ZE =1.7Δ Zbdf-11-0.50.5-0.50.5-5.3-4.3μBG1(meV)(2e2/h) Figure S13: a, Dependence of the low-energy spectrum on the Zeeman field for a system with a step-like potential with µBG1 = −4.7 meV. b, Differential conductance at µBG1 = −4.7 meV as functions of magnetic field and bias potential. Note that for EZ > 1.7∆ the system hosts nearly-zero energy modes. Also note that for EZ < 5 ∆ the amplitude of the zero-energy splitting oscillations does not increase with the applied magnetic field. Figure S14: Differential conductance at high values of µBG1 corresponding to the chemical poten- tial well above the bottom of the subband, δµ (cid:29) ∆, where δµ is the chemical potential relative to the bottom of the band. a, Dependence on the chemical potential at a Zeeman field of EZ = 6.2 ∆. b, Dependence on the Zeeman energy at chemical potential µBG1 = −2.5 meV. 38 eV/Δ1350.50.5EZ(Δ)E-0.50.5(Δ)0.10.3(2e2/h)135EZ(Δ)-0.20.2μBG1(meV)eV/Δ57EZ(Δ)-2-30.10.30.10.2ab(2e2/h)(2e2/h) Figure S15: a, Conductance map at zero magnetic field. b, color map of local density of particle states at zero magnetic field. 39 -6-30-11eV/Δ-11-22μBG1(meV)0.51.2ab(2e2/h)-6-30μBG1(meV) Figure S16: a, Conductance map of bias versus µBG1 for a homogeneous potential. b, differential conductance color map of bias versus BG1 for a non-homogeneous potential. c, potential profile VE along the nanowire (also shown in Fig. 4a) used to generate the map in a. d potential profile VE along the nanowire used to generate the map in b. Both conductance maps are taken at zero Zeeman energy. 40 -5.5-4-11μBG1(meV)eV/Δ-5.5-40.00.40.80.00.40.8X (μm)V E0.40.80.30.6abcd(2e2/h)μBG1(meV)X (μm)(2e2/h)
1105.5334
1
1105
2011-05-26T16:13:55
Observation of topologically protected bound states in a one dimensional photonic system
[ "cond-mat.mes-hall", "physics.optics", "quant-ph" ]
One of the most striking features of quantum mechanics is the appearance of phases of matter with topological origins. These phases result in remarkably robust macroscopic phenomena such as the edge modes in integer quantum Hall systems, the gapless surface states of topological insulators, and elementary excitations with non-abelian statistics in fractional quantum Hall systems and topological superconductors. Many of these states hold promise in the applications to quantum memories and quantum computation. Artificial quantum systems, with their precise controllability, provide a versatile platform for creating and probing a wide variety of topological phases. Here we investigate topological phenomena in one dimension, using photonic quantum walks. The photon evolution simulates the dynamics of topological phases which have been predicted to arise in, for example, polyacetylene. We experimentally confirm the long-standing prediction of topologically protected localized states associated with these phases by directly imaging their wavefunctions. Moreover, we reveal an entirely new topological phenomenon: the existence of a topologically protected pair of bound states which is unique to periodically driven systems. Our experiment demonstrates a powerful new approach for controlling topological properties of quantum systems through periodic driving.
cond-mat.mes-hall
cond-mat
Observation of topologically protected bound states in a one dimensional photonic system Takuya Kitagawa1†,1, 2 Matthew A. Broome3†,1, 2 Alessandro Fedrizzi3,1, 2 Mark S. Rudner1,1, 2 Erez Berg1,1, 2 Ivan Kassal2,1, 2 Al´an Aspuru-Guzik2,1, 2 Eugene Demler1,1, 2 and Andrew G. White31, 2 11Department of Physics and 2Department of Chemistry and Chemical Biology, Harvard University, Cambridge MA 02138, United States, 3ARC Centre for Engineered Quantum Systems and ARC Centre for Quantum Computation and Communication Technology, School of Mathematics and Physics, University of Queensland, Brisbane 4072, Australia 2†These authors contributed equally to this work. (Dated: May 22, 2018) One of the most striking features of quantum mechan- ics is the appearance of phases of matter with topolog- ical origins. These phases result in remarkably robust macroscopic phenomena such as the edge modes in inte- ger quantum Hall systems [1], the gapless surface states of topological insulators [2, 3], and elementary excitations with non-abelian statistics in fractional quantum Hall sys- tems and topological superconductors[4]. Many of these states hold promise in the applications to quantum mem- ories and quantum computation[4–8]. Artificial quantum systems, with their precise controllability, provide a ver- satile platform for creating and probing a wide variety of topological phases[9–14]. Here we investigate topolog- ical phenomena in one dimension, using photonic quan- tum walks[10]. The photon evolution simulates the dy- namics of topological phases which have been predicted to arise in, for example, polyacetylene. We experimentally confirm the long-standing prediction of topologically pro- tected localized states associated with these phases by di- rectly imaging their wavefunctions. Moreover, we reveal an entirely new topological phenomenon: the existence of a topologically protected pair of bound states which is unique to periodically driven systems[15]. Our exper- iment demonstrates a powerful new approach for control- ling topological properties of quantum systems through periodic driving. The distinguishing feature of topological phases is the exis- tence of a winding in the ground state wave function of the system, which cannot be undone by gentle changes to the microscopic details of the system. Such topological struc- tures appear in a variety of physical contexts, from condensed- matter [2–7, 17, 18] and high-energy physics [19] to quantum optics [9] and atomic physics [10–14]. These systems pro- vide diverse platforms for studying the universal features of topological phases and their potential for technological appli- cations. In this paper we study topological phenomena in peri- odically driven systems using the discrete time quantum walk [20], a protocol for controlling the motion of quantum particles on a lattice. In particular, we demonstrate that quan- tum walks stroboscopically simulate topological phases [10] which belong to the same topological class as that of the Su-Schrieffer-Heeger (SSH) model of polyacetylene [21] and the Jackiw-Rebbi model of a one-dimensional spinless Fermi field coupled to a Bose field [19]. These two models, devel- oped in entirely different fields, share a common underlying topological structure, which has been predicted to result in the existence of topologically protected bound states with ex- actly zero energy. Intriguingly, such zero-energy bound states are responsible for the existence of solitons with fractional fermion number in both models [22]. However, to date such zero-energy bound states have never been directly observed. In this experiment, we confirm the existence of these topolog- ically robust bound states for the first time by directly imaging their wavefunctions. An additional advantage of investigating topological phe- nomena with quantum walks is that this apparatus allows us to access the dynamics of strongly driven systems far from the static or adiabatic regime [15, 23, 24], to which most previous work on topological phases has been restricted. In this strongly-driven regime, we discover a topologically pro- tected pair of non-degenerate bound states. This phenomenon is unique to periodically driven systems and has not been re- ported before. Discrete time quantum walks have been realized in sev- eral physical architectures [16, 25–28]. Here we use the pho- tonic setup demonstrated in Ref. 16 to implement a variation of these walks, the split-step quantum walk [10] of a single photon with two internal states on a one-dimensional lattice, see Fig. 1. The two internal states are encoded in the hori- zontal, H(cid:105), and vertical, V (cid:105), polarization components of the photon. One step of the split-step quantum walk consists of i) a polarization rotation R(θ1) followed by a polarization- dependent translation T1 of H(cid:105) to the right by one lattice site, and ii) a second rotation R(θ2), followed by the trans- lation T2, of V (cid:105) to the left, see Appendix A. The quantum walk is generated by repeated applications of the one-step op- erator U (θ1, θ2)=T2R(θ2)T1R(θ1). In the paraxial approxi- mation, the propagation of the photon in the static experimen- tal setup in Fig. 1 is described by an effective time-dependent Schrodinger equation with periodic driving that corresponds to this quantum walk. The topological structure underlying split-step quantum walks is revealed by studying the effective Hamiltonian Heff(θ1, θ2), defined through U (θ1, θ2)=e−iHeff(θ1,θ2). In a stroboscopic sense, the discrete-time dynamics of the quan- tum walk are equivalent to dynamics generated by Heff(θ1, θ2) viewed after unit time intervals. In this way, the quantum walk simulates Heff(θ1, θ2). A typical spectrum of Heff(θ1, θ2) is gapped, as illustrated in Fig. 2A. The non-trivial topological 2 FIG. 1: Split-step quantum walk experiment. A polarization-encoded single photon, created via spontaneous parametric downconversion (SPDC), undergoes a succession of steps consisting of rotations, R(θ1), R(θ2) and translations, T1, T2, implemented by half-wave plates In the split-step protocol [10], the two translations displace first H(cid:105) and then V (cid:105); and birefringent beam displacers respectively [16]. experimentally, we implement such translations through birefringent beam displacers and by shifting the lattice origin by +1 site after each full step. To probe the topological properties of the quantum walk, semi-circular half-wave plates are used to create spatially inhomogeneous rotations, R(θ1−), R(θ1+). The output probability distribution is imaged with a single-photon avalanche detector. FIG. 2: A, A typical band structure of the effective Hamiltonian Heff(θ1, θ2) for the split-step quantum walk (Here, θ1=π/2 and θ2=0). The two bands correspond to the eigenvalues of Heff(θ1, θ2). For most θ1 and θ2, the bands display a gap. B, Topology of Heff(θ1, θ2). Each eigenstate of Heff(θ1, θ2) with momentum k corresponds to a point on a Bloch sphere, illustrated by the symbols in A. As k runs from −π to π, the states follow a closed trajectory around a great circle, and the winding number W characterizes the topology of Heff(θ1, θ2). C, Phase diagram of Heff(θ1, θ2) which shows the winding number W as a function of θ1 and θ2. The transition lines correspond to points where the spectral gap closes at eigenvalues E=0 (black solid line) and E = π (red dotted line). structure of Heff(θ1, θ2) is due to a chiral symmetry [10]. In translationally invariant systems with this symmetry, the po- larization of an eigenstate of Heff(θ1, θ2) with momentum k, when represented as a spinor on the Bloch sphere, follows a path along a great circle as the momentum k goes from −π to π (see Fig. 2B and Appendix B). The topology is then char- acterized by the winding number W of this path around the origin. For the split-step quantum walk, two distinct phases with W =0 and W =1 exist, see Fig. 2C. A striking consequence of non-trivial topology is the ap- pearance of localized states at boundaries between two topo- logically distinct phases [10, 19, 21, 29]. Because our exper- imental setup allows access to individual lattice sites, we are able to probe this phenomenon by creating a boundary be- tween regions where dynamics are governed by two gapped Hamiltonians Heff(θ1−, θ2) and Heff(θ1+, θ2) characterized by winding numbers W− and W+. Here we choose to create the boundary by making θ1 inhomogeneous with θ1(x)=θ1− for lattice positions x<0 and θ1(x)=θ1+ for x≥0. When W− (cid:54)= W+, it is expected that topologically robust localized states exist at the boundary near x = 0. This can be under- stood in a heuristic fashion as follows. When W− (cid:54)= W+, -1012-100101SPDC410 nm820 nm...kE000111111000CBA 3 FIG. 3: a, Phase diagram, with symbols indicating the parameters (θ1−, θ1+, θ2), and the winding numbers for each experimental case. b, Experimental probability distributions, with θ2=π/2. The rotation R(θ1) is spatially inhomogeneous with θ1− (θ1+) in the region x<0 (x≥0). The results show the absence of a bound state near x=0 for both initial photon polarizations H(cid:105) and V (cid:105) in cases 1a and 1b, respectively. Case 2 shows the presence of a bound state with a pronounced peak near x=0 after 4 steps. The bar graphs compare the measured (blue) and predicted (yellow) probabilities after the fourth step. Case 3 demonstrates that the presence of the bound state is robust against changes of parameters. Experimental errors due to photon counting statistics are not visible on this scale. c, Calculated quasi-energy spectrum of the effective Hamiltonian for case 2. The bound state with quasi-energy E=0 (red dot) is analogous to the zero-energy states of the SSH and Jackiw-Rebbi models. the winding number W− of the bulk gapped Hamiltonian Heff(θ1−, θ2) can only be changed to that of Heff(θ1+, θ2) given by W+ by closing the gap of the system, see Fig. 2C. Thus, near the boundary at x = 0 between these two regions, the energy gap closes, and it is expected that states exist within the gaps of the bulk spectra of Heff(θ1−, θ2) and Heff(θ1+, θ2). Because extended states do not exist in this energy range, such a state is necessarily localized at the boundary. This argument shows that a change in topology at a boundary is accompa- nied by the presence of a localized state. Therefore, due to the topological origin of these localized states, they are robust against perturbations[29] such as small changes of quantum walk parameters or the presence of a static disordered poten- tial caused by, for example, small spatial variations of rotation angles θ1 and θ2. To probe the existence of the bound states, we initialize a photon next to the boundary between two topologically dis- tinct quantum walks, Fig. 1. In the absence of bound states, 30124ProbabilityLattice PositionLattice PositionStepCase 1bCase 1a00.50013012402-22044--244-02-22044--244-ProbabilityStepCase 3Case 200.5ExperimentTheory10bcaBulkstatesE 4 FIG. 4: a, Phase diagram, with symbols indicating the parameters (θ1−, θ1+, θ2), and winding numbers for each experimental case. b, Experimental probability distributions, with θ2=0. In case 4 we observe oscillatory probabilities around x=0, indicating the presence of at least two bound states with the quasi-energy difference of π. They are absent in case 5 for initial polarization of H(cid:105). Other initial polarizations and parameters have been implemented and the result is presented in SI. The bar graphs compare the measured (blue) and predicted (yellow) probabilities after the seventh step. c, Quasi-energy spectrum of case 4. In addition to the E=0 (red dot) bound state, there is a E=π bound state (blue star), whose topological origin is described in the text and SI. the photon is expected to spread ballistically, with the detec- tion probability at the origin quickly decreasing to zero. How- ever, if there is a bound state, the bound state component of the initial state will remain near this boundary even after many steps. We first implemented split-step quantum walks with θ2=π/2 and θ1− and θ1+ such that W−=W+=1, shown as case 1 on the phase diagram in Fig. 3a. In both cases 1a and 1b in Fig. 3b with the initial polarization of H(cid:105) and V (cid:105), re- spectively, the detection probability at the origin quickly de- creases to zero. On the other hand, for case 2 in Fig. 3b with parameters chosen to create a boundary between topologically distinct phases W−=1 and W+=0, we observe the existence of at least one bound state as a peak in the probability dis- tribution near the origin after four steps. This boundary state is a direct analogue of the zero-energy states of the SSH and Jackiw-Rebbi models [19, 21]. The quasi-energy E of the lo- calized state, i.e. the eigenvalue of the effective Hamiltonian associated with this state, can be found by explicit calcula- tion, see Fig. 3c. Here we indeed find a single state at E = 0. The versatile control over parameters in our experimental ap- paratus allows the test of the robustness of these states against a variety of changes in microscopic parameters, which is a universal feature of topological states [19, 21]. To test this, we implemented case 3 where θ1− and θ1+ are shifted from those of case 2 while maintaining W−=1 and W+=0, and confirmed the existence of a bound state in Fig. 3b. In addi- tion, we study the effects of controlled amounts of decoher- ence on the bound states and present the result in Appendix C. Our experiment also reveals a new topological phenomenon unique to periodically driven systems, which can be probed by studying split-step quantum walks with θ2=0, see Fig. 4. With the appropriate choice of basis (see Appendix D), this quantum walk becomes equivalent to the one described by the one-step operator U =iT R(θ1), where T =T1T2 can be imple- mented with a single beam displacer, extending the experi- ment to seven steps. This class of quantum walks can only realize a single topological phase characterized by the wind- ing number W =0. Therefore we do not expect bound states Case 5Step0011103157Case 4ProbabilityBulkstatesEExperimentTheorybac-3377--3377-0.50Lattice PositionLattice Position for spatially inhomogeneous θ1 based on winding numbers. However, the evolution of the probability distribution for case 4 displays period-2 oscillations in the vicinity of the origin. This observation strongly suggests the existence of at least two bound states whose quasi-energies differ by π. In the Appendix E we show that this pair of bound states with quasi- energy difference π is robust against small changes of θ1− and θ1+. On the other hand, in case 5 we demonstrate that such bound states are absent when θ1− and θ1+ are continuously connected without crossing topological phase boundaries, see Fig. 4. The existence of this pair of bound states with quasi-energy difference π is a robust phenomenon with new topological ori- gin which has not been studied previously. Chiral symmetry implies eigenstates of a Hamiltonian generally come in pairs with quasi-energies E and −E. In the case of a static Hamil- tonian, the symmetry makes a zero-energy state special since this energy satisfies E = −E, and therefore a single state at E = 0 is topologically protected [29]. For a periodically- driven system, because the effective Hamiltonian is defined through a one-step evolution operator by U = e−iHeff(θ1,θ2), the quasi-energies of Heff(θ1, θ2) are defined only up to 2π. In particular, E = π and E = −π correspond to the same quasi-energy, and therefore E = π represents another spe- cial value of quasi-energy satisfying E = −E. Thus, like zero-energy state of static systems, a single π quasi-energy state is topologically protected [15, 23]. The coexistence of such E = 0 and E = π states suggested by the period-2 os- cillations observed in case 4 is checked through the explicit calculation of quasi-energy spectrum presented in Figure 4C for case 4. In the Appendix F and G, we give the character- ization of this structure in terms of topological invariants of periodically driven systems and demonstrate their topological robustness. Our work opens up a rich arena for future research. First, the experiment demonstrates the direct imaging of bound states, providing a powerful tool for probing a variety of topo- logical phenomena. Second, the versatility of our setup al- lows for extensions, such as the realization of other topologi- cal phases in one and two dimensions [10], the study of many- photon quantum walks with non-linear interactions, as well as the exploration of new topological phenomena unique to peri- odically driven systems in higher dimensions [15]. We thank B. P. Lanyon, B. J. Powell for discussions. We acknowledge financial support from the ARC Centres of Ex- cellence, Discovery and Fed. Fellow programs and an IARPA- funded US Army Research Office contract. T. K., M. S. R., E. R. and E. D. thank DARPA OLE program, CUA, NSF under DMR-07-05472, AFOSR Quantum Simulation MURI, and the ARO-MURI on Atomtronics. I. K. and A. A.-G. thank the Dreyfus and Sloan Foundations, ARO under W911-NF- 07-0304 and DARPA's Young Faculty Award N66001-09-1- 2101-DOD35CAP. 5 APPENDICES Appendix A: Rotation operators implemented in the experiment The implementations of split-step quantum walks with a photon require the rotations of polarizations, written as R(θ) in the main text. In this experiment, we used half-wave plates which implements R(θ)=e−iσyθ/2σz, where σi are Pauli ma- trices such that σz H(cid:105) = H(cid:105) and σz V (cid:105) = −V (cid:105). Appendix B: Winding numbers of split-step quantum walk θ1 U (θ1, θ2)Γθ1 = U†(θ1, θ2). Ref. [10] considered creating a boundary between regions with different topological numbers by varying the second ro- tation angle θ2. We described the topological structure of the split-step quantum walk in terms of the one-step evolution op- erator, or Floquet operator, U (θ1, θ2) = T2R(θ2)T1R(θ1) and associated chiral symmetry operator Γθ1, which depends only on θ1 and satisfies Γ−1 In this experiment, we implemented inhomogeneous split-step quan- tum walks by varying the first rotation angle, θ1. In order to maintain the chiral symmetry in the system, it is necessary to characterize the dynamics in terms of an alternative chiral symmetry operator that depends only the second rotation an- gle, θ2. In the following, we explain and define such a chiral symmetry operator. As a consequence of considering such a chiral symmetry operator, the phase diagrams in the main text are slightly different from those in Ref. [10]. momentum-space expressions T1 = (cid:80) and T2 =(cid:80) Because the origin of time for a periodically driven system is arbitrary, we can characterize the topology of the split-step quantum walk with a different initial time, namely in terms of the evolution operator U(cid:48)(θ2, θ1) = T1R(θ1)T2R(θ2). This alternative choice corresponds to making a half-period shift of the origin of time. Using the k eikσz/2eik/2 k(cid:105)(cid:104)k k eikσz/2e−ik/2 k(cid:105)(cid:104)k, we see that U(cid:48)(θ2, θ1) is different from U (θ1, θ2) only through the exchange of θ1 and θ2, i.e. U(cid:48)(θ2, θ1) = U (θ2, θ1). Therefore, it is clear that the chiral symmetry operator of U(cid:48)(θ2, θ1) is given by Γθ2, and that the winding numbers of U(cid:48)(θ2, θ1) are the same as those of U (θ1, θ2). The chiral symmetry of U(cid:48)(θ2, θ1) only depends on the second rotation angle θ2, and thus the symme- try is preserved even when θ1 is varied in space. Therefore it is possible to construct inhomogeneous quantum walks with boundaries between topologically distinct phases, while pre- serving the required chiral symmetry across the entire system. Appendix C: Bound states under decoherence In this supplementary information, we present the result of quantum walks in the presence of controlled amounts of de- phasing. While the topological bound states observed in the paper are no longer stationary states of the evolution under dephasing, signatures of such bound states are observable for a small number of steps as we show in this Supplementary 6 FIG. 5: a, Probability distributions for a split-step quantum walks with and without additional decoherence and initial state H(cid:105). In this case, in contrast to the results in Fig.3 in the main text, θ1=π/2 and θ2 is made inhomogeneous. b, Phase diagram, with symbols indicating the parameters (θ1−, θ1+, θ2), and the topological phases for each case. c, Sum of probabilities at lattice positions around the boundary (−1, 0 and +1) for integer steps of the split-step quantum walk. The solid lines show theoretical predictions and the dashed lines are the experimental results, error bars are smaller than the marker size. The difference between bound and unbound states can be seen despite the introduction of decoherence into the system. FIG. 6: a, Effect of decoherence on the pair of bound states in split-step quantum walk c.f. cases 4 and 5, with θ2=0 and initial state H(cid:105). b, Phase diagram indicating the topological phases for each case. c, Sum of probabilities at lattice positions around the boundary (−1, 0 and +1) for integer steps of the split-step quantum walk. The solid lines show theoretical predictions and the dashed lines are the experimental results, error bars are smaller than the marker size. The difference between bound and unbound states can be seen despite the introduction of decoherence into the system. Material. This result demonstrates that it is possible to study topological phenomena, for short time dynamics, in other sys- 012Probability3400.20.40.60.81BoundStateNo BoundStatep00.2Step30124ProbabilityStep00.53012402-2-4402-2-4402-2-4402-2-44ProbabilityStep00.5ExperimentTheoryp = 0.2p = 010(cid:18)10acbLattice PositionLattice Position=123456700.20.40.60.81ProbabilityStepBoundStateNo BoundStatep00.2Step31573157-33-77-33-77-33-77-33-77StepProbabilityProbabilityExperimentTheory0.50.500p = 0p = 0.2100011abcLattice PositionLattice Position tems that might be more prone to decoherence. One feature of our optical quantum walk setup is the abil- ity to tune the level of decoherence [16]. Each pair of beam displacers forms an interferometer, which can be intentionally misaligned to add temporal and spatial walkoffs [16]. This process, coupled with measurement of the photon, and corre- sponds well to pure dephasing [16]. If the system at step N is described by the density matrix ρN it will evolve according to: (cid:88) ρN +1 = (1 − p)U ρN U† + p KiU ρN U†K † i (C1) i where p is the amount of dephasing and Ki are the associated Kraus operators. For p=0, Eq. C1 describes a pure quantum walk, while p=1 represents a system without any quantum coherence, i.e. the evolution is described by classical random walks. Figure 5 shows the results for the split-step quantum walks with and without additional dephasing, corresponding to p=0.2 and p=0, respectively. In this case the rotation R(θ2) is inhomogeneous and θ1=π/2. Accordingly, we define the winding number corresponding to the chiral operator Γθ1 in Figure 5, see Supplementary Section 1 for details. Note that p = 0 indicates that we do not introduce additional dephas- ings, but this case still could contain decoherence coming from experimental limitations. The rotation angles θ1 and θ2 studied in this experiments are indicated in the phase di- agram Fig. 5B. In the presence of dephasing, the bound state observed in Fig. 5A (top) gradually decays as the number of steps increases. However, for a small amount of dephasing, this decay is slow, and for a small number of steps, the prob- ability distribution is still sharply peaked near the boundary compared to the cases with no bound states, as displayed in Fig. 5A (bottom). In addition, Fig. 5C quantifies the effect of decoherence on the probability distribution around the bound- ary. In addition, we studied the effect decoherence on on cases 4 and 5 discussed in the main text and present the result in Figure 6A, B and C. Again, for small amount of decoherence, the signature of bound states is still observable for a small number of steps. Appendix D: The split-step quantum walk with θ2 = 0 In the main text, we studied the behavior of the split-step quantum walk U = T2R(θ2)T1R(θ1) with θ2 = 0. Note that R(θ=0)=σz. In the experiment, we implemented the quan- tum walk with Floquet operator Uex = T2T1R(θ1). In this section, we show that these two quantum walks are related through a unitary transformation, and therefore represent an equivalent dynamics of the system. In particular, the topolog- ical properties of these two dynamics are equivalent. The split-step quantum walk with θ2 = 0 is described by the Floquet operator U (θ1, 0) = T2σzT1e−iσyθ1/2σz = T2T1eiσyθ1/2. It is simple to check that the unitary transfor- mation V = e−ixπ/2 acts on T = T2T1 such that V −1T V = iT σz where x is the coordinate operator. Therefore, U (θ1, 0) 7 and Uex are unitarily related through V −1U (θ1, 0)V = iT e−iσyθ1/2σz = iUex, as we claimed in the text. Apart from a global phase, the experimental implementation is equivalent to the split-step quantum walk with θ2 = 0. Appendix E: Absence and presence of a pair of topologically protected bound states Here we provide additional experimental data, supporting the absence and presence of a pair of topologically protected bound states presented in the main text. Case 5 shown in Fig. 7 corresponds to the same parameters as case 5 in the main text, and here we have implemented the experiments with different initial polarizations. In the main text, the initial polarization was H(cid:105) whereas here we present the result for V (cid:105). For either initial state, we find the ab- sence of any bound state, and detection probability quickly decreases to zero near the boundary x = 0. Because the states H(cid:105) and V (cid:105) span the space of internal states of the walker, this shows that indeed there is no bound state near x = 0. Case 6, on the other hand, tests the robustness of the pair of bound states found in case 4. Parameters of case 6 are chosen such that θ1− and θ1+ are both continuously connected with those of case 4 without crossing gapless phases in the phase diagram. Indeed, we observe the period-2 oscillations in the evolution of probability distributions just as in case 4, indicating the existence of a pair of bound states whose quasi- energies differ by π. Appendix F: Robustness of a single 0 and π energy state Here we explain the robustness of a localized, single 0 and π energy state against perturbations observed in case 4 in Fig- ure 4 in the main text through a simple argument. Chiral symmetry is defined by the existence of an operator Γ with action on the Hamiltonian ΓHΓ−1 = −H. Conse- quently, a state ψ(cid:105) with energy E implies the existence of a state Γ−1 ψ(cid:105) with energy −E, as can be easily checked. Thus, in the presence of chiral symmetry, a state with energy E necessarily comes in a pair with a state with energy −E, except for the special case E = −E. This argument applies equally to static Hamiltonians and effective Hamiltonians of periodically driven systems, where the eigenstates of static Hamiltonian are replaced by eigenstates of effective Hamil- tonians, or Floquet states, and energies of static Hamiltonians are replaced by quasi-energies of effective Hamiltonians. For quasi-energy E = 0 and E = π for the effective Hamiltonian of periodically driven systems, a single state can exist at these quasi-energies. Moreover, such a state cannot be removed or shifted in quasi-energy by weak, symmetry- preserving perturbations, because a single state cannot be split into two. Consequently, the localized states at quasi-energy E = 0 and E = π cannot be removed unless the bulk band gap of the effective Hamiltonian closes at E=0 and/or E=π, allowing the hybridization with the extended bulk states. 8 FIG. 7: (A) Phase diagram, with symbols indicating the parameters (θ1−, θ1+, θ2), and the topological phases for each experimental case. (B) Case 5, for initial polarization state V (cid:105) in conjunction with case 5, initial polarization H(cid:105), in the main text, conclusively proves the absence of bound states for this choice of parameters. Case 6 demonstrates the topological robustness of the pairs of bound states observed in case 4: They are still visible around the origin despite a change in θ1−, θ1+. The bar graphs compare the measured (blue) and predicted (yellow) probabilities after the seventh step. Appendix G: Topological invariants associated with bound states at quasi-energies 0 and π In this section, we show that the topological classification of the periodically driven systems with chiral symmetry is given by Z × Z, and give the explicit expression of the topo- logical invariants in terms of the wave functions of the bound states. This gives yet another understanding of the topolog- ical protection of 0 and π energy bound states found in the experiment. In the following, we consider the bound states at energy 0 (analogous arguments apply to the bound states at π). Sup- pose that there are N0 degenerate bound states with energy α(cid:48)(cid:11) with α(cid:48) = 1··· N0. Let the chiral 0, which we label(cid:12)(cid:12)ϕ0 symmetry of the system to be Γ, which anticommutes with the Hamiltonian, {Γ, H} = 0. As a consequence, Γ2 com- mutes with H. When there is no conserved quantity associ- ated with Γ2 [30], it is possible to choose the phase of Γ such that Γ2 = 1. For example, in the case of the split-step quan- tum walk, we choose Γ = iΓθ1. Because by definition Γ(cid:12)(cid:12)ϕ0 α(cid:48)(cid:11) is an eigenstate of H with energy 0, we can choose the basis of zero energy states such that they are eigenstates of Γ. We eigenvalues under Γ as {Q0 (cid:11)} and their denote the zero energy states in this basis as {(cid:12)(cid:12)ψ0 We now show that the sum of eigenvalues, Q0 ≡(cid:80) α is either ±1. α, α Q0 represents the topological invariant associated with zero en- ergy bound states. We define the integer Q0 for zero-energy bound states and Qπ for π-energy bound states constructed in an analogous fashion, as α}. Since Γ2 = 1, Q0 α (cid:88) (cid:10)ψ0 (cid:88) α α (cid:12)(cid:12) Γ(cid:12)(cid:12)ψ0 (cid:11) α Γψπ α(cid:105) α Q0 = Qπ = (cid:104)ψπ α α(cid:105)} are the π energy bound states. where {ψπ In order to show that these quantities are indeed topological invariants, we show that perturbations of the Hamiltonian which preserve the chiral symmetry cannot mix the zero- and π-energy bound states with the same eigenvalues of Γ, and therefore cannot change the energies of these states away from 0 or π. Let H(cid:48) be a perturbation to the system such that {Γ, H(cid:48)} = 0. Now we evaluate the matrix element of {Γ, H(cid:48)} = 0 in the 0 (π) Case 500113157StepCase 6Probabilityba33-77-33-77-0.50Lattice PositionLattice Position energy states. The result is (cid:12)(cid:12){Γ, H(cid:48)}(cid:12)(cid:12)ψ0 (cid:11) 0 = (cid:10)ψ0 (cid:12)(cid:12) H(cid:48)(cid:12)(cid:12)(cid:12)ψ0  2(cid:10)ψ0 (cid:69) (cid:12)(cid:12) H(cid:48)(cid:12)(cid:12)(cid:12)ψ0 (cid:69) −(cid:10)ψ0 (cid:10)ψ0 = α α α β β β for Qα = Qβ (cid:12)(cid:12) H(cid:48)(cid:12)(cid:12)(cid:12)ψ0 (cid:69) α = 0 for Qα (cid:54)= Qβ β Thus, in accordance with degenerate perturbation theory, bound states with the same eigenvalues Qα cannot mix, while those with different eigenvalues in general do mix and are not protected by chiral symmetry. Because one can break up any finite change of the Hamiltonian into successive changes of small perturbations, one can repeat this argument and show 9 that the values Q0 and Qπ cannot change unless the bound states at 0 and π energies mix with the bulk states. In the simple limiting case of the split-step quantum walk that we considered in Supplementary Section 3, with θ2 = 0, θ1− = −π, θ1 = π, we can analyze the bound states of the shifted evolution operator U(cid:48)(θ2, θ1) = T1R(θ1)T2 with chiral operator Γθ2 = σx. The bound-state wavefunctions can be easily computed in this limit, and one finds the zero- energy bound state is associated with Q0 = 1 and π energy bound state is associated with Qπ = −1. Because the pair of bound states found in the experiment arises in a situation which is continuously connected with this special split-step quantum walk without closing the gaps, the observed pair is characterized by the same values of the topological invariants. [1] Klitzing, K. v., Dorda, G. & Pepper, M. New method for high- accuracy determination of the fine-structure constant based on quantized hall resistance. Phys. Rev. Lett. 45, 494–497 (1980). Experimental realization of a three- dimensional topological insulator, Bi2Te3. Science (New York, N.Y.) 325, 178–81 (2009). [2] Chen, Y. L. et al. [3] Xia, Y. et al. Observation of a large-gap topological-insulator class with a single Dirac cone on the surface. Nature Physics 5, 398–402 (2009). [4] Nayak, C., Simon, S. H., Stern, A., Freedman, M. & Das Sarma, S. Non-abelian anyons and topological quantum computation. Rev. Mod. Phys. 80, 1083–1159 (2008). [5] Fu, L. & Kane, C. L. Superconducting proximity effect and ma- jorana fermions at the surface of a topological insulator. Phys. Rev. Lett. 100, 096407 (2008). [6] Alicea, J., Oreg, Y., Refael, G., von Oppen, F. & Fisher, M. P. A. Non-Abelian statistics and topological quantum information processing in 1D wire networks. arXiv: (2010). 1006.4395. [7] Wray, L. A. et al. Observation of topological order in a super- conducting doped topological insulator. Nat Phys 6, 855–859 (2010). [8] Kitaev, A. Y. Fault-tolerant quantum computation by anyons. Ann. Phys. 303, 2 – 30 (2003). [9] Wang, Z., Chong, Y., Joannopoulos, J. D. & Soljaci´c, M. Ob- servation of unidirectional backscattering-immune topological electromagnetic states. Nature 461, 772–775 (2009). [10] Kitagawa, T., Rudner, M. S., Berg, E. & Demler, E. Explor- ing topological phases with quantum walks. Phys. Rev. A 82, 033429 (2010). [11] Sørensen, A. S., Demler, E. & Lukin, M. D. Fractional quan- tum hall states of atoms in optical lattices. Phys. Rev. Lett. 94, 086803 (2005). [12] Zhu, S.-L., Fu, H., Wu, C.-J., Zhang, S.-C. & Duan, L.-M. Spin hall effects for cold atoms in a light-induced gauge potential. Phys. Rev. Lett. 97, 240401 (2006). [13] Jaksch, D. & Zoller, P. Creation of effective magnetic fields in optical lattices: the hofstadter butterfly for cold neutral atoms. New J. Phys. 5, 56 (2003). [14] Osterloh, K., Baig, M., Santos, L., Zoller, P. & Lewenstein, M. Cold atoms in non-abelian gauge potentials: From the hof- stadter "moth" to lattice gauge theory. Phys. Rev. Lett. 95, 010403 (2005). [15] Kitagawa, T., Berg, E., Rudner, M. & Demler, E. Topological characterization of periodically driven quantum systems. Phys. Rev. B 82, 235114 (2010). [16] Broome, M. A. et al. Discrete single-photon quantum walks with tunable decoherence. Phys. Rev. Lett. 104, 153602 (2010). [17] Hasan, M. Z. & Kane, C. L. Colloquium: Topological insula- tors. Rev. Mod. Phys. 82, 3045–3067 (2010). [18] Qi, X. & Zhang, S. Topological insulators and superconductors. arXiv:1008.2026v1 (2010). 1008.2026. [19] Jackiw, R. & Rebbi, C. Solitons with fermion number ½. Phys. Rev. D 13, 3398–3409 (1976). [20] Aharonov, Y., Davidovich, L. & Zagury, N. Quantum random walks. Phys. Rev. A 48, 1687 (1993). [21] Su, W. P., Schrieffer, J. R. & Heeger, A. J. Solitons in poly- acetylene. Phys. Rev. Lett. 42, 1698–1701 (1979). [22] Jackiw, R. & Schrieffer, J. R. Solitons with fermion number in condensed matter and relativistic field theories. Nucl. Phys. B 190, 253 – 265 (1981). [23] Jiang, L. et al. Majorana Fermions in Equilibrium and Driven Cold Atom Quantum Wires. arXiv: (2011). 1102.5367. [24] Lindner, N. H., Refael, G. & Galitski, V. Floquet topo- logical insulator in semiconductor quantum wells. Nature Physics (2011). URL http://dx.doi.org/10.1038/ nphys1926. [25] Karski, M. et al. Quantum walk in position space with single optically trapped atoms. Science 325, 174–177 (2009). [26] Zahringer, F. et al. Realization of a quantum walk with one and two trapped ions. Phys. Rev. Lett. 104, 100503 (2010). [27] Schmitz, H. et al. Quantum walk of a trapped ion in phase space. Phys. Rev. Lett. 103, 090504 (2009). [28] Schreiber, A. et al. Photons walking the line: A quantum walk with adjustable coin operations. Phys. Rev. Lett. 104, 050502 (2010). [29] Ryu, S. & Hatsugai, Y. Topological origin of zero-energy edge states in particle-hole symmetric systems. Phys. Rev. Lett. 89, 077002 (2002). [30] Ryu, S., Schnyder, A. P., Furusaki, A. & Ludwig, A. W. W. Topological insulators and superconductors: tenfold way and dimensional hierarchy. New Journal of Physics 12, 065010 (2010).
1801.03708
1
1801
2018-01-11T11:01:01
Intersubband scattering in n-GaAs/AlGaAs wide quantum wells
[ "cond-mat.mes-hall" ]
Slow magnetooscilations of the conductivity are observed in a 75 nm wide quantum well at heating of the two-dimensional electrons by a high-intensity surface acoustic wave. These magnetooscillations are caused by intersubband elastic scattering between the symmetric and asymmetric subbands formed due to an electrostatic barrier in the center of the quantum well. The tunneling splitting between these subbands as well as the intersubband scattering rate are determined.
cond-mat.mes-hall
cond-mat
Intersubband scattering in n-GaAs/AlGaAs wide quantum wells I. L. Drichko,1 I. Yu. Smirnov,1 M. O. Nestoklon,1 A. V. Suslov,2 D. Kamburov,3 K. W. Baldwin,3 L. N. Pfeiffer,3 K. W. West,3 and L. E. Golub1 1Ioffe Institute, 194021 St. Petersburg, Russia 2National High Magnetic Field Laboratory, Tallahassee, FL 32310, USA 3Department of Electrical Engineering, Princeton University, Princeton, NJ 08544, USA (Dated: January 12, 2018) Slow magnetooscilations of the conductivity are observed in a 75 nm wide quantum well at heating of the two-dimensional electrons by a high-intensity surface acoustic wave. These magnetooscilla- tions are caused by intersubband elastic scattering between the symmetric and asymmetric subbands formed due to an electrostatic barrier in the center of the quantum well. The tunneling splitting between these subbands as well as the intersubband scattering rate are determined. PACS numbers: 73.63.Hs, 73.50.Rb I. INTRODUCTION Quantum structures with more than one occupied lev- els of size quantization represent an intermediate case between ultra-quantum and bulk systems. A presence of a few two-dimensional subbands allows studying inter- actions between electronic states of different types. An interesting example is intersubband scattering by a dis- order potential. The typical systems with a few levels are quantum wells with two or more subbands under the Fermi level and double quantum wells. There is also another type of structures, doped wide quantum wells (WQWs). They represent a bilayer system because the Coulomb repulsion results in a potential barrier in the middle of the WQW pushing the carriers towards the in- terfaces [1]. If these two layers are independent, they act in transport as two parallel conducting channels. These two channels are identical with equal Fermi energies and relaxation times provided the WQW is perfectly symmet- ric. In contrast, when tunneling through the potential barrier is not negligible, these two channels interact to each other, and the system's eigenstates are the symmet- ric (S) and anti-symmetric (AS) states with the tunneling energy gap ∆SAS. This gap has been studied in a vari- ety of WQWs, for a review see Ref. [2]. Usually ∆SAS is determined from the Fourier analysis of the magnetore- sistance in the region of weak magnetic fields B < 0.5 T. The presence of two channels results in a reach pic- ture of conductivity oscillations in quantizing magnetic fields. In addition to the usual Shubnikov-de Haas ef- fect, the other type of magnetooscillations periodic in 1/B takes place. These oscillations are caused by elas- tic scattering between the S and AS subbands, the so- called magneto-intersubband oscillations (MISO). They appear at ∆SAS/ωc = K, where ωc is the cyclotron fre- quency and K is an integer number. Since this condi- tion does not contain the Fermi energy, MISO are not damped by the Fermi distribution smearing. Therefore, in contrast to the Shubnikov-de Haas oscillations, MISO amplitude is almost insensitive to the temperature in- crease. MISO are well studied in various systems with two or three occupied subbands, for a review see Ref. [3] and references therein. Recently, a temperature depen- dence of MISO amplitude in a quantum well with three populated subbands has been explained by temperature variation of quantum electron lifetime [4], an energy spec- trum reconstruction by a parallel magnetic field has been shown to affect MISO strongly [5, 6], and the thermoelec- tric power magnetophonon resonance has been studied in two-subband quantum wells [7]. MISO are possible to observe only if they are not superimposed on the Shubnikov-de Haas oscillations. However both types of oscillations are present in the same magnetic field range in high-mobility WQWs. The Shubnikov-de Haas oscillations can be damped by in- crease of temperature. However, heating of the sample in dc regime also results in an increase of the lattice tem- perature. This leads to an enhancement of electron scat- tering by phonons which damps MISO as well. Therefore MISO in high-mobility WQWs have not been observed so far. We used acoustic methods with a surface acoustic wave (SAW) of high intensity applied in the pulsed regime with the duty factor equal to 100. This allowed heating of the electron system up to T > 500 mK while the lattice temperature was kept 20 mK. As a result, the Shubnikov- de Haas oscillations were damped, and clear MISO were observed. We analyzed MISO in WQWs and determined the energy gap ∆SAS and the intersubband scattering rate. We show that the theory of magnetooscillations describes well the experimental data. II. EXPERIMENT The high quality samples were multilayer n- GaAlAs/GaAs/GaAlAs structures with a 75 nm wide quantum well. The quantum GaAs well was δ-doped on both sides and located at the depth ≈ 197 nm below the surface of the sample. While cooling the sample down to 15 K and illuminating it with infrared light of emitting diode, we achieved the electron density of 1.4×1011 cm−2 8 1 0 2 n a J 1 1 ] l l a h - s e m . t a m - d n o c [ 1 v 8 0 7 3 0 . 1 0 8 1 : v i X r a and the mobility of 2.4 × 107 cm2/(Vs) (at T =0.3 K). 2 FIG. 1. (Color online) Sketch of the experimental setup. In the present paper we employ a SAW technique [8, 9] illustrated in Fig. 1. A sample is pressed by means of springs to the surface of a piezoelectric crystal of lithium niobate (LiNbO3), on which the interdigitated transduc- ers (IDT) are formed. A radio frequency electrical pulse signal is applied to one of the IDTs. Due to the piezoelec- tric effect, a SAW is generated and propagates along the surface of LiNbO3. Simultaneously, an ac electric field, accompanying the SAW and having the same frequency, penetrates into the sample and interacts with the charge carriers. This interaction results in a change of the SAW amplitude and in its velocity. The measurements were carried out in a dilution refrigerator in a magnetic field perpendicular to the sample plane. A. Experimental results The dependences of the attenuation Γ(B) and the rel- ative velocity change ∆v(B)/v0 of the surface acous- tic wave were measured in a magnetic field of up to 1 T in the temperature range 20÷500 mK and the fre- quency range 28.5÷300 MHz at different SAW inten- sities. Figure 2 shows the experimental dependencies of the SAW attenuation Γ and velocity shift ∆v/v0 at the frequency 30 MHz, measured at the temperature T ≈ 20 mK with the SAW power introduced into the sample of 1.2 × 10−6 W/cm. During the measurements, the magnetic field was swept from −1 to 1 T (red curve), and then went back to −1 T (blue curve) ramping as 0.05 T/min. The curves of these forward and reverse field sweeps are almost identical. A Hall probe was used to measure the magnetic field strength. the complex ac by governed The SAW attenuation and the velocity change are conductance σ(ω) ≡ σ1(ω) − iσ2(ω). Both the real σ1 and imaginary σ2 components of σ(ω) could be extracted from our acoustic measurements. The procedure of the determi- nation of the ac conductance is described in Ref. [9] and is based on using that work Eqs. (1)÷(7). The dependences of the real part σ1 of the high- frequency conductance, calculated from the SAW at- FIG. 2. (Color online) Dependences of the SAW attenua- tion coefficient Γ (top panel) and the SAW velocity change ∆v(B)/v0 (bottom panel) on the transverse magnetic field B at f =30 MHz, T = 20 mK; SAW power introduced into the sample is 1.2 × 10−6 W/cm. Red and blue curves (almost identical) show forward and reverse field sweeps. tenuation and velocity change, on the reversed mag- netic field 1/B measured at various temperatures from 20 mK to 510 mK are presented in Fig. 3(a). The de- pendences σ1(1/B) recorded at several SAW intensities are plotted in Fig. 3(b), where effective SAW power in- troduced into the sample ranged from 3.7× 10−10 W/cm to 3.7 × 10−5 W/cm. As seen in Fig. 3, the Shubnikov - de Haas oscillations are observed at low SAW intensities. These fast oscil- lations undergo a beating. At high temperatures their amplitudes decrease. Moderate increasing of the SAW power affects the real part of ac conductance σ1 in the same way as the temperature rising does, see Fig. 3(b). However, with further growth of the SAW power, these fast oscillations virtually vanish, and the slow oscillations emerge. The latter dominates at the highest SAW inten- sities. The positions of the slow oscillations minima are independent of the SAW frequency. We assume that the slow oscillations are not distinguishable in Fig. 3(a) due to the small signal-to-noise ratio in the low-power regime used when we acquired the curves presented in this figure. The structure of the fast and slow oscillations is pre- sented in more detail in Fig. 4. Here the dependence of σ1(B) is shown for f = 30 MHz at 20 mK, the SAW power pushed into the sample was 1.2 × 10−6 W/cm. This picture demonstrates the SdH oscillations marked with filling factors ν. In lower fields B < 0.4 T, one can observe a new series of oscillations denoted by letter K. 3 FIG. 3. (Color online) (a) Dependences of σ1 on the inverse magnetic field as varied with temperature at the SAW power introduced into the sample of 3.7 × 10−10 W/cm, and (b) as varied with the SAW powers at T =20 mK: 1 - 3.7×10−10 W/cm, 2 - 1.2×10−8 W/cm, 3 - 1.3×10−7 W/cm, 4 - 3.6×10−7 W/cm, 5 - 1.2×10−6 W/cm, 6 - 2.3×10−6 W/cm, 7 - 5.9×10−6 W/cm, 8 - 1.2×10−5 W/cm, 9 - 3.7×10−5 W/cm; f = 30 MHz. Traces are offset vertically for clarity. III. DISCUSSION From the analysis of the slope of the dependence ν(1/B) shown in the inset (b) of Fig. 4 we determined the Fermi energy in the studied WQW as EF ≈2.5 meV. The slow oscillations demonstrate a presence of an energy gap ∆ (cid:28) EF in the electronic spectrum. We extracted this splitting from the dependence K(1/B) drawn in the inset (a) of Fig. 4: ∆ =0.42±0.02 meV. In order to explain an origin of this energy splitting, we performed self-consistent calculations of the electro- static potential and electron wavefunctions. First, the wave functions are calculated in the tight-binding ap- proach [10]. Then, the electron wave functions are used to calculate the electron density distribution in the quan- tum well. Neglecting the dependence of the wave func- tion on the lateral wave vector, the density is given by the following equation: (cid:88) i,s n(z) = ntotal 4 ψi,s(z)2, (1) FIG. 4. Magnetic field dependence of σ1 at f = 30 MHz and T ≈ 20 mK. The SAW power introduced into the sample is 1.2 × 10−6 W/cm. Inset (a): dependence of the slow oscilla- tions number K on 1/B. Inset (b): dependence of the filling factors ν on 1/B. where ψi,↑(↓)(z) is the wave function of a spin up(down) electron at i-th quantum confined level. The Fermi level lies between 2nd and 3rd levels, so the summation is per- formed over the first two subbands. The value of the total electron density extracted from our experiment is ntotal = 1.4 × 1011cm−2. To compensate the charge in- side the WQW and make the structure uncharged, we as- sumed that the charge −ntotal/2 is uniformly distributed in the barriers starting from the position where the distri- bution of electron density n(z)/ntotal drops below 10−4. The electrostatic potential corresponding to the charged QW is found from the numerical solution of Poisson equa- tion φ(cid:48)(cid:48)(z) = − 4πe ε n(z) , (2) with the dielectric constant ε = 12.9. Then, we add φ(z) to the structure potential and compute the next ap- proximation for the electron wave functions of the levels in the WQW. The procedure is repeated until the self- consistency of the electron wave functions and electro- static potential is reached. The results for the converged potential and the elec- tron density distribution are presented in Fig. 5. The position of the first two levels is close to the local maxi- mum of the heteropotential, Fig. 5(a). This fact makes a convergence of the calculation scheme slow for our quan- tum well width and concentration. The electron den- sity profiles shown in Fig. 5(b) for the two first levels, ψ1,s(z)2 ≈ ψ2,s(z)2, almost coincide for all s =↑,↓. The distance 53 nm between the density profile maxima agrees with the value for WQWs of the same width [2, 11]. The calculated S-AS splitting ∆SAS = 0.57 meV. In the triangular quantum wells formed near the struc- ture edges, Fig. 5(a), the spin-orbit splitting is present which can give rise to the beating pattern in magne- tooscillations [12, 13]. Our tight-binding method allows also to estimate the spin splittings of the two first sub- bands caused by the quantum confinement and electric field in the structure [14]. The calculations show that the spin-orbit splitting of the electronic states at the Fermi wavevector is ∆so ≈ 0.01 meV in the WQW under study. Since ∆so (cid:28) ∆SAS, we conclude that the spin-orbit split- ting is negligible at so low carrier density. splitting ∆SAS = 0.57 meV is close to the value ∆ ≈ 0.42 meV determined from the experiment. Therefore we conclude that it is the intersubband scattering that results in slow magnetooscillations of the heated electron gas in the WQW under study. calculated energy S-AS The The conductivity magnetooscillations with account for both S-AS splitting and scattering between S and AS subbands are described by the following expression [3, 15]: σ0 σxx = (cid:34) (ωcτ )2 1 − 4 cos × (cid:18) (cid:19) 2π EF ωc + 2 τ τSAS (cid:18) (cid:18) cos π cos 2π ∆SAS ωc ∆SAS ωc (cid:19) (cid:19) e−π/ωcτq sinh X X (cid:35) e−2π/ωcτq . (3) Here σ0 is the conductivity at zero magnetic field, τ is the transport scattering time which determines the mo- bility, τq is the quantum scattering time, ωc is the cy- clotron frequency, and X = 2π2kBT /ωc. The time τSAS is the time of elastic scattering between the S and AS subbands. This expression is valid in moderate mag- netic fields where e−π/ωcτq (cid:28) 1 but ωcτ (cid:29) 1, and at 4 FIG. 5. (Color online) Self-consistently calculated energy lev- els and the heteropotential (a) and the electron density profile (b). weak intersubband scattering, τ /τSAS (cid:28) 1. The first oscillating term in Eq. (3) describes the beating pat- tern in the Shubnikov-de Haas oscillations in the two- subband system with close Fermi energies EF ± ∆SAS/2. These beatings are damped by heating of the electron gas due to smearing of the Fermi distribution as de- scribed by the factor X/ sinh X. In contrast, the sec- ond oscillating term caused by MISO, being inferior at low temperatures, dominates at high temperatures when X/ sinh X (cid:28) e−π/ωcτq [16, 17]. Eq. (3) indicates that the beating frequency to be two times smaller than that for the slow oscillations. Indeed, this is observed in our experiment, Fig. 3. We estimated an intensity of intersubband scattering from the amplitude of MISO. Analysis of the data at the SAW powers 1.2 × 10−6 W/cm and 1.3 × 10−7 W/cm with help of Eq. (3) yields τ /τSAS = 0.35 ± 0.05 and τq = 4×10−11 s. The value of τq agrees with the quantum scattering time determined for similar WQWs [4]. The transport scattering time is known from mobility: τ = 0.9×10−9 s at 0.3 K. This yields τSAS = 2.6×10−9 s. The intersubband scattering time three times longer than the transport scattering time means that the intersubband scattering in the studied WQW is weaker than the intra- subband scattering but it is strong enough for observation of MISO. 5 E. Palm, T. Murphy, J.-H. Park, and G. Jones for tech- nical assistance. Partial support from Presidium of RAS and the Russian Foundation for Basic Research (project 16-02-0037517) is gratefully acknowledged. L. E. G. thanks "BASIS" foundation. The National High Mag- netic Field Laboratory is supported by National Science Foundation Cooperative Agreement No. DMR-1157490 and the State of Florida. The work at Princeton was sup- ported by Gordon and Betty Moore Foundation through the EPiQS initiative Grant GBMF4420, and by the NSF MRSEC Grant DMR-1420541. IV. CONCLUSION To conclude, we observed the magneto-intersubband oscillations of the conductivity in a WQW. The oscil- lations are shown to arise due to elastic intersubband scattering between the S and AS subbands formed due to Coulomb repulsion between the electrons. A tight- binding calculation of the electron states yields the split- ting ∆SAS close to the experimentally measured value. Our theoretical description of the magnetooscillations al- lowed to determine the quantum and the intersubband scattering times. ACKNOWLEDGMENTS The authors would like to thank L. Yu. Shchurova for help in calculations, Yu. M. Galperin for discussions and [1] Y. W. Suen, J. Jo, M. B. Santos, L. W. Engel, S. W. Hwang, and M. Shayegan, Missing integral quantum Hall effect in a wide single quantum well, Phys. Rev. B 44, 5947(R) (1991). [2] M. Shayegan, H. C. Manoharan, Y. W. Suen, T. S. Lay and M. B. Santos, Correlated bilayer electron states, Semicond. Sci. Technol. 11, 1539 (1996). [3] I. A. Dmitriev, A. D. Mirlin, D. G. Polyakov, and M. A. Zudov, Nonequilibrium phenomena in high Landau levels, Rev. Mod. Phys. 84, 1709 (2012). [4] S. Dietrich, J. Kanter, W. Mayer, S. Vitkalov, D. V. Dmitriev, and A. A. Bykov, Quantum electron lifetime in GaAs quantum wells with three populated subbands, Phys. Rev. B 92, 155411 (2015). [5] W. Mayer, J. Kanter, J. Shabani, S. Vitkalov, A. K. Bakarov, and A. A. Bykov, Magnetointersubband resis- tance oscillations in GaAs quantum wells placed in a tilted magnetic field, Phys. Rev. B 93, 115309 (2016). [6] W. Mayer, S. Vitkalov, and A. A. Bykov, Resistance oscillations of two-dimensional electrons in crossed elec- tric and tilted magnetic fields, Phys. Rev. B 93, 245436 (2016). [7] A. D. Levin, G. M. Gusev, O. E. Raichev, and A. K. Bakarov, Magnetophonon oscillations of thermoelectric power and combined resonance in two-subband electron systems, Phys. Rev. B 94, 115309 (2016). [8] A. Wixforth, J. Scriba, M. Wassermeier, J. P. Kotthaus, G. Weimann, and W. Schlapp, Surface acoustic waves on GaAs/AlxGa1−xAs heterostructures, Phys. Rev. B 40, 7874 (1989). [9] I. L. Drichko, A. M. Diakonov, I. Yu. Smirnov, Y. M. Galperin, and A. I. Toropov, High-frequency hop- ping conductivity in the quantum Hall effect regime: Acoustical studies, Phys. Rev. B 62, 7470 (2000). [10] Jean-Marc Jancu, Reinhard Scholz, Fabio Beltram, and Franco Bassani, Empirical spds∗ tight-binding calcula- tion for cubic semiconductors: General method and ma- terial parameters, Phys. Rev. B 57, 6493 (1998). [11] L. Shchurova and Y. M. Galperin, Electron concentra- tion profiles in modulation doped structures with wide quantum well, Phys. Status Solidi C 14, 1700190 (2017). [12] Yu. A. Bychkov and ´E. I. Rashba, Oscillatory effects and the magnetic susceptibility of carriers in inversion layers, J. Phys. C: Solid State Phys. 17, 6039 (1984). [13] P. Ramvall, B. Kowalski, and P. Omling, Zero-magnetic- field spin splittings in AlxGa1−xAs/GaAs heterojunc- tions, Phys. Rev. B 55, 7160 (1997). [14] P. S. Alekseev and M. O. Nestoklon, Effective one-band approach for the spin splittings in quantum wells, Phys. Rev. B 95, 125303 (2017). [15] O. E. Raichev, Magnetic oscillations of resistivity and absorption of radiation in quantum wells with two pop- ulated subbands, Phys. Rev. B 78, 125304 (2008). [16] N. S. Averkiev, L. E. Golub, S. A. Tarasenko, and M. Willander, Theory of magneto-oscillation effects in quasi-two-dimensional semiconductor structures, J. Phys.: Condens. Matter 13, 2517 (2001). [17] N. C. Mamani, G. M. Gusev, T. E. Lamas, A. K. Bakarov, and O. E. Raichev, Resonance oscillations of magnetoresistance in double quantum wells, Phys. Rev. B 77, 205327 (2008).
1310.1787
5
1310
2013-11-19T07:48:34
A study of the fractional quantum Hall effect: Odd and even denominator plateaux
[ "cond-mat.mes-hall" ]
We present a different approach to the fractional quantum Hall effect (FQHE), focusing it as a consequence of the change in the symmetry of the Hamiltonian of every electron in a two-dimensional electron gas (2DEG) under the application of a magnetic field and in the presence of an electrostatic potential due to the ionized impurities, and leading to a breaking of the degeneration of the Landau levels. As the magnetic field increases the effect of that electrostatic potential evolves, changing in turn the spatial symmetry of the Hamiltonian: from continuous to discrete one. The aim of both works is to give a different picture not only of the FQHE phenomenon, but a coherent one with the integer quantum Hall effect (IQHE) and consistent with the model already described in Hidalgo7, 8, 9. Therefore the model gives a global view of both effects, showing that they are aspects of the same phenomenon, and justifying not only the appearance of the odd denominator plateaux but also the even ones; and giving some physical reasons for the experimental fact that there are much more odd than even denominator plateaux, hardly observed
cond-mat.mes-hall
cond-mat
A study of the fractional quantum Hall effect: Odd and even denominator plateaux M. A. Hidalgo Departamento de Física y Matemáticas Universidad de Alcalá Alcalá de Henares, Madrid, Spain Correspondence and request for materials should be addressed to [email protected] Abstract We present a different approach to the fractional quantum Hall effect (FQHE), focusing it as a consequence of the change in the symmetry of the Hamiltonian of every electron in a two- dimensional electron gas (2DEG) under the application of a magnetic field and in the presence of an electrostatic potential due to the ionized impurities, and leading to a breaking of the degeneration of the Landau levels. As the magnetic field increases the effect of that electrostatic potential evolves, changing in turn the spatial symmetry of the Hamiltonian: from continuous to discrete one. The aim of both works is to give a different picture not only of the FQHE phenomenon, but a coherent one with the integer quantum Hall effect (IQHE) and consistent with the model already described in Hidalgo7, 8, 9. Therefore the model gives a global view of both effects, showing that they are aspects of the same phenomenon, and justifying not only the appearance of the odd denominator plateaux but also the even ones; and giving some physical reasons for the experimental fact that there are much more odd than even denominator plateaux, hardly observed. Introduction One of the amazing phenomena in last decades in solid state physics have been the appearance of the integer quantum Hall effect (IQHE), in 19801, 2, and the FQHE two years later, in 19823. Both phenomena, phenomenologically similar, show their main features in the data related to magnetotransport in a two-dimensional electron system (2DES): minima or zeroes in the longitudinal resistance, i.e. Shubnikov-de Haas effect (SdH), and well- defined plateaux in the Hall resistance (at integer or fraction values of the fundamental Hall resistance RH = 2eh ). Although the IQHE can be observed in a 2DESs form in quantum wells (QW), MOSFET or semiconductor heterostructures, to measure the FQHE high motilities heterostructures (like GaAs-AlGaAs) are necessary to the corresponding plateaux in the Hall measurements be clearly observed. Theoretically, while the IQHE may be understood from Landau quantization as a single particle localization effect4, however, the FQHE is thought to be a consequence of the strong electronic correlations when a Landau level is only partially filled and the Coulomb interaction between the electrons of the gas became relevant. In 1983, Laughlin5 proposed that the origin of the observed FQHE 1/3, -as well any 1/q with q being an odd integer-, is due to the formation of a correlated incompressible electron liquid; and in that view the electron-electron interaction is analyzed constructing several electron wavefunctions ad hoc, i.e. therefore the main task is searching for explicit trial wave functions corresponding to the states of the 2DES that does not break any continuous spatial symmetry and show energy gaps. The nature of these states is associated with uniform density condensates. After the discovery of the 1/3 plateau, many other fractional plateaux have been observed, globally grouped in the general expression p/(2sp±1), with s and p being integers. This series has been interpreted in the context of the so-called composite fermions, according to which the FQHE may be viewed as an IQHE of quasiparticles consisting of an electron capturing an even number of flux quanta6. These composite entities become elementary particles of the system. The observation of the FQHE was completely unexpected, initially with the appearance of the 1/3 plateau2, and then confirmed with the observation of large series of other fractional plateaux, most of them odd: {4/5, 2/3, 3/5, 4/7, 5/9, 5/3, 8/5, 11/7, 10/7, 7/5, 4/3, 9/7}10; {5/3, 8/5, 10/7, 7/5, 4/3, 9/7, 4/5, 3/4, 5/7, 2/3, 3/5, 4/7, 5/9, 6/11, 7/13, 6/13, 5/11, 4/9, 3/7, 2/5, and also 8/3, 19/7, 33/13, 32/13, 7/3, 16/7}11,12; {2/3, 7/11, 3/5, 4/7, 5/9, 6/11, 5/11, 4/9, 3/7, 2/5, 1/3, 2/7, 3/11, 4/15, 3/13, 2/9}13; {14/5, 19/7, 8/3, 13/5, 23/9, 22/9, 17/7, 12/5, 7/3, 16/7, 11/5}14; {19/5, 16/5, 14/5, 8/5, 7/3, 11/5, 11/3, 18/5, 17/5, 10/3, 13/5, 12/5}15. Although sometimes showing the measurements even planteaux: {15/4, 7/2, 13/4, 11/4, 5/2, 9/4}10; {11/4, 5/2, 9/4}11; {1/4}13; {11/4, 21/8, 5/2, 19/8, 9/4}14; {7/2, 5/2}15. Looking at the extensive series observed, and their recurrence in the experiments on different 2DESs, the fundamental character of the FQHE phenomenon is obvious, seeming clear the common origin of the physics underneath. In this context, it is important to highlight the fact that in all FQHE measurements the integer plateaux are also observed. From a detailed analysis of the experimental data related to the FQHE published in literature, we can establish the following set of general features found in all of them: 1) Basically the main fractions observed in the measurements correspond to odd plateaux; 2) the electron densities are always low; 3) the 2DESs have high mobilities; 4) the integer plateaux are always observed and, even more, much more well defined than in the 2DESs where only the IQHE appears. The two last experimental facts, 3) and 4), seems to contradict the Laughlin argument for the IQHE2, concerning on the need of the presence of impurities to explain the appearance of the integer plateaux –in the FQHE measurements, all of them on high mobility samples, the integer plateaux are extremely well defined-. Moreover, the observation of both, integer and fractional plateaux, in any FQHE experiment stand in contradiction with the theoretical view give in literature for each one: the IQHE as a phenomenon associated with a 2DEG, while the FQHE related to a Fermi liquid (Laughlin’s picture). In sight of the experimental results, these pictures would imply a succession of phase transitions in the 2DES, (electron gas- liquid transitions). Indeed, several attempts to understand the SdH oscillations and the IQHE have been published2, 7, 8, 9. The most accepted picture is based on the ‘gendanken’ experiment thought up by Laughlin2, where the 2DES localized states due to impurities and defects would play a crucial role to explain the plateaux of the IQHE and the simultaneous minima values, close to zero, in the SdH. However, as we have mentioned above, the main criticism to this idea is the experimental evidence: higher electron mobility provides better plateaux precisions. But, alternatively, the model for both, the IQHE and the SdH, described in reference 7, (and also in 8 and 9), does not precise involving localized states to justify the presence of plateaux in the IQHE, and zeroes in the SdH effect, but just two assumptions: a constant Fermi level - fixed by the 3D environment where the 2DES-is immersed, and, secondly, the possibility of existence of a flow of electrons from/to the QW to/from the doping zone of the heterostructure -large relative variations in the 2DES electron density are possible with negligible variations in the 3D doping area electron density-. Therefore, the view we propose implies that both phenomena, IQHE and FQHE, are aspects of the same phenomenon that, based on the quantization of the states of the 2DEG, will only depend on the external fields undergone by the electrons of the gas. Thus, the starting point for our FQHE study will be an extension of the model we develop for the IQHE7, 8, 9, and then analyzing the FQHE in the context of a single particle approach. Study of the experimental maxima of the SdH oscillations The Fermi level of a 2DEG is given by the equation E F = n 2 2 ! h e m * (1) where ne is the electron density of the 2DEG (easily obtained from the Hall measurements at low magnetic fields), and m* the corresponding effective mass. On the other hand, from the experimental SdH oscillations of any FQHE observation, one can check that the maxima of every oscillation match with the values of the magnetic field given by the relation B q p , ! q p m E * F e h (2) with p and q natural numbers7, and EF given by (1). Even more, each fraction p/q corresponds to the plateaux with the same fractional number. In fact, the same expression (2) is valid to determine the position of the maxima of the SdH oscillations in the experiments of the IQHE. Hence, because the maxima of the SdH directly reflects the energy states of every electron of the 2DEG, then, we conclude that, in the same way as the SdH maxima in any IQHE experiment are consequence of the existence of the quantization in Landau levels -determined by integer values-, we can assume that the SdH maxima in any FQHE experiment are due to the quantization in fractional levels (determined by p/q). Origin of fractional odd denominator quantum levels Therefore, following the idea just given in the last paragraph of previous section, we try to find the cause of such quantization in fractional states of energy, of course, basing us on the single electron approximation. Our starting point will be the symmetric gauge r A B y , x ( ! = ) 2 , being r B = , 0 0 ( , B ) the applied external magnetic field, assumed perpendicular to the plane defined by the 2DES. The Hamiltonian in the effective mass approximation is given by the expression H 1 = 1 m* 2 r ( r p eA + )2 (3) And the wave functions obtained for this Hamiltonian from the Schrödinger equation is " 1 2 m ! n = m 2 # ) - m n ! ( ) + n ! $ * . x ' ( " iy ' m ) exp r ' 2 4 % "' + & ( , L m n r ' 2 2 % ' + & ( , (4) being x ' x R= , y ' = y R , 2 r ' 2 x ' + = 2 y ' , R = h eB the magnetic length and L ! m # n % r ' 2 2 " $ & the Laguerre polynomials. This set of wave functions is orthonormal each other respect to both, the n and m indexes, this last one associated with the angular momentum of the electron. The energy states correspond to the Landau levels, i.e. nE = n + " $ & 1 2 # % ' ! h 0 = 2 ( n + 1 ) E 0 (5) n=0, 1, 2…, ! = 0 eB m* E != h 0 2 the fundamental angular frequency, and 0 . These levels are degenerate in all possible angular momentum states, determined by m. As it is well-known, the expected value of the square of the distance from the center of the trajectory of the electron to the origin -in our case each ionized impurities-, which we will reference below as Larmor radius, RO, is given by < OR 2 >= 2 qR (6) being q an odd number. This equation (6) allows us consistently defining the wavelength of the electron through the relation ! q 2 "= < R 2 O > . Then, its corresponding wave number will be k q = 2 ! " q = 1 R 2 O < = > 1 1 Rq = 1 q eB h But, actually, the general Hamiltonian of every electron in the 2DEG is H 2 = 1 m * 2 r ( r p eA + 2 ) + r U r ( ) = 1 m * 2 r ( r p eA + 2 ) + r r U r U r e i ( ) ( ) + (7) (8) The last two terms correspond to the energy contribution of the electrostatic potentials due to the ionized impurities, iU rr , and the electron-electron interaction, ( ) eU rr . (Later we ( ) discuss about the Zeeman term.) Of course, in all below we assume that the electrostatic potentials term is a perturbation respect to the predominant effect of the magnetic field. At low magnetic field the Larmor radius of every electron is large; then their interactions iU rr ( ) with the ionized impurities, -assuming they are distributed with a mean distance d i (value determined by the density of impurities), and because in that case we have id << R O2 , OR given by Equation (6)-, can be assumed to be a uniform term, i.e. U i 0 !< >r U r i ( ) along the 2DES. On the other hand, the electrostatic potential associated with the electron-electron interaction can be neglected in average due to the symmetry related to the electron distribution in the 2DES. Therefore, we suppose that the global electrostatic potential term acting on every electron is given by U U! 0 i 0 . Thus, under these conditions, the energy states for every electron are nE = 2 ( n + E U 1 ) 0 + 0 . However, at high magnetic fields the Larmor radius will be of the order of di, and, then, the effect of the ionized impurities over every electron will now contribute to the Hamiltonian with a non-uniform term iU rr . But this evolution in the effect of the electrostatic ( ) interaction term with the increasing magnetic field involves a change in the symmetry of the Hamiltonian of the 2DEG, changing from the initial continuous spatial symmetry, determined by U0, to a discrete one, iU rr , determined by the distribution of the ionized ( ) impurities. Therefore, under this new condition, we can view the new states associating them with an arrangement of cyclotron orbits reflecting the symmetry in the distribution of the ionized impurities: a short-range order like shown in Figure 1, where with black dot points are represented the ionized impurities. To characterize this new symmetry we establish some correlation lengths related to that short-range order, which we will express as ηd i. This term ηdi gives us the most probable spatial distance between the centers of the electron orbits in the 2DEG between closest neighbor electrons. In fact, as a consequence of that, it is hoped for the breaking of the degeneration of each Landau level. Then, the new symmetry requires that H r ( ) 2 = H r ( 2 d!" + i ) , where α is a natural number. And we express the correlation through the relation < m ! n r ( + d / H / ) "# i 2 m ! n ( r + d 1 [ ] " # ± i ) where we suppose that γ is the same for all cyclotron orbits. (We also assume the higher >= ± $ 2 (9) correlations terms negligible.) Although the set of functions { m n! }, (4), does not verify the Bloch theorem, we can construct a new base reflecting that new short range order, taking the linear combination of the cyclotron orbits functions, i.e. " d i ( k , r q ) = 1 N ' N ! 1 = ( exp ik q ) d m !# $ % n i r ( d !# & i ) (10) being N the normalization term representing the number of cyclotron orbits in any direction of the 2DES; this equation represents a set of orthonormal functions. Then, we have ! d i ( k , r q + d "# i ) = ( exp ik q $ ) d "# ! d i i ( k , r q ) , and the dispersion relation E =< ! d i ( ) k , r / H / q 2 ! d i ( k , r q ) > . Therefore, the new energy states are expressed by the equation E E = n ! m ( cos k d " q i ) , that, can be approached, under the conditions assumed, as E E = n ! ±m ( k d " q i )2 ! 2 , from where it is easy to deduce that 0U! = . Doing E n = E U m n 0 , we can write E E = n ± E 2 ! != ± q U 0 2 ( k d q i )2 " 2 ( k d ! q i )2 E n E! q + = , with (11) This equation (11) is similar to that corresponding to the free electron system with an effective mass m* = 2 h U d 0 2 i qE ! = ± 2 "! h 0 q 2 = ± 2 ! q E 0 . Hence, equation (11), using equation (7), can be written as (12) with q being an odd number. Hence, the possible energy states for every electron of the 2DEG are E E = n ± 2 ! q E 0 = 2 ( n + 1 ) E 0 ± 2 ! q E U + 0 0 = 2 n 1 + ± " $ & 2 ! q # % ' E U + 0 0 (13) The expected correlations in the arrange of the electron cyclotron orbits in a 2DES is like that as shown in Figure 1, i.e. short range order to the first and second neighbors, as explained above, and which correspond to values of the correlation length, ηdi, with η=1 and 3 , respectively. In fact, we can in general write ! = p , being the correlation index p an odd number, (in the case of Figure 1, correlation indexes p=1 and 3 are drawn). Hence, we have the energy states for every electron E ! h 0 = 1 2 " $ 2 & n 1 + ± p q # % ' (14) In Table I we detail these energy states for Landau levels n=0, 1 and 2, q values between 3 and 13, and p=1 and 3. The fractions already observed are highlighted in red color. As it is seen, all those values coincide with the families of odd denominator, and their corresponding sequences, obtained in the experiments of the FQHE10, 11, 12, 13, 14, 15. Eventually, we have to take into account the contribution of the Zeeman and the spin-orbit coupling terms. These can be summarized in the expression spinE = g m * * m! 4 h 0 , being g* the generalized gyromagnetic factor. In the FQHE conditions we can consider that all the electrons are uniformly polarized. In order to illustrate the model just presented we simulate both magnetoconductivities (and, then, the SdH and Hall effects) for a 2DEG. A detail description of the procedure for the IQHE is written in references 7, 8 and 9, and now we only summarize it. Firstly we have to obtain the density of states for an odd denominator, q, and for that purpose we use the Poisson sum formula, obtaining7 Eg ( ) q = g 0 ' ! 21 + & !% 6 5 p =1 AA p, p,S 9 cos - 3 11 + 2 , pqE 2 8 7 h 0 4 *g 4 0 .. / * ( ) $ ! # !" (15) where A p,S cos = mgp * * & $$ 2' m % # !! " is the term associated with the spin and spin-orbit coupling, and A ) p, exp = ' & % $ 2 p 22 2 )* 2 2 ( c h # " ! , the gaussian term related to the width of the energy levels, and due to the interaction of electrons with defects and impurities16. (For sake of simplicity we have assumed gaussian width for each energy level, and independent of the magnetic field.) From the density of sates the electron density is easily obtained7, 8, n = n 0 n , + = n 0 + eB 2 hq " ! p 1 = 1 p + AA p, p,S # sen X F * ) ' ( g * 4 & $ % (16) with X F ( qEp 2 # F = " h 0 ! * g )4 . (In this expression we have supposed a very low temperature, the ideal experimental condition to observe the FQHE.) From equations (15) and (16) the magnetoconductivities can be calculated7, 8, 9. For testing and comparing our model we have used the already classical experimental measurements by Willet et al.11. In Figures 2(a) and (b) we show the results at high magnetic fields for the Hall magnetoconductivity and both magnetoresistivities corresponding to the series with odd denominators q=3 and 5, respectively. Origin of fractional even denominator quantum levels We now try to find the origin of the quantization in fractional states of energy with even denominator. In the previous section, from the expected value of the square of the distance from the center of the electron trajectory to the own origin for q≥3, q being always an odd number, we have explained the appearance of the odd denominator plateaux. However, the analysis of the odd value q=1 remained pending. In this case the Larmor radius RO is given through the relation < OR 2 >= 2 R ; this is its minimum possible value because of the fact that the coordinates determining the distance from the center of the electron trajectory to the own origin do not commute (on the other hand, this also coincides with the value of the cyclotron radius of every electrón). Hence, following the same arguments used in the previous section, this relation allows us defining consistently the wavelength of every electron in these states through the equation 2 ! " = 1 < R 2 O > = R 2 " , and, then, its corresponding wave number k 1 = 2 ! " 1 = 1 R = eB h (17) In this case the change in the symmetry of the Hamiltonian of the 2DEG is also determined by the distribution of the ionized impurities. But to characterize this new symmetry under the conditions fixed, we again establish some correlation lengths related to that discrete distribution of the cyclotron orbits, which we will express as ηd, d being a fundamental distance. (This term gives us the most probable spatial distance between the centers of the electron orbits in the 2DEG between closest neighbor electrons.) As a consequence of that, it is hoped for the breaking of the degeneration of each Landau level. Therefore, to impose the new symmetry implies H r ( ) 2 = H r ( 2 d!" + ) , with α a natural number and, thus, the correlation can be required through the relation between nearest neighbors given by < m ! n r ( + d / H / ) "# 2 m ! n ( r + d 1 [ ] " # ± ) >= ± $ 2 (18) where we suppose that γ is the same for all cyclotron orbits. (We also assume the higher correlations terms negligible.) We can construct a new base reflecting that new short range order, taking the linear combination of the cyclotron orbits functions, i.e. " d k , r ( 1 ) = 1 N ' N ! 1 = exp ik ( 1 d m ) !# $ % n r ( d !# & ) (19) similar to equation (10). Then, following the steps given in the previous section, we find that the new energy states can be approached under the conditions assumed as E E = n ± " 2 k d ( ! 1 )2 E n E! 1 + = , with E 2 ! != ± 1 U 0 2 k d ( 1 )2 (20) where we have again assumed an effective mass m* = 2 h U d 0 2 . Hence, equation (20), using equation (17), can be written as E ! 1 2 = ± ! " h 0 2 2 = ± ! E 0 And the possible energy states for every electron of the 2DEG are E E = n 2 ! ± E 0 = 2 ( n + 1 ) E 0 2 ! ± E U + 0 0 = ( 2 n 1 2 + ± ! ) E U + 0 0 (21) (22) The expected correlations in the arrange of the electron cyclotron orbits in a 2DES under the conditions assumed in this section can be like that as shown in Figure 3, i.e. short range order to the first and second neighbors, where with black dot points are represented the ionized impurities, and in blue the cyclotron orbits, (the drawn square is only a guide for the eyes). As it is seen in the own figure, the correlation length ηd are such that ! = 1 2 1 2! = and . In fact, we can write in general ! = 1 j , being j the correlation indexes, in the present case an even number, (in our case j=2 and 4). Hence, from equation (22), we have the following energy states for every electron E ! h 0 = 1 2 " $ & 2 n 1 + ± 1 j # % ' (23) In Table II we detail these energy states for Landau levels n=0, 1 and 2, and values j=2 and 4. The fractions already observed experimentally are highlighted in red color. As it is seen, all those values coincide with the families of even denominator, and their corresponding sequences, obtained in the experiments of the FQHE10, 11, 12, 13, 14, 15. (The contribution term of the Zeeman and spin-orbit coupling terms to the energy of the electrons of the 2DEG in the case of even denominator states is completely similar to the contribution to the odd denominator states. See above) All above describes the conditions for the formation of even denominator states and, then, the corresponding plateaux. However, as it shows in the experiments, where it is almost never observed well-defined even denominator states and plateaux, the formation of such kind of states is not easy, what means, in light of the model, that is difficult the formation of such short-range order of cyclotron orbits. States associated with no correlations among cyclotron orbits From equation (14) is also possible to explain the origin of the fundamental even denominator states and plateaux: {1/2, 3/2, 5/2, 7/2}. Additionally to the states described in Tables I and II, there are other possible states associated with the lack of correlation among the cyclotron orbits of the electrons, and that we have not considered yet. However. in our framework such states are also included taking into account a correlation index p=0 in equation (14), Table III. A special analysis arises for the case of the 1/2 state, a priori expecting to be one of the most important plateaux, but being missing in all the experiments. This has been one of the most intriguing questions related to the FQHE. But, in the scenario describes is possible to understand the reason for that experimental fact: From Table III the 1/2 state is associated with the lack of correlation in the Landau level n=0 of the 2DEG, but this state should always appear at values of the magnetic field for what the Larmor radius are so small that the short range order due to the ionized impurities necessarily affecting them, and therefore the 1/2 state, associated with no correlation between each electron and the ionized impurities in the 2DEG, cannot form. Summary and Conclusions We have presented a different approach to the FQHE, being the change in the symmetry of the Hamiltonian of an electron of any 2DEG, when the magnetic field is increasing in the presence of the electrostatic potential due to the ionized impurities, the responsible of it. As the magnetic field increases the effect of that electrostatic potential evolves; changing in turn the spatial symmetry of the Hamiltonian: from continuous to discrete one. And thus, for example, it is shown that the main series of fractions observed in the experiments, ({1/2, 2/5, 4/9, 5/11, 6/13}, {2/3, 3/5, 4/7, 5/9, 6/11, 7/13}), are a consequence of the breaking of the degeneration of the first Landau level, n=0, due to that change in the Hamiltonian symmetry. On one hand, as is hoped for, looking at Figure 1, we see that the most odd probable states (and, then, plateaux) observed correspond to the correlation indexes p=1 and p=3 (see above for details). On the other hand, the even states, and the corresponding plateaux, are consequence of the correlations in the electron cyclotron orbits when correlations j=2 and 4 (see above for details). Therefore we think the model justifies all the odd and even plateaux observed in the experiments. We hope that the presented approach could be a good starting point to understand and analyze other quantum Hall effects. References 1. Klitzing, K. v., Dorda, G. & Pepper, M. New method for high-accuracy determination of the fine structure constant based on quantized Hall resistance. Phys. Rev. Lett. 45, 494-497 (1980). 2. Laughlin, R. B. Quantized Hall conductivity in two-dimensions. Physical Review B 23, 5632-5633 (1981). 3. Tsui, D. C., Störmer, H. L., Gossard, A. C. Two-dimensional magnetotransport in the extreme quantum limit. Phys. Rev. Lett. 48, 1559-1562 (1982). 4. Prange, R. E., Girvin, S. M. Editors. The Quantum Hall effect. Springer-Verlag (1990). 5. Laughlin, R. B. Anomalous quantum Hall effect: An incompressible quantum fluid with fractionally charged excitations. Phys. Rev. Lett. 50, 1395-1398 (1983). 6. Jain, J. K. Microscopic theory of fractional quantum Hall effect. Advances in Physics 41, 105-146 (1992). 7. Hidalgo, M. A. Contribución al estudio de los efectos galvanomagnéticos en el gas de electrones bidimensional. PhD Thesis. Editorial de la Universidad Complutense de Madrid (1995). 8. Hidalgo, M. A. A semiclassical approach to the integer quantum Hall problem. Microelectronic Engineering 43-44, 453-458 (1998). 9. Hidalgo, M. A., Cangas, R. A model for the study of the Shubnikov-de Haas and the integer quantum Hall effects ina a two dimensional electronic system. Arxiv: 0707.4371 (2007) 10. Clark, R. G., Nicholas, R. J., Usher, A., Foxon, C. T., Harris, J. J. Odd and even fractionally quantized states in GaAs-GaAlAs hetrojunctions. Surface Science 170, 141-147 (1986). 11. Willet, R. L. et al. Observations of an even-denominator quantum number in the fractional quantum Hall effect. Phys. Rev. Lett. 59, 1776-1779 (1987). 12. Willet, R. L. et al. Termination of the series of fractional quantum Hall states at small filling factors. Phys. Rev. B 59, 7881-7884 (1988). 13. Du, R. R., Störmer, H. L., Tsui, D. C., Pfeiffer, L. N., West, K. W. Experimental evidence for new particles in the fractional quantum Hall effect. Phys. Rev. B 70, 2944-2947 (1988). 14. Choi, H. C., Kang, W., Das Sarma, S., Pfeiffer, L. M., West, K. W. Fractional quantum Hall effect in the second Landau level. Arxiv: 0707.0236v2 (2007). 15. Shabani, J., Shayegan, M. Fractional quantum Hall effect at high fillings in a two- subband electron system. Arxiv: 1004.09/9v1 (2010). 16. Ando, T., Fowler, A. B., Stern, F. Electronic Properties of Two-dimensional Systems Reviews of Modern Physics 54, 437-672 (1982). Acknowledgments: The author would like to thank R. Cangas for valuable discussions. Table I: Fractional energy states for correlation indexes p=1 and p=3. 2 n 2 n 2 n 1 + + 2 n 1 + + 1 + # 1 + # 3 1 3 1 1 1 1 1 ! " ! " ! " ! " $ % # $ $ % # $ q q q q 2 2 2 2 & ' % & & ' % & n=0 n=1 n=2 n=0 n=1 n=2 n=0 n=1 n=2 n=0 n=1 n=2 8 5 2 7 4 1 - - - - - - 3 3 3 3 3 3 1 4 9 4 1 1 6 1 1 3 8 3 1 2 7 2 5 5 5 5 5 5 5 5 5 5 5 5 1 2 1 1 3 1 0 1 7 4 1 8 2 9 1 6 5 1 9 7 7 7 7 7 7 7 7 7 7 7 7 2 4 1 5 2 1 2 3 1 4 5 2 2 1 3 4 - - 9 9 9 9 9 9 9 9 9 2 6 1 8 7 2 9 4 2 8 1 7 6 2 7 1 6 5 11 11 1 1 11 1 1 11 1 1 1 1 1 1 11 1 1 6 1 9 33 7 2 0 32 5 34 8 2 1 31 13 13 1 3 1 3 1 3 1 3 13 1 3 13 13 1 3 1 5 11 1 8 13 1 2 9 E !h 0 q=3 q=5 q=7 q=9 q=11 q=13 The fractions already observed in the experiments of literature are highlighted in red10, 11, 12, 13, 14, 15. n corresponds to the Landau levels. As it is seen, the main odd denominator fractions usually observed are related to correlations indexes p=1 and p=3, i.e. correlations 1=! and lengths with 3=! , respectively. It is hoped for observing the fractions detailed in black in future experiments. Table II: Fractional energy states for correlation indexes j=2 and 4 The fractions already observed in the experiments of literature are highlighted in red10, 11, 12, 13, 14, 15. n corresponds to the Landau levels. As it is seen, the main even denominator fractions usually observed are related to correlations indexes j=2 and 4, i.e. correlations lengths with ! = 1 2 and 1 2! = It is hoped for observing in future experiments the fractions detailed in black. E !h 0 j=2 j=4 2 2 n n 1 + + 1 + # 1 1 1 1 " ! " ! $ # % $ j j 2 2 & & % ' n=0 n=1 n=2 n=3 n=0 n=1 n=2 n=3 1 5 9 13 3 7 11 15 4 4 4 4 4 4 4 4 29 21 27 11 3 19 5 13 8 8 8 8 8 8 8 8 Table III: Fractional energy states for correlation index p=0 The fractions already observed in the experiments of literature are highlighted in red10, 11, 12, 13, 14, 15. n corresponds to the Landau levels. As it is seen, the main even denominator fractions observed, or expected to be observed, are due to states corresponding with the lack of correlations among electrons, what in our model means a correlation index p=0. E !h 0 - 1 ) n + 1 2 ( 2 n=0 n=1 n=2 n=3 1 3 5 7 2 2 2 2 Figure legends: Figure 1: Short-range order expected in an ionized impurities distribution, and the corresponding arrangement of cyclotron orbits Expected close packing arrange of identical electron cyclotron orbits of a 2DEG in fractional quantum Hall conditions. In correspondence with Table I, the correlations detailed in the picture correspond to the correlation indexes p=1 and p=3, i.e. correlation lengths 1=! and 3=! , respectively. With black points the most probable arrange of ionizes impurities forming a close-packing structure are also highlighted. Figure 2: Simulation with the model just described of the Hall magnetoconductivity and both magnetoresistivities for the set of the odd denominator states q=3 and q=5, and the corresponding plateaux, both denominators related to a 2DEG sample with n=3×10-15 m-2. Taking the experiment by Willet et al.11 as the reference we show the simulations for the set of states corresponding to the odd denominators a) q=3; b) q=5. As can be checked, in both cases, the maxima of the Shubnikov-de Haas oscillations and the plateaux of the Hall effect of the model appear at the expected magnetic field values observed in the experimental measurements referenced. Figure 3: Short-range order arrangement of the identical electron cyclotron orbits of a 2DEG in even denominator fractional quantum Hall conditions Arrangement of identical electron cyclotron orbits of a 2DEG in fractional quantum Hall conditions when the Larmor radius corresponds to the magnetic length. In correspondence with Table II, the correlations detailed in the picture correspond to the correlation indexes usually observed, j=2 and 4, i.e. correlations lengths determined by ! = 1 2 and 1 2! = . With black points the most probable arrange of ionizes impurities forming a close-packing structure are also highlighted. In blue the cyclotron orbits are represented. The drawn square is a guide for the eyes.
1908.03048
1
1908
2019-08-08T12:59:29
A Theoretical Paradigm for Thermal Rectification via Phonon Filtering and Energy Carrier Confinement
[ "cond-mat.mes-hall", "cond-mat.mtrl-sci", "physics.app-ph" ]
We provide a theoretical framework for the development of a solid-state thermal rectifier through a confinement in the available population of phonons on one side of an asymmetrically graded film stack. Using a modification of the phonon gas model to account for phonon filtering and population confinement, we demonstrate that for an ideal material, with low phonon anharmonicity, significant thermal rectification can be achieved even in the absence of ballistic phonon transport. This formalism is used to illustrate thermal rectification in a thin-film of diamond (1-5 nm) graded to dimensions > 1 {\mu}m exhibiting theoretical values of thermal rectification ratios between 0.75 and 6. Our theoretical formulation for thermal rectification is therefore expected to produce opportunities to design advanced solid-state devices that enable a variety of critical technologies.
cond-mat.mes-hall
cond-mat
A Theoretical Paradigm for Thermal Rectification via Phonon Filtering and Energy Carrier Confinement∗ APS/123-QED Brian F. Donovan† Physics Department United States Naval Academy Annapolis, MD 21402 Ronald J. Warzoha Department of Mechanical Engineering United States Naval Academy Annapolis, MD 21402 (Dated: August 9, 2019) 9 1 0 2 g u A 8 ] l l a h - s e m . t a m - d n o c [ 1 v 8 4 0 3 0 . 8 0 9 1 : v i X r a 1 Abstract We provide a theoretical framework for the development of a solid-state thermal rectifier through a confinement in the available population of phonons on one side of an asymmetrically graded film stack. Using a modification of the phonon gas model to account for phonon filtering and population confinement, we demonstrate that for an ideal material, with low phonon anharmonicity, significant thermal rectification can be achieved even in the absence of ballistic phonon transport. This formalism is used to illustrate thermal rectification in a thin-film of diamond (1-5 nm) graded to dimensions > 1 µm exhibiting theoretical values of thermal rectification ratios between 0.75 and 6. Our theoretical formulation for thermal rectification is therefore expected to produce opportunities to design advanced solid-state devices that enable a variety of critical technologies. Introduction. -- The development of solid-state thermal architectures is expected to result in transformative technological breakthroughs similar to those realized in the information tech- nologies sector. For instance, thin-film thermal rectifiers have the capacity to revolutionize phonons as information carriers, allowing for the materialization of phononic computing [1]. Similarly, thermal biasing is pivotal for improvements in thermal barrier coating ef- fectiveness and heat mitigation in electronic devices [2]. Problematically, such biasing has traditionally been achieved either when thermal gradients are sufficiently large to produce corresponding gradations in temperature-dependent thermal conductivity across a set of dissimilar materials [2 -- 7] or when there exists mass gradation in the direction of heat flow [8]. Recent work by Chang et al. [9] describes a process to produce thermal rectification via the formation of an asymmetric structure that induces asymmetric boundary scatter- ing of phonons with respect to the direction of heat flow. In their work, the authors find that a partial mass loading of asymmetrically deposited, amorphous C9H16Pt particles on the outer surface of a boron nitride nanotube results in a measured thermal rectification of ∼ 7%. Others have also found asymmetry to be an effective mechanism to achieve ther- mal rectification [4, 10 -- 15]. Still, the magnitude of thermal rectification achieved through ∗ This work is currently under review. † [email protected] 2 asymmetric structuring remains relatively low (i.e. < 10-50%) in the absence of significant thermal gradients in the direction of heat flow [10]. In fact, the authors are aware of only one study that experimentally demonstrates a thermal rectification ratio well above this [16] by tunnel-coupling metals to superconducting elements. We note, however, the difficulty associated with the integration of such a device into practical thermal applications. In this work, we provide a physical construct that can be used to design thermal rectifiers that do not rely on thermal and/or mass gradients to produce an observable thermal rectification effect. To this end, we focus on the conditions necessary for thermal rectification from the per- spective of available wavelengths in the phonon density of states as thermal energy traverses thin-film material stacks in opposing directions. Modeling thermal rectification via phonon confinement. -- To demonstrate thermal rectifica- tion in thin-film, asymmetric material stacks, we employ the analytical treatment of phonon transport constructed by Callaway [17] and represented by, (cid:90) (cid:88) κ = 1 3 Cvνldk j k (1) (2) and, (cid:90) (cid:88) j k Cv = 1 2π2 ω ∂fBE ∂T k2dk where κ and Cv are thermal conductivity and volumetric heat capacity, respectively. In the above expressions, j represents the polarization index, ν the phonon group velocity, ω the angular frequency, k the wavevector and ∂fBE ∂T the temperature derivative of the Bose- Einstein distribution. As well, the phonon mean free path, l is incorporated into the physical representation of thermal conductivity to account for energy carrier scattering. The impact of extrinsic scattering mechanisms on the spectrum of phonon wavelengths that contribute to the thermal conductivity is analyzed using Matthiessen's rule, which al- lows us to isolate the intrinsic phonon mean free path, lin, and the mean free path considering the sample boundaries, lbound. This results in a representative thermal conductivity for a given phonon polarization as described by, 3 (cid:90) κ = 1 3 Cvν(cid:2)l−1 in + l−1 bound (cid:3)−1dk (3) In this work, we limit our analysis to one-dimensional heat flow across thin film configura- tions. Thus, lbound is treated as the characteristic length of an individual film. Within the framework of spectral contributions to thermal conductivity, we deduce that thermal rectification occurs when long wavelength phonons are unable to carry thermal energy across the film in the presence of a very thin, specular material boundary on one side of the film. Thus we rewrite the equation for the thermal conductivity (Eqn. 4) to highlight its wavelength (λ) dependence, and explicitly identify the components that are a function of phonon wave vector (k = 2π/λ). Moreover, it will become informative to discuss impacts to the thermal conductivity within the context of the phonon density of states, DOS(k), thus the integral that determines the thermal conductivity for a given phonon polarization as a function of wave vector can be written as, ν(k)(cid:2)lin(k)−1 + l−1 bound (cid:3)−1dk (4) (cid:90) kmax kmin κ(k) = ω(k)DOS(k) ∂fBE ∂T This integral is taken over the available wave vector space from kmin = π/d to kmax = π/a, where d is the physical dimension of the entire crystal and a is the lattice constant of the crystal. In the limit of most bulk formulations of thermal conductivity, the minimum wave vector is assumed to be zero (i.e. an infinite crystal). Typically, the impact of nanostructuring on thermal conductivity is assumed to be ac- counted for entirely by modifications to the phonon mean free path. In this case, phonons that have wavelengths greater than the dimensions of a given nanostructure (a material layer having nanometer thickness) are assumed to be present when calculating thermal conductiv- ity using the standard model, but are thought to heavily scatter at any material boundary (i.e. grain boundaries, film boundaries, or other interfaces). It is useful in this context to consider spectral contributions to the thermal conductivity. In Fig. 1, we observe the spectral thermal conductivity of the longitudinal acoustic phonon branch of diamond, which is calculated from the integrand of Eq. 4, plotted against phonon wavelength for an infinite crystal (d → ∞) with finite scattering dimensions of 5 mm. Further, we include the spectral thermal conductivity for 5 µm, 500 nm, 50 nm, and 5 nm films of diamond modeled using this same full integral for an infinite crystal, and account for the impacts of nanostructuring 4 FIG. 1. Spectral thermal conductivity as a function of phonon wavelength from a typical scattering limited thin film model. This function is integrated to obtain the thermal conductivity for a given material system. Curves are included for diamond films with thicknesses of 5 mm (black), 5µm (red), 500 nm (blue), 50 nm (green) and 5 nm (purple). Also included are dashed lines indicating where the wavelength of phonons corresponding to the film thickness lies. Phonons with wavelengths greater than the film thickness contribute far less (orders of magnitude) than the primary heat carrying phonons in each system. on thermal conductivity using a mean free path that is limited by boundary scattering. Figure 1 provides the relative contribution to the total thermal conductivity of single- layer diamond films with varying thickness at any given phonon wavelength. The Callaway model can be used to determine the effect that a given population of phonons has on total thermal conductivity by integrating over all possible phonon wavelengths. This has been addressed within the wider literature, most notably through the concept of mean free path accumulation [18 -- 23]. One immediate conclusion that can be drawn from this analysis is that the peak phonon wavelength is significantly smaller than the film's characteristic dimension, 5 and is further reduced as the sample dimension shrinks. We note now that this peak phonon wavelength contributes orders of magnitude more to the thermal conductivity than wavelengths that are on the order of the film thickness. To illustrate this point, the characteristic dimension used to determine the phonon mean free path by boundary scattering is plotted in Fig. 1 with a dashed, vertical line for each film thickness (or the bounds of the figure for the 5 mm film). When examining phonon wavelengths that extend beyond the limit of the physical boundary, their inclusion in the computation of film thermal conductivity can be omitted due to their relatively low contri- butions to thermal conductivity when compared to those wavelengths that span the peak. In the context of phonon filtering, boundary scattering preferentially eliminates (or filters out) contributions to the thermal conductivity from phonons that are greater than the film thickness. As a consequence of the relatively low contribution to the thermal conductivity made by phonons having wavelengths greater than the film thickness, we assert that these dimensions can be considered as the predominant confining features of the material. In fact, in a free standing nanostructured system (a suspended nanoparticle or unsupported membrane), the confinement of available phonons is clearly reduced to the nanostructured dimension and incorporation of longer wavelength phonons that are even available to undergo scattering would be non-physical [24, 25]. In this work, we examine the impact of treating those boundaries, which have previously been considered only as scattering sites, as sites that confine the population of phonons available to transfer thermal energy across a layered material system. This implies that the lower bound of our integration in wave vector space is governed by the characteristic dimension of the nanostructured component. In the case of a spherical nanoparticle, for example, the relevant dimension is the diameter (d) of the nanoparticle. Likewise, for a free standing film with one dimensional heat flow, the film thickness (t) serves as the relevant dimension for phonon confinement. In order to account for possible phonon confinement effects, we modify the bounds of our integration such that we disregard wave vectors below kmin = π/t. Thus, every component of the thermal conductivity in Eq. 4 that depends on the wave vector will assume the effects of phonon filtering or dimensional phonon confinement. This simple modification provides for a more rigorous treatment of the physics that govern thermal transport in multi-layer 6 nanoscale films, and allows for an additional mechanism to achieve thermal rectification in multi-layer material systems. We validate our confined phonon model by first comparing computations of temperature- dependent thermal conductivity for single-layer diamond films to those obtained by inte- grating across all phonon wavelengths, as shown in Fig. 2. In this case we use the acoustic branches of a real diamond phonon dispersion to determine the phonon frequency and group velocity [26, 27] and assume a spherical density of states. The intrinsic mean free path is determined by accounting for phonon-phonon scattering and phonon-impurity scattering when fit to literature data for bulk diamond systems. Values for bulk diamond thermal conductivity computed with the confined phonon integral are found to be consistent with those values available in the wider literature [18, 28 -- 30]. In Fig. ??, the confined phonon integration matches the full integral almost identically. The only deviation occurs at low temperature with single-digit nanometer sized films, which is physically appropriate considering the phonon population is not yet fully occupied in such thin films and long wavelength phonons begin to govern thermal transport. The comparison in Fig. 2 lends confidence that a confined phonon model captures phonon filtering identically to the Callaway model integrated over a full spectrum of all possible wavelengths. Thermal rectification in nanostructured film stack. -- In order to use a phonon confinement effect to achieve thermal rectification, layered (or graded) structures must be fabricated in such a way that a confined phonon population can be injected from one layer into an otherwise non-confined layer. Within a practical context, an ultra-thin film can be deposited above a thick film (whose phonon population is unconfined) to achieve this effect. Here, the ultra-thin film acts to filter out long wavelength phonons, where the available phonon population across the film boundary remains limited to the spectrum of incoming phonons. For the available phonon population to remain consistent on opposing sides of the film boundary, the interface between the phonon filter layer and adjacent thick layer(s) must be lattice matched and coherent. If the morphology of the interface results in diffuse and anharmonic phonon scattering, then the transport of thermal energy from one film to the other would result in a redistribution of phonons that assumes the thick film's enlarged density of states, rendering this formulation invalid. Likewise, the manifestation of thermal rectification requires a lack of redistribution of 7 FIG. 2. Thermal conductivity of diamond modeled over temperature using both a standard scattering limited Callaway model as well as a confined phonon population model. Models are shown for samples with bulk dimensions (black, solid line) as well as films of 5 µm, 50 nm, and 5 nm. In the thin film models, the standard "Full Inte- gral" scattering limited approach (blue line) matches the confined phonon population approach (red dashes) very well. The only deviation comes at low temperatures with the single-nanometer-scale film. the phonon population from anharmonic phonon-phonon scattering within the thick layer itself. While we account for these effects to determine the intrinsic mean free path within our model, we assume that the phonons injected into the thick film from the filter layer do not scatter anharmonically into other wavelengths that may not have been available to begin with. Each of these requirements are fulfilled in several existing material systems, including grain-graded, nanocrystalline diamond membranes (whose grain boundaries are twinned) [31] and Si-based superlattices [32]. Provided the above requirements are met, this treatment can be used to design a solid- state thermal rectifier using multilayer thin-films. The most palpable system to imagine is 8 FIG. 3. Thermal conductivity of diamond through the thickness of a bilayer (a) and multilayer (b) film going from the bottom side of the total film stack up (thin to thick) and the topside down (thick to thin). Models are shown using only scattering limited modeling (blue solid line and dashes, no directional difference) and the con- fined phonon population model (red dashed line from bottom up and solid line from top down). In the top-down direction both modeling approaches match, however in the bottom up, the confined phonon population model results in a limited thick-film thermal conductivity due to a lack of long wavelength phonons present in the incom- ing phonon population. This results in a significant difference in thermal conductivity and a large thermal rectification effect. a bilayer stack having one ultra-thin layer deposited above a thick layer. In Fig. 3a we provide an example of thermal rectification in a bilayer diamond film system that consists of a 1 µm film above a 1 nm film. Fig. 3 provides for an interesting result. In the typical "full integral" model, we see that the thermal conductivity is not directionally dependent when calculated using mean free paths that are limited by boundary scattering (as expected). Conversely, the phonon confinement analysis does yield a directionally-dependent thermal conductivity. When we apply these physics to the case when heat flows from the thick film to the thin film, the confined thermal model results in a thermal conductivity distribution identical to that obtained from the "full integral" model. However, if heat flows in the other direction, we obtain a significantly reduced thermal conductivity in the thick layer as we are not fully populating all available phonon modes. The directional-dependence of thermal conductivity results in thermal rectification, and is extremely significant in the limit of full phonon confinement. 9 Mathematically, the resulting thermal rectification originates from modifications to the limits of integration in Eq. 4. Considering the case when heat emanates from the thick- film side, kmin = π µm−1 (as well as lbound = 1 µm), which then become limited in the In the opposing direction, however, kmin = π nm−1 (and thin film portion of the stack. lbound = 1 nm). Even though the mean free path is relaxed as the heat moves into the thick layer (lbound = 1 µm), kmin does not change and so the confinement results in diminished thermal transport. In Fig. 3b we also examine these physics for a 20 layer material stack with logarithmically increasing thickness (in this case, ranging from 1 nm to 1 µm). This is the type of dimensional evolution that one might see from nucleation and grain growth in a typical top-down film deposition technique [31]. Here, our confinement still originates from the initial filtering layer (1 nm). We again note that this model assumes that no anharmonic interactions occur, however, traversing this many interfaces in a real material system would inevitably lead to some redistribution of phonon populations. Nevertheless, this provides an upper limit to thermal rectification (in the absence of any external temperature gradient or material asymmetry). A thermal rectification ratio, T R, is used to calculate the degree of thermal rectification achieved relative to other works [8, 12] and is represented by, T R = κT D − κBU κBU (5) where κT D is the thermal conductivity with heat moving from the top down and κBU is the thermal conductivity from the bottom up. The thermal rectification ratio is plotted for the bilayer system over various phonon fil- tering layer thicknesses in Fig. 4. In this formulation we compare the directional thermal conductivities in the thick layer as it represents the largest thermal resistor in the bilayer stack. We also include distributions for a number of different thick layer length scales, which reveal that despite primary limitations of the phonon filter layer, boundary scattering will still play a role in the thick layer's available phonon population. Effectively, thick layers with less boundary scattering are at greater risk for diminished thermal conductivity due to phonon confinement. However, even in a bilayer that consists of a 1 µm film with a 1 nm filter layer, the thermal rectification ratio is > 75%. We note that this is considerably 10 FIG. 4. Thermal rectification over various phonon filter dimensions (i.e. the thin layer in the bilayer film stack) computed for diamond films using the confined phonon population model. This is shown for multiple dimensions of the thick film, where thermal rectification effects become more extreme with a greater disparity between the filter layer and the top layer. Rectification is computed using the directional difference in the thermal conductivities in the top layer. higher than thermal rectification ratios that have been reported in literature (for cases where a large temperature gradient across the structure is absent). In the case where there is sig- nificant dimensional mismatch, extremely large thermal rectification ratios can be achieved (> 600%). The upper range of these thermal rectification ratios is expected to revolutionize a wide range of thermal devices and facilitate the development of phononic computing. Figure 4 suggests that when the filter layer thickness is greater than ∼10 nm, thermal rectification is negligible. This can be readily understood via the spectral contributions to thermal conductivity shown in Fig. 1. Here, the peak contributors to the thermal conductivity exist in the sub-10 nm range (particularly in the thin film regime). We should 11 note that while the bilayer system has a rectification factor that is governed by the thicker component, the multilayer system does not have the same spatial response. When multiple layers are present, the thermal rectification ratio is computed using average directional thermal conductivities in Eq. 5. This results in a thermal rectification ratio that is slightly reduced (63% in the 20 layer system that goes from 1 nm to 1 µm compared to 75% in the same extremes for a bilayer). Discussion. -- The use of a phonon filter layer to confine a population of phonons across a thin-film boundary is extremely promising for the realization of effective thermal rectifiers. These physical dynamics enable thermal rectification at realistic device scales with sam- ple configurations that readily lend themselves to microelectronics processing techniques. Critically, extreme thermal rectification ratios of several hundred percent are predicted for these systems, which will finally allow for robust experimental demonstrations of thermal rectification. We have enabled this physical understanding by modifying the well-known Callaway for- mulation for the thermal conductivity of a material based on heat capacity and thermal carrier dynamics. Critical to realizing thermal rectification, we have extended the model by considering the confinement of phonons when film thickness is sufficiently small. By restricting the integration limits to the characteristic dimension(s) of a film, we can di- rectly observe the impact of phonon confinement on the density of phonon states available for thermal transport while simultaneously capturing the phonon filtering effects that are well-known within the scientific community. This can be extended to multilayer, graded materials, which are an important class of materials for integration into larger system plat- forms. Though this analysis relies on a lack of anharmonic interactions across interfaces and within each material layer, it does provide an upper limit to thermal rectification that can be achieved in an ideal system. In diamond (a material that does, in fact, demonstrate negligible anharmonic scattering [26]), this upper limit is computed to be ∼600%, which is orders of magnitude larger than any experiments yet to be reported in literature. This is particularly significant given the additional potential to combine this effect with other mechanisms that are known to produce thermal rectification, such as geometric asymmetry and an imposed thermal gradient. Even if a fraction of this limit should be realized experimentally, it would 12 allow for the production of practical thermal devices and represent a major advancement in the thermal sciences. Acknowledgements. -- BFD and RJW would like to acknowledge the financial support of Mr. Peter Morrison and the Office of Naval Research under Contract No. N0001419WX00501. The authors are also grateful for critical insight provided by Dr. Andrew Smith. [1] L. Wang and B. Li, Thermal logic gates: computation with phonons, Physical Review Letters 99, 177208 (2007). [2] C. Dames, Solid-state thermal rectification with existing bulk materials, Journal of Heat Trans- fer 131, 061301 (2009). [3] Y. Li, X. Shen, Z. Wu, J. Huang, Y. Chen, Y. Ni, and J. Huang, Temperature-dependent transformation thermotics: From switchable thermal cloaks to macroscopic thermal diodes, Physical Review Letters 115, 195503 (2015). [4] M. Hu, P. Keblinski, and B. Li, Thermal rectification at silicon-amorphous polyethylene in- terface, Applied Physics Letters 92, 211908 (2008). [5] N. A. Roberts and D. Walker, Phonon transport in asymmetric sawtooth nanowires, in ASME/JSME 2011 8th Thermal Engineering Joint Conference (American Society of Me- chanical Engineers, 2011) pp. T30053 -- T30053. [6] J. Zhu, K. Hippalgaonkar, S. Shen, K. Wang, Y. Abate, S. Lee, J. Wu, X. Yin, A. Majumdar, and X. Zhang, Temperature-gated thermal rectifier for active heat flow control, Nano Letters 14, 4867 (2014). [7] G. Wu and B. Li, Thermal rectification in carbon nanotube intramolecular junctions: Molec- ular dynamics calculations, Physical Review B 76, 085424 (2007). [8] N. A. Roberts and D. Walker, A review of thermal rectification observations and models in solid materials, International Journal of Thermal Sciences 50, 648 (2011). [9] C. W. Chang, D. Okawa, A. Majumdar, and A. Zettl, Solid-state thermal rectifier, Science 314, 1121 (2006). [10] N. Yang, G. Zhang, and B. Li, Thermal rectification in asymmetric graphene ribbons, Applied Physics Letters 95, 033107 (2009). 13 [11] E. Pereira, Sufficient conditions for thermal rectification in general graded materials, Physical Review E 83, 031106 (2011). [12] Z. Yu, L. Ferrer-Argemi, and J. Lee, Investigation of thermal conduction in symmetric and asymmetric nanoporous structures, Journal of Applied Physics 122, 244305 (2017). [13] W.-R. Zhong, W.-H. Huang, X.-R. Deng, and B.-Q. Ai, Thermal rectification in thickness- asymmetric graphene nanoribbons, Applied Physics Letters 99, 193104 (2011). [14] N. Yang, N. Li, L. Wang, and B. Li, Thermal rectification and negative differential thermal resistance in lattices with mass gradient, Physical Review B 76, 020301 (2007). [15] Y. Wang, A. Vallabhaneni, J. Hu, B. Qiu, Y. P. Chen, and X. Ruan, Phonon lateral confine- ment enables thermal rectification in asymmetric single-material nanostructures, Nano letters 14, 592 (2014). [16] M. J. Mart´ınez-P´erez, A. Fornieri, and F. Giazotto, Rectification of electronic heat current by a hybrid thermal diode, Nature Nanotechnology 10, 303 (2015). [17] J. Callaway, Model for lattice thermal conductivity at low temperatures, Physical Review 113, 1046 (1959). [18] B. F. Donovan, B. M. Foley, J. F. Ihlefeld, J.-P. Maria, and P. E. Hopkins, Spectral phonon scattering effects on the thermal conductivity of nano-grained barium titanate, Applied Physics Letters 105, 082907 (2014). [19] K. T. Regner, J. P. Freedman, and J. A. Malen, Advances in studying phonon mean free path dependent contributions to thermal conductivity, Nanoscale and Microscale Thermophysical Engineering 19, 183 (2015). [20] J. Cuffe, J. K. Eliason, A. A. Maznev, K. C. Collins, J. A. Johnson, A. Shchepetov, M. Prun- nila, J. Ahopelto, C. M. S. Torres, G. Chen, et al., Reconstructing phonon mean-free-path contributions to thermal conductivity using nanoscale membranes, Physical Review B 91, 245423 (2015). [21] P. Jiang, L. Lindsay, and Y. K. Koh, Role of low-energy phonons with mean-free-paths¿ 0.8 µ m in heat conduction in silicon, Journal of Applied Physics 119, 245705 (2016). [22] A. J. Minnich, Determining phonon mean free paths from observations of quasiballistic thermal transport, Physical review letters 109, 205901 (2012). [23] A. Jain, Y.-J. Yu, and A. J. McGaughey, Phonon transport in periodic silicon nanoporous films with feature sizes greater than 100 nm, Physical Review B 87, 195301 (2013). 14 [24] S. Ghosh, W. Bao, D. L. Nika, S. Subrina, E. P. Pokatilov, C. N. Lau, and A. A. Balandin, Dimensional crossover of thermal transport in few-layer graphene, Nature Materials 9, 555 (2010). [25] L. Lindsay, D. Broido, and N. Mingo, Flexural phonons and thermal transport in graphene, Physical Review B 82, 115427 (2010). [26] J. Warren, J. Yarnell, G. Dolling, and R. Cowley, Lattice dynamics of diamond, Physical Review 158, 805 (1967). [27] G. A. Slack, Thermal conductivity of pure and impure silicon, silicon carbide, and diamond, Journal of Applied Physics 35, 3460 (1964). [28] J. Ma, W. Li, and X. Luo, Examining the callaway model for lattice thermal conductivity, Physical Review B 90, 035203 (2014). [29] Z. Cheng, B. M. Foley, T. Bougher, L. Yates, B. A. Cola, and S. Graham, Thermal rectification in thin films driven by gradient grain microstructure, Journal of Applied Physics 123, 095114 (2018). [30] Z. Cheng, T. Bougher, T. Bai, S. Y. Wang, C. Li, L. Yates, B. M. Foley, M. Goorsky, B. A. Cola, F. Faili, et al., Probing growth-induced anisotropic thermal transport in high-quality cvd diamond membranes by multifrequency and multiple-spot-size time-domain thermoreflectance, ACS Applied Materials & Interfaces 10, 4808 (2018). [31] A. Sood, J. Cho, K. D. Hobart, T. I. Feygelson, B. B. Pate, M. Asheghi, D. G. Cahill, and K. E. Goodson, Anisotropic and inhomogeneous thermal conduction in suspended thin-film polycrystalline diamond, Journal of Applied Physics 119, 175103 (2016). [32] X. Fan, G. Zeng, C. LaBounty, J. E. Bowers, E. Croke, C. C. Ahn, S. Huxtable, A. Majumdar, and A. Shakouri, Sigec/si superlattice microcoolers, Applied Physics Letters 78, 1580 (2001). 15
1609.03538
3
1609
2017-04-28T13:21:06
Stoner-type theory of Magnetism in Silicon MOSFETs
[ "cond-mat.mes-hall", "cond-mat.str-el" ]
We consider quasi-two-dimensional gas of electrons in a typical Si-MOSFET, assuming repulsive contact interaction between electrons. Magnetisation and susceptibility are evaluated within the mean-field approach. Finite thickness of the inversion layer results in an interaction-induced electron wave function change, not found in both purely two-dimensional and three-dimensional (bulk) cases. Taking this self-consistent change into account leads to an increased susceptibility and ultimately to a ferromagnetic transition deep in the high-density metallic regime. We further find that in the paramagnetic state, magnetisation increases sublinearly with increasing in-plane magnetic field. In the opposite limit of low carrier densities, the effects of long-range interaction become important and can be included phenomenologically via bandwidth renormalisation. Our treatment then suggests that with decreasing density, the metal-insulator transition is preceded by a ferromagnetic instability. Results are discussed in the context of the available experimental data, and arguments for the validity of our mean-field scheme are presented.
cond-mat.mes-hall
cond-mat
Noname manuscript No. (will be inserted by the editor) J. Low Temp. Phys., in press. arXiv:1609.03538 Stoner-type theory of Magnetism in Silicon MOSFETs D. I. Golosov September 25, 2018 Abstract We consider quasi-two-dimensional gas of electrons in a typical Si-MOSFET, assuming repulsive contact interaction between electrons. Mag- netisation and susceptibility are evaluated within the mean-field approach. Fi- nite thickness of the inversion layer results in an interaction-induced electron wave function change, not found in both purely two-dimensional and three- dimensional (bulk) cases. Taking this self-consistent change into account leads to an increased susceptibility and ultimately to a ferromagnetic transition deep in the high-density metallic regime. We further find that in the paramagnetic state, magnetisation increases sublinearly with increasing in-plane magnetic field. In the opposite limit of low carrier densities, the effects of long-range interaction become important and can be included phenomenologically via bandwidth renormalisation. Our treatment then suggests that with decreasing density, the metal-insulator transition is preceded by a ferromagnetic insta- bility. Results are discussed in the context of the available experimental data, and arguments for the validity of our mean-field scheme are presented. Keywords MOSFET · 2DEG · magnetic properties · ferromagnetism PACS 73.40.Qv · 71.30.+h · 75.70.Cn 1 Introduction Silicon metal-oxide-semiconductor field-effect transistors (Si-MOSFETs) have been in the focus of an extensive research effort throughout the ongoing studies of the properties of low-dimensional electron systems. Fifty years ago, electrons in the Si-MOSFET inversion layers[1] were among the first experimental re- alisations of 2-dimensional (2D) electron gas (2DEG) [2]. Some thirty years D. I. Golosov Department of Physics and the Resnick Institute, Bar-Ilan University, Ramat-Gan 52900, Israel E-mail: [email protected] 7 1 0 2 r p A 8 2 ] l l a h - s e m . t a m - d n o c [ 3 v 8 3 5 3 0 . 9 0 6 1 : v i X r a later, they yielded the first example of a metal-insulator transition (MIT) in a 2D system[3,4]. While the full understanding of this phenomenon is yet to be achieved, a remarkable progress toward this goal has been made both experimentally and theoretically[5]. In particular, attention was paid to the close interplay between spin and charge degrees of freedom, as exemplified by strong positive magnetoresistance in a parallel magnetic field (when orbital effects are negligible)[4,6]. This allows, for example, to use an electric current for manipulating the spin density in restricted geometries[7], which appears relevant in the general context of spintronics. As opposed to the magnetotransport, measuring the magnetic properties of the 2DEG presents formidable experimental difficulties[8,9,10]. In the case of Si-MOSFETs, such measurements are necessarily indirect, and both accuracy and interpretation of the results can and should be questioned. Nevertheless, it was established that the low-field magnetic susceptibility in the metallic state increases when the carrier density (controlled by a voltage applied to the metal- lic gate) is decreased toward the MIT. It is not yet reliably verified whether this increase is finite[11], or a ferromagnetic transition takes place in the vicinity of the MIT[8,9]; in addition, evidence of magnetic inhomogeneities has been re- ported recently for low densities[12]. An important theoretical study suggests a divergence of the electron effective mass and hence of the susceptibility at the MIT without an associated magnetic transition[13]. It should be noted that the possibility of ferromagnetism in a 2DEG is a fascinating subject in itself, originally suggested on the basis of numerical investigations[14]. While this suggestion finds further support in some sub- sequent numerical work [15], others[16] do not find any critical behaviour of susceptibility in a low density two-valley 2DEG (the latter, as appropriate for a Si-{100} MOSFET). It was also noted that in reality, the inversion layer has a finite thickness (which increases for smaller carrier densities), resulting in a quasi-2DEG (as opposed to a strictly 2D case). This was taken into account by including the appropriate formfactors[2] into diagrammatic summations[17,18] and Monte-Carlo numerical calculations [19,20]. Orbital effects of the in-plane magnetic field were invoked as well [21]. Thus, the magnetic properties of 2DEG in Si-MOSFETs attract consider- able attention from both theorists and experimentalists. It is therefore some- what surprising that a systematic Stoner-type mean field treatment has not been carried out for this case. This is probably due to the fact that in a 2DEG at low densities (in the vicinity of the MIT), the dominant role is played by the long-range Coulomb correlations, whereas the Stoner approach emphasises the local mean field, arising from the short-range (or on-site) repulsion. In agreement with Ref. [13], a comprehensive recent review[22] of experi- mental data finds a pronounced renormalisation of quasiparticle band on ap- proaching the MIT from the high-density metallic phase. Phenomenologically, the data correspond to a non-interacting 2DEG with a bandwidth vanishing at the MIT. We argue that the effects of the short-range interaction likely become important in this case, drastically modifying the magnetic properties of the system and leading to a ferromagnetic transition. This surely holds also 2 in the opposite case of high carrier density (deep inside the metallic phase): there, the on-site repulsion provides the dominant contribution to magnetic susceptibility, which increases with density. Due to the restricted geometry of electron motion in MOSFETs (finite layer thickness), the mean field the- ory takes on a somewhat unusual form as opposed to purely 2D or 3D cases. In addition to Zeeman-like energy shifts under a combined effect of inter- action and external field, one must take into account changes in the carrier wave functions. This effect, which was not included in previous treatments, leads to a further increase in susceptibility. This opens an intriguing possi- bility of a ferromagnetic transition in the region where the interaction is still not too strong, and hence the mean field approach is qualitatively valid. The latter should be contrasted with the well-known failure of mean field theory for the two-dimensional Hubbard model, where even in the case of infinite on-site repulsion ferromagnetism may arise only in a restricted range of val- ues of the carrier density[23,24,25] (although the ferromagnetic region of the phase diagram is broadened once the allowance is made for further-neighbour hopping[26] and for partial spin-polarisation in the ferromagnetic state[27]). On the other hand, we note recent results[28] on 2D atomic gases with short- range repulsion, suggesting that mean field theory may be overestimating the interaction strength required for ferromagnetism. For the purposes of the present study, it is obviously important to ade- quately estimate the strength of short-range interaction. While a recent article[29] suggests that the on-site repulsion is of order Uon−site ≈ 3 eV, this is likely to be an over-estimate, especially since the Wannier function in silicon can be expected to spread over several lattice sites. On the other hand, we wish to write the short-range interaction U3D for our continuum description in the form of a contact repulsion (or equivalently an s-wave scattering), U3D = U δ(x − x′)δ(y − y′)δ(z − z′) . (1) Interaction constant U includes contributions from those neighbouring sites j on the underlying discrete lattice where the wavefunction overlap with a given site i (or equivalently, the off-site repulsion Uij ) is non-negligible1: U ∼ a3Xj Uij , Uii ≡ Uon−site , (2) with a ≈ 5.43 A the lattice period. Taking the simple cubic lattice as an example, we see that the combined effect of a rather more realistic Uon−site ∼ 0.75 eV, the nearest neighbour Uij ∼ 0.25 eV and next-nearest neighbour repulsion of Uij ∼ 0.1 eV is the same as that of a Uon−site = 3 eV acting alone. Given the apparent absence of reliable ab initio data for Uij, we will be using the latter estimate henceforth. 1 While any Uij 6= 0 with i 6= j would also lead to an interaction between same-spin carriers, it obviously cannot give rise to an s-wave repulsion between these. The effects of p-wave and higher harmonics can be expected to be weak and will be neglected. 3 Metal Gate SiO layer 2 p−type Si substrate dox φ gate 0 z Fig. 1 Schematic view of a Silicon MOS- FET. The outline of the present paper is as follows. The model and the mean field scheme are introduced in Sect. 2. In the following section, we analyse the mean field solution in the low- to moderate density range, where only one transverse level is occupied, discussing the emergent behaviour and also comparing it to a simple variational result. As explained above, when approaching the MIT one has to take into account the bandwidth renormalisation due to the long- range interactions (Sect. 4). In the opposite regime of large densities, a proper description implies filling multiple transverse levels, as described in Sect. 5. We note that our results suggest a possibility of ferromagnetism in both cases. The field dependence of magnetisation in the paramagnetic phase is discussed in Sect. 6, and the concluding discussion is relegated to Sect. 7. Our analysis relies on a conjecture that the Stoner approach remains relevant in a 2D system down to sufficiently low densities. Arguments to this effect are given in the Appendix. Early preliminary results were reported in Ref. [30]. 2 Si-MOSFET inversion layer, and the mean field scheme Here, we generalise the familiar mean-field description[31] of an n-doped Si inversion layer, taking into account the short-range electron-electron repulsion and allowing for the presence of an applied magnetic field. In a Si-MOSFET, a quasi-2D conducting layer is formed on the surface of bulk silicon, and the spectral properties of the carriers depend on the crystal- lographic orientation of this surface. While this is not expected to affect our results at the qualitative level, we consider the case of a {100} surface. When a sufficiently large positive voltage φgate is applied to the metallic gate (which is separated from Si by an oxide layer, see schematics in Fig. 1), conduction band valleys dip below the Fermi level. The latter is fixed at the top of the valence band of the bulk Si, which we will use as a zero of energy, Ev = 0. An adequate description of electrostatics cannot be achieved without taking into account the impurities present in the bulk. Again, it is expected that the details are unimportant and we assume the presence of a single acceptor 4 level at E = 0 (more precisely, at a negligible positive E), with the volume density of acceptors NA. When a small positive voltage φgate is applied to the gate, a depletion layer of variable width zd is formed near the surface. Within this layer (at z < zd, assuming z = 0 at the surface), the acceptor states are occupied by electrons, whereas the bottom of the conduction band decreases linearly from its bulk value Ec to a (variable) value Ecs achieved at z = 0 (triangular potential; note that we consider the case of zero tempera- ture). Equivalently, the electrostatic potential φ(z) increases linearly from its constant value (which we choose as φ = 0) within the bulk (i.e., everywhere at z > zd). With increasing φgate, the value of Ecs becomes negative; at a cer- tain point thereafter, the lowest electronic states in the quantum-mechanical potential well formed by the bent conduction-band bottom (see below) drop below E = 0 and the quasi-2D electron gas (Q2DEG) with two-dimensional carrier density n is formed. Throughout, the value of Ecs is self-consistently determined by a condition φ(z = 0) ≡ − 1 e (Ecs − Ec) = − 1 C Qgate + φgate , (3) where −e is the electron charge, and Qgate is the (positive) surface charge density at the gate, which is exactly compensated by the induced charges in the semiconductor: Qgate = e(n + NAzd). C is the capacitance per unit area of the oxide layer, C = 4πǫox/dox where ǫox and dox are the dielectric constant of SiO2 and the layer thickness. We will be interested in the case where n exceeds the critical value n0 cor- responding to the MIT. In this regime, n is of the same order or larger than the two-dimensional density of depletion layer charge NAzd, and the potential felt by the mobile carriers can no longer be approximated by a triangular one; instead, we must solve a self-consistent Poisson equation, which for 0 < z < zd takes form: ǫ d2φ(z) dz2 = 4πe NA + Xl,a,α  nl,a,αψ2 , (4) l,a,α(z)  where ǫ is the static dielectric constant of Si. The charge density on the r.h.s. includes contributions from acceptors and from the Q2DEG carriers; the lat- ter are subdivided according to the number of the corresponding transverse- motion level a = 0, 1, 2, ... within the "ladder" l (with l = 0, 1, see be- low), and the spin index α =↑,↓. The corresponding 2D carrier densities and wave functions of transverse motion are denoted nl,a,α and ψl,a,α (with R ∞ 0 ψ2 l,a,α(z)dz = 1). The net densities of spin-up and -down electrons will be denoted by nα, so that nl,a,α , n = n↑ + n↓ , M = n↑ − n↓ 2 , (5) nα =Xl,a 5 where M is magnetisation density in units of the Bohr magnetone. We will be interested in the effects of in-plane magnetic field, in which case the spin- quantisation axis lies parallel to the Q2DEG plane (xy-plane). The value of electric field at the surface is found from the Gauss theorem as E(z = 0) = 4πe ǫ (n + NAzd), (6) and integrating Eq. (4) twice with the boundary conditions (3),(6) yields the electrostatic potential, φ(z) = 1 e 4πe ǫ (n + NAzd)z + 2πe ǫ z2NA + (Ec − Ecs) − ǫ Z z 4πe + 0 0  dz′Z z ′ Xl,a,α nl,a,αψ2 l,a,α(z′′)  dz′′ . (7) In turn, φ(z) enters the mean-field one-dimensional Hamiltonian which de- termines the carrier motion in the directions perpendicular to the plane. At smaller densities, only the two valleys with larger mass mk corresponding to the z-axis motion are relevant (ladder number l = 0, valley degeneracy γ0 = 2), with the corresponding Hamiltonian 2 2mk ∂2 ∂z2 − eφ(z) + UXl,a nl,a,−αψ2 l,a,−α(z) H0,α = Ec − 1 2 − Hσz αα , (8) Here, H is the applied magnetic field in units of gµB (bare g-factor times Bohr magnetone), and σz is the Pauli matrix. Owing to the finite thickness of Q2DEG, even an in-plane magnetic field leads to some orbital effects, as dis- cussed elsewhere[21,32] (experimentally, orbital effects of the in-plane field are indeed seen in magnetotransport measurements at small densities[6]). These are expected to be minor and are omitted in the present treatment. Eq. (8) includes the effects of short-range electron-electron interaction, Eq. (1). Presently, considerable research effort is directed at exploring the possi- bility of manipulating valley polarisation ("valleytronics"[33]). Here, however, we are concerned with the spin degree of freedom and for simplicity omit both the repulsion between same-spin electrons from different valleys, and the de- pendence of U on the valley indices. Yet we note that our approach can be easily generalised to include these effects. As the densities increase, electrons begin to populate also the four valleys (l = 1, γ1 = 4) where the larger mass mk corresponds to an in-plane direction of motion2. These are described by the Hamiltonian H1,α which is given by Eq. (8) with the substitution m⊥ → mk on the r. h. s. Since the electrostatic 2 The role of the l = 1 valleys was overlooked in Ref. [30]. 6 potential confines the electrons to the vicinity of the surface, the relevant (low-energy) parts of the spectra of the Hamiltonians Hl,α are discrete, Hl,αψl,a,α = El,a,αψl,a,α . (9) At M = 0 the levels are spin-degenerate (El,a,↑ = El,a,↓), and form the two sequences (termed "ladders"in Ref. [31]) corresponding to l = 0 and l = 1. A spin-up electron at a level l, a interacts with a spin-down electron with a level number l′, a′ via a 2D contact repulsion, = U l,a;l′,a′ 2D δ(x − x′)δ(y − y′) , ψ2 l,a,↑(z)ψ2 l′,a′,↓(z)dz . 2D U l,a;l′,a′ U l,a;l′,a′ 2D = UZ ∞ 0 (10) Note that while a similar integral with the same value of spin projections for both wave functions does not vanish, the same-spin electrons with different level indices do not interact. This is consistent with the underlying interaction U3D being a contact one, as the presence of two same-spin electrons at the same point is forbidden. Within the mean-field scheme, both the Hartree field due to U3D and the self-consistent potential φ depend solely on z, hence the energy of a Q2DEG carrier is a sum of the corresponding eigenvalue Ea,α of the transverse-motion Hamiltonian (8) and the free-particle contribution of the in-plane motion. In making this statement, we neglect the relativistic effects (spin-orbit coupling) which is justified not just because these are relatively small, but particularly because we are ultimately interested in thermodynamic quantities (magneti- sation and susceptibility) which involve integrals over all directions of the in-plane momentum. The 2D carrier densities for given level and spin indices are thus given by nl,a,α = −γlνlEl,a,αθ(−El,a,α) , √m⊥mk 2π2 m⊥ 2π2 , ν1 = ν0 = , (11) (12) where m⊥ is the smaller effective mass and θ is the Heaviside function. Throughout the relevant range of parameter values, the spread of the wave functions ψl,a,α(z) in the z direction is several orders of magnitude smaller that the depletion layer width zd. This means that the average values of z for spin-up and -down carriers, zα =Xl,a nl,a,αZ ψ2 l,a,α(z)zdz/nα (13) are much smaller than zd. Re-writing the last term in Eq. (7) as 4πe ǫ Xl,a,α nl,a,α(cid:20)zZ z 0 ψ2 l,a,α(z′)dz′ −Z z 0 z′ψ2 l,a,α(z′)dz′(cid:21) , 7 Table 1 Typical values of system parameters and material properties as used in the calcu- lations. me is the free electron mass. We chose the value of U corresponding to Uon−site ∼ 3 eV. Quantity SiO2 layer thickness Energy gap in Si Transverse (larger) effective mass In-plane (smaller) effective mass Acceptor density Critical density of MIT 3D contact repulsion Dielectric constant of bulk Si Dielectric constant of SiO2 Value 10−5 cm 1.12 eV 0.916 me 0.19me 1015 cm−3 7·1010 cm−2 7.5·10−34 erg·cm3 11.9 3.9 dox Ec mk m⊥ NA nc U ǫ ǫox we then find that the condition that ψl,a,α(z) decays before the value of z reaches zd translates into a useful relationship, 2πe2 ǫ NAz2 d = Ec − Ecs − 4πe2 ǫ (n↑z↑ + n↓z↓) . (14) In the following, we describe the results of calculations performed within this mean-field scheme in different regimes. The parameter values used are given in Table 1. In order to facilitate convergence of the numerical scheme, we made use of some of the algorithms employed previously in the non-interacting, zero-field case[34]. The z-coordinate is discretised, the system of Poisson and Schroedinger equations for z > 0 is solved, and its solution is fed back into the Hamiltonian for the next iteration. The infinite potential barrier at z ≤ 0 is modelled by cutting off the hopping to the z = 0 point of the discretised z-axis from the z > 0 side. In addition to including the effects of U and H, an important difference from the previous calculations (including Ref. [31]) is that instead of fixing Ecs, we set the problem in a more precise way, fixing φgate and solving for Ecs, n, M and zd. While the numerical calculations become more involved in this formulation of the problem3, it corresponds to the actual measurement setup. Physically, the difference becomes apparent in the phenomenological treatment of the strongly-correlated case in Sect. 4 (where the bandwidth, and hence Ecs, vary self-consistently), and also in the case of large magnetisation values encountered in Sects. 5 and 6. Indeed, if at a fixed value of Ecs and at U = 0 the field H is increased beyond the value H ↓ l,a corresponding to a full spin polarisation of carriers with a certain transverse-ladder and level indices l, a, the value of n would begin to increase as δn = (H − H ↓ l,a)/(γlνl) (the value of ∂H/∂n would be renormalised in an interacting system). This is unphysical as in reality this variation of n is for the most part suppressed by the large capacitance C in Eq. (3). We find that the relative change in n is in fact rather small (see below, Sect. 6). 3 In practice, we first fix Ecs and solve for n, M and zd, which can be done by feeding the results of each subsequent iteration back into the mean-field equations (11) and (14) (cf. Ref. [34]); the appropriate value of Ecs is then found as the root of Eq. (3). 8 Thus, the appropriate mean-field thermodynamic potential, which is min- imised by the suitable mean-field solution, corresponds to fixing φgate, rather than n: nl,a,α(cid:26)El,a,α + nl,a,α 2γlνl + ψ2 l,a,α(z)φ(z)dz(cid:27) − 0 e 2Z ∞ 2 Z zd 0 eNA G = Xl,a,α − Xl,l′,a,a′ − e 2 U l,a;l′,a′ 2D nl,a,↑nl′,a′,↓ − (n + NAzd)φgate . φ(z)dz (15) The three terms in the first line are the energies of z-axis and in-plane motion of the Q2DEG carriers, and the correction to exclude the double-counting of their electrostatic energy. Double-counting of the interaction energy is cor- rected by the first term in the second line, whereas the second term is the electrostatic energy of immobile electrons in the depletion layer. The last term, −Qgateφgate/2, corresponds to our choosing φgate as an external variable. A discussion of the applicability of our mean field scheme as outlined above is relegated to the Appendix. We will now turn to the results obtained in different regimes. 3 Electrical quantum limit: the single-level solution If the value of the gate voltage φgate is not too large, only the lowest quantum level E0,0,α of the z-axis motion for each spin direction can lie below the chemical potential and be populated by the Q2DEG carriers: nα = −2ν0E0,0,αθ(−E0,0,α) , nl,a,α = 0 for a ≥ 1 or l 6= 0. (16) This situation, which is termed electrical quantum limit, is somewhat simpler to analyse than the full multi-level case, and we will consider it first in order to illustrate certain key features of our mean-field results and underlying physical mechanisms. Moreover, we find it expedient to formally allow for values of φgate (or, equivalently, of n) to increase beyond the range where the electrical quantum limit is realised (the latter corresponds to lower carrier densities, n ≤ 3.2 · 1012 cm−2, see Sect. 5). This is accomplished by using Eq. (16) in place of Eq. (11), while keeping the rest of the mean field scheme intact. For quantitative results in the larger-density case of n > 3.2·1012 cm−2, the reader should refer to Sect. 5 below. Since within the present section the ladder and level indices of all quantities are always equal to zero, we will suppress these. Let us first briefly recall the usual Stoner picture, applicable both in the three-dimensional bulk and in the case of a perfectly 2D carriers. The latter have no z-axis degree of freedom and interact via contact potential, U2D = U2Dδ(x−x′)δ(y−y′). At the mean-field level, the effect of interaction is additive 9 with that of the applied field H, increasing the energy shifts of the two spin subbands (Zeeman splitting). The wave functions (which in the 2D case are given by products of δ(z) and the in-plane Bloch wave) are unaffected, and one readily finds the magnetic susceptibility, which in the 2D case is given by χ0 = ν0 1 − 2ν0U2D . (17) As long as both the 2D density of states ν0 and U2D remain constant, χ0 does not depend on density. If either of these can be varied to the extent that the denominator of Eq. (17) vanishes, the ensuing divergence of χ0 suggests a ferromagnetic transition. Owing to the independence of ν0 on the carrier energy in the 2D case, this critical point has a peculiar character of a dis- continuous transition with no hysteresis. Specifically, everywhere in the ferro- magnetic phase the mean-field free energy minimum is attained in the fully spin-polarised state, whereas at the transition point itself the free energy does not depend on the magnetisation. Thus the magnetisation shows a jump at the transition point, simultaneous with vanishing of both the spin stiffness (from the ferromagnetic side) and inverse susceptibility. These properties are strongly modified in the case of the Q2DEG as found in a Si inversion layer. First, note that the interaction strength U2D is given by Eq. (10) and depends on the density n. This is illustrated by the variational treatment, where the solution to Eq. (9) is sought in the form of an ansatz, [31,35] ψvar(z) =r b3 2 z exp(−bz/2) , (18) yielding U var dynamic potential, Eq. (15), which yields 2D = 3U b/16. The value of b is chosen by minimising the thermo- 2b3 4mk + 3 64 U nb2 − 12πe2 ǫ (cid:18)NAzd + 11 32 n(cid:19) = 0 . (19) The difference from the U = 0 result of Ref. [31] is in the addition of the second term on the l. h. s. This results in a slight decrease of the value of b (and hence in an increase of z0 ≡ z↑ = z↓ = 3/b) in comparison to the non- interacting case. Similar to the U = 0 case, we find that the variational value of E0 = E↑ = E↓, E0 = Ecs + 2b2 8mk +(cid:18)Nazd + 11 16 n(cid:19) 12πe2 ǫb + 3 32 U nb , (20) closely approximates the numerical result. In both U = 0 and U > 0 cases, the value of b increases with increasing n. This is due to the increase of the ratio n/NAzd (zd only weakly depends on n), which leads to a progressively larger part of the electrostatic field of the gate being screened by the mobile carriers within the layer of the Q2DEG (and not elsewhere within the depletion layer). Hence the potential φ(z) becomes steeper at small z, resulting in smaller z0 and larger b and U2D. 10 2 m c . g r e 7 2 − 0 1 , D 2 U 6 4 2 0 z α , 1 0 − 7 c m 3 2 1 0 Fig. 2 Density dependence of the effec- tive 2D short-range repulsion U2D (solid line, left scale) and of the average carrier distance from the surface z0 = z↑ = z↓ (dashed-dotted line, right scale), calculated at H = 0 by solving the mean field equa- tions numerically. Above the critical density n1 ≈ 8.4·1013 cm−2, the dashed-dotted line splits into two, corresponding to z↓ > z↑. Dashed and dotted lines show the values of U2D and z0, respectively, obtained using the variational wave function ψvar(z), Eq. (18). 11 10 13 12 10 10 n, cm −2 14 10 The decrease of zα and increase of U2D are also found in the numerical solution of the mean-field equations in the paramagnetic state, i. e., below the critical density n1 ≈ 8.4 · 1013 cm−2. The dependence of U2D and zα on n is depicted in Fig. 2, showing both variational and numerical results. We thus conclude that owing to the Q2DEG layer thinning, the quantity χ0 in the paramagnetic phase must be increasing with n, as indeed seen in numerical and variational results (see below, Figs. 4 and 5). An additional effect arises in the interacting case, U > 0, with the result that the actual magnetic susceptibility χ = ∂M/∂H is no longer given by Eq. (17). Indeed, it is easy to see that at U > 0, the appearance of a spin polarisation must be accompanied by a change in the transverse wave functions ψα(z) -- a phenomenon which does not occur in the familiar Stoner picture as outlined above. At the level of our mean-field Hamiltonian, Eq. (8), the effect of interaction U is that an electron feels an additional potential bump [the fourth term in Eq. (8)], centred around the peak of the opposite-spin wave function. In the absence of polarisation (M = 0), these peaks are located roughly at z ∼ z0 = z↑ = z↓ and are identical for spin-up and -down carriers. When the magnetisation differs from zero (either spontaneously in the ferromagnetic phase or due to an applied magnetic field), the height (proportional to n↑) of the potential bump in the Hamiltonian H↓ of the spin-minority electrons increases, pushing these further away from z↑ in the direction of larger z and increasing z↓ (note that now z↓ > z↑, as seen in Fig. 2 for n > n1 ). The associated bump in H↑ (although somewhat reduced in size, due to a reduction of n↓) is no longer centred at the peak of spin-majority electrons distribution -- rather, it is "pushing" on these electrons from the side of larger z, leading to a reduction of z↑. This situation, which is shown schematically in Fig. 3, leads to decreasing the overlap between spin-up and spin-down wave-functions, hence to decreasing U2D [see Eq. (10)]. This behaviour of the numerical result for U2D is clearly reflected in Fig. 2 for n > n1 (where M > 0, see the inset in Fig. 5 below). Ultimately the value of thermodynamic potential G (Eq. (15)) is reduced in comparison with the case where no allowance is made for the change of ψα(z) with M . In other words, as a result of wave functions profile 11 ψ (z)α 0 0 − (z)φe 0 Interaction-induced evolution of Fig. 3 transverse-motion wave functions with in- creasing magnetisation M . The spin-up and -down wavefunctions at M = 0 coincide (unpolarised case, shown schematically by the dashed line). In the presence of inter- action U , they split at M > 0 (solid lines). The electrostatic potential energy, −eφ(z), is shown for simplicity as a single dotted line [in reality, an increase of M is accom- panied by a small self-consistent change in φ(z) and in the value of zd]. z z d change it costs less energy to form a non-zero magnetisation, which translates into an increased value of susceptibility χ and into a decreased critical value of the interaction U2D(n) (evaluated at M = 0), required to destabilise the paramagnetic state4. In the purely 2D case, the latter is determined by a condition [cf. Eq.(17)] 1 − 2ν0U2D = 0 , (21) known as the Stoner criterion. As we already mentioned, what is varied in the actual measurements is the gate voltage φgate, which in turn causes the variation of n, directly accessible by measuring the Hall voltage. Hence the relevant quantity is the value of n, corresponding to the ferromagnetic tran- sition. Owing to the dependence of U2D on n, the l. h. s. of Eq. (21) for a given U may vanish at a certain critical value density, n0 (which is either very large or even infinite for our values of parameters). In reality, we find that the Stoner criterion is relaxed, viz., the l. h. s. of Eq. (21), is still positive at the critical density, n = n1. This is due to self-consistent dependence of the transverse-motion wave functions on magnetisation M , as discussed above. These ideas can be illustrated with the help of variational wave functions. As explained above, using the wave function (18) leads to χvar 0 = ν0 1 − 3U b(n)ν0/8 , 1 − 3U b(nvar 0 )ν0/8 = 0 , (22) 0 where nvar is the corresponding critical density. Let us now allow the spin-up and -down wave functions to differ form each other at M 6= 0, by writing, instead of Eq. (18), ψα(z) =r b3 α 2 z exp(−bαz/2) . (23) Here, we are interested in the limit of small polarisation, M ≪ n. Thus, b↑,↓−b = ±b1 is a small spin-dependent correction to the value of b which solves Eq. (19) at M = 0 and H = 0. We then substitute Eq. (23) into Eq. (15), which includes re-calculating the variational energies Eα =R ∞ 0 ψα(z)Hαψα(z)dz. To 4 Note that this wave functions change is not restricted to electrons in the vicinity of the 2D Fermi surface. This implies that Fermi liquid theory cannot be used to evaluate magnetic susceptibility, and the conventional Fermi-liquid expression for χ, which can be viewed as an analogue of Eq. (17), is inapplicable in this case. 12 leading-order in b1, M , and H, the thermodynamic potential G acquires a correction, δG =(cid:26) 2n 8mk +(cid:18)12NAzd + 1 −(cid:18)1 − 21 16 n(cid:19) πe2n ǫb3 − ν0bU(cid:19)(cid:26) 3 3 8 9U n2 64b + U nM b1+ U nν0b1H + ν0H 2 . (24) + + 9 ν0U 2n2(cid:27) b2 U bM 2 + M H(cid:27) + 2048 3 16 3 32 32 1 2 Note that b1, H, and the magnetisation M = (n↑ − n↓)/2 are not mutually independent. Indeed, M is obviously determined by the first-order correction to the variational energy E0, M = −ν0(E↑ − E↓) = ν0H + 4mk −(cid:18)NAzd + 11 32 −(cid:26) 2b or, with the help of Eq. (19), 3 8 ν0U bM − n(cid:19) 12πe2 ǫb2 (cid:27) 2νb1 , (cid:18)1 − 3 8 ν0bU(cid:19) M −(cid:18) 3 32 U nν0b + ν0H(cid:19) = 0 . (25) (26) We can now use this to exclude b1 in Eq. (24). Minimising δG with respect to M then yields M = χvarH, with the corresponding susceptibility χvar = L = ν0 , 1 − 3U b(n)ν0/8 − L 2048 (cid:26) 2b2 9ν0U 2nb2 + +(cid:18)Nazd + 7 64 8mk n(cid:19) 12πe2 ǫb − (27) (28) 9 64 U nb(cid:27)−1 . 2D , and the ratio L/(2ν0U var We see that the effect of wave function changing with M gives rise to the last term in the denominator in Eq. (27) [cf. Eq. (22)], and therefore leads to the susceptibility increase. The second term in the denominator equals 2ν0U var 2D ) is roughly of the order of nU2D/(E0−Ecs). Here, nU2D/2 is the net scale of the energy of the contact interaction, whereas E0 − Ecs is the energy of quantised motion along the z-axis [see Eq.(20)]. These variational results are illustrated in Fig. 4, where the solid line rep- resents Eq. (22), which uses the ansatz (18) for the wave function and does not allow for a wave function change with increasing M . The variational suscepti- bility χvar 0 = ν0, reflecting the increase of U2D as discussed above. Within a very broad range of n, it does not show any critical behaviour: indeed, at n as large as 3.5 · 1014 cm−2, χvar 0 /ν0 reaches the value of only 1.6. On the other hand, the quantity slowly increases with n from the non-interacting value of χvar 0 13 χ/ν 0 5 1 12 10 14 10 13 10 n, cm−2 Fig. 4 Variational results for the den- sity dependence of normalised susceptibil- ity, χ/ν0, in the single-level case. Solid line corresponds to Eq. (22) and does not in- clude the effect of H on the wave func- tion shape. Dashed line represents Eq. (27), obtained using the field-dependent varia- tional wave functions (23). Dotted line cor- responds to an improved ansatz, Eq. (29). 0 χvar [dashed line; see Eq. (27)] deviates upwards from χvar and diverges at nvar ≈ 1.13 · 1014 cm−2, suggesting a ferromagnetic transition. This is a con- sequence of the polarisation dependence of the wavefunctions (23), as outlined above. We note that the difference between χvar and χvar becomes appreciable only at large densities n, and the critical value nvar is also very large. This is due to our chosen wave functions shape, Eq. (23). Indeed, it is clear that the way these wave functions are changed with H is far from optimal. Much lower result for the critical density (nvar ≈ 2.89 · 1013 cm−2) is obtained when using an ansatz which includes additional parameters κ↑,↓: 0 ψα(z) ∝ zp1 + καb2 αz2 exp(−bαz/2) . (29) This results in a somewhat cumbersome expression for susceptibility, which is given in Ref. [30]; in Fig. 4, the corresponding value is plotted with a dotted line. It does not merge with χvar even at low densities because the optimal value of coefficient κ↑ = κ↓ at M = 0 differs from zero[30]. 0 The numerical solution of the mean-field equations in the single-level case yields the solid line in Fig. 5 (for comparison, the dashed line shows the value of χvar). The numerical result shows critical behaviour, with the correspond- ing critical n1 in the interval between nvar and nvar. Thus, we conclude that the latter two variational approximations respectively overestimate and under- estimate the ferromagnetic tendencies. The importance of the wave-function change with M in case of numerical results is illustrated by the dotted line in Fig. 5, which shows the value of χ0, Eq. (17), computed using the numer- ically calculated value of U2D at M = 0[see Eq. (10) and Fig. 2]. In other words, when calculating χ0 we used the exact mean field wave functions for M = 0. Thus, the quantity χ0 is defined only at n < n1, and we see that it remains smaller than the actual susceptibility χ and does not show any ten- dency toward criticality (similarly to χvar in Fig. 4). The interaction energy per a Q2DEG carrier can be estimated as nU2D/2 [see Eq. (8)] and decreases with n. Hence at small n it eventually becomes much smaller than the energy 0 14 χ/ν 0 2 2M/n 0.05 0 1 1.5 n/n 1 1 12 10 13 10 n, cm−2 14 10 Fig. 5 Numerical results for the den- sity dependence of normalised susceptibil- ity, χ/ν0, in the single-level case at H = 0 (solid line). We also show the susceptibility values corresponding to Eq. (27) (dashed line) and to Eq. (17), using the numeri- cal result for U2D (dotted line). The inset shows the numerical result for the degree of spin polarisation, 2M/n, which arises above the ferromagnetic transition at n1 ≈ 8.4 · 1013 cm−2. E0 − Ecs of the transverse motion, measured from the bottom of the potential well [estimated as 2/(mkz2 0)]. In this situation, transverse carrier motion is no longer affected by the interaction U , and in particular a change in M does not lead to an appreciable change of transverse wave functions. Indeed, we see that χ and χ0 become almost undistinguishable at densities below ∼ 5 · 1011 cm−2. The density dependence of magnetisation M in the ferromagnetic phase at n > n1 is shown in the inset of Fig. 5. It looks reminiscent of a typical mean field behaviour of an order parameter, yet as explained above this is not what is found in the Stoner treatment of a purely 2D case, where a jump in M is obtained. The difference is due to the transverse wave functions changing with increasing M : the resultant decrease of U2D moderates the increase of M with density. In the preliminary publication [30], we used a larger value of U (4/3 of the value used presently), leading to smaller values of numerical and variational critical densities. We find that the result of Ref. [30] for the numerical solution equals 0.64 of our present n1, and similarly for the variational ansatz, Eq. (29), Ref. [30] yields the critical density of 0.66nvar. We conclude that critical density is strongly dependent on U . As already mentioned, the simplified treatment described in this section, while illuminating, does not apply in the two important limiting cases, viz., the metallic behaviour at high densities and the correlated regime immediately above the MIT. We will now consider these in more detail. 4 Low carrier densities above the MIT Within the simplified single-level treatment of Sect. 3, the obtained value of magnetic susceptibility was found to increase with increasing density n, even- tually reaching a ferromagnetic instability deep in the high-density region (see 15 Figs. 4 and 5). We note that at the low densities above the MIT, only the low- est transverse-motion level lies below the chemical potential, hence Eq. (16), used in Sect. 3, is certainly valid. In this low-density range, the computed value of susceptibility as plotted in Fig. 5 (solid line) only slightly deviates from the non-interacting result, χ ≡ ν0 (see the dashed-dotted line in Fig. 6 below). However, the approach used in Sect. 3 is contingent upon the va- lidity of the assumption that after taking into account both Coulomb and contact interactions on average, the in-plane carrier motion can be treated as free. The latter becomes invalid at low densities, where the dimensionless parameter rs = m⊥e2/(ǫ2√πn) (relative strength of the long-range Coulomb interaction) significantly exceeds 1. Since at n ≈ nc = 7· 1010 cm−2 (see Table 1) we find rs ≈ 6.4, our mean field scheme as outlined in Sect. 2 is indeed inapplicable in this region. Here, we wish to argue that a phenomenologically- motivated modification should be introduced in the self-consistent mean field scheme in this regime. Recently, it has been noted[22] that the available data for the effective mass, susceptibility, and saturation field value in Si-MOSFETs above the MIT can be described phenomenologically by a 2D non-interacting Fermi gas with a renormalised in-plane mass: m⊥ = m⊥ n n − nc . (30) This behaviour was anticipated theoretically[36], and discussed in the general context of metal-insulator transitions[37]. Similar results were also obtained by radiative spectra measurements on GaAs/AlGaAs heterostructures[38]. In ad- dition, higher-temperature entropy measurements[39] on a Si-MOSFET sam- ple yield an effective mass peak at low densities. The peak becomes more pro- nounced when the temperature is lowered, and this effective mass enhancement is in a qualitative agreement with the low-temperature results as described by Eq. (30). The latter equation leads to a renormalisation of the density of states, m⊥ 2π2 = ν ≡ n n − nc ν0 (31) and (in the absence of the short-range interaction U ) to the Pauli in-plane susceptibility[22], χP = ν , (32) which diverges at the MIT (at n = nc). The latter is due to the effective band narrowing, and does not necessarily imply a magnetic instability (in agreement also with Ref. [13]). In the low-density region of n <∼ 1011 cm−2, the average distance between carriers is large in comparison with the inversion layer thickness (of the order of 10−6 cm). It is then natural to expect that while the long-range correlations are in fact prominent (as indicated by large values of rs), they affect the in- plane motion of the carriers only, whereas the finite carrier motion along the z-axis is still determined by a nearly triangular self-consistent potential φ(z). 16 Hence it appears that the effects of an additional short-range interaction U can be probed within the Hartree scheme as before. The only modification which needs to be introduced in the mean-field scheme of Sect. 2 is the substitution of ν in place of ν0 in Eq. (11) [or equivalently in Eq. (16)]. We emphasise that this approach does not constitute a self-contained theoretical treatment (hitherto missing), which should include both interactions from the start. In reality, what we attempt here is a phenomenological estimate, whose results underline the necessity of constructing a proper theoretical description. When neglecting the wave function dependence on magnetisation (which is indeed justified in this regime, see below), we obtain instead of Eq. (17): χ0 = ν 1 − 2νU2D . (33) As the density is lowered toward nc, the value of U2D stays finite while ν diverges, signalling a ferromagnetic instability at n∗ = nc (1 + 2ν0U2D) . (34) In order to roughly estimate the difference between this transition and the MIT, one can again use the variational ansatz (18), which yields U var 2D = 3U b/16. The second term in Eq. (19) for the variational parameter b is now neg- ligible, whereas in other terms n and NAzd are of the same order of magnitude as nc. Omitting all factors of order of unity, we obtain an order-of-magnitude estimate, n∗ − nc ∼ U ν0n3/2 c r1/3 s . (35) Variational and numerical results for susceptibility are shown in Fig. 6. As explained above, at U = 0 the (Pauli) susceptibility χP , Eq. (32), diverges at n = nc but does not show any ferromagnetic singularity at n > nc (dashed line in Fig. 6). Numerical solution of the mean-field equations [with renormalised density of states ν, see Eq. (31)] yields the value of χ showed by the solid line, with a ferromagnetic instability at n∗ ≈ 7.43 · 1010 cm−2. Hence taking into account the short-range U brings about the ferromagnetic transition above the MIT. The dashed-dotted line shows the results obtained within the approach of Sect. 3 with the same value of U but without renormalising the density of states (i. e., using ν0 rather than ν). While the dashed-dotted and solid lines eventually merge at higher n (where the effects of long-range correlations are weak), the dashed-dotted line remains featureless all the way down to n = nc. Similar to Sect. 3 above, a comparison with the results of Ref. [30] allows to verify the dependence of n∗ on U . We find that the result of Ref. [30] for (n∗ − nc)/nc is about 1.3 times larger than the one obtained herein, roughly agreeing with Eq. (35). We note that on the scale of the plot, the numerical value of χ(n) (solid line in Fig. 6) is indistinguishable from χ0, Eq. (33). This is because in the low-density regime, the characteristic energy scale nU2D of the short-range interaction is much smaller than the ground-state energy E0 − Ecs of the transverse carrier motion (the latter is about 16 meV at n = n∗ and increases 17 χ/ν 0 20 10 5 1 1 1.2 1.4 1.6 1.8 2 n/nc 2.4 Fig. 6 Magnetic susceptibility χ in the units of the bare density of states ν0 [see Eq. (12)] in the low-density region above the MIT. In-plane carrier mass is renor- malised according to Eq.(30). Solid, dashed, and dotted line correspond, respectively, to the numerical solution of the mean-field equations, Pauli susceptibility (32), and the variational result using Eqs.(18),(17) with the substitution ν0 → ν. Dashed- dotted line shows the numerical solution of the mean-field equations with the unrenor- malised density of states ν0. to E0 − Ecs ≈ 47 meV at n = 1012 cm−2, whereas nU2D increases from 0.05 meV to about 1 meV). In this regime, the short-range U almost does not per- turb the transverse motion, and in particular the magnetisation dependence of the carrier wave functions (see Fig. 3) is very weak. In turn, this magneti- sation dependence of ψα(z) is the only ingredient that distinguishes the full numerical solution of mean-field equations from the "Stoner" approach which yields Eq.(33). The dotted line in Fig. 6 corresponds to using the ansatz, Eq. (18), for ψα(z), which amounts to substituting U var 2D for U2D in Eq. (33). This would slightly underestimate the value of density at the ferromagnetic transition, the discrepancy being due to the variational nature of this approach. The ferromagnetic transition is second-order, and the full polarisation is reached at a certain density nF < n∗. Numerically, we find that the transition is very steep, with n∗ − nF ∼ 5· 107 cm−2. The latter value presumably is well below any experimental accuracy. This is in line with the preceding discussion: as explained in Sect. 3 above, within the conventional Stoner approach the mean-field transition would have been perfectly abrupt. The fact that the transition is in fact smooth is due to the dependence of wave functions on M (Fig. 3), which is very weak at low densities. Indeed, in the fully polarised state below nF we find5 (z↓ − z↑)/(z↓ + z↑) ≈ 6 · 10−4, reflecting a rather minute difference in the profile of spin-up and spin-down distributions. This should be contrasted with a pronounced difference between z↑ and z↓ above the high-density magnetic transition, as seen in Fig. 2. We emphasise that this mean field picture may be significantly modified once the effects of fluctuations are taken into account[28]. These may increase the value of n∗ − nc and turn the transition first-order; the latter would be in line with reported inhomogeneous behaviour in this region[12]. 5 Here n↓ = 0, and we need to re-define z↓ as z↓ = R ψ2 0,↓(z)zdz [cf Eq.(13)]. 18 The effects of finite temperature (beyond the strictly degenerate regime) are outside the scope of the present article. We speculate that the peak (rather than a divergence) of the effective mass reported in Ref. [39] may correspond to the scenario whereby the ferromagnetic ordering is stabilised at temperatures below those used in Ref. [39]. Our tentative results as outlined above imply that a ferromagnetic tran- sition occurs at a critical value of density n∗ which is a few per cent larger than that of the MIT (nc). On the other hand, available experimental results suggest the following two scenarios: (i) As the density is decreased toward the MIT, the susceptibility increases, reaching a large but finite value at the point of MIT[11]. Then the (asymptotic) value of transition critical density n∗ would lie below nc (the ferromagnetic transition is preempted by the MIT, at which point the properties of the system change and there is no transition at n = n∗). (ii) The susceptibility actually diverges in the vicinity of the MIT, with the two transitions occurring simultaneously or very close to each other[4]6. While it might appear that our present conclusions do not support either of these two possibilities, we wish to argue that our results can be re-interpreted and reconciled with the second one. Once the system is fully spin-polarised by an applied field, it exhibits insu- lating behaviour even at densities above the H = 0 MIT point[4]. The in-plane field can affect transport properties only via spin, i. e. via the magnetisation M (or equivalently via the degree of spin polarisation). Thus, it seems logical to expect that whenever the system is fully spin-polarised (either due to an external field or to intrinsic ferromagnetism), it turns insulating. That would mean that the actual MIT takes place at n = n∗ (we recall that the width of magnetic transition is expected to be negligible), whereas nc (which is a few percentage points below n∗) retains the meaning of an extrapolation pa- rameter controlling the bandwidth renormalisation [see Eqs.(30 -- 31)]. We note that the latter is somewhat similar to the scenario discussed in Ref. [18] in the context of long-range Coulomb interaction alone. The available experimental data for the effective mass (which can be de- duced, e.g., from the transport measurements[42]) and susceptibility do not allow to conclude with certainty that the latter indeed follows either Eq. (33), and not Eq. (32). The observed systematic differences[8,9,22] [see, e.g., Fig. 9 in Ref. [8]] between the measured χ and the calculated Pauli value χP [Eq. (32)] may be due, at least in part, to the experimental issues or inaccura- cies of interpretation. In order to reliably verify the importance of short-range interaction, further measurements would need to be performed closer to the MIT. 6 In addition to susceptibility measurements, further support comes from the density dependence of magnetic field value required to fully spin-polarise the system[40, 41]. 19 χ/ν0 1.2 1.1 1 Fig. 7 Magnetic susceptibility χ divided by the bare density of states ν0 in the in- termediate density range. Solid and dashed lines show, respectively, the numerical so- lution of the mean-field equations [taking into account the effective mass renormalisa- tion, Eq.(30)] and the Pauli susceptibility, Eq. (32). Dashed-dotted line corresponds to the numerical solution of the mean-field equations with the unrenormalised density of states ν0. 0.5 1 2 12 n, 10 cm 3 −2 5 The high-density metallic regime When the density is increasing further away from the MIT, the susceptibility continues to decrease, as shown by the solid line in Fig. 7 (which is a con- tinuation of the solid line in Fig. 6). This is due to the decreasing influence of the long-range correlations [taken into account phenomenologically via Eq. (30)], and indeed reflects the decreasing U = 0 phenomenological susceptibil- ity [Pauli susceptibility, Eq. (32), dashed line in Figs. 6 and 7]. Qualitative estimate confirms that in this region the long-range correlations weaken and ultimately cease to dominate, with rs ≈ 1 at n = 3 · 1012 cm−2. It is seen that as the value of n continues to increase, the susceptibility passes through a broad minimum at n ≈ 2.4 · 1012 cm−2 and begins to increase. The latter feature is due to the increasing role of the contact interaction U . This cor- responds to the increase shown by the dashed-dotted line, which depicts the value of susceptibility calculated using the unrenormalised value ν0 [see Eq. (12)] of the density of states (i. e., shows the effects of U only, along the lines of Sect. 3). A further increase in n leads to populating the second (first excited) level of carrier motion in the z direction. Indeed, we already mentioned in Sect. 3 that modelling the behaviour of the system at higher inversion-level carrier densities n requires taking into account the presence of multiple occupied levels. Thus, one has to implement the complete mean field scheme, without a simplification utilised in Sects. 3 and 4, where we used Eq. (16) in place of a more general Eq. (11). On the other hand, at these larger values of n the phenomenological carrier density of states ν [see Eq.(31)] approaches its unrenormalised value ν0. Indeed, the effect of the mass renormalisation at n = 3 · 1012 cm−2 on susceptibility is already negligible (the difference between solid and dashed- dotted lines at the right edge of Fig. 7), and decreases further with increasing n. Thus, we cross into the normal Fermi liquid regime, and we may use the unrenormalised value ν0 of the density of states (which somewhat simplifies 20 the complicated numerical calculation). A possibility of strong Fermi-liquid renormalisations at larger n owing to the contact interaction U will be dis- cussed in Sect. 7. Given the absence of data for high densities, we will be using the unrenormalised value ν0 throughout. We again begin with the conventional Stoner mean-field description of the paramagnetic phase, assuming that the transverse wave functions ψl,a,α(z) do not change when the magnetisation M varies. The latter assumption is essentially a variational one, and implies that when M is small, the (l, a)th transverse energy level of a spin-up electron (which at M = 0 is given by El,a,↑) acquires a correction, δ(0)El,a,↑ =Xl′,a′ U l,a;l′,a′ 2D δ(0)nl′,a′,↓ − 1 2 H , (36) and similarly for spin-down electrons. The matrix U2D (which in the paramag- netic phase is symmetric) is defined by Eq. (10), and the corrections δ(0)nl,a,α to the level occupancies at M 6= 0 are found self-consistently from Eq. (11). This leads to a set of self-consistency equations, δ(0)nl,a,↑ − δ(0)nl,a,↓ = γlνl × × H +Xl′,a′ (cid:16)δ(0)nl′,a′,↑ − δ(0)nl′,a′,↓(cid:17)  . U l,a,l′,a′ 2D This linear system is readily solved, and the "Stoner" susceptibility is then found as (37) (38) χ0 = 1 2H Xa (cid:16)δ(0)na,↑ − δ(0)na,↓(cid:17) . In the single-level case, Eq. (38) yields the familiar single-level result, Eq. (17). On the other hand, we note that in the multi-level case the "Stoner" result (38) includes effects of the restricted geometry, not found in either 3D bulk or purely 2D systems (see below). As long as the carrier density is not too high, n <∼ 3·1013 cm−2, the suscep- tibility value obtained by numerically solving the mean field equations (solid line in Fig. 8) is well described by the Stoner theory [dotted line, obtained from Eq. (38)]. As expected already in the non-interacting case (U = 0, correspond- ing to the dashed-dotted line in Fig. 8), once a new transverse motion level is populated the susceptibility suffers a jump. For our values of parameters we find that these are located at n(0,1) ≈ 3.3 · 1012 cm−2 and n(1,0) ≈ 4.9 · 1012 cm−2 (where the superscript is the number of the transverse motion level which dips below the Fermi level at the corresponding value of n, preceded by the number of the corresponding ladder). We note that the magnitude of the steps in χ/ν0 is renormalised in comparison with the non-interacting case, where for a step at every n = n(l,a) we find δχ(l,a) = γlνl/2. For ex- ample, the magnitude of the step at n = n(0,1) in our case is δχ/ν0 ≈ 1.08. As readily seen with the help of Eq. (38), the difference from unity is due to 21 χ/ν0 50 20 10 5 1 13 10 14 10 −2 n, cm Fig. 8 Magnetic susceptibility χ at large inversion-layer carrier densities n. Solid line depicts the numerical results of the full mean-field multi-level calculation, showing transition at nF M ≈ 1.15 · 1014 cm−2. Dot- ted line corresponds to the Stoner value χ0, as derived from Eq. (38), and the dashed- dotted line represents the non-interacting case of U = 0. 2D 2D = U 0,1;0,0 2D 2D 2D at fixed n are approximately proportional to U . the non-zero matrix elements U 0,0;0,1 (≈ 2.7 · 10−28erg · cm2) and U 0,1;0,1 (≈ 9.9 · 10−28erg · cm2). On the other hand, the difference of the base- line value of χ/ν0 just below the step, χ/ν0 ≈ 1.12, from unity is due to the (larger) U 0,0;0,0 (≈ 2.2 · 10−27erg · cm2). Note that in this density range, the values of U l,a;l ′,a ′ At n ∼ n(0,1), the magnetic susceptibility χ deviates only slightly from its value in the non-interacting case (see the dashed-dotted line in Fig. 8), confirming that the effects of short-range interaction are relatively weak. Thus it is natural that the precise value of n(1,0) does not strongly depend on U , e.g., at U = 0 we get[31] n(0,1) = 3.6 · 1012 cm−2. On the other hand, n(0,1) is sensitive to the acceptor density NA which can vary broadly. Indeed, for U = 0 and NA = 1014 cm−3 we find n(0,1) ≈ 2.2· 1012 cm−2 (which again agrees with Ref. [31]), whereas for U = 0 and NA = 1016 cm−3, n(0,1) ≈ 6.1 · 1012 cm−2. With a further increase in density, the numerical results for χ in Fig. 8 begin to deviate from the Stoner susceptibility χ0. This is because the short- range interaction begins to affect the transverse carrier motion, and the wave functions become polarisation dependent (see Fig. 3). Indeed, at n = 3 · 1013 cm−2 the most important energy scale of the transverse motion, E0,0,α−Ecs ≈ 480 meV, is only a few times larger than the (roughly estimated) interaction energy scale, 2n0,0,↑U 0,0;0,0 ∼ 70 meV (see discussion in Sect. 4 above). This deviation of χ from χ0 further increases with n, until χ(n) becomes critical signalling a second-order ferromagnetic phase transition at nF M ≈ 1.15 · 1014 cm−2. As mentioned above, at this point carriers populate three spin-degenerate levels of the z-axis motion, which is the reason behind the increase in the critical density nF M in comparison to the single-level estimate n1 of Sect. 3. Indeed, the wavefunction of higher levels are broader in the z- direction, which results in smaller values of the corresponding U i,j 2D (see the data for n = n(0,1) above) and hence in a certain weakening of the interaction effects. 2D 22 Overall, the dotted line in Fig. 8, which shows the multi-band Stoner sus- ceptibility χ0, Eq. (38), follows the numerical result much more closely than in the single-level case of Sect. 3 (see Fig. 5). The reason is that, as mentioned above, the respective transverse wavefunction spreads differ for different ac- tive levels. Within the multi-level Stoner scheme, at H 6= 0 these levels are shifted in a non-uniform self-consistent fashion [see Eq. 36)], giving rise to an H-dependent difference in the profile of the net spin-down and spin-up charge densities (cf. Fig. 3). In this way, a Stoner treatment yielding Eq. (38) is able, in the multi-level case only, to partially mimic the effect of wavefunction change as captured by the full numerical solution of the mean field equations, resulting in a better fit. Still, we find that Eq. (38) predicts7 a (discontinuous) ferromagnetic tran- sition at n0 ≈ 1.47 · 1014 cm−2, well above the actual transition density nF M . Hence the adequate self-consistent treatment of the wave function dependence on M is important for evaluating the critical density. In a direct analogy with Sect. 3, we conclude that the Stoner criterion of ferromagnetism is relaxed. Density dependence of the spontaneous magnetisation, M (n), is shown in Fig. 9 (solid line). The fact that the transition at n = nF M is smooth is ex- plained (as in Sect. 3, see also Sect. 6) by the magnetisation dependence of the z-axis motion wavefunctions. This effect is surprisingly strong: an increase of n by a factor of 2.8 is required to saturate the relative magnetisation. In- terestingly, the value of 2M/n then reaches a plateau at about 0.98 (with the 0th spin-down levels in both ladders pinned just below the Fermi energy). The complete spin polarisation, M = n/2, is not attained even at n ∼ 2.3 · 1015 cm−2. Given the inversion layer thickness of the order of 10−7 cm, this value ap- proaches the normal-metal range of three-dimensional carrier densities, where our approach becomes invalid. Owing to a larger effective mass and higher valley degeneracy, the only active level (0th) in the 1st ladder provides most of the density of states at the Fermi level. The evolution of average z values of carriers in this level, z(1,0) α =Z ψ2 1,0,α(z)zdz , with M , is characterised by increasing ratio p(1,0) = z(1,0) ↓ z(1,0) ↓ ↑ − z(1,0) + z(1,0) ↑ (39) (dashed line in Fig.9). Clearly, the spatial separation between opposite-spin carriers belonging to this level increases with magnetisation, and the magni- tude of p(1,0) mirrors the value of M . This can be understood in terms of Fig. 3 (see discussion in Sect. 3). 7 Values of U l,a;l′,a′ , needed to evaluate χ0 in the region nF M < n < n0, are obtained by finding the M = 0 (spin-degenerate) solution to the mean field equations, even as this solution does not minimise the thermodynamic potential, Eq. (15). 2D 23 1 0.5 0 p , n / M 2 −0.3 Fig. 9 Numerical results for the degree of spin polarisation, 2M/n, at the densi- ties n above the ferromagnetic transition: n > nF M ≈ 1.15 · 1014 cm−2 (solid line). The dashed and dotted lines shows the val- ues of p(1,0) and p, Eqs. (39) and (40), respectively. These highlight the difference between spin-up and spin-down carrier dis- tribution along the z-axis. 1 1.5 2.5 2 n/n FM On the other hand, the behaviour of overall average values zα [including contributions from all active levels, see Eq. (13)] is complicated by effects of particle re-distribution between different levels, as well as by inter-level interaction. For example, as M increases, a larger fraction of minority carriers resides in the levels of the 0th ladder which may reduce the ratio p = z↓ − z↑ z↓ + z↑ (40) (see the dotted line in Fig. 9). ↑ ↑ ↓ ↑ ≈ 1.64 · 1014 cm−2, n(0,3) In the ferromagnetic phase, spin-up and spin-down carriers no longer begin to populate new z-axis motion levels simultaneously. Indeed, our result for χ(n) shows further upward steps at n(0,2) ≈ 2.83 · 1014 cm−2, and n(1,1) ≈ 3.91 · 1014 cm−2, where spin-up electrons (only) begin to populate the 2nd and 3rd excited levels in the 0th ladder and the 1st excited level in the 1st ladder, respectively. In addition, there is a downward step at n(0,1) ≈ 3.23 · 1014 cm−2, where due to increasing polarisation M (n), the spin-down electrons cease to populate the 1st excited level in the 0th ladder. Interestingly, these points do not correspond to any noticeable features of magnetisation, M (n) (see Fig. 9). Overall, the non-monotonous density dependence of χ(n) in Fig. 8 in the ferromagnetic region above nF M should be ascribed to a combined effect of the wave functions changing and the carriers redistributing between the bands with increasing M . While relegating further discussion of these results to Sect. 7, we note that solving the mean-field equations in the multilevel case, in a broad range of values of density n, is a delicate numerical problem. For a given value of Ecs, the mean field equations (see Sect. 2) are first solved for a suitable variational ansatz of the type (18), yielding the values of zd, n, and M and the corrected wave functions; these are then fed back into the mean field equations and the process repeated until convergence is achieved (cf. Ref. [34]). It is found that the value of M converges rather slowly (as opposed to n and zd), necessitating a large number of iterations (up to some 8400 near the critical point, n = nF M ). In addition, since the wave function spread in the z-direction increases for higher levels, particular care should be taken in choosing large-z cutoff zmax 24 when solving the Schrodinger equation (9) and evaluating required integrals. For the values of n shown in Fig. 8, we found it necessary to increase the ratio of zmax to the average carrier coordinate (z↑n↑ + z↓n↓)/n in stages from 7 for smaller n to 34 for largest values. This subtlety, as well as the important role played by the l = 1 ladder of energy levels, was overlooked in Ref. [30], hence the preliminary results for the high-density regime reported therein are quantitatively incorrect. 6 Sublinear magnetisation In a purely 2D system, Stoner approach yields the value of magnetisation M (H) which increases linearly with field from H = 0 all the way up to the saturation field Hs. This is a consequence of the 2D density of states being energy-independent. When several 2D bands are present (corresponding in our case to different ladder and level indices l, a), the complete spin polarisation within a given band may be attained at field values H ↓ l,a < Hs, corresponding to El,α,↓ > 0 [cf. Eq. (11)]. In addition, new bands l′, a′ may become available as the corresponding energy for spin-up particles drops below the chemical potential (El′,α′,↑ < 0); we denote the corresponding fields H ↑ l′,a′ . The value of dynamic susceptibility χ(H) ≡ ∂M/∂H then shows jumps at H ↑,↓ l,a , while remaining constant elsewhere. These constant values of χ(H) between the jumps depend on the thermodynamic formulation of the problem -- whether it corresponds to the chemical potential (more precisely, µ − Ecs) or net carrier density n being fixed. As mentioned in Sect. 2, our system is closer to the latter regime (see below). The results of numerical calculation of M (H) for our system at three dif- ferent H = 0 carrier densities in the metallic regime are shown in Fig. 10 (a). We see that M (H) increases monotonically and continuously all the way up to saturation; there is no evidence of a discontinuity at H = Hs, which was reported[43,44] in the case of a 2DEG with Coulomb repulsion. For higher den- sities, one observes pairs of features (cusps), merged together on the scale of the figure. For n = 3.14· 1013 cm−2, these correspond to H ↓ 0,1/Hs ≈ 0.056 and H ↓ 1,0/Hs ≈ 0.067, whereas for n = 6.62 · 1013 cm−2 we find H ↓ 0,1/Hs ≈ 0.062 and H ↓ 1,0/Hs ≈ 0.079 (owing to a larger combined density of states γ1ν1, H ↓ corresponds to a stronger feature). For n = 2.63·1012 cm−2, there are two weak barely visible features corresponding to H ↑ 1,0 ≈ 0.42Hs. 0,1 ≈ 0.20Hs and H ↑ 1,0 As explained in Sect. 2 our calculation is performed at a fixed value of the gate voltage φgate, thus modelling the actual experimental setup. We find that for the zero-field density n = 6.62 · 1013 cm−2 increasing value of H from 0 to Hs leads to a decrease of the absolute value of Ecs by some 5%, whereas the density n increases by about 0.003 %. Corresponding values for the other two curves on Fig. 10 are similar. We see that indeed the system is much closer to the fixed-n regime than to that of a constant Ecs. We note that in all cases, 25 (a) 1 2M/n 0.5 0 0 0 0.5 1 H/H s −0.2 −0.4 −0.6 (b) 0 0.02 0.04 0.06 H/H s ) 0 ( χ / ] ) 0 ( χ − ) H ( χ [ the value of magnetic length8 lB =(cid:18) cµB eH (cid:19)1/2 Fig. 10 (a) Numerical results for the de- gree of spin polarisation in the paramag- netic phase, 2M/n, plotted as a function of renormalised magnetic field H/HS (n), where Hs(n) is the saturation field. Solid, dashed, and dotted line correspond, respec- tively, to the following H = 0 values of den- sity n: 2.63 · 1012 cm−2 (Hs ≈ 25 meV in energy units), 3.14 · 1013 cm−2 (Hs ≈ 139 meV), and 6.62 · 1013 cm−2 (Hs ≈ 198 meV). (b) The relative change of magnetic susceptibility χ at low fields for the same values of n(H = 0). = /p2meH (41) at H = Hs is two to three times smaller than the average value of z for the carriers, suggesting the importance of orbital effects of the in-plane field. While we do not take these effects into account, we note that elsewhere[21] these were found to result in a slight upward bend (superlinear behaviour) of the M (H) curve at U = 0 at low densities. It may appear that the behaviour of M (H) as shown in Fig. 10 (a) is linear except for the features at H ↑,↓ l,a . In reality, this holds only for the lowest density, n = 2.63 · 1012 cm−2, where the effects of short-range interaction are too weak to affect the transverse carrier motion. This is illustrated by Fig. 10 (b), depicting relative change of the dynamic susceptibility with H at low fields9 . The pairs of susceptibility jumps at H = H ↓ 1,0 are seen for higher densities. In addition, the appreciable decrease of χ(H) with H at H < H ↓ 0,1 implies a sublinear magnetic field dependence of M in this region. This behaviour becomes more pronounced as the density increases toward the ferromagnetic instability. 0,1 and H = H ↓ This sublinear behaviour of magnetisation is due to the effect of carrier wave functions changing with increasing M , as discussed above. Indeed, the effective interaction U2D enhances the magnetic susceptibility in comparison 8 Note that our H is defined in the units of Bohr magnetone. 9 At these low fields, our omitting the orbital effects is mathematically justified. 26 to its non-interacting value. With increasing M , the wave-function profiles are adjusted in such a way that the interaction energy is lowered. Hence the effective value of U2D decreases (see Sect. 2) and so does the susceptibility. The sublinear field dependence of M is of crucial importance for one feature of the present theory which is not expected in the conventional Stoner treat- ment of a purely 2D system, viz., the continuous character of ferromagnetic transitions (Sects. 3, 4, 5). Indeed, a simple Landau -- Ginzburg type descrip- tion implies that a continuous transition requires the presence of a positive quartic (in H) term in the free energy, and hence sublinear magnetisation. It is hoped that perfecting the magnetisation measurement techniques and extending them to the higher-density region (where the long-range correla- tions become negligible) will allow to directly confirm this behaviour in a Si-MOSFET. 7 Conclusion We constructed a mean-field description of electrons in an inversion layer, ad- dressing both the behaviour of the system in the metallic high-density region and the correlated low-density regime immediately above the metal-insulator transition. Such electronic systems [as exemplified by Si-(100) MOSFETs] are characterised by the presence of both long-range Coulomb repulsion and the ubiquitous short-range (on-site, Hubbard) interaction. Coulomb interaction was treated at the mean-field level following Ref. [31], which leaves out long- range correlation effects, important in the low-density limit where the dimen- sionless parameter rs = m⊥e2/(ǫ2√πn) is large (we included these effects phenomenologically in Sect. 4). We recall that in a bulk three-dimensional system of electrons interacting via contact potential, Eq. (1), the strength of this interaction is measured by the dimensionless quantity kF a3D, where kF is the Fermi wave vector and a3D = m∗U/4π2 (where m∗ is the appropriate 3D effective mass10 ) is the scatterring length in the Born approximation. Therefore one expects that in a dilute system (small kF a3D, large rs) the effects of short-range interaction are negligible. At larger densities, the increasing value of kF a3D gives rise to stronger Fermi-liquid renormalisations (in particular, enhancing the magnetic susceptibility); at the same time, smaller values of rs (∝ m∗e2/ǫ2n1/3 in the three-dimensional case) and enhanced screening eventually permit neglecting the long-range Coulombic correlations. Depending on the properties of the system, it may or may not undergo a Stoner transition, accompanied by a susceptibility divergence. In a restricted geometry of an inversion layer (a quasi-2D system), this pic- ture is modified in a drastic way. Momentum dependence of the s-wave scat- terring amplitude in 2D (see, e.g., Ref. [45]) yields the momentum-dependent scatterring length[46] a2D(k). Assuming for simplicity that only one level of 10 Here, the scattering length is defined using the reduced mass of a pair of identical particles, i.e., in this case, m∗/2. 27 transverse motion is active (electrical quantum limit, Sect. 3 above), one finds11 for a given 2D wave vector k, in the Born approximation12 for the contact interaction, Eq.(10): log 2 ka2D = 2π2 m⊥U2D + γE . (42) Here, γE ≈ 0.577 is Euler's constant. The (short-range) interaction strength parameter in the 2D case is given by[47,48] g = [log(2/kF a2D(kF ))]−1. Ac- cording to Eq. (42), in the absence of long-range correlations the value of g depends on the 2D density n only via U2D. While the latter does grow with n owing to decreasing inversion layer thickness (the latter, as dictated by elec- trostatics), this growth is relatively slow (see Fig. 2). Indeed, we estimate that as the density varies from 8 · 1010 cm−2 to 8 · 1013 cm−2, the value of g in- creases from about 0.03 to 0.12. This increase, implying an appreciable effect of interaction at larger densities, is expected to be more pronounced in a real multi-level system, where the valleys with larger in-plane mass are populated. In a 2D system where there is no coexistence of broad and narrow partially- filled bands at the Fermi level, the short-range interaction is generally not expected to easily yield ferromagnetism (as exemplified by the square-lattice Hubbard model, see, e.g., Refs. [23,24,25]). Even in the range of densities where the ferromagnetism does occur, the required interaction strength is so large that Stoner mean-field approach is clearly irrelevant (see, however, Ref. [28]). However, in the case of a silicon inversion layer at high densities, there is an additional mechanism (transverse wave function dependence on mag- netisation) acting alongside the conventional Stoner one (viz., the mean-field shifts of band energies). This opens an additional avenue toward ferromagnetic instability in the range where mean field approach is still expected to be appli- cable (see Appendix). In the opposite case of very low densities just above the MIT, the interaction-induced wave-function changes are negligible, yet there is a strong renormalisation of carrier properties due to the long-range Coulomb correlations[13,22,38,42]. In this case as well, we suggest that the Stoner ap- proach is at least qualitatively relevant (see Appendix for details). While we do obtain a ferromagnetic instability at a density slightly above the critical value where the carrier effective mass diverges, a proper theoretical treatment, including both short- and long-range interactions from the beginning, is still missing. We are now in a position to summarise our results in more detail, be- ginning with the low-density regime above the MIT, which is characterised by strong long-range correlations. In Sect. 4, these were taken into account phenomenologically via effective mass renormalisation, Eq. (30), as observed experimentally[22] and predicted theoretically[13]. While this mass renormal- isation alone would lead to an increased magnetic susceptibility[22], we find 11 Eq. (42) is obtained from the requirement[46] that scattering phase shifts for the contact and hard-core potentials coincide. 12 Which is expected to provide a valid estimate throughout our range of values of param- eters, see Appendix. 28 that including the effects of on-site repulsion enhances susceptibility further, leading to a second-order ferromagnetic transition. The latter takes place at a density which is a few per cent above the value corresponding to the (asymp- totic) divergence of the effective mass. This difference is relevant in the context of the disagreement between presently available experimental results[8,9,11]. Further experiments are needed in order to shed light on this controversy, and also to clarify whether the MIT corresponds to the effective mass divergence or (as we speculated) to the magnetic transition. The origins of such a strong effect of short-range interactions at low densi- ties become clear as we note [see Eq. (42)] that, for example, a five-fold increase in the effective mass m⊥ has the same effect on the value of kF a2D as does the five-fold increase of U2D (for example, at n = 8 · 1010 cm−2, the value of g would increase to 0.14; we verified that a self-consistent change of U2D due to the increase of m⊥ is negligible, as expected). Specifically, the system even at n ∼ nc becomes strongly interacting also in terms of short-range interac- tion. We also remark that a strong short range interaction can lead to strong renormalisation of the Fermi liquid parameters (including an additional renor- malisation of the effective mass), which was not taken into account in our work or elsewhere. This highlights the need for a microscopic theory which would include both long- and short-range interactions on the same footing. In the metallic regime at high densities, where the long-range correlation effects become unimportant, the value of ka2D increases due to the increasing U2D (see above). On the other hand, the wave functions begin to change under the effects of an applied field (see Fig. 3), as the mean field energy scale nU2D becomes sufficiently large to perturb the transverse carrier motion. These two effects lead to a strong increase in magnetic susceptibility χ with n, ultimately resulting in a ferromagnetic transition. For our parameter values, this takes place at nF M ≈ 1.15 · 1014 cm−2, which is presently beyond the experimen- tal range for a Si-MOSFET. However, this value was obtained (in Sect. 5) without taking into account the Fermi liquid renormalisations (such as effec- tive mass enhancement, cf. Refs. [47,48,49]), which again become important in this regime and may lower the value of critical density. Beyond mean-field description, fluctuation effects[28] may lead to a further decrease of this quan- tity. As explained above, the wave functions change under the effect of an ap- plied field leads to relaxing the Stoner criterion of ferromagnetism. In terms of critical density, this means that the obtained value of nF M is lowered in comparison to naive Stoner-based estimates (which are invalid in the case of geometrically restricted systems such as inversion layer). In addition, this gives rise to a non-linear field dependence of magnetisation. The latter was discussed previously for the case of quasi-2D systems with Coulomb interaction[21,43, 44], albeit at smaller densities, and our results outlined in Sect. 6 thus provide an additional mechanism for such non-linearity. Whether the actual high-density ferromagnetic transition is reachable or not, the minimum and the subsequent increase of χ with density at n & 2·1012 cm−2 should be observable. We also note that the threshold density n(0,1) ≈ 29 3.3 · 1012 cm−2, beyond which the second transverse level is populated at H = 0, is not far from the highest value used in the measurements to date (n = 2.08·1012 cm−2, see Ref. [6]), and should be attainable experimentally. In addition to new and potentially interesting transport phenomena arising at this point, one should be able to measure the associated jump in the susceptibility χ (cf Fig. 8). With the help of Eq. (38), this can be used to calibrate U i,j 2D, and ultimately U . Note that the value of n(0,1) can be further reduced by decreasing the acceptor density NA. In order to keep our description simple, we omitted a number of effects which are expected to be of quantitative importance only. These include a more accurate formulation of the wave-function boundary conditions at z = 0 (Ref. [31]), the image-charge potential[2], etc. Significantly, we also disregard the effects of the valley degree of freedom, where an accurate description would involve using the appropriate values (not yet available) for the strength of short-range interaction between the carriers belonging to different valleys. Note that once such more accurate model is constructed, the important issue of valley "polarisation"[33] can be treated in the same way as that of spin polarisation. In the present work, we specifically aimed at describing Si-(100) MOSFETs, however our results are expected to be qualitatively relevant for other 2D electron systems of finite thickness. These general conclusions are: (i) At higher densities, proper treatment requires taking into account the wave function change under the applied in-plane magnetic field13 (see Fig. 3). This effect leads to an increased susceptibility in the paramagnetic state and enhances the tendency toward ferromagnetism. (ii) When the long-range correlations at low densities lead to the effective mass enhancement (as in Si-MOSFET[22] or in GaAs quantum wells[38]), magnetic properties are significantly affected by the on-site carrier repulsion, which can lead to a ferromagnetic instability. Acknowledgements The author takes pleasure in thanking R. Berkovits, P. Coleman, B. D. Laikhtman, S. V. Kravchenko, I. Shlimak, L. D. Shvartsman, and R. Valenti for enlightening discussions. Discussions with the late K. A. Kikoin are gratefully acknowledged. This work was supported by the Israeli Absorption Ministry. APPENDIX: On the applicability of Stoner-type mean field approach in low-density 2D systems In this work, we consider low-density (quasi-)2D electrons, and one might ask whether the short-range repulsion can affect the properties of the system in our range of values of parameters. If the answer were in the negative, this would have turned our mean-field treatment into an artifact of an inadequate 13 In principle, a similar wave function change should occur in various geometrically re- stricted systems, including quantum dots where it would lead to a magnetisation dependence of electron interaction energies (including exchange). While this would be relevant for the studies of magnetic properties of quantum dots (cf. Ref. [50, 51]), the effect might prove negligible owing to the large quantisation energies. 30 approach. It is therefore important to consider this issue in more detail (in addition to discussing the scattering length in Sect. 7). For simplicity, we consider a purely 2D system, H =Xi p 2 i 2m⊥ + 1 2Xi6=j U2Dδ(ri − rj) , (A.1) where the summations are over the particle numbers. The effective 2D inter- action U2D is in our case given by Eq. (10); calculations of Sect. 3 (cf. Fig. 2) yield the value of U2D ≈ 1.2·10−27 erg·cm2 at n = 8 · 1010 cm−2 and U2D ≈5.3·10−27 erg·cm2 at n =8·1013 cm−2. The level indices are suppressed as presently we are considering the single-level case. Now let us consider inter- action of a sole spin-down electron with the spin-up Fermi sea. The mean-field result for the net interaction energy is of course δEmf = U2Dn↑ (where at M = 0, n↑ = n/2), and our worry is that this expression may be a gross over- estimate. Indeed, with increasing U2D spin-up electrons will be avoiding the site occupied by the spin-down electron, resulting in a smaller energy change which retains a finite value δE∞ (of the order of the Fermi energy or less) even as U2D increases to infinity. The situation may arise where actually δE∞ < δEmf = U2Dn/2, (A.2) in which case we suspect that the mean field estimates become irrelevant. Note that in reality there is a finite concentration of spin-down particles and the perturbations of spin-up Fermi sea by individual spin-down electrons are not independent, so that n↓δE∞ underestimates the interaction energy at large U2D. In order to estimate δE∞, we first evaluate the energy change ∆E of a spinless two-valley ideal 2D Fermi gas (H0 = p2/2m⊥) under the perturb- ing effect of a static impurity at origin [corresponding to potential energy V = V δ(r)]. Using the Lifshits -- Krein trace formula[52], this is conveniently expressed as an integral from the bottom of the band to the Fermi energy, ∆E(V ) = 2Z ǫF 0 ξ(ǫ)dǫ . (A.3) Here, the prefactor corresponds to the two independent valleys, and the spec- tral shift function ξ [with the property that −dξ/dǫ equals δν(ǫ), an impurity- induced correction to the density of states ν(ǫ)] is given by[52,53,54] ξ(ǫ) = − = − = − 1 π 1 π 1 π ArgDet(cid:26)1 − Arg(cid:26)1 − V Z d2k Arg(1 − V −Z W 4π2 0 1 ǫ − i0 − H0V(cid:27) = 1 ǫ − i0 − (k2/2m⊥)(cid:27) = ǫ − ǫ′ − πiV ν(ǫ)) . ν(ǫ′)dǫ′ (A.4) Here, the momentum integral is over the Brilloin zone, whereas the energy integral in the last line is over the entire band, 0 < ǫ′ < W . 31 Since we will ultimately need to integrate ξ, the weak singularity at ǫ = 0 is unimportant. In the low-density case of ǫF ≪ W we estimate W(cid:17) ∼ ν0 log(cid:18) n↑ 2N0(cid:19) , ǫ − ǫ′ ∼ ν0 log(cid:16) ǫ W(cid:17) ∼ ν0 log(cid:16)ǫF ν(ǫ′)dǫ′ −Z W 0 with ν0 given by Eq. (12), and N0 ∼ 1/a2 (where a is the lattice period), the full capacity of the 2D band for fixed spin and valley indices. Thus, we find ξ(ǫ) ≈ 1 π arc tan πV ν0 1 − V ν0 log(n↑a2/2) . (A.5) Spectral shift function is related14 by the Friedel sum rule to the scatterring phase shift[56], with the Born approximation corresponding to omitting the logarithmic term in Eq. (A.5). At small V , Eq. (A.3) then yields the expected perturbative result ∆E = V n↑, whereas for large V >∼ 1/ν0 log(n↑a2/2) we find −1 . (A.6) ∆E(∞) ≈ 2ǫF (cid:12)(cid:12)log(n↑a2/2)(cid:12)(cid:12) The latter is the energy change of spin-up Fermi sea when a node at r = 0 is created in all the electron wave functions. It is seen that indeed at very low densities ∆E(∞)/ǫF vanishes logarithmically, which is the physical reason why the short-range interaction becomes irrelevant at sufficiently low densities. In our case, however, the absolute value of the log does not exceed 10. In addition, note that the quantity δE∞ involves interaction with a spin- down electron which is not localised at origin but is moving with a velocity of order of vF . The wave-functions node is presumably a heavy object, and moving it along would result in a large addition to ∆E(∞). It is thus more economical to have the spin-down electron localised in an area of size R ∼ /pF (which can be done without appreciably changing its energy) while requiring that the wavefunctions of the spin-up electrons vanish throughout this area. The corresponding energy change of the spin-up Fermi sea is a sum of ∆E(∞) and an area term, needed to "inflate" the node to the required finite area: δE∞ ∼ ∆E(∞) + 2ν0Z ǫF = 2ǫF (cid:12)(cid:12)log(n↑a2/2)(cid:12)(cid:12) 0 −1 ǫdǫR2 = + 1 4π ǫF . (A.7) Throughout our range of parameter values, the second term is at least several times smaller than the first one, hence we do not need a more elaborate es- timate of the energy of correlated motion of spin-down electron. We are now in a position to quantitatively verify that we never approach the "dangerous" regime specified by the inequality (A.2). Since presently we did not take into account the possibility of multiple occupied subbands (which is not expected to qualitatively affect the results), this must be done with the help of the numerical results obtained for the single-level case, Sect. 3. 14 For a recent mathematical discussion, see Ref. [55]. 32 Using the values of U2D quoted above, we find that at n = 8 · 1010 cm−2 (where the Fermi energy as measured form the bottom of the band is ǫF ≡ Ev− E0 ≈ 0.51 meV), the value of δEmf ≈ 0.029 meV is about 5 times smaller than δE∞ ≈ 0.15 meV. Likewise, at n = 8 · 1013cm−2 (where ǫF ≈ 0.51 eV), the value of δEmf ≈ 132 meV is smaller than δE∞ ≈ 400 meV. We thus conclude that the mean-field estimate of the interaction energy, and by extension the Stoner approach, should be at least qualitatively ap- plicable throughout the entire range of densities considered herein. Since a Stoner-type treatment is anyhow not expected to be quantitatively accurate, this is a satisfactory outcome. One further note should be made concerning the situation at very low densities near MIT (Sect. 4). In this case, the long-range forces lead to a significant reduction of effective band width (and hence of the effective Fermi energy), to the extent that if those renormalised quantities are substituted when calculating δE∞, one might find that the inequality (A.2) is actually satisfied. We wish to argue that such a substitution would be hard to justify, quoting the following reasons: (i) the renormalised quantities refer not to the electrons, but to the resultant quasiparticles. These are extended objects, which presumably should be viewed as residing on an effective lattice with proportionally increased lattice period, which should thus be used in place of a in Eq. (A.7). (ii) More importantly, these quasiparticles characterise low-energy, long-wavelength properties of the system, whereas contact interaction with point defects in- volves a significant short-wavelength component. The short-wavelength con- tribution to Eq. (A.3) originates from the logarithmic term in Eq. (A.5). There- fore, it is more appropriate to use unrenormalised spectral parameters when estimating this term only, including the coefficient before the logarithm. Else- where in Eqs. (A.3) and (A.5), one should be using the renormalised spectrum characterised by a larger mass, yet it is easy to see that within this order- of-magnitude estimate the renormalisation coefficient cancels out for large V . Hence δE(∞) retains (roughly) its unrenormalised value and we arrive at a conclusion that the mean-field approach is still qualitatively applicable. References 1. A. B. Fowler, F. F. Fang, W. E. Howard, and P. J. Stiles, Phys. Rev. Lett. 16, 901 (1966). 2. T. Ando, A. B. Fowler, and F. Stern, Rev. Mod. Phys. 54, 437 (1982). 3. S. V. Kravchenko, G. V. Kravchenko, J. E. Furneaux, V. M. Pudalov, and M. D'Iorio, Phys. Rev. B50,8039 (1994). 4. S. V. Kravchenko and M. P. Sarachik, Rep. Prog. Phys. 67, 1 (2004), and references therein. 5. B. Spivak B., S. V. Kravchenko, S. A. Kivelson, and X. P. A. Gao, Rev. Mod. Phys. 82, 1743 (2010), and references therein. 6. I. Shlimak, A. Butenko, D. I. Golosov, K.-J. Friedland, and S. V. Kravchenko, Europhys. Lett. 97,37002 (2012). 7. D. I. Golosov, I. Shlimak, A. Butenko, K.-J. Friedland, and S. V. Kravchenko, Phys. Rev. B88, 155313 (2013). 33 8. S. V. Kravchenko, A. A. Shashkin, S. Anissimova, A. Venkatesan, M. R. Sakr, V. T. Dolgopolov, and T. M. Klapwijk, Ann. Phys. 321, 1588 (2006). 9. A. A. Shashkin, S. Anissimova, M. R. Sakr, S. V. Kravchenko, V. T. Dolgopolov, and T. M. Klapwijk, Phys. Rev. Lett. 96, 036403 (2006). 10. V. M. Pudalov, A. Yu. Kuntsevich, I. S. Burmistrov, and M. Reznikov, J. Low Temp. Phys. 181, 99 (2015), and references therein. 11. O. Prus, Y. Yaish, M. Reznikov, U. Sivan, and V. Pudalov, Phys. Rev. B67, 205407 (2003). 12. N. Teneh, A. Yu. Kuntsevich, V. M. Pudalov, and M. Reznikov, Phys. Rev. Lett. 109, 226403 (2012). 13. A. Punnoose and A. M. Finkelstein, Science 310, 289 (2005). 14. B. Tanatar and D. M. Ceperley, Phys. Rev. B39, 5005 (1989). 15. C. Attaccalite, S. Moroni, P. Gori-Giorgi, and G. B. Bachelet, Phys. Rev. Lett. 88, 256601 (2002). 16. M. Marchi, S. De Palo, S. Moroni, and G. Senatore, Phys. Rev. B80, 035103 (2009). 17. Y. Zhang and S. Das Sarma, Phys. Rev. B72, 075308 (2005). 18. Y. Zhang and S. Das Sarma, Phys. Rev. B72, 115317 (2005). 19. S. De Palo, M. Botti, S. Moroni, and G. Senatore, Phys. Rev. Lett. 94, 226405 (2005). 20. R. Asgari and B. Tanatar, Phys. Rev. B74, 075301 (2006). 21. E. Tutuc, S. Melinte, E. P. De Poortere, M. Shayegan, and R. Winkler, Phys. Rev. B67, 241309 (2003). 22. V. T. Dolgopolov, JETP Lett. 101, 282 (2015) [Zh. Eksp. Teor. Fiz. Pis'ma Red. 101, 300 (2015)]. 23. T. Dombre, Helv. Physica Acta 63, 261 (1990). 24. W. von der Linden and D. M. Edwards, J. Phys. Condens. Matter 3, 4917 (1991). 25. P. Wurth, G. Uhrig, and E. Muller-Hartmann, Ann. Physik 5, 148 (1996). 26. R. Hlubina, Phys. Rev. 59, 9600 (1999). 27. F. Becca and S. Sorella, Phys. Rev. Lett. 86, 3396 (2001), and references therein. 28. G. J. Conduit, Phys. Rev. B87, 184414 (2013), and references therein. 29. V. L. Campo, Jr., and M. Cococcioni, J. Phys. Condens. Matter, 22, 055602 (2010). 30. D. I. Golosov, J. Magn. Magn. Mater., 400, 93 (2016). 31. F. Stern, Phys. Rev. B5, 4891 (1972). 32. S. Das Sarma and E. H. Hwang, Phys. Rev. Lett. 84, 5596 (2000). 33. V. T. Renard, B. A. Piot, X. Waintal, G. Fleury, D. Cooper, Y. Niida, D. Tregurtha, A. Fujiwara, Y. Hirayama, and K. Takashina, Nature Communications 6, 7230 (2015), and references therein. 34. F. Stern, J. Computational Physics 6, 56 (1970). 35. F. F. Fang and W. E. Howard, Phys. Rev. Lett. 16, 797 (1966). 36. V. T. Dolgopolov, JETP Lett. 76, 377 (2002) [Zh. Eksp. Teor. Fiz. Pis'ma Red. 76, 437 (2015)]. 37. See, e.g., V. Dobrosavljevic in: Conductor Insulator Quantum Phase Transitions, V. Dobrosavljevic, N. Trivedi, and J. M. Valles, Jr, eds. (Oxford University Press, Oxford, 2012), and references therein. 38. I. V. Kukushkin and S. Schmult, JETP Lett. 101, 693 (2015) [Zh. Eksp. Teor. Fiz. Pis'ma Red., 101, 770 (2015)]. 39. A. Y. Kuntsevich, Y. V. Tupikov, V. M. Pudalov, and I. S. Burmistrov, Nat. Commun. 6, 7298 (2015). 40. A. A. Shashkin, S. V. Kravchenko, V. T. Dolgopolov, and T. M. Klapwijk, Phys. Rev. Lett. 87, 086801 (2001). 41. S. A. Vitkalov, H. Zheng, K. M. Mertes, M. P. Sarachik, and T. M. Klapwijk, Phys. Rev. Lett. 87, 086401 (2001). 42. A. A. Shashkin, S. V. Kravchenko, V. T. Dolgopolov, and T. M. Klapwijk, Phys. Rev. B66, 073303 (2002). 43. Y. Zhang and S. Das Sarma, Phys. Rev. Lett. 96, 196602 (2006). 44. A. L. Subasi and B. Tanatar, Phys. Rev. B78, 155304 (2008). 45. L. D. Landau and E. M. Lifhitz, Quantum Mechanics. Non-relativistic Theory (Course of Theoretical Physics, Vol. 3) (Pergamon Press, Oxford, 1965). 46. B. J. Verhaar, J. P. H. W. van der Eijnde, M. A. J. Voermans, and M. M. J. Schaffrath, J. Phys. A, 17, 595 (1984). 34 47. J. R. Engelbrecht and M. Randeria, Phys. Rev. B45, 12419 (1992). 48. J. R. Engelbrecht, M. Randeria, and L. Zhang, Phys. Rev. B45, 10135 (1992). 49. P. Bloom, Phys. Rev. B12, 125 (1972). 50. I. L. Kurland, I. L. Aleiner, and B. L. Altshuler, Phys. Rev. B62, 14886 (2000). 51. A. U. Sharafutdinov, D. S. Lyubshin, I. S. Burmistrov, Phys. Rev. B90, 195308 (2014). 52. I. M. Lifshits, Usp. Mat. Nauk 7, No. 1, 171 (1952)(in Russian). 53. I. M. Lifshits, S. A. Gredeskul, and L. A. Pastur, Introduction to the Theory of Disor- dered Systems (J. Wiley & Sons, New York, 1988), Chapt. 5. 54. M. G. Krein, Topics in Differential Equations and Operator Theory (Birkhauser, Basel, 1983), pp. 107-172. 55. M. Kohmoto, T. Koma, and S. Nakamura, Ann. Henri Poincar´e 14, 1413 (2013). 56. I. M. Lifshits, Uchenye Zapiski Kharkovskogo Gos. Universiteta 27, 105 (1948) (in Russian). 35
0908.1970
2
0908
2010-09-14T14:33:24
Momentum resolved tunneling into the Pfaffian and anti-Pfaffian edges
[ "cond-mat.mes-hall", "cond-mat.str-el" ]
We calculate the electron spectral functions at the edges of the Moore-Read Pfaffian and anti-Pfaffian fractional quantum Hall states, in the clean limit. We show that their qualitative differences can be probed using momentum resolved tunneling, thus providing a method to unambiguously distinguish which one is realized in the fractional quantum Hall state observed at filling factor $\nu=5/2$. We further argue that edge reconstruction, which may be less important in the first excited Landau level (LL) than in the lowest LL, can also be detected this way if present.
cond-mat.mes-hall
cond-mat
Momentum resolved tunneling into the Pfaffian and anti-Pfaffian edges Alexander Seidel1 and Kun Yang2 1Department of Physics and Center for Materials Innovation, Washington University, St. Louis, MO 63136, USA 2NHMFL and Department of Physics, Florida State University, Tallahassee, FL 32306, USA (Dated: December 4, 2018) We calculate the electron spectral functions at the edges of the Moore-Read Pfaffian and anti- Pfaffian fractional quantum Hall states, in the clean limit. We show that their qualitative differences can be probed using momentum resolved tunneling, thus providing a method to unambiguously distinguish which one is realized in the fractional quantum Hall state observed at filling factor ν = 5/2. We further argue that edge reconstruction, which may be less important in the first excited Landau level (LL) than in the lowest LL, can also be detected this way if present. Introduction. Fractional quantum Hall (FQH) sys- tems represent one of the richest and most fascinating classes of interacting electron systems known to-date. Possible realizations may include states supporting non- abelian statistics, which have been proposed to allow fault-tolerant "topological" quantum computing[1, 2]. However, in general the striking transport properties that gave the FQH effect its name are not sufficient to discrim- inate between various classes of different states that may occur at a given Landau level (LL) filling factor ν. The most hopeful experimental candidate system for a non- abelian state is the FQH state at ν = 5/2 [3]. Possible non-abelian states explaining the ν = 5/2 plateau include the Moore-Read "Pfaffian" (Pf)[4] and its particle-hole conjugate counterpart, the "anti-Pfaffian" (AP) [5, 6]. These two states have very closely related bulk proper- ties and most fundamentally differ through the physics of their edge states. Recent experiments involving quasi- particle tunneling between opposite edges across con- strictions (or point contacts) have probed quasi-particle charge[7, 8], and may have revealed signatures of non- abelian statistics[9]. They do not, however, allow for a clear distinction between the Pf and AP states; in fact only the experiment in Ref. 8 is sensitive to the difference between these two states, which shows up as a quantita- tive difference in certain power-law exponents. In this paper we show that momentum resolved electron tunnel- ing (MRT) through a clean and extended junction [10 -- 13] into the edge of the ν = 5/2 state gives rise to qualita- tive differences in the signals, and may thus be the most promising diagnostic tool to distinguish these two states from one another, as well as from other possible states. Experimental setup and physical assumptions. A pos- sible experimental setup is depicted in Fig. (1), which is currently being pursued experimentally[14]. The tunnel- ing is between the ν = 1/2 edge in the second LL and the edge of a Hall droplet in a vertically separated layer, which we assume to be in a ν = 1 state. We will con- sider both co- and counter-propagation lead geometries, i.e. the ν = 1 edge state propagates along the same or the opposite direction compared to that of the ν = 5/2 FIG. 1: (Color online). Schematic setup for momentum re- solved tunneling. A ν = 1/2 edge in the second Landau level is contained within the outer ν = 2 edge of the filled lowest Landau level. Tunneling takes place between the ν = 1/2 edge and a lead, consisting of the edge of a narrow ν = 1 strip. By adjusting the position of the strip and/or the in-plane mag- netic field B, tunneling into a co-propagating (front) or a counter-propagating (back) ν = 1 edge may be realized. edge state. Note that the ν = 1/2 edge of the second LL will be contained well inside the edge of the filled lowest LL of the ν = 5/2 droplet (see Fig. (1)). While this may complicate tunneling into this edge with other settings, in that of Fig. (1) this problem is circumvented by posi- tioning a narrow ν = 1 strip on top of the ν = 1/2 edge. This allows tunneling into both a co-propagating as well as a counter-propagating ν = 1 edge (see caption). The Pfaffian edge theory consists of the sum of a mass- less chiral fermion and massless chiral boson Lagrangian density with co-propagating velocities, LPf(ψ, φ) = Lψ + Lφ, where Lψ = iψ (∂t + vn∂x)ψ Lφ = 1 2π ∂xφ (∂t + vc∂x)φ (1a) (1b) and vn and vc are the neutral and the charged mode velocities, respectively. Here, vn ≪ vc is expected due to the fact that vc is associated with the larger Coulomb energy scale, in agreement with the numerics of Refs. 15, 16. In presenting the theory of the AP edge, we will follow Ref. 5, with the essential difference that we assume disorder to be so weak that momentum remains a good quantum number at the length and energy scales relevant to the experiment, and do not include it. On the other hand, disorder has been a key ingredient leading to the conclusion of universal scaling dimensions in Ref. 5. Here we will argue that the same universal exponents are also obtained, to very good approximation, based on the separation of energy scales between charged and neutral modes. In the spirit of Refs. 5, 6, we thus write the theory of the AP edge as the sum of the Pfaffian edge Lagrangian with all mode velocities reversed and that of a ν = 1 edge, together with a density-density interaction between the two charge modes: v12 2π LAP = 1 4π ∂xφ1 (∂t+v1∂x)φ1+ ¯LPf(ψ, φ2)+ ∂xφ1∂xφ2 . (2) Here, the field φ1 describes the ν = 1 edge, and ¯LPf de- notes the Pfaffian Lagrangian discussed above with the formal substitution ∂x → −∂x. In Eq. (2), the veloc- ity parameters and the interaction v12 are independent, but their relative orders of magnitude are set by the dominance of the Coulomb energy scale, as will become apparent shortly below. To see this, we carry out the charge/neutral decomposition of Ref.[5] via φρ = φ1 −φ2, φσ = φ1 − 2φ2. The physical significance of φρ is that ρtot = −∂xφρ/2π is the total charge density at the edge, while φσ is the linear combination of φ1 and φ2 that com- mutes with ρtot. In terms of the new fields, LAP = 1 2π + ∂xφρ (∂t + vρ∂x)φρ + vρσ 2π ∂xφρ∂xφσ + iψ(∂t − vn∂x)ψ . 1 4π ∂xφσ (−∂t + vσ∂x)φσ (3) where vρ, vσ and vρσ are simple linear combinations of v1, vc and v12. In Eq. (3), however, the large Coulomb energy scale should enter only the coupling of the total charge density with itself, i.e. vρ. All other coupling constants are independent of this energy scale, and are expected to be much smaller, i.e. vσ ∼ vρσ ≪ vρ. Un- der these circumstances, the inter-mode coupling con- stant vρσ has a very small effect of order vρσ/vρ on the scaling dimensions of operators. To a good approxima- tion, we may thus set vρσ ≈ 0, which allows us to read the scaling dimensions of various operators directly off of Eq. (3). Here we are only interested in the most rel- evant operators that have the quantum numbers of the electron operator. These operators and their scaling di- mension are then identical to those identified in Refs.5, 6. We emphasize, however, that the argument given here re- lies on the dominance of Coulomb interactions only and does not invoke disorder, which played a central role in Ref. 5. As a result, the edge theory Eq. (3) retains two distinct counter-propagating neutral mode velocities, vn and vσ. 2 FIG. 2: (Color online). The electron spectral function in the low-energy long-wavelength limit for the Pfaffian (a) and anti- Pfaffian (b) edge. q is measured relative to the Fermi wavevec- tor at the edge for a specific electron operator. Dashed lines indicate ω = ucq and ω = unq. In b), only the contribution to the spectral function due to one of three leading electron operators at the anti-Pfaffian edge is shown (see text). Electron operators and spectral functions. An electron operator of minimal scaling dimension 3/2 is given by ψel,1(x) = ψ(x) exp(−2iφρ(x)), for both the AP and Pf edge theory (we identify φ ≡ φρ in the latter). In the Pf case, this is the unique leading electron operator, whereas there are two more such operators of equal scaling di- mension in the AP case. These may be taken to be ψel,2,3(x) = exp(±iφσ(x)) exp(−2iφρ(x)). The leading term in the electron operator at the AP edge is thus a superposition of the operators ψel,j, j = 1, 2, 3. How- ever, all cross-correlations between different ψel,j van- ish, and the electron Green's function is of the form el,j(t, x)ψel,j(0, 0)i. We will dis- cuss the contributions to the electron spectral function of these correlators separately. Their real space struc- ture is given by G(t, x) ≃ −iPj ajhψ† hψ† el,j(t, x)ψel,j(0, 0)i ∝ i sgn(un) x − unt + i0+sgn(unt) −1 (x − uct + i0+sgn(t))2 . (4) In the above, uc equals vc in the Pf case and vρ in the AP case, whereas un equals vn in the Pf case and −vn in the AP case for j = 1, and −vσ for j = 2, 3. From Eq. (4) one can obtain the Fourier transform G(ω, q) of the electron Green's function, and the spectral func- tion A(ω, q) = −(1/π)ImG(ω, q)sgn(ω). More directly, A(ω, q) can be obtained from the convolution method detailed in Ref. 17. For each of the leading contributions shown in Eq. (4), the result Aj(ω, q) is given by Aj(ω, q) ∝ Θ [un(ω − qun)(quc − ω)] ω − qun (uc − un)2 (5) with Θ the Heaviside step function. The results are plot- ted in Fig. (2) for both the Pf (un > 0) and the AP case (un < 0). The presence/lack of a counter-propagating mode is clearly visible. This leads to different kinematic constraints on the spectral weight. In the Pfaffian co- 3 the spectral weight from a finite range of frequencies, at each q. MRT conductance. We calculate the tunneling current in linear response using the theory discussed in Ref. 17: Ij (V, ∆q) ∝ Z dω1dω2dq1dq2 AL(ω1, q1)Aj(ω2, q2)× [f (ω1) − f (ω2)]δ(eV + ω1 − ω2)δ(∆q + q1 − q2) . (6) Here AL(ω, q) is the lead spectral function. We take AL(ω, q) = δ(ω − uLq) corresponding to a ν = 1 edge, with uL > 0 for the co-propagating lead geometry and uL < 0 for the counter-propagating lead geometry (cf. Fig. (1)), though other types of leads may be considered. f (ω) is the Fermi-distribution function, where we assume zero temperature in the following. V is the applied volt- age, and ∆q = ed(B − Bj)/c is the change in the elec- tron wavevector relative to the Fermi wavevector, where B is the in-plane magnetic field and Bj is an offset ac- counting for different Fermi wavevectors in the lead and the Pf or AP edge, and d is the distance between the two layers. Bj is expected to depend on j as we will further discuss below. This may lead to additional distinctive features between the Pf and the AP case, since the total current is the superposition I(V, B) = Pj ajIj(V, ∆q) in the latter. From Eq. (6), it is straightforward to eval- uate Ij(V, ∆q) for various cases. We present a general result that is valid for any signs of un and uL, and only assumes that un is smaller than the "charged" velocity parameters uc and uL. We consider both uc < uL and uc > uL, which leads to qualitative differences in the co- propagating lead case. The general result can be glued together from three functions, defined as: IA = IC = sgn(uL)(eV − ∆quL)2 (uL − un)(uc − uL)2 , IB = sgn(uL)(eV − ∆quc) (uc − un)2(uc − uL)2 (eV (un + uL − 2uc)+ sgn(uL)(eV − ∆qun)2 (uL − un)(uc − un)2 ∆q(unuc + uLuc − 2unuL). (7) For each of these three expressions, we define an asso- ciated interval in ∆q. Let JAC be the interval between eV /uL and eV /uc, JB the interval between eV /un and ei- ther eV /uL or eV /uc, whichever is closer to eV /un. Obvi- ously, JAC and JB share a common boundary point and are otherwise disjoint. Eq. (7) was written down with the tacit understanding that the expressions for IA and IC are only valid when ∆q ∈ JAC , and that for IB is only valid for ∆q ∈ JB. Outside these intervals, the associated currents are defined to be zero. For V > 0 and with these conventions, we find Ij = IA + IB for uL(uL − uc)un > 0 and Ij = IC + IB otherwise. The case V < 0 is obtained via Ij(V, ∆q) = −Ij(−V, −∆q). Results and discussion. Fig. (3) shows our results for (Color online). FIG. 3: dI/dV as a function of applied voltage V and wavenumber change ∆q (all units arbitrary). ∆q is related to the in-plane magnetic field B via ∆q = ed(B − Bj)/c (see text). The first column shows results for the Pfaffian case (un > 0), the second column applies to the anti-Pfaffian case (un < 0). The last row assumes tunneling into a counter-propagating ν = 1 lead edge (uL < 0), the first two rows assume a co-propagating lead edge (uL > 0), with uL greater than (less than) uc in the first (second) row. Dashed lines correspond to eV = unq, eV = ucq, and eV = uLq, respectively, and mark the boundaries of different regions across which dI/dV and/or its derivatives have dis- continuities. For clarity, we have chosen un = 0.1 always, and uc = 0.5, uL = 1.3 for the first and last row, whereas uc = 1.3 and uL = 0.5 in the second row. The signs of un and uL are varied as appropriate to each case. Distinctive fea- tures discriminating between the Pfaffian and anti-Pfaffian cases are clearly visible. In addition, the dI/dV plots shown here for the anti-Pfaffian edge take into account only one of three leading electron operators for simplicity. In the full dI/dV signal, each of these operators makes a contribution of the kind shown above, but possibly with different horizontal offsets, and with only two of three neutral mode velocities identical (see text). propagating case, for any given q we can make excita- tions only within a finite ω range between unq and ucq. In contrast, in the AP case, the presence of two mutually counter-propagating modes relevant to each Aj excludes dIj /dV for six cases of interest, corresponding to the Pf and AP edge state, for co- and counter-propagating lead geometry, and for both signs of uL − uc in the former case. The most striking difference between the Pf and the AP case is apparent in the co-propagating lead ge- ometry. Here, a positive V requires a positive ∆q for a current to flow in the Pf case. In contrast, a cur- rent will always flow for a range of positive and nega- tive values of ∆q in the AP case. These observations are direct consequences of the kinematic constraints on the spectral function discussed above. Furthermore, it is only in the Pf co-propagating cases that dI/dV becomes negative. However, even for a counter-propagating lead, the Pf and the AP case are clearly distinguishable. The smallest mode velocity which is visible in the graph can always be identified with un, and its sign distinguishes the Pf from the AP case. Also note that in Fig. 3f) (AP, counter-propagating), dI/dV has no discontinuity within the region of non-zero current, but does so at one of its boundaries. In contrast, the case in Fig. 3e) (Pf, counter- propagating) shows a dI/dV discontinuity within the re- gion of non-zero current, but not at its boundaries. Fur- thermore, even in the AP counter-propagating case (Fig. 3f) a discontinuity in d2I/dV 2 will clearly distinguish be- tween two different regions (corresponding to Ij = IB and Ij = IC ). The separating line between these two regions has a slope, uc, that differs in sign from the slope uL of a similar separating line in the Pf counter-propagating case (Fig. 3e)). More generally, Figs. 3b),c),f) have no discontinuity in dI/dV within the region of non-zero cur- rent, but have a discontinuity at one its boundaries, in contrast to the cases in Figs. 3a),d),e). Note that in Fig. 3b) (Pf, co-propagating, uc > uL), dI/dV smoothly goes through zero within the region where Ij = IC . In any case, discontinuities in either dI/dV or d2I/dV 2 al- low for a direct measurement of the edge mode velocities. These findings imply that under all circumstances con- sidered here, the MRT conductance clearly distinguishes the PF edge from the AP edge. This becomes even more pronounced when one takes into account that in the AP case, the MRT current is a superposition of the form I(V, B) = Pj ajIj(V, ∆q). For, as discussed above, the contributions Ij do not all feature the same neutral mode velocity un in the clean case considered here. Even more importantly, the offset Bj entering the definition of ∆q is expected to depend on j as well. This is so because the three operators ψel,j will in general carry different mo- menta. To see this, we may reinterpret these operators in terms of processes taking place at the original ν = 1 edge and particle-hole conjugated Pfaffian ν = 1/2 edge present in Eq. (2). It is easy to see that, e.g., ψel,1 cre- ates one electron at the ν = 1/2 edge while destroying two electrons at the ν = 1 edge. Similarly, ψel,2 sim- ply destroys one electron at the ν = 1 edge. Hence, if different Fermi momenta are associated with the ν = 1 and ν = 1/2 components of the edge, all three operators 4 ψel,j carry different momenta. In the AP case, one thus expects to measure an MRT conductance which is the superposition of three graphs taken from the appropriate row in the second column of Fig. (3), with three different horizontal offsets and with two different neutral mode ve- locities un. We remark that the above results could in princi- ple be affected by edge reconstruction. However, we expect these effects to be considerably weaker at the second LL edge of interest here. Since this edge is well contained inside the physical edge of the sample, fringe field effects, which are usually associated with edge reconstruction[16], will be weak. Hence we argue that a picture based on an unreconstructed ν = 1/2 edge may apply. If edge reconstruction indeed occurs, additional edge modes will result and they can in principle also be detected using the setup discussed here; see Ref. 17 for a discussion of this point in the (simpler) context of a ν = 1/3 edge, and a detailed study will be left for future work (see also Ref. 18). We note that we have con- sidered the setup of Fig. 1 both for its simplicity and experimental relevance[14]; in principle other setups like those of Refs. 10 -- 13 can also be used to study the 5/2 edge. Finally, we mention a recent alternative proposal to distinguish the Pf edge from the non-equilibrated AP edge, involving simple two-terminal measurements [19]. We caution, however, that the presence of the contacts in such experiments will almost certainly lead to disorder and equilibration among the edge channels, at least near the contacts. As a result the predicted two-terminal con- ductance for a scenario based on non-equilibrated edges may never be observed. On the other hand, the (momen- tum conserving) tunneling processes we consider occur away from these contacts, and thus do not suffer from the contact-induced disorder. We are thus hopeful that MRT will prove a useful tool to shed further light on the ν = 5/2 quantum Hall state in the future. We are indebted to M. Grayson, W. Kang, C. Nayak, and A. Yacoby for insightful discussions. This work was supported by NSF grant No. DMR-0907793 (AS), and NSF grant No. DMR-0704133 (KY). [1] A. Y. Kitaev, Ann. Phys. 303, 2 (2003). [2] S. Das Sarma, M. Freedman, and C. Nayak, Phys. Rev. Lett. 94, 166802 (2005). [3] R. Willett, J. P. Eisenstein, H. L. Stormer, D. C. Tsui, A. C. Gossard, and J. H. English, Phys. Rev. Lett. 59, 1776 (1987). [4] G. Moore and N. Read, Nucl. Phys. B 360, 362 (1991). [5] S.-S. Lee, S. Ryu, C. Nayak, and M. P. A. Fisher, Phys- ical Review Letters 99, 236807 (2007). [6] M. Levin, B. I. Halperin, and B. Rosenow, Physical Re- view Letters 99, 236806 (2007). [7] M. Dolev, M. Heiblum, V. Umansky, A. Stern, and D. Mahalu, Nature 452, 829 (2008). [8] I. P. Radu, J. B. Miller, C. M. Marcus, M. A. Kastner, L. N. Pfeiffer, and K. W. West, Science 320, 899 (2008). [9] R. L. Willett, L. N. Pfeiffer, and K. W. West, arXiv:0807.0221 (????). 016805 (2005). [14] A. Yacoby, private communication. [15] X. Wan, K. Yang, and E. H. Rezayi, Physical Review [10] W. Kang, H. L. Stormer, L. N. Pfeiffer, K. W. Baldwin, Letters 97, 256804 (pages 4) (2006). and K. W. West, Nature 403, 59 (2000). [11] I. Yang, W. Kang, K. W. Baldwin, L. N. Pfeiffer, and K. W. West, Phys. Rev. Lett. 92, 056802 (2004). [12] M. Huber, M. Grayson, D. Schuh, M. Bichler, W. Bib- eracher, W. Wegscheider, and G. Abstreiter, Physica E 22, 164 (2004). [13] M. Huber, M. Grayson, M. Rother, W. Biberacher, W. Wegscheider, and G. Abstreiter, Phys. Rev. Lett. 94, [16] X. Wan, Z.-X. Hu, E. H. Rezayi, and K. Yang, Physical Review B (Condensed Matter and Materials Physics) 77, 165316 (pages 15) (2008). [17] A. Melikidze and K. Yang, Phys. Rev. B 70, 161312(R) (2004), Int. J. Mod. Phys. B 18, 3521 (2004). [18] B. Overbosch and X.-G. Wen, arXiv:0804.2087. [19] C. Wang and D. E. Feldman, arXiv:0909.3111. 5
1505.07275
1
1505
2015-05-27T12:01:35
Novel non-local effects in three-terminal hybrid devices with quantum dot
[ "cond-mat.mes-hall", "cond-mat.supr-con" ]
We predict strong non-local effects in the three-terminal hybrid device, comprising the quantum dot embedded between two conducting leads and third superconducting reservoir. They result from competition between the ballistic electron transfer and the crossed Andreev scattering. The non-local voltage induced in response to the 'driving' current changes the magnitude and sign upon varying the gate potential and/or coupling to the superconducting lead. Such effect is robust both in the linear and non-linear regimes, where the screening and the long-range interactions play significant role. This novel subgap transport is provided by the Shiba states and can be contrasted with much weaker non-local effects observed hitherto in the three-terminal 'planar' junctions.
cond-mat.mes-hall
cond-mat
a Novel non-local effects in three-terminal hybrid devices with quantum dot G. Micha lek1, T. Doma´nski2, B.R. Bu lka1 and K.I. Wysoki´nski2 1Institute of Molecular Physics, Polish Academy of Sciences, ul. M. Smoluchowskiego 17, 60-179 Pozna´n, Poland 2Institute of Physics, M. Curie-Sk lodowska University, pl. M. Curie-Sk lodowskiej 1, 20-031 Lublin, Poland (Dated: October 27, 2018) We predict strong non-local effects in the three-terminal hybrid device, comprising the quantum dot embedded between two conducting leads and third superconducting reservoir. They result from competition between the ballistic electron transfer and the crossed Andreev scattering. The non-local voltage induced in response to the 'driving' current changes the magnitude and sign upon varying the gate potential and/or coupling to the superconducting lead. Such effect is robust both in the linear and non-linear regimes, where the screening and the long-range interactions play significant role. This novel subgap transport is provided by the Shiba states and can be contrasted with much weaker non-local effects observed hitherto in the three-terminal 'planar' junctions. Multi-terminal systems enable measurements of both the local and the nonlocal voltages/currents between selected electrode pairs [1]. The non-local transport of charge [2 -- 7], heat [8] and spin [9] via hybrid devices consisting of the normal and superconducting reservoirs are currently of interest for the basic research and innovative applications. Electrons traversing metal-superconductor interface are glued into the Cooper pairs, and conversely, the Cooper pairs are split into the individual electrons [10]. In both processes there emerge the entangled carriers, leading to nonlocal correlations. These effects can be amplified by inserting the quantum dots between the reservoirs [11]. In this regard, the three-terminal structures are especially useful, because they allow for efficient splitting of the Cooper pairs [12 -- 14], give rise to spin filtering [15], generate the correlated spin currents [16], separate the charge from heat currents [17], enable realization of the exotic Weyl or Majorana-type quasi-particles [18], etc. Very spectacular non-local effects are provided by the crossed Andreev reflections (CAR), operating in a subgap regime. The 'driving' current applied to one side of the multi-terminal junction can yield either positive or negative nonlocal voltage response at the other interface, depending on a competition between the ballistic electron transfer (ET) and the CAR processes. Such changeover has been observed in three-terminal planar junctions [2 -- 5], using a piece of superconducting sample sandwiched between two conducting (normal or magnetic) electrodes. The induced non-local conductance, however, was much weaker from the local one in agreement with theoretical predictions [19 -- 21]. FIG. 1: (color online) Scheme of the three-terminal device consisting of two conducting leads (L and R), superconducting reservoir (S) and the quantum dot (QD). The 'driving' current in the L − QD − S loop induces the non-local voltage 'response' of the floating R electrode. Here we propose a different configuration, where the quantum dot is built into the three-terminal hybrid as sketched in Fig. 1. Proximity effect converts the quantum dot into, a kind of, superconducting grain and its subgap spectrum develops the, so called, Andreev or Shiba bound states [22], which substantially enhance the non-local transport. We show that effective non-local conductance can be comparable to the local one and can change sign from the positive to negative values by increasing the coupling ΓS to superconducting electrode or by appropriate tuning of the gate potential. The gate potential is also controlling symmetry of this effect. Experimental tests of such effects should be feasible using the three-terminal architecture with such quantum dots as the carbon nano-tubes [7, 23], semiconducting nano-wires [24, 25] or self-assembled InAs islands [26]. Microscopic model 2 Some aspects of the local and non-local transport properties for this three-terminal device could be inferred by extending the Landauer-Buttiker approach [27 -- 31] (see the 1-st subsection of Methods). On a microscopic level, we describe this system in the tunneling approximation [32] by the Hamiltonian H = Xα=L,RXk,σ ǫSkc† + Xk,σ ǫαkc† αkσcαkσ +Xσ ∆(cid:16)c† SkσcSkσ −Xk S−k↑c† Sk↓ + cSk↓cS−k↑(cid:17) [ǫ0 − eU (r)] d† σdσ + Xα,k,σ(cid:16)tαc† αkσdσ + t∗ σcαkσ(cid:17) αd† (1) with standard notation for the annihilation (creation) operators of the itinerant c(†) σ electrons. The first term describes the left (α = L) and the right (α = R) conducting leads. The subsequent term refers to the quantum dot (QD) with its energy level ǫ0 shifted by the long-range potential U (r). Hybridization between the QD and itinerant electrons is characterized by the matrix elements tα. The last two terms in (1) correspond to the BCS-type superconducting reservoir with an isotropic energy gap ∆. Addressing here the subgap (low-energy) αkσ and localized dot d(†) transport we assume the constant tunneling rates Γα = 2πPk tα2δ(E − ǫαk) = 2πtα2ρα, where ρα is the (normal state) density of states of α lead. In what follows, we assume the superconducting gap ∆ to be the largest energy scale in the problem. The charge current Jα flowing from an arbitrary lead α = {L, R, S} can be evaluated using the Heisenberg equation Jα ≡ eh Nαi = −ie/¯hh[Nα, H]i [33]. In particular, the current JL(R) from the normal L (R) electrode is given by [33] Subgap charge transport JL(R) = 4e h Z dEΓL(R)ℑ(cid:20)fL(R)Gr 11 + 1 2 G< 11(cid:21) , (2) 11 and G< where Gr 11 denote the matrix elements (in the Nambu representation) of the retarded and lesser QD Green functions, respectively. From now onwards we consider the current JL focusing on the subgap voltage, smaller than the energy gap ∆. In such regime there are possible: the ballistic electron transfer (ET) from L to R electrode, the direct Andreev reflection (DAR) when electron from L lead is converted into the Cooper pair in S reservoir and hole is scattered to L electrode, and the crossed Andreev reflection (CAR) which is similar to DAR except that hole is scattered to R electrode. They can be expressed as [34] J ET L = J DAR L = J CAR L = 2e 2e h Z dE ΓLΓR Gr h Z dE Γ2 h Z dE ΓLΓRGr LGr 2e 112 (fL − fR) , 122(cid:16)fL − fL(cid:17) , 122(cid:16)fL − fR(cid:17) , (3) (4) (5) where fα ≡ fα(E) = {exp[(E − µα)/kBT ] + 1}−1 and fα ≡ fα(E) = 1 − fα(−E) = {exp[(E + µα)/kBT ] + 1}−1 are the Fermi-Dirac distribution functions for electrons and holes, respectively. Let us remark, that only the ET (3) and CAR (5) contributions lead to the non-local effects, because they depend on the chemical potentials of both conducting (L and R) electrodes. Since these ET and CAR processes deliver different types of the charge carriers to the right electrode, the induced voltage VR would be a probe of the dominant transport mechanism. 11(E)2 and T CAR(E) = ΓLΓRGr Relationship between the ET and CAR processes can be inspected by studying their transmissions, defined as 12(E)2 (see Fig. 2). Deep in a subgap regime (i.e. for E ≪ ∆) T ET (E) = ΓLΓRGr the Green function Gr(E) simplifies to the familiar BCS structure [35]. 11(E) = u2/(cid:2)E − EA + i 2 ΓS. Subgap spectrum consists thus of two Shiba states at ±EA whose spectral weights are u2 = 1 2 [1 + ∆QD/EA] and v2 = 1−u2 with the quasiparticle broadening ΓN = ΓL+ΓR. The single electron transmission T ET (E) is a quantitative measure of this subgap spectrum. The left panel in Fig. 2 illustrates evolution of the Shiba states upon increasing the coupling ΓS while the right panel shows a transfer of the corresponding spectral weights u2 ↔ v2 upon changing the QD level ǫ0 by an applied gate voltage. 2 ΓN(cid:3) with the quasi-particle energy EA = qǫ2 2 ΓN(cid:3) + v2/(cid:2)E + EA + i Its diagonal part is given by Gr 0 + ∆2 QD, where ∆QD = 1 T(E) 1 CAR ET 0.5 0 -5 0 E / Γ L 5 0 1 3 2 Γ S / Γ L 4 T(E) 1 0.5 0 3 CAR ET -5 0 E / Γ L 5 2 1 0 ε 0 / Γ L -1 -2 FIG. 2: (color online) Transmissions of the ET (dashed lines) and CAR (solid lines) transport channels obtained at zero temperature for ΓR = ΓL. The left panel refers to ǫ0 = 0 and the right one to ΓS = 3ΓL. Transmission of the anomalous CAR channel, on the other hand, depends on the off-diagonal part of the matrix Green function Gr 2 ∆QD/EA. It also has maxima around the same Shiba states ±EA but with a different amplitude, sensitive to the induced pairing hd↓d↑i. This is a reason why T CAR(E) quickly diminishes whenever ΓS is decreased or the QD level ǫ0 departs from µS = 0 (solid lines in Fig. 2). 12(E) = uv/(cid:2)E − EA + i 2 ΓN(cid:3) − uv/(cid:2)E + EA + i 2 ΓN(cid:3), where uv = 1 Confronting both these transmissions reveals that the non-local transport predominantly comes from the CAR process when the coupling ΓS (to superconducting electrode) is sufficiently strong and the QD level ǫ0 is close to the chemical potential µS. Otherwise, the non-local effects are dominated by the single electron tunneling (ET). The related changeover can be detected by measuring the voltage VR in the floating R electrode, in response to the current in the L − QD − S branch. Such voltage VR can vary between the positive and negative values and the non-local resistance can be tuned by the gate potential lifting/lowering the Shiba energies. Linear response Practical realizations of the setup (Fig. 1) would allow to measure the local and the non-local resis- tances/conductances within the four-probe scheme [27 -- 30], where the potentials and currents are treated on equal footing (see the Method). In a weak perturbation limit the response would be linear JL ≃ eLET LR(VL − VR) + eLDAR LL [(VL − VS) − (VS − VL)] + eLCAR LR [(VL − VS) − (VS − VR)] . (6) The coefficients Lβ ij for β = ET, DAR or CAR can be determined from the equations (3-5) and they read 2 1.5 1 0.5 0 ] h / 2 e [ R D / , S L S R R 2 max: 2e2/h R G + L G = S G 3 ÷ ) R G + L G ( = S G 0 = 0 GR = GL k B T / GL 0 0.3 0.5 min: -1/4 e2/h GR = G L k B T / GL 0 0.3 0.5 1 0.5 0 ] h / 2 e [ R D / , S L S R R 2 GS / GL = 1 GS / GL = 2 G S / G L = 3 0 1 1 2 2 3 3 4 4 5 6 6 -4 -2 GS / GL 2 4 0 0 / L FIG. 3: (color online) The non-local resistance 2RRS,LS/DR as a function of ΓS/ΓL ratio (left panel) and the QD dot level ǫ0 (right panel) obtained in the linear limit for three representative temperatures. Lβ ij = 2e h Z dE T β(E)(cid:20)− ∂f ∂E(cid:21) . 4 (7) At zero temperature − ∂f ∂E ≈ δ(E), hence Lβ ij depend on the transmissions T β(E → 0). Treating the potential VS as a reference level we analyze the induced voltage VR in response to the 'driving' current JL ≡ JLS. The local resistance (VL − VS)/JLS = RLS,LS is due to the DAR processes whereas the non- local one (VR − VS)/JLS = RRS,LS results from the single electron tunneling (ET) competing with the anomalous crossed Andreev reflection (CAR) processes. Fig. 3 shows this non-local resistance RRS,LS normalized with respect to DR/2 = RLS,LRRRS,LS + RLS,LRRRS,RL + RRS,LSRRS,RL [defined by equation (13) in Methods]. The left panel shows that RRS,LS has a negative sign (signifying the dominant CAR processes) only for sufficiently strong coupling ΓS > ΓN . This is a straightforward consequence of the (zero-energy) ET and CAR transmissions (Fig. 2). The right panel of Fig. 3 displays the non-local resistance versus the QD level ǫ0. In the linear regime the negative nonlocal resistance occurs when ǫ0 ∼ µS for sufficiently strong coupling ΓS > ΓN . Since ΓS and ǫ0 can be experimentally varied in the realizations of the superconducting-metallic devices with the quantum dots [7, 23 -- 26], such qualitative changes should be observable. Beyond the linear response limit To confront these findings with the non-local effects observed so far in the 'planar' junctions [2 -- 5] we now go beyond the linear response framework. For arbitrary value of the 'driving' voltage VL we computed self-consistently VR, guaranteeing the net current JR to vanish. Under such non-equilibrium conditions the long-range potential U (r) plays an important role in the transport when the charges pile up in the electrodes and the quantum dot [36]. It affects the chemical potentials and the injectivities of the leads and contributes to the screening effect [37 -- 40]. The potential U (r) has to be properly adjusted, depending on specific polarization of the system [38] (for details see the 2-nd subsection of Methods). L / R V e 0.8 0.4 0 -0.4 -0.8 -8 k B T / GL 0 0.3 0.5 = 2 / G L G S 4 = L G / S G 6 = / G L G S 0 = 0 = G L G R L V d / R V d 0.3 0.2 0.1 0 -0.1 -0.2 -0.3 GS / GL = 2 G S / G L = 4 G S / G L = 6 = 0 0 G R = G L k B T / GL 0 0.3 0.5 -4 0 4 8 -8 -4 0 4 8 eV L / L eV L / L FIG. 4: (color online) The non-local voltage VR (left panel) and its derivative dVR/dVL (right panel) induced in the floating R lead in response to the 'driving' voltage VL. Figure 4 shows the induced non-local voltage VR and its derivative with respect to VL for several couplings ΓS and temperatures, obtained for U (r) = 0. At low voltage VL the induced potential VR is proportional to VL, as we discussed in the linear response regime (Fig. 3). Upon increasing the 'driving' voltage VL the Shiba states ±EA (indicated by vertical lines in Fig. 4) are gradually activated, amplifying the non-local processes. For ΓS > ΓN we hence observe local minima (maxima) of VR at the quasiparticle energies EA (−EA). Further increase of VL leads to revival of the dominant ET channel. The derivative dVR/dVL, which is related to the ratio of the local and non-local differential resistances RLS,RS/RLS,LS, can be measured by the standard lock-in method. Our results differ qualitatively from the properties of the planar junctions (where the ET and CAR dominated regions are completely interchanged) [2 -- 5] because the non-local transport occurs through the Andreev states, that are localized at two normal-superconductor interfaces separated by a distance d comparable to the coherence length of superconductor. In consequence, the anomalous CAR transport is possible only for eVL exceeding the characteristic Thouless energy [19 -- 21]. L / R V e 0.8 0.4 0 -0.4 -0.8 GS / GL = 6 GS / GL = 4 GS / GL = 2 L V d / R V d 0.2 0.1 0 -0.1 -0.2 -0.3 0 = 0 = G L G R -4 -2 0 2 4 eV L / L G R = G L L V d / R V d 1 0.8 0.6 0.4 0.2 0 -0.2 -0.4 5 GS / GL = 2 G S G S / G L = 4 / G L = 6 0 / G L 1 -1 -6 -4 -2 0 2 4 6 -6 -4 -2 0 2 4 6 eV L / L eV L / L FIG. 5: (color online) The non-local voltage VR and its derivative with respect to VL obtained at low temperature for ǫ0 = 0 (left panel) taking into account the screening effects U (r). The lower panel shows dVR/dVL for ǫ0/ΓL = ±1. Feedback effect of the long-range potential U (r) = Ueq + Pα uαVα (where Ueq denotes the equilibrium value incorporated into ǫ0) is illustrated in Fig. 5. The quantitative changes are observed for all voltages, however, the qualitative behaviour is similar to that found in the linear regime (Fig. 4). The screening effects and injectivities are calculated here in the self-consistent way [36 -- 40] (discussed in the 2-nd subsection of Methods). This selfconsistent treatment of U (r) partly suppresses both the non-local voltage VR and dVR/dVL. The right panel of Fig. 5 shows dVR/dVL with respect to VL outside the particle-hole symmetry point, i.e. for ǫ0 = ±ΓL. These asymmetric curves can be practically obtained by applying the gate potential to the quantum dot. Summary and Outlook We proposed the three-terminal hybrid device, where the quantum dot is tunnel-coupled to two normal and another superconducting electrode, for implementation of the efficient non-local transport properties. We investigated such effects in the linear and non-linear regimes. We found that in the both cases the non-local resistance/conductance can change from the positive (dominated by the usual electron transfer) to negative values (dominated by the crossed Andreev reflections) upon varying the coupling to superconducting electrode ΓS and tuning the QD level ǫ0. This nano-device would enable realization of the strong non-local conductance (comparable to the local one) by activating the Shiba states formed at sub-gap energies ±EA. They substantially enhance all the transport chan- nels, in particular promoting the CAR mechanism (manifested by the negative non-local conductance/resistance) when the coupling to superconducting electrode is strong ΓS > ΓL + ΓR. We predict the negative non-local con- ductance/resistance both, in the linear regime and beyond it. For the latter case such behavior would be observable exclusively in the low bias voltage regime VL < EA/e capturing the Shiba states. The quantum dot level ǫ0 (tunable by the gate potential) can additionally control asymmetry of the non-linear transport properties, affecting the CAR transmission T CAR(±EA) ∝ h1 + (2ǫ0/ΓS)2i−1 . Strong non-local properties of the nano-device (shown in figure 1) can be contrasted with the previous experimental measurements for the three-terminal planar junctions (consisting of two N − S interfaces separated by a supercon- ducting mesoscopic island) [2 -- 5]. Russo et al. [2] reported evolution from the positive to negative non-local voltage VR induced in response to the 'driving' bias VL. At low VL the ET processes dominated, whereas for higher VL the CAR took over. The sign change of VR occurred at voltage VL related to the Thouless energy (such changeover completely disappeared when a width of the tunneling region via the superconducting sample exceeded the coherence length). Similar weak negative non-local resistance/conductance has been observed in the spin valve configurations [4, 5]. In the planar junctions the non-local conductance was roughly 2 orders of the magnitude weaker than the local one [4]. Summarizing, we proposed the nanoscopic three-terminal device for the tunable (controllable) and very efficient non-local conductance/resistance ranging between the positive to negative values. Our theoretical predictions can be verified experimentally (in the linear response regime and beyond it) using any quantum dots [7, 23 -- 26] attached between one superconducting and two metallic reservoirs. Such measurements are called for. Appendix A: Landauer-Buttiker formalism 6 The four-point method [27] is well established technique for measuring the resistance in a ballistic regime. Voltage Vkl measured between k and l electrodes in response to the current Jij between i and j electrodes defines the local (ij = kl) or non-local (ij 6= kl) resistance via Rij,kl ≡ Vk − Vl Jij = µk − µl eJij = ∆µkl eJij , (8) where ∆µkl = µk − µl is a difference between the chemical potentials of k and l electrodes. The formalism has been later extended by Lambert et al. [28, 29] to systems, where electron tunneling occurs between one or more superconductors. The current from i-th lead depends on the chemical potential µS of superconducting reservoir, because the scattering region acts as a source or sink of quasi-particle charge due to the Andreev reflection (see e.g. Ref. [30]). Adopting this approach, we analyze here the local and non-local transport properties of the three-terminal hybrid system consisting of two normal (L and R) leads coupled through the quantum dot with another superconducting (S) electrode. We consider the charge transport driven by small (subgap) voltages eVkl ≡ ∆µkl = µk − µl ≪ ∆, when the single electron transfer to the superconductor is prohibited. In this limit the net current flowing from the normal L electrode consists of the following three contributions JL = LET LR (µL − µR) + LDAR LL [(µL − µS) − (µS − µL)] + LCAR LR [(µL − µS) − (µS − µR)] . (9) The linear coefficient LET LR refers to the processes transferring single electrons between metallic L and R leads. We call this process as the electron transfer (ET). The other term with LDAR corresponds to the direct Andreev reflection, when electron from the normal L lead is converted into the Cooper pair (in S electrode) reflecting a hole back to the same lead L. The last coefficient LCAR LR describes the non-local crossed Andreev reflection, involving all three electrodes when a hole is reflected to the second R lead. In the subgap regime the competing ET and CAR channels are responsible for the non-local transport properties. LL In the same way as (9) one can express the current JR. By symmetry reasons we have LET RL = LCAR LR , whereas the charge conservation (Kirchoff's law) implies JS = −JL−JR. From these linear response expressions one can estimate the relevant local and non-local resistances (8), assuming arbitrary configurations of the applied currents and induced voltages. Experimental measurements of such resistances (8) can be done, treating one of the electrodes as a voltage probe. In our three-terminal device with the quantum dot we can assume either the metallic or superconducting electrode to be floating. We now briefly discuss both such options. LR and LCAR RL = LET Floating metallic electrode We assume that the superconducting lead S is grounded and treat the metallic electrode (say L) as a voltage probe. This means that the net current vanishes JL = 0 and, from the charge conservation, one finds JR = −JS ≡ JRS. In the linear response regime (9) implies the following potential differences ∆µL RL eJRS ∆µL LS eJRS ∆µL RS eJRS ≡ RRS,RL = ≡ RRS,LS = ≡ RRS,RS = with a common denominator LDAR LL + LCAR LR eD , LET LR − LCAR LR 2eD , LET LR + 2LDAR LL + LCAR 2eD LR = ∆µL LS eJRS + ∆µL RL eJRS = RRS,RL + RRS,LS , D = LET LR (LDAR LL + 2LCAR LR + LDAR RR ) + LCAR LR (LDAR LL + LDAR RR ) + 2LDAR LL LDAR RR . (10) (11) (12) (13) According to the definition (8) and using (10-12) we obtain the local (RRS,RS) and non-local (RRS,RL, RRS,LS) resistances for the floating L lead. Let us notice, that a sign of the non-local resistance RRS,LS depends on a competition between the normal electron transfer (ET) and the crossed Andreev reflections (CAR). The local resistance RRS,RS is in turn a sum of the non-local resistances RRS,RL and RRS,LS. For the configuration, where the other (R) metallic lead is floating we obtain the equations similar to (10-12) with the exchanged indices L ↔ R. Floating superconducting electrode 7 We encounter a bit different situation, assuming the superconducting S electrode to be floating (i.e. JS = 0). The charge conservation JL = −JR ≡ JLR and Eq. (9) imply ∆µS LS eJLR ∆µS SR eJLR ∆µS LR eJLR ≡ RLR,LS = ≡ RLR,SR = ≡ RLR,LR = LDAR RR + LCAR LR eD LDAR LL + LCAR LR eD = RLS,LR , = RRS,RL , (14) (15) LDAR LL + 2LCAR LR + LDAR eD RR = ∆µS LS eJLR + ∆µS SR eJLR = RLR,LS + RLR,SR = RLS,LR + RRS,RL .(16) We notice some analogy between the resistances (14-16) and the previous expressions (10-12). The significant difference appears between the non-local resistances RRS,LS (11) and RLR,SR (15). Because of a minus sign in (11) the former configuration seems to be more sensitive for probing the local versus non-local transport properties. Remarks on the determination of partial conductances Measurements of the local/non-local resistances provide information about the competition between various tun- neling processes. Similar information can be also deduced about the linear coefficients Lβ ij . Let's combine the results obtained for L (or R) and S floating electrodes. We have three independent equations, but we have to determine four coefficients LDAR LL + LET LR = RLR,RS − 2RRS,LS eDR , LDAR RR + LET LR = − RLR,LS + 2RRS,LS eDR , (17) LCAR LR − LET LR = 2RRS,LS eDR . In general, we thus cannot obtain a complete information about all conductances from the separate measurements of the currents and voltages. This situation differs from the case when the quantum dot is coupled to all three normal electrodes, where electrical transport can be characterized only by three conductances. Fortunately, for the case with asymmetric couplings ΓR 6= ΓL the measurements can unambiguously determine the partial conductances GET LR ≡ eLET LR = − L(RLR,LS + 2RRS,LS) + Γ2 Γ2 R(RLR,RS − 2RRS,LS) , (18) (19) (20) (21) GDAR LL ≡ eLDAR LL = RR ≡ eLDAR GDAR RR = LR ≡ eLCAR GCAR LR = − (Γ2 L(RLR,LS + RLR,RS) Γ2 , L − Γ2 R)DR (Γ2 L − Γ2 R)DR , GDAR LL Γ2 R Γ2 L Γ2 LRLR,LS + Γ2 RRLR,RS . (Γ2 L − Γ2 R)DR Some inconvenience is related to the fact the tunneling rates ΓL, ΓR must be measured as well. Appendix B: Non-linear transport The non-linear effects are of vital importance in the transport studies of nanostructures inter alia due to limited screening of charge and access to far from equilibrium states of the system. Non-equilibrium transport driven by the voltage VL (beyond the linear regime) in nanostructures is accompanied by substantial redistribution of the charges. This affects the occupancy of the quantum dot and leads to piling up of the charge in the electrodes. By long range Coulomb interactions the charge redistributions backreact on the transport properties. We shall address this effect in some detail. 8 Let's note that we are considering here the charge transport driven by voltages safely below the superconducting gap eV < ∆ (practically we assume ∆ ∼ 100ΓL). Nevertheless, even at such small voltage (of the order of a few ΓL) the pile-up of electric charges in the electrodes and the dot affects the transport by shifting the chemical potentials and screening the charge on the dot. This is taken into account in the Hamiltonian (1) by the term eU (r). The effect has been considered first in mesoscopic normal systems by Altshuler and Khmelnitskii [36], Buttiker with coworkers [37, 38] and others [39]. It has been also explored in the metal-superconductor (two-terminal) junctions [40]. Here we follow [40], assuming that the long range interactions modify the on-dot energy ǫ0 changing it to ǫ0 − eU (r). In equilibrium the potential U (r) has a constant value, which we denote by Ueq. In the presence of the applied voltages Vα (where α = L, R, S) the deviations δU = U (r) − Ueq, in the lowest order, would be a linear function δU = Xα ∂Vα(cid:19)0 (cid:18) ∂U Vα , (22) where (...)0 denotes the derivative with all voltages set to zero and the gauge invariance implies that Pα(cid:16) ∂U ∂Vα(cid:17)0 1 [37]. Our treatment here relies on the mean field like approximation. In the three terminal device with the quantum dot the single electron transport occurs between the left and right normal electrodes, while the (direct and crossed) Andreev processes involve the normal and superconducting electrodes. The currents (3, 4, 5) and the quantum dot = charge n = 2R dE 2π hGr 112(ΓLfL + ΓRfR) + Gr 122(ΓL fL + ΓR fR)i depend on the screening potential U (r). During the flow of carriers the deviations of δU from the equilibrium value Ueq can be related to the change of the charge carriers δn by the capacitance equation δn = CδU , where C is capacity of the system. The charge density as well as all currents depend on the voltages and δU . This allows to write the relation between δn = n − neq, where neq denotes the equilibrium (i.e. calculated for all voltages set to zero) value of the charge δn = Xα ∂Vα(cid:19)0 (cid:18) ∂n Vα − Π δU , (23) where Π denotes the Lindhard function. Combining these equations we solve for ( ∂U )0 known in the literature as ∂Vα the characteristic potentials and conveniently denoted by uα. They describe the response of the system to the applied voltages. One finds uα = 1 C + Π (cid:18) ∂n ∂Vα(cid:19)0 . (24) For the analysis of voltages induced in the R electrode as a result of current flowing in the L − S branch of the system we need both uL and uR. As in the earlier work [40] we assume C = 0 in the following. The inspection of the formula for n reveals that for the symmetric coupling ΓL = ΓR the functions of both electrodes take on the same value uL = uR. The characteristic potentials enter the expression for the Green functions and as a result modify the relation shown in the figure 4. The modification is especially severe for VL > ΓL. 11 and Gr 12 of the the Green functions as they depend on the potential U . The calculation of the characteristic potentials uL/R require the derivatives of n with respect to voltages VL/R, which enter the distribution functions. The characteristic functions define in turn the potential U = uLVL + uRVR, which has to be introduced into the Green functions entering the expressions (3, 4, 5) for the currents. δU(cid:1)0 is obtained from matrix elements Gr Let us note that Π = −(cid:0) δn Acknowledgements Authors acknowledge M. Urbaniak for the technical assistance. This work is supported by the National Sci- ence Centre under the contracts DEC-2012/05/B/ST3/03208 (GM, BRB), DEC-2014/13/B/ST3/04451 (TD), DEC- 2011/01/B/ST3/04428 (KIW). [1] Nazarov, Yu. V. & Blanter, Y. M. Quantum transport: Introduction to nanoscience (Cambridge University Press, Cam- bridge, 2009). [2] Russo, S., Kroug, M., Klapwijk, T. M. & Morpurgo, A. F. Experimental observation of bias-dependent non-local Andreev reflection. Phys. Rev. Lett. 95, 027002 (2005). 9 [3] Cadden-Zimansky, P. & Chandrasekhar, V. Nonlocal correlations in normal-metal superconducting systems. Phys. Rev. Lett. 97, 237003 (2006). [4] Brauer, J., Hubler, F., Smetanin, M., Beckman, D. & v. Lohneysen, H. Nonlocal transport in normal-metal/superconductor hybrid structures: role of interference and interaction. Phys. Rev. B 81, 024515 (2010). [5] Webb, J. L., Hickey, B. J. & Burnell, G. Numerical model of crossed Andreev reflection and charge imbalance. Phys. Rev. B 86, 054525 (2012). [6] Futturer, D., Governale, M., Pala, M. G. & Konig, J. Nonlocal Andreev transport through an interacting quantum dot. Phys. Rev. B 79, 054505 (2009). [7] Schindele, J., Baumgartner, A., Maurand, R., Weiss, M. & Schonenberger, C. Nonlocal spectroscopy of Andreev bound states. Phys. Rev. B 89, 045422 (2014). [8] Machon, P., Eschrig, M. & Belzig, W. Nonlocal thermoelectric effects and non-local Onsager relations in a three-terminal proximity-coupled superconductor-ferromagnet device. Phys. Rev. Lett. 110, 047002 (2013). [9] Noh, T., Houzet, M., Meyer, J. S. & Chandrasekhar, V. Nonlocal spin correlations mediated by a superconductor. Phys. Rev. B 87, 220502(R) (2013). [10] Martin-Rodero, A. & Levy-Yeyati, A. Josephson and Andreev transport through quantum dots. Adv. Phys. 60, 899 (2011). [11] De Franceschi, S., Kouwenhoven, L., Schonenberger, C. & Wernsdorfer, W. Hybrid superconductor-quantum dot devices. Nature Nanotechnology 5, 703 (2010). [12] Hofstetter, L., Csonka, S., Nygard, J. & Schonenberger, C. Cooper pair splitter realized in a two-quantum-dot Y-junction. Nature 461, 960 (2009). [13] Herrmann, L. G., Portier, F., Roche, P., Levy-Yeyati, A., Kontos, T. & Strunk, C. Carbon nano-tubes as Cooper-pair beam splitters. Phys. Rev. Lett. 104, 026801 (2010). [14] Schindele, J., Baumgartner, A. & Schonenberger, C. Near-unity Cooper pair splitting efficiency. Phys. Rev. Lett. 109, 157002 (2012). [15] Braunecker, B., Burset, P. & Levy-Yeyati, A. Entanglement detection from conductance measurements in carbon nanotube Cooper pair splitters. Phys. Rev. Lett. 111, 136806 (2013). [16] He, J. J., Wu, J., Choy, T.-P., Liu, X.-J., Tanaka, Y. & Law, K. T. Correlated spin currents generated by resonant-crossed Andreev reflections in topological superconductors. Nature Communications 5, 3232 (2014). [17] Mazza, F., Valentini, S., Bosisio, R., Benenti, G., Giovannetti, V., Fazio, R. & Tadddei, F. Separation of heat and charge currents for boosted thermoelectric conversion. arXiv:1503.01601 (2015). [18] Elliott, S. R. & Franz, M. Majorana fermions in nuclear, particle, and solid-state physics. Rev. Mod. Phys. 87, 137 (2015). [19] Falci, G., Feinberg, D. & Hekking, F. W. J. Correlated tunneling into a superconductor in a multiprobe hybrid structure. Europhys. Lett. 54, 255 (2001). [20] Golubev, D. S. & Zaikin, A. D. Non-local Andreev reflection in superconducting quantum dots. Phys. Rev. B 76, 184510 (2007). [21] Duhot, S. & M´elin, R. Nonlocal Andreev reflection in a carbon nanotube superconducting quantum interference device. Phys. Rev. B 77, 014525 (2008). [22] Shiba, H. Classical spins in superconductors. Prog. Theor. Phys. 40, 435 (1968); Rusinov, A. I. On the theory of gapless superconductivity in alloys containing paramagnetic impurites. Sov. Phys. JETP 29, 1101 (1969); Shiba, H. & Soda, T. Superconducting tunneling through the barrier with paramagnetic impurities. Prog. Theor. Phys. 41, 25 (1969); Balatsky, A. V., Vekhter, I. & Zhu, J.-X. Impurity-induced states in conventional and unconventional superconductors. Rev. Mod. Phys. 78, 373 (2006); Zitko, R., Lim, J. S., L´opez, R. & Aguado, R. Shiba states and zero-bias anomalies in the hybrid normal-superconductor Anderson model. Phys. Rev. B 91, 045441 (2015). [23] Pillet, J. D., Joyez, P., Zitko, R. & Goffman, F. M. Tunneling spectroscopy of a single quantum dot coupled to a super- conductor: From Kondo ridge to Andreev bound states. Phys. Rev. B 88, 045101 (2013). [24] Lee, E. J. H., Jiang, X., Aguado, R., Katsaros, G., Lieber, C. M. & De Franceschi, S. Zero-bias anomaly in a nanowire quantum dot coupled to superconductors. Phys. Rev. Lett. 109, 186802 (2012). [25] Lee, E. J. H., Jiang, X., Houzet, M., Aguado, R., Lieber, C. M. & De Franceschi, S. Spin-resolved Andreev levels and parity crossings in hybrid superconductor-semiconductor nanostructures. Nature Nanotechnology 9, 79 (2014). [26] Deacon, R. S., Tanaka, Y., Oiwa, A., Sakano, R., Yoshida, K., Shibata, K., Hirakawa, K. & Tarucha, S. Tunneling spectroscopy of Andreev energy levels in a quantum dot coupled to a superconductor. Phys. Rev. Lett. 104, 076805 (2010); Deacon, R. S., Tanaka, Y., Oiwa, A., Sakano, R., Yoshida, K., Shibata, K., Hirakawa, K. & Tarucha, S. Kondo-enhanced Andreev transport in single self-assembled InAs quantum dots contacted with normal and superconducting leads. Phys. Rev. B 81, 121308(R) (2010). [27] Buttiker, M. Four-terminal phase-coherent conductance. Phys. Rev. Lett. 57, 1761 (1986); Buttiker, M. Symmetry of electrical conduction. IBM J. Res. Develop. 32, 317 (1988). [28] Lambert, C. J., Hui, V. C. & Robinson, S. J. Generalized Landauer formulae for quasi-particle transport in disordered superconductors. J. Phys.: Condens. Matter 5, 4187 (1993). [29] Allsopp, N. K., Hui, V. C., Lambert, C. J. & Robinson, S. J. Theory of the sign of multi-probe conductances for normal and superconducting materials. J. Phys.: Condens. Matter 6 10475 (1994). [30] Lambert, C. J. & Raimondi, R. Phase-coherent transport in hybrid superconducting nanostructures. J. Phys.: Condens. Matter 10, 901 (1998). [31] Morten, J. P., Brataas, A. & Belzig, W. Circuit theory of crossed Andreev reflection. Phys. Rev. B 74, 214510 (2006); Morten, J. P., Brataas, A. & Belzig, W. Circuit theory for crossed Andreev reflection and non-local conductance. Appl. Phys. A 89, 609 (2007). 10 [32] Mahan, G. D. Many-Particle Physics, (Plenum, New York, 2000). [33] Haug, H. & Jauho, A.-P. Quantum Kinetics in Transport and Optics of Semiconductors, (Springer Verlag, Berlin, 1996). [34] Micha lek, G., Bu lka, B. R., Doma´nski, T. & Wysoki´nski, K. I. Interplay between direct and crossed Andreev reflections in hybrid nanostructures. Phys. Rev. B 88, 155425 (2013). [35] Yamada, Y., Tanaka, Y. & Kawakami, N. Interplay of Kondo and superconducting correlations in the nonequilibrium Andreev transport through a quantum dot, Phys. Rev. B 84, 075484 (2011). [36] Altshuler, B. L. & Khmelnitskii, D. E. Fluctuation properties of small conductors. JETP Lett. 42, 359 (1985). [37] Buttiker, M. Capacitance, admittance, and rectification properties of small conductors. J. Phys.: Condens. Matter 5, 9361 (1993). [38] Buttiker, M. & Christen, T. Admittance and nonlinear transport in quantum wires, point contacts, and resonant tunneling barriers. Mesoscopic Electron Transport, Vol. 345 of NATO Advanced Study Institute, Series E: Applied Science (Kluver Academic, Dordrecht, 1997). [39] Ma, Z.-S., Wang, J. & Guo, H. Scattering matrix theory for nonlinear transport. Phys. Rev. B 57, 9108 (1998). [40] Wang, J., Wei, Y., Guo, H., Sun, Q.-F. & Lin, T.-H. Nonlinear transport theory for hybrid normal-superconducting devices. Phys. Rev. B 64, 104508 (2001).
1110.1680
1
1110
2011-10-07T23:38:11
Nonlinear Dynamics in a Magnetic Josephson Junction
[ "cond-mat.mes-hall", "cond-mat.supr-con" ]
We theoretically consider a Josephson junction formed by a ferromagnetic spacer with a strong spin-orbit interaction or a magnetic spin valve, i.e., a bilayer with one static and one free layer. Electron spin transport facilitates a nonlinear dynamical coupling between the magnetic moment and charge current, which consists of normal and superfluid components. By phenomenologically adding reactive and dissipative interactions (guided by structural and Onsager symmetries), we construct magnetic torques and charge pumping, whose microscopic origins are also discussed. A stability analysis of our coupled nonlinear systems generates a rich phase diagram with fixed points, limit cycles, and quasiperiodic states. Our findings reduce to the known phase diagrams for current-biased nonmagnetic Josephson junctions, on the one hand, and spin-torque driven magnetic films, on the other, in the absence of coupling between the magnetic and superconducting order parameters.
cond-mat.mes-hall
cond-mat
Nonlinear Dynamics in a Magnetic Josephson Junction Silas Hoffman,1 Ya. M. Blanter,2 and Yaroslav Tserkovnyak1 1Department of Physics and Astronomy, University of California, Los Angeles, California 90095, USA 2Kavli Institute of Nanoscience, Delft University of Technology, 2628 CJ Delft, The Netherlands We theoretically consider a Josephson junction formed by a ferromagnetic spacer with a strong spin-orbit interaction or a magnetic spin valve, i.e., a bilayer with one static and one free layer. Electron spin transport facilitates a nonlinear dynamical coupling between the magnetic moment and charge current, which consists of normal and superfluid components. By phenomenologically adding reactive and dissipative interactions (guided by structural and Onsager symmetries), we construct magnetic torques and charge pumping, whose microscopic origins are also discussed. A stability analysis of our coupled nonlinear systems generates a rich phase diagram with fixed points, limit cycles, and quasiperiodic states. Our findings reduce to the known phase diagrams for current- biased nonmagnetic Josephson junctions, on the one hand, and spin-torque driven magnetic films, on the other, in the absence of coupling between the magnetic and superconducting order parameters. PACS numbers: 72.25.-b,74.50.+r,74.20.Rp,75.70.Cn Hybrid structures with ferromagnet (F)normal-metal (N) interfaces have garnered much attention over the past few decades owing to their application in spintronic de- vices. Injecting a spin current into such a system ex- erts a torque on the magnet [1], which can induce pre- cession and even reversal [2], allowing for manipulation of the magnetic order parameter in nanoscale structures without an external magnetic field [3]. Because of the nonlinear nature of the ensuing magnetic dynamics, such devices offer observation of effects traditionally seen in nonlinear dynamical systems: Phase locking, hysteresis, bifurcations, and chaos are readily observed [4]. In consideration of a superconductor (S)FS het- erostructure, one may expect the Josephson effect to be suppressed due to the rapid decay of a singlet pair in- side the ferromagnet. Recent experiments [5], however, observed superconducting transport through a strong fer- romagnet between two conventional (s-wave) supercon- ductors. With the expectation that the triplet compo- nent of the superconducting condensate can penetrate long lengths into a ferromagnet, the preservation of this signal suggests a spin singlet-to-triplet conversion at the interfaces [6]. The unexpected persistence of a supercur- rent through the magnet forecasts a new kind of spin- tronic device that manipulates the Josephson junction by the ferromagnet and, conversely, ferromagnetic layer by the superconducting condensate [7 -- 9]. In contrast, Previous analyses [7 -- 10] have considered equilibrium interactions between magnetic and superconducting or- der parameters, which naturally induce a reactive cou- pling. in our description, we introduce nonequilibrium interactions consistent with the symme- tries of the structure and obeying Onsager reciprocity [11]. This treatment allows the addition of both dissi- pative and reactive couplings between the magnet and superconductor that may in practice be crucial in the un- derstanding of ferromagnetic Josephson junctions, anal- ogous to the importance of Slonczewski [1 -- 3] and spin- FIG. 1. Schematics of our magnetic Josephson junctions. The directions of junction layering, applied current I, internal Rashba field E (a), and direction of the static ferromagnetic layer ms (b) all lie along the z axis. φ is the phase difference between the superconducting leads. pumping [12] terms in the theory of spin-transfer torques. Such effects cannot be fully captured by quasiequilibrium free-energy considerations. We expect the dissipation to be governed by the quasiparticle excitations in the super- conductors in concert with the microscopic processes in the ferromagnet (such as magnon-phonon and magnon- magnon interactions in insulators) that are responsible for their Gilbert damping (which, in turn, is known to persist down to very low temperatures). In order to provide specific examples, we consider (a) an SFS heterostructure with a Rashba spin-orbit inter- action (SOI) in a thin ferromagnetic interlayer (neglect- ing the vector potential and associated phase shift caused by its magnetic moment [9]) and (b) SFNFS het- erostructure wherein one ferromagnetic layer is pinned, ms, and the other free, mf . See Fig. 1. The corre- sponding spin-dependent Hamiltonians mix the singlet and triplet superconducting components [6], allowing the superfluid to penetrate into the magnet and exert spin torque and carry spin pumping (since a triplet Cooper pair is a spin-1 object) that are analogous to those as- sociated with normal quasiparticles (spin-1/2 objects). In particular, as a simple model to demonstrate proof of concept, we take the device geometry to be rotation- ally symmetric along the axis associated with the Rashba 1 1 0 2 t c O 7 ] l l a h - s e m . t a m - d n o c [ 1 v 0 8 6 1 . 0 1 1 1 : v i X r a I m φL φL+φ E (a) z φL I mf φL+φ ms (b) z interaction, as sketched in Fig. 1(a), and along the direc- tion of the fixed magnetic layer, as sketched in Fig. 1(b). By analyzing the stability and dynamics of our model, we outline a phase diagram of the coupled system as a function of applied magnetic field and current bias. The phenomenological equation of motion of an iso- lated ferromagnet sufficiently well below the Curie tem- perature is given by the Landau-Lifshitz-Gilbert (LLG) equation [13] m = −γm × H + αm × m , (1) where γ is the gyromagnetic ratio and α is the dimension- less Gilbert damping. We use a normalized form of this equation, in which the (unit) magnetic direction vector m = M/Ms, Ms = M (saturation magnetization), is dimensionless. H = −V−1∂F/∂M is the effective mag- netic field and F , M, and V are the free energy, magneti- zation vector, and volume, respectively. In the spin-valve model, Fig. 1(b), m will denote the free layer mf . We consider the resistively-shunted junction (RSJ) model for the Josephson junction, wherein the device is composed of conventional superconductors with some Ohmic conductance σ in the junction [14]. Additionally, we take the capacitance to be zero, which precludes RC- type delays in the coupled dynamics. The corresponding Josephson relations (for a static magnetization) are Q = Ic sin φ + σV , φ = 2e  V , (2) Under time reversal, where V is the voltage drop across the junction. φ is the phase difference between the superconducting reservoirs and Q is the charge transported by the junction. The supercurrent is proportional to the critical current, Ic = (2e/)EJ , where EJ parametrizes the Josephson energy −EJ cos φ. We note that Eq. (2) is dictated by gauge symmetry and, in anticipation of the arguments to follow, is a manifestation of Onsager reciprocity in the dynamics of Q and φ. m → m and αm × m → −αm × m. The term proportional to α in the LLG equation thus reflects irreversible processes. We characterize such terms as dissipative. σ, likewise, parametrizes Ohmic dissipation of normal fluid. All other terms thus con- sidered so far are reactive. Couplings between the free ferromagnet and superconductor at the level of the free energy, induced by the static magnetic layer or SOI, are restricted by the symmetries of our structure. Our device geometries shown in Fig. 1 are invariant under rotations about the z axis as well as certain combinations of dis- crete symmetries. Because both the exchange interaction between the magnetic layers of our spin-valve device and the Josephson energy are individually preserved under the symmetries of the combined system, the product of these interactions must also be permitted [7]. However, the interlayer FNF spin-valve exchange is usually very 2 small (except for the thinnest N spacers) [3], and will be disregarded in our study. One may, furthermore, show that any (time-reversal symmetric) quadratic cross term involving m, Q, and φ does not respect the symmetries of our device geometry (keeping in mind that φ → −φ under time reversal and m is a pseudovector under im- proper rotations). In particular, an interaction of the type cos(φ+Γmz) [10] is forbidden in our geometry. Thus neglecting interactions of m, Q, and φ beyond quadratic order, the free energy remains uncoupled: F [m, Q, φ] = F [m] + F [Q] + F [φ] , (3) where F [m] = VKM 2 z /2 − VM · Ha, F [Q] = −QV , and F [φ] = −EJ cos φ. The sign of the anisotropy constant, K, defines an easy plane or easy axis and is determined by the geometry of the device and crystalline anisotropies. Ha is an applied external magnetic field. The LLG equation of motion of the magnet is now complemented with interactions that are quasistationary (i.e., first order in frequency), up to quadratic order in the components of m, preserving the magnitude of m, and consistent with the structural symmetry of the device: m = − γm × H + αm × m + (µ Q + λ φ)m × z × m + (ν Q + κ φ)m × z . (4) Hereafter, we are focusing on the spin-valve case, Fig. 1(b), where the phenomenological coupling coef- ficients µ, λ, ν, and κ may be taken to be angle- independent constants and z = ms. [For the SOI device, Fig. 1(a), structural symmetries dictate these coefficients to be odd functions in mz.] Constants µ and ν charac- terize the strength of the coupling between the magnet and the total current Q. Similarly, the strength of the coupling between the magnet and the dynamics of the superfluid condensate φ is characterized by λ and κ. To the reader familiar with spin valves [3], Eq. (4) is remi- niscent of the Landau-Lifshitz-Gilbert equation with the so-called Slonczewski and field-like torques, respectively, added on the second line of the right-hand side. In this case, sketched in Fig. 1(b), current is spin polarized by passing through the fixed magnetic layer. The resulting spin-polarized current impinging on a free ferromagnet induces torque due to conservation of angular momen- tum. In the case of a single magnetic layer with SOI, Fig. 1(a), a spin torque is generated via SOI inside this layer itself [15]. Because the leads in our system are superconducting, we additionally generate a torque as a result of the dynamics of the superfluid condensate. Loosely speaking, the torque induced by both currents, normal current and supercurrent, through the junction produce two channels for driving magnetization dynam- ics (and thus two sets of terms, as compared to the usual normal-metal spin torques). Appropriately, above the critical temperature of the superconductor, we expect to recover the normal-metal limit, in which torque is gener- ated by the ordinary Ohmic current alone. The reaction of the current and superconducting phase dynamics to the magnet are not captured by the Joseph- son relations, Eq. (2), which would not be consistent with Eq. (4). One must extend Eq. (2) to include the pumping terms satisfying Onsager reciprocity, in order to obtain equations of motion for our coupled system that obey microscopic time-reversal symmetry [11]. Because the magnet flips under time reversal (upon invoking Onsager symmetry), one must additionally use the symmetries of the structure to relate the time-reversed state to the original. After straightforward manipulations, that are analogous to Ref. [16] for normal junctions, we construct the following equations in lieu of Eq. (2): σ 2e φ , 2e 2e φ = Q =  [EJ sin φ − S(λ m · m × z + κ m · z)] +  [V − S(µ m · m × z + ν m · z)] − ρ Q , (5) where S = VMs/γ is the total spin angular momentum of the ferromagnetic layer. These equations of motion now include both normal and superfluid pumping, which are Onsager reciprocal to the driving effects introduced in the generalized LLG equation, Eq. (4). Our theory includes two types of pumping as a result of the non-Ohmic rela- tionship between current and voltage. The term with co- efficient ρ causes current to drag phase across the device; ρ is a measure of the viscosity between the current and superfluid condensate. Although this term is not needed for consistency with Onsager reciprocity, we will see that it would generally have to be included in order to satisfy the second law of thermodynamics. We could also im- mediately notice that the coefficients ρ, ν, and µ should vanish in the limit of large superconducting reservoirs, recovering the ordinary ac Josephson effect (as expected based on the gauge invariance). Keeping these terms, on the other hand, would capture finite-size (mesoscopic) properties of the superconducting layers, which are of secondary interest to our ends. We may write the equations of motion in a dimension- less form by measuring time, magnetic field, charge, volt- age, and conductance in units of S/EJ , EJ /γS, 2eS/, EJ/2eS, and S(2e/)2, respectively: m = − m × H + αm × m + φ(λm × z × m + κm × z) + Q(µm × z × m + νm × z) , Q = sin φ − λ m · m × z − κ m · z + σ φ , φ =V − µ m · m × z − ν m · z − ρ Q . Additionally, allow us to absorb a factor of VM 2 s /EJ into the anisotropy constant, such that the free energy for the z/2− m· Ha − QV − magnet reads F [m, Q, φ] = EJ (Km2 cos φ). Under time reversal, the terms with coefficients ν and λ reverse sign in the LLG equation. Because m (6) 3 does not change sign, these are dissipative. Likewise, the terms with coefficients µ and κ do not reverse sign and are thus nondissipative. σ is a dissipative coefficient, therefore ρ is as well. Let us try to understand the microscopic origin of the dissipative terms in our theory. Consider momentarily only the RSJ subsystem: when enough energy is sup- plied (either thermally or by a bias), quasiparticles are able to overcome the superconducting gap and transport through the junction. Normal scattering of quasiparti- cles across the junction causes Ohmic resistance. Like- wise, consider an isolated precessing ferromagnet. This is microscopically described by a coherent magnon state that can decay into phonons and incoherent magnons, processes which macroscopically give Gilbert damping. In the case of a metallic ferromagnet, the additional de- cay channel into the electron-hole continuum enhances further its Gilbert damping. Upon coupling these sub- systems, energy is shared by the entire structure. Like- wise, dissipation by microscopic mechanisms underlying Ohmic conductance and Gilbert damping can give rise to a dissipative (viscous) energy transfer between ferro- magnetic and superconducting layers, as parametrized by new dissipative coefficients ν and λ. Phenomenologically, therefore, we may expect σ, α, and ρ to bound ν and λ, which is indeed verified below. In the RSJ model, Eq. (2), if φ is static, we are in a superconducting (S) state because only dissipationless current is passing through the junction. Likewise if φ is not constant, the circuit must have a finite voltage drop. This is called a resistive (R) state. Notice that in our generalized model, Eqs. (6), a choice of dynamics that leave φ static can still generate dissipative current due to magnetic pumping. We will, nonetheless, keep refering to the static and dynamic states of φ as the superconducting (S) and resistive (R) states, respectively, even though this terminology is, in general, abusive, in the presence of the new spin-torque/pumping terms in Eqs. (6). We distinguish between two regimes governed by the superconducting coherence length ξ. When ξ is smaller than the width of superconducting terminals, the bulk properties of the superconductors will be largely detached from physics at the interfaces. Thus for large supercon- ducting reservoirs, a change in phase difference cannot be induced by transport through the junction. We ex- pect the corresponding coefficients µ, ν, and ρ to scale inversely with the volume of the smaller of the super- conducting layers then; these are representative of meso- scopic effects, as has already been inferred above. Be- cause charge is a conserved hydrodynamic quantity, on the other hand, there is a length at which the dynamics at the interface decouple from the current in the bulk. In particular, κ, λ, and σ should not depend on the size of a superconducting reservoir; these coefficients parametrize the properties of the Josephson junction itself and are thus of central interest to us. Dynamic properties of mesoscopic junctions with nonzero ν and µ terms in Eqs. (6) are discussed in the Supplementary Text, where, in particular, we point out a reentrant behavior for the resistive (R) state as a function of the applied current I. For our analysis, it is convenient to consider dc current biasing (setting ρ, ν, µ to zero), m = −m × H + αm × m + φ(λm × z × m + κm × z) , σ φ = I − sin φ + λ m · m × z + κ m · z . (7) Q = I: In what follows, we treat a system where the applied magnetic field is along the axis of symmetry, Ha = Haz, and K is positive (which is generically the case for films with magnetostatic energy dominating over crys- talline anisotropy). Thermodynamic self consistency of our theory requires for the dissipation power P = J /S)(α m2−2λ m·m×z φ+σ φ2) ≥ 0. This bounds our (E2 phenomenological constant λ as λ2 ≤ ασ (while, clearly, α ≥ 0 and σ ≥ 0). To proceed with the nonlinear stabil- ity analysis, it is important to notice that, according to Eqs. (7), the dynamics of mz and φ decouple from the transverse magnetization (mx, my), which can, in turn, be expressed in terms of (mz, φ) [see Eq. (10) in the Sup- plementary Text]. When the current is below the critical current, I ≤ 1, one can show that there are three stable fixed points: p0, a0, and o0 which correspond to a Josephson 0-junction (defining a junction with φ < π/2 to be in the "0 phase" and π/2 < φ < π in the "π phase") and magnetic di- rection parallel, antiparallel, and away from the z axis, respectively. In all these states φ is fixed by the applied current such that sin φ = I. As indicated by our stabil- ity diagram, Fig. 2, the state of our device is determined by the applied magnetic field. When ha ≤ 1, where ha ≡ Ha/K, mz = ha and m is fixed by initial condi- tions. By applying a sufficiently large external magnetic field, ha ≥ 1, o0 is annihilated under a saddle-node bi- furcation [17], and the sole stable state is p0 or a0 for pos- itive or negative applied field, respectively, pinning the magnet along the z axis. A full linear stability analysis is discussed in the Supplementary Text where we note, specifically, that the dissipation power bound precludes the existence of a π-junction. If the current exceeds its critical value, I > 1, the su- perconducting phase and z component of the magnet be- come dynamic. This disappearance of all the fixed points is an infinite-period bifurcation [17]. Because no fixed points exist, the Poincar´e-Bendixson theorem implies any closed orbit on the cylinder, parameterized by mz and φ, is periodic and must go around the circumference of this cylinder. Supposing the frequency of this periodic motion is ΩJ , mz may be written as a constant plus terms pe- riodic in ΩJ . Likewise, we may express φ = nΩJ t (with nonzero n ∈ Z) plus terms periodic in ΩJ . Therefore, the characteristic frequency of the system is given by the φ. Upon solving the equation of mo- time average of 4 FIG. 2. Stability diagram as a function of the current, I, and applied magnetic field ha. λ = −0.1, µ, ν, κ = 0, K = 1, α = 1, and σ = 0.1. h labels the Hopf bifurcation (solid lines), i labels the infinite-period bifurcation (long-dashed lines), and d labels the saddle-node bifurcation (short-dashed lines). tion for transverse component of the magnet [Eq. (10) in the Supplementary Text], we find it undergoes rotations at frequency n(λ/α)ΩJ that are superimposed with ΩJ oscillations. Therefore the magnet in general undergoes quasiperiodic motion, a state we label q. √ I 2 − 1/σ [14]. To determine the full expression for ΩJ when I > 1 would require solving the system of differential equations (7). For simplicity, consider the limit of small λ and κ, so that we can neglect quadratic terms in λ and κ in Eqs. (7). In this case, the characteristic frequency of the Josephson junction is given by the usual RSJ frequency In region q of our stability di- ωJ = agram, Fig. 2, mz oscillates with frequency ωJ around the average value sign(I)(λ/α − κ)ωJ + ha/K. Near the point sign(I)(λ/α − κ)ωJ + ha/K = 1, a Hopf bifurca- tion [17] (labeled h) is induced wherein the quasiperiodic orbit disappears and the magnet is parallel or antiparal- lel to the z axis, labeled pR and aR respectively, and the phase is dynamic. We anticipate the higher-order cou- pling in λ and κ to modify the frequency dependence on current. Furthermore, we expect that, near the line defin- ing the Hopf bifurcation, there exists a phase of bimodal stability wherein the magnet can orient along the z axis or precess quasiperiodically, subject to the initial condi- tions. This is a natural consequence of the reciprocity of current-driven magnetic dynamics and pumping and per- sists even in the absence of any superconductivity (i.e., EJ = 0). Details of these rich coupled nonlinear dynam- ics are, however, beyond the scope of the present paper. In summary, we have introduced a model of SFS and SFNFS heterostructures coupling the dynamics of the magnets to that of the superconductor via a Rashba SOI in single-layer junctions and via magnetic misalignment in spin-valve junctions. We expect such structures to be highly adaptable to uses in spintronics due to the versa- tility with which one can in principle influence both the magnet and superconductor. Chaos in ferrites and mag- netic thin films is often attributed to spatially nonuni- form magnetizations [4]. Perhaps a simpler route towards chaos in our model is by applying a magnetic field per- !2!101230.00.51.01.52.0aRpRqa0p0o0ihiihddIha 5 pendicular to the axis of cylindrical symmetry. As a re- sult, the dynamic equations become three dimensional and thus no longer restricted by the Poincar´e-Bendixson theorem to periodic orbits or fixed points. [1] J. C. Slonczewski, J. Magn. Magn. Mater., 159, L1 (1996); L. Berger, Phys. Rev. B, 54, 9353 (1996). [2] M. Tsoi, A. G. M. Jansen, J. Bass, W.-C. Chiang, M. Seck, V. Tsoi, and P. Wyder, Phys. Rev. Lett., 80, 4281 (1998); E. B. Myers, D. C. Ralph, J. A. Katine, R. N. Louie, and R. A. Buhrman, Science, 285, 867 (1999). [3] D. C. Ralph and M. D. Stiles, J. Magn. Magn. Mater., 320, 1190 (2008), and references therein. [4] G. Bertotti, I. Mayergoyz, and C. Serpico, Nonlinear Magnetization Dynamics in Nanosystems (Elsevier, Am- sterdam, 2009). [5] R. S. Keizer, S. T. B. Goennenwein, T. M. Klapwijk, G. Miao, G. Xiao, and A. Gupta, Nature, 439, 825 (2006); T. S. Khaire, M. A. Khasawneh, W. P. Pratt, and N. O. Birge, Phys. Rev. Lett., 104, 137002 (2010); J. W. A. Robinson, J. D. S. Witt, and M. G. Blamire, Science, 329, 59 (2010). [6] F. S. Bergeret, A. F. Volkov, and K. B. Efetov, Rev. Mod. Phys., 77, 1321 (2005), and references therein. [7] X. Waintal and P. W. Brouwer, Phys. Rev. B 65, 054407 (2002); J. Linder and T. Yokoyama, Phys. Rev. B, 83, 012501 (2011). [8] V. Braude and Y. M. Blanter, Phys. Rev. Lett., 100, 207001 (2008); F. Konschelle and A. Buzdin, ibid., 102, 017001 (2009). [9] I. Petkovi´c, M. Aprili, S. E. Barnes, F. Beuneu, and S. Maekawa, Phys. Rev. B, 80, 220502 (2009); L. Cai and E. M. Chudnovsky, ibid., 82, 104429 (2010); S. E. Barnes, M. Aprili, I. Petkovi´c, and S. Maekawa, Super. Sci. Tech., 24, 024020 (2011). [10] A. Buzdin, Phys. Rev. Lett., 101, 107005 (2008). [11] L. D. Landau and E. M. Lifshitz, Statistical Physics, Part 1, 3rd ed., Course of Theoretical Physics, Vol. 5 (Perga- mon, Oxford, 1980). [12] Y. Tserkovnyak, A. Brataas, G. E. W. Bauer, and B. I. Halperin, Rev. Mod. Phys., 77, 1375 (2005), and refer- ences therein. [13] E. M. Lifshitz and L. P. Pitaevskii, Statistical Physics, Part 2, 3rd ed., Course of Theoretical Physics, Vol. 9 (Pergamon, Oxford, 1980); T. L. Gilbert, IEEE Trans. Magn., 40, 3443 (2004). [14] K. K. Likharev, Dynamics of Josephson Junctions and Circuits (Gordon and Breach Publishers, New York, 1986). [15] A. Manchon and S. Zhang, Phys. Rev. B, 78, 212405 (2008); A. Chernyshov, M. Overby, X. Liu, J. K. Fur- dyna, Y. Lyanda-Geller, and L. P. Rokhinson, Nature Phys., 5, 656 (2009). [16] K. M. D. Hals, A. Brataas, and Y. Tserkovnyak, Euro- phys. Lett., 90, 47002 (2010). [17] J. Guckenheimer and P. Holmes, Nonlinear Oscillations, Dynamical Systems, and Bifurcations of Vector Fields (Springer-Verlag, New York, 1983). 6 Supplementary Text Decoupled Junction. -- In the special case where mesoscopic effects dominate (µ, ν, ρ (cid:54)= 0 but λ, κ=0) in Eqs. (6), the current-biased magnetic and superconducting dynamics decouple. We take this opportunity to recall the properties of magnetic spin valves and the RSJ model of superconductors, to which the decoupled equations map. Ignoring λ and κ, Eqs. (6) become simply m = −m × H + αm × m + I(µm × z × m + νm × z) , σ φ = I − sin φ . (8) The equation of motion for the magnet is thus the LLG equation for a spin valve, including Slonczewski (µ) and field-like (ν) torques, in the case that a fixed magnetic layer points along the z axis. The superconductor is described by the RSJ model with zero capacitance. Appropriately, we find that the dissipation power depends only on the J /S)(α m2 + 2ν m · z Q + σ φ2 + ρ Q2) ≥ 0. Because α ≥ 0, σ ≥ 0, and ρ ≥ 0, our dissipative constants: P = (E2 phenomenological constant is bounded, ν2 ≤ αρ, as anticipated. There are three possible stable states of the current-biased magnet in the presence of a static field in the z direction: pinned parallel to the z axis, antiparallel to the z axis, or precessing around the z axis, labeled p, a, and o, respectively. A pinned state is stable when (µ/α − ν)I/K + ha ≥ 1. If (µ/α − ν)I/K + ha < 1, the magnet precesses at frequency ωM = µI/α. The corresponding stability diagram with Hopf bifurcation lines is shown in Fig. 3. In the dimensionless form of the RSJ description, when −1 ≤ I ≤ 1, the junction is in the S state and the phase is fixed at φ = sin−1 I. When the current is raised beyond the critical current, I > 1, the Josephson junction is in the R state and φ oscillates with frequency I 2 − 1/σ. For the RSJ model, a π junction is trivially impossible: φ cannot access values between π/2 and ωJ = π. The inset of Fig. 3 displays the well-known phase diagram of the RSJ junction. √ FIG. 3. Stability diagram as a function of the current and applied magnetic field of the decoupled magnet. µ = −1.5, ν, λ, κ = 0, and K = 1. p and a label the parallel and antiparallel states of the magnet, respectively. Inset: decoupled Josephson junction. The S state (unshaded) and R state (shaded) are separated by the line I = 1. Solid line is the value of φ for a 0 junction and dashed for the unstable π junction. Stability Analysis. -- We enumerate all of the fixed points of the junction discussed in the Main Text and calculate their associated stability. The equations of motion for mz and φ decouple from the transverse dynamics, according to Eq. (7): (cid:104) (cid:105) mz = (1 − m2 z) ¯α(Ha − Kmz) + ¯λ φ , φ = I − sin φ − ¯λ(Ha − Kmz)(1 − m2 z) σ − (λ¯κ + κ¯λ)(1 − m2 z) , (9) where ¯λ ≡ (λ− ακ)/(1 + α2), ¯κ ≡ (κ + αλ)/(1 + α2), and ¯α ≡ α/(1 + α2). The solution for the transverse components 0.00.51.01.52.02.53.03.50.00.51.01.52.02.5aopIhaRSI!1 of the magnet, m = mx + imy, as a function of mz and φ are given, in turn, by m =(cid:112)1 − m2 (cid:20) (cid:18) z exp − i α λφ + 1 − mz 1 + mz 1 2 ln (cid:19) (cid:21) + iϕ 7 (10) with ϕ determined by initial conditions. Consequently, the fixed points of the equations of motion for mz and φ, Eq. (9), immediately determine the state of the full system. When the current is below the critical current, I ≤ 1, there are at most six fixed points for the (mz,φ) dynamics [Eqs. (9)]: ( ¯mz, ¯φ) =(cid:8)(±1, sin−1 I) , (±1, π − sin−1 I) , (ha, sin−1 I) , (ha, π − sin−1 I)(cid:9) . (11) We henceforth label the first four fixed points as p0, a0, pπ and aπ. At these points, the magnet points parallel (p) or antiparallel (a) to the z axis, and the Josephson junction is a 0 or π junction. We refer to the last two fixed points in Eq. (11) (which are only possible when ha < 1) as o0 and oπ, with mz determined by the ratio of the applied field to the magnetic anisotropy. When ha ≥ 1, o0 and oπ are annihilated under a saddle-node bifurcation, and only the fixed points pinned along the z-axis, p0, a0, pπ and aπ, remain. At these fixed points, the superconducting phase and magnetic order decouple. The stability analysis for φ then reduces to the RSJ model, resulting, therefore, in a 0 junction. Thus, the only stable points, when ha ≥ 1 and I ≤ 1, are p0 and a0 subject to the direction of the applied field. For intermediate values of the applied magnetic field, ha < 1, p0, a0, pπ and aπ are all unstable fixed points and the system is at o0 or oπ. We can see that if σ < (λ¯κ + κ¯λ)(1 − m2 z) [such that the denominator in Eq. (9) for φ can become negative], the magnet is capable of sustaining the system in a oπ state. Achieving a π junction for an optimal choice of κ requires λ2 > ασ/(1 − m2 z), in direct contradiction with the aforementioned dissipation power bound. Therefore, in this model, a π junction is forbidden and, specifically when I ≤ 1, the stable states of our system are p0, a0, or o0, according to the value of ha. General Junction. -- In the following, we analyze the properties of the general junction wherein we do not restrict any phenomenological parameters in Eqs. (6) to be zero. As previously, the transverse magnetization m = mx + imy decouples from the (mz, φ) dynamics: (cid:105) I − sin φ −(cid:2)¯λ(Ha − Kmz) − I(¯µκ + ¯νλ)(cid:3) (1 − m2 z) σ − (λ¯κ + κ¯λ)(1 − m2 z) , (12) mz = (1 − m2 z) ¯α(Ha − Kmz) + ¯λ φ + ¯µI , φ = (cid:104) where ¯µ ≡ (µ− αν)/(1 + α2), ¯ν ≡ (ν + αµ)/(1 + α2) and ¯λ ≡ (λ− ακ)/(1 + α2), ¯κ ≡ (κ + αλ)/(1 + α2), ¯α ≡ α/(1 + α2), as before. One can show that the general solution for transverse components is (up to an arbitrary phase shift ϕ) m =(cid:112)1 − m2 (cid:20) (cid:18) z exp − i α (cid:19)(cid:21) 1 − mz 1 + mz 1 2 ln µIt + λφ + . (13) The fixed points in the (mz, φ) plane are ( ¯mz, ¯φ) =(cid:8)(±1, sin−1 I) , (±1, π − sin−1 I) , (cid:0)(µ/α − ν)I/K + ha, sin−1 I(cid:48)(cid:1) , (cid:0)(µ/α − ν)I/K + ha, π − sin−1 I(cid:48)(cid:1)(cid:9) , (14) where we have introduced I(cid:48) ≡ I(cid:2)1 + (µ/α)λ(cid:0)1 − ¯m2 (cid:1)(cid:3) (15) with ¯mz = (µ/α − ν)I/K + ha that itself depends on the current bias I. At the first four fixed points, the magnet is pinned parallel or antiparallel to the z axis and can be either a 0 or π junction. Hence, maintaining consistent language between the coupled and general junctions, we label these fixed points p0, a0, pπ, and aπ. The final two fixed points [which are possible when (µ/α − ν)I/K + ha < 1] are labeled by o0 and oπ. These o0 and oπ points are stationary in the (mz, φ) plane but the transverse components of the magnet follow a circular orbit of radius(cid:112)1 − ¯m2 z z at frequency ωM = µI/α. The salient differences between these fixed points and those found studying the fixed points of Eq. (9) are in the properties of o0 and oπ. First, the transverse component of the ferromagnet is dynamic when µ (cid:54)= 0. Second, the static value of sin φ is a nonlinear function of the current. This results in a change in shape of the boundary separating the S and R states of the superconductor: See, for example, Fig. 4, where the phase diagram develops a "foldover region." Consider the current increase at fixed magnetic field along the dashed line in Fig. 4. The system undergoes changes from (1) S to R, (2) R to S, and (3) S to R again. Unlike in a conventional Josephson junction, our model 8 FIG. 4. Separation of the S state (white) and R state (grey) of the superconductor by a nonlinear function defined by I(cid:48) = 1. The parameters of this system are µ = −1, λ = 0.6, ν, κ = 0, K = α = 1, and σ = 2. The 1, 2, 3 labels along the dashed line show the three places where the Josephson junction switches between superconducting and resistive states. has multiple values of the current for which the junction changes between superconducting and resistive states. Thus the junction has three 'critical currents.' Likewise at a particular fixed value of current, we can induce a change from R to S then S to R by increasing or decreasing the applied magnetic field. This has no analogy in the RSJ model. As a function of the applied current, a rich variety of the coupled dynamics generally emerges, as seen in Fig. 5, where we have plotted the stereographic projection of the magnetic direction. A detailed analysis of this motion will be addressed in future work. FIG. 5. Stereographic projection of the magnetization undergoing irreversible dynamics at different currents. Here, µ = 0.1, λ = 0.5, ν, κ = 0, K = 1, α = 1, and σ = 1; initially positioned at mx = 1. Note that the scale is different between frames. !101230.00.51.01.52.02.53.0Iha123I=1I=1.3I=1.6I=1.9
1802.08003
1
1802
2018-02-22T12:07:20
Strong Valley Zeeman Effect of Dark Excitons in Monolayer Transition Metal Dichalcogenides in a Tilted Magnetic Field
[ "cond-mat.mes-hall" ]
The dependence of the excitonic photoluminescence (PL) spectrum of monolayer transition metal dichalcogenides (TMDs) on the tilt angle of an applied magnetic field is studied. Starting from a four-band Hamiltonian we construct a theory which quantitatively reproduces the available experimental PL spectra for perpendicular and in-plane magnetic fields. In the presence of a tilted magnetic field, we demonstrate that the dark exciton PL peaks brighten due to the in-plane component of the magnetic field and split for light with different circular polarization as a consequence of the perpendicular component of the magnetic field. This splitting is more than twice as large as the splitting of the bright exciton peaks in tungsten-based TMDs. We propose an experimental setup that will allow to access the predicted splitting of the dark exciton peaks in the PL spectrum.
cond-mat.mes-hall
cond-mat
a Strong Valley Zeeman Effect of Dark Excitons in Monolayer Transition Metal Dichalcogenides in a Tilted Magnetic Field M. Van der Donck,∗ M. Zarenia,† and F. M. Peeters‡ Department of Physics, University of Antwerp, Groenenborgerlaan 171, B-2020 Antwerp, Belgium (Dated: February 23, 2018) The dependence of the excitonic photoluminescence (PL) spectrum of monolayer transition metal dichalcogenides (TMDs) on the tilt angle of an applied magnetic field is studied. Starting from a four- band Hamiltonian we construct a theory which quantitatively reproduces the available experimental PL spectra for perpendicular and in-plane magnetic fields. In the presence of a tilted magnetic field, we demonstrate that the dark exciton PL peaks brighten due to the in-plane component of the magnetic field and split for light with different circular polarization as a consequence of the perpendicular component of the magnetic field. This splitting is more than twice as large as the splitting of the bright exciton peaks in tungsten-based TMDs. We propose an experimental setup that will allow to access the predicted splitting of the dark exciton peaks in the PL spectrum. Single layers of semiconducting transition metal dichalcogenides (TMDs) have been the subject of inten- sive theoretical [1–3] and experimental [3–5] research in recent years. These studies have been motivated by sev- eral unique features of TMDs: i ) the lack of inversion symmetry that leads to the formation of a large direct band gap ((cid:38) 1.5 eV) at the two inequivalent valleys lo- cated at the K and K(cid:48) points of the hexagonal Brillouin zone, ii ) strong spin-orbit interaction which significantly lifts the degeneracy between the spin levels of the con- duction and valence bands [6–9], and iii ) strong excitonic effects at room temperature that originate from the two- dimensional (2D) character of TMDs and the associated reduced dielectric screening of the Coulomb interaction between charge carriers [10–17]. The two low-energy valleys in TMDs are degenerate due to time-reversal symmetry. However, the applica- tion of an external magnetic field breaks the time-reversal symmetry and as a consequence lifts this degeneracy. This is referred to as the valley Zeeman effect. Using magneto-photoluminescence spectroscopy, the valley Zee- man effect has been experimentally observed in TMD monolayers as different energy shifts induced in the ex- citonic transitions in the two valleys by a perpendicular magnetic field [18–23]. A perpendicular magnetic field, aside from leading to Landau quantization, decreases (in- creases) the energy gap between the highest valence band state and lowest conduction band state via the intracel- lular orbital magnetic moment (the magnetic moment of the particles around their atomic site) in the K (K(cid:48)) valley, implying that the exciton transition energy is dif- ferent in the two valleys. Due to the circular dichroism in TMDs this means that the exciton resonances in the pho- toluminescence (PL) spectra for left and right circularly polarized light will shift from each other. The different magnetic shifts of the energy levels in the presence of a perpendicular magnetic field are schematically depicted in Fig. 1(a) and will be discussed in detail later. FIG. 1: (Color online) (a) Schematic representation of the dif- ferent magnetic shifts of the energy levels of tungsten-based monolayer TMDs in the absence (dashed) and presence (full) of a perpendicular magnetic field. Landau levels are not shown here. Blue and red curves are spin up and spin down bands, respectively. The black, brown, and green arrows in- dicate the effect of the spin, intracellular orbital, and inter- cellular orbital magnetic moment, respectively (explained in the text). (b) Schematic representation of an experimental setup for studying the effects of a tilted magnetic field on the optical properties of a TMD monolayer. the excitonic ground state can be bright (parallel spin configuration at the lowest conduction and highest va- lence band for which the optical transition is allowed) or dark (opposite spin configuration and optically forbidden ground state interband transition). An in-plane mag- netic field, aside from leading to small additional shifts in the energy bands, couples the different spin states and as a result leads to a finite amplitude for previously forbid- den interband transitions and as such leads to additional peaks in the PL spectrum. This brightening of dark ex- citons by an in-plane magnetic field was demonstrated in a recent experiment [24]. Depending on the relative sign of the spin-orbit cou- plings in the conduction and valence bands in TMDs, In this letter, we investigate the influence of a tilted magnetic field on the excitonic PL spectrum in mono- layer TMDs. A tilted magnetic field allows to combine the effects of the breaking of the energy degeneracy of the two valleys and the coupling between the different spin states. We predict that the extra peak, which arises due to the in-plane component of the magnetic field, will also split due to the perpendicular magnetic field component and that this splitting is more than twice as large as the splitting of the bright peaks in tungsten-based TMDs. In Fig. 1(b) we show a possible experimental setup. The magnetic field is oriented along the z-direction and the sample can be tilted over an arbitrary angle θ. The mir- ror should be tilted over an angle φ = (π − θ)/2 in or- der to have perpendicular incidence of the laser beam which is pointed along the z-direction. Starting from the 2 four-band low-energy dispersion of TMD monolayers we present a semi-analytical approach for calculating the ex- citon energies and wave functions. The obtained results quantitatively reproduce the experimental PL spectra for perpendicular and in-plane magnetic fields. from the effective in v,↓,τ(cid:105)} We start low-energy single- basis Be electron Hamiltonian = {φe c,↑,τ(cid:105) ,φe v,↑,τ(cid:105) ,φe τ the 4D Hilbert space He v,↑(↓),τ(cid:105) the spin up (down) atomic orbital states at the conduction (c) and valence (v) band edge, respectively, and incorporate an arbitrarily oriented magnetic field: [1] c,↓,τ(cid:105) ,φe τ , with φe c,↑(↓),τ(cid:105) and φe spanning the (cid:18) atΠτ .σ + σz − 2τ ∆ 2 q e µBBz 2 − σz I p 2 (cid:19) −(cid:16) q e H q τ (Π) = I s 2 ⊗ (cid:17) ⊗ I p µBB.s 2 + τ sz ⊗ (cid:18) λc I p 2 + σz 2 + λv 2 − σz I p 2 (cid:19) , (1) 2 (I s where σ (s) is a vector with the pseudospin (spin) Pauli matrices σi (si) (i = x, y, z) as its components, I p 2 ) is the two by two pseudospin (spin) identity matrix, a the lattice constant, t the hopping parameter, τ = ±1 the valley index, ∆ the band gap, λc(v) the spin-orbit cou- pling strength leading to a spin splitting of 2λc(v) at the conduction (valence) band edge, q the charge of the elec- tron, e the elementary charge, µB the Bohr magneton, and Πτ = (τ Πx, Πy, 0)T with Πi = ki − qAi/ where A is the vector potential giving rise to the magnetic field B = ∇ × A. Here, we choose to work in the gauge A = (−Bzy/2, Bzx/2 − Bxz, 0)T with Bx = B sin θ and Bz = B cos θ where B is the magnetic field strength. However, since we are considering a 2D system we can take z = 0. The first part of the above Hamiltonian is the gapped Dirac Hamiltonian plus the contribution of the intracellular orbital magnetic moment (conduction and valence band states in monolayer TMDs have mz = 0 and mz = 2τ , respectively). The second and third part are the contribution of the spin magnetic moment and the spin-orbit coupling, respectively. The in-plane components of the magnetic field pre- vent the above Hamiltonian from being diagonal in spin space and reducing it to two 2D Hamiltonians. There- fore, in order to have a 4D exciton Hamiltonian instead of a 16D one, we will consider the in-plane components of the magnetic field within first order perturbation theory, which leads to the effective 2D Hamiltonian in the basis s,τ = {φe Be c,s,τ(cid:105) ,φe v,s,τ(cid:105)}: H q s,τ (Π) =at(τ Πxσx + Πyσy) + (cid:16)λvsτ − 2τ q e I2 + σz ∆ 2 (cid:17) I2 − σz σz + λcsτ − s 2 q e + µBBz µBBzI2, (2) with s = ±1 the spin index and with λc(v) = λc(v) + BB2 µ2 x/(2λc(v)). This is a good approximation as long as µBBx is small compared to λc. 2 Since a hole with wave vector k, spin s, and valley index τ can be described as the absence of an elec- tron with opposite wave vector, spin, and valley index, the single-hole Hamiltonian can immediately be obtained from the single-electron Hamiltonian and is given by −q−s,−τ (−Π). The eigenstates of this Hamiltonian span −H the 2D Hilbert space Hh s,τ . The total exciton Hamilto- nian acts on the product Hilbert space spanned by the tensor products of the single-particle states at the band se,τ e ⊗ Bh edges, Bα = Be α (Πe, Πh, reh) =H qe sh,τ h , and is given by se,τ e (Πe) ⊗ I2 − I2 ⊗ H −qh −sh,−τ h (−Πh) − V (reh)I4, H exc (3) where α is a shorthand notation for se, τ e, sh, τ h, with qh = −qe = e, and where the electron-hole interaction potential is given by [25–27] (cid:20) (cid:18) rij (cid:19) (cid:18) rij (cid:19)(cid:21) − Y0 e2 π 2r0 4πκε0 V (rij) = (4) with rij = ri − rj, where Y0 and H0 are the Bessel function of the second kind and the Struve function, re- H0 r0 r0 , 3 FIG. 3: (Color online) Splitting of the bright (blue, solid) and dark (red, dashed) excitonic peaks in the PL spectrum of WS2 on a SiO2 substrate in the presence of a magnetic field of 30 T as a function of the tilt angle of the sample. bital magnetic moment leads to different energy gaps in the two valleys. More specifically it decreases (increases) the energy gap in the K (K(cid:48)) valley by an amount of 2µBBz. For states with the same spin and valley in- dex, we can see from Fig. 1(a) that the intercellular or- bital magnetic moment (the intrinsic magnetic moment of the individual Bloch particles for which an expression is given in the Supplemental Material [28]) and spin mag- netic moment do not influence the energy gap. As a re- sult, the bright exciton peaks in the PL spectrum split by an amount of 4µBBz between the two circular polar- izations of the laser. When λc and λv have the same sign the ground state of the A exciton, i.e. an exciton in which the hole stems from the highest valence band, is dark. Theoretical studies predict that this is the case for TMDs consisting of tungsten, while it is not the case for TMDs consisting of molybdenum [6–9]. Here we as- sume λv > 0 and as a consequence λc > 0 for tungsten based TMDs and λc < 0 for molybdenum based TMDs. However, in the presence of an in-plane magnetic field these dark excitons become brightened. Fig. 1(a) shows that the energy gap between the highest valence band and the conduction band with opposite spin increases (decreases) with 4µBBz in the K (K(cid:48)) valley due to the spin and intracellular orbital magnetic moments. The in- tercellular orbital magnetic moment will further add to this difference in size of the energy gap since it has a dif- ferent magnitude for different spin states. Therefore, in a tilted magnetic field the peaks in the PL spectrum due to these brightened dark excitonic states will also split be- tween the two circular polarizations of the laser and this splitting is expected to be more than twice as large as the splitting between the bright exciton peaks. For materi- als with λc > 0 the dark exciton energy is lower than the bright exciton energy and therefore these resonances can be detected in the PL spectrum as their intensity is fur- ther thermally increased by a factor exp[∆Ebd/(kBT )], with ∆Ebd the difference between the bright and the dark FIG. 2: (Color online) Excitonic PL spectra of WS2 on a SiO2 substrate for σ− (blue, solid) and σ+ (red, dashed) circularly polarized light for different tilt angles of the sample in the presence of a magnetic field of 30 T. We used a broadening of γ = 5 meV. spectively, with κ = (ε1 + ε2)/2 where ε1(2) is the dielec- tric constant of the environment above (below) the TMD monolayer, and with r0 = 2πχ2D/κ the screening length where χ2D is the 2D polarizability of the TMD layer. In this letter we consider TMDs on a SiO2 substrate with dielectric constant ε2 = 3.8 and with vacuum on top, i.e. ε1 = 1. The eigenvalue problem for the exciton Hamilto- nian (3) is now reduced to a set of four coupled equations. The details on how to solve this eigenvalue problem are given in the Supplemental Material [28]. When both the excitonic energy spectrum and the wave functions are ob- tained we can also calculate the PL spectrum using the formula [29]  ,  (cid:88) se,τ e,sh,n α±(ω) ∝ Im − Eα,n kB T e P seτ e± 2φe,h c,v,α,n(0, 0)2 ω (Eα,n − ω − iγ) (5) with P seτ e± the transition amplitude between the single- particle states (for which an expression is derived in the Supplemental Material [28]), Eα,n the exciton energy of the nth state with indices α, φe,h c,v,α,n the corresponding dominant component of the exciton wave function, ω the photon energy, γ the broadening of the peaks, and where the hole valley index is fixed for optical transitions at τ h = −τ e. As mentioned in the introduction, the intracellular or- 1.221.261.301.34020406080051015 4 lower energy than the bright exciton and can be detected whereas in materials with λc > 0 the dark exciton has a higher energy than the bright exciton and is thermally suppressed. However, in this case the spin and intracellu- lar orbital magnetic moments cancel each other and the only change in the energy gap comes from the intercel- lular orbital magnetic moment. Therefore, the splitting of the dark B exciton peaks in the PL spectrum will be smaller than that of the bright excitons and thus more difficult to detect. This can be seen in the figure, where the brightened dark B exciton peak of MoSe2 and that of MoS2 are difficult to observe. Although, for the latter, the treatment of the in-plane component of the magnetic field within first order perturbation theory might have smaller accuracy due to the very small λc. The material constants for four different TMDs used in this work are listed in Table I. Changing these values would only lead to shifts in the PL spectra. The only parameter which is of qualitative importance is (the sign of) λc. Furthermore, we only consider excitons in the 1s-state in the results presented here. In Figs. 5(a) and (b) we compare our results with ex- perimental results for the case of a perpendicular [20] and a parallel [24] magnetic field, respectively. For a perpen- dicular magnetic field we find a slightly larger splitting of the excitonic peak, which is possibly due to the fact that the magnetic quantum numbers in the conduction and valence bands of monolayer TMDs deviate somewhat from the values mz = 0 and mz = 2τ due to mixing of the d orbitals that make up the single-particle states at the band edges with p orbitals [23]. In the case of a par- allel magnetic field there are additional features in the experimental PL spectrum which have been attributed to localized or defect-related excitons, as well as to trions [32, 33]. The defect-related exciton could be studied by adding a Coulomb-like impurity to the diagonal elements of the single-particle Hamiltonian (1). Trions and biexci- tons pose a considerably bigger challenge. Constructing a trion or biexciton Hamiltonian can be done in a sim- ilar fashion as described here for the exciton. However, solving the corresponding eigenvalue problem would be computationally impossible since in these cases the angu- lar correlations can not be neglected [34] and this would require solving a 6D (trion) and 8D (biexciton) differen- tial equation. In summary, we have constructed a theory which al- lows to calculate the effect of an arbitrarily oriented magnetic field on excitons in monolayer transition metal dichalcogenides. We found that for tungsten based TMDs the dark A exciton peak in the PL spectrum, which is brightened due to the in-plane component of the magnetic field, splits between left and right circu- larly polarized light due to the perpendicular component of the magnetic field and that this splitting is more than twice as large as compared to the splitting of the bright exciton peak, which should be observable experimentally. FIG. 4: (Color online) Schematic excitonic PL spectra for σ− (blue, solid) and σ+ (red, dashed) circularly polarized light for different TMD monolayers on a SiO2 substrate with tilt angle θ = 45◦ in the presence of a magnetic field of 50 T. We used a broadening of γ = 3 meV. exciton energy. This is illustrated in Fig. 2 where we show the exci- tonic PL spectrum of WS2 for different tilt angles. The results clearly show the above predicted effects, with the splitting of the dark exciton peaks more than twice as large as compared to the splitting of the bright exciton peaks, which should be detectable experimentally. How- ever, although the splitting of the dark exciton peak in- creases as the tilt angle decreases, the intensity of the dark exciton peaks decreases as well, making them more difficult to observe. Therefore, this effect can be best measured at intermediate tilt angles. The splitting of the bright and dark excitonic peaks in the PL spectrum is shown in Fig. 3 as a function of the tilt angle of the sample. Notice that the splitting of both excitonic peaks increases with decreasing angle and that the splitting of the dark excitonic peak is more than twice as large as compared to the splitting of the bright peak. For materials with λc < 0 the dark exciton energy is higher than that of the bright exciton and as such these states are, in addition to their already lower intensity, fur- ther thermally suppressed by a factor exp[∆Ebd/(kBT )] and are therefore not detected experimentally. This can be seen in Fig. 4, where no brightened dark A exci- ton peaks are seen in the PL spectrum of MoS2 and MoSe2. For B excitons, i.e. excitons in which the hole stems from the lowest valence band, the situation is re- versed: in materials with λc < 0 the dark exciton has a TABLE I: Lattice constants [1], hopping parameters [1], band gaps [1], spin splittings of the conduction [7] and valence [30] band, and screening lengths [31] for different TMD materials suspended in vacuum. a (A) t (eV) ∆ (eV) 2λc (meV) 2λv (meV) r0 (A) MoS2 3.193 1.10 MoSe2 3.313 0.94 WS2 3.197 1.37 WSe2 3.310 1.19 1.66 1.47 1.79 1.60 -3 -21 27 38 150 180 430 460 41.47 51.71 37.89 45.11 FIG. 5: (Color online) Excitonic PL spectra for σ+ and σ− circularly polarized light for WSe2 on a SiO2 substrate for B = 7 T, θ = 0◦, γ = 3.5 meV (a) and B = 14 T, θ = 90◦, γ = 8 meV (b). The results of our model are shifted to match the A exciton energy of the experimental results and the maxima are rescaled to facilitate comparison. This work was supported by the Research Foundation of Flanders (FWO-Vl) through an aspirant research grant for MVDD and by the Methusalem foundation of the Flemish Government. ∗ Electronic address: [email protected] † Electronic address: [email protected] ‡ Electronic address: [email protected] [1] D. Xiao, G.-B. Liu, W. Feng, X. Xu, and W. Yao, Phys. Rev. Lett. 108, 196802 (2012). [2] M. Danovich, V. Z´olyomi and V. I. Fal'ko, Sci. Rep. 7, 45998 (2017). [3] E. Courtade, M. Semina, M. Manca, M. M. Glazov, C. Robert, F. Cadiz, G. Wang, T. Taniguchi, K. Watanabe, M. Pierre, W. Escoffier, E. L. Ivchenko, P. Renucci, X. Marie, T. Amand, and B. Urbaszek, Phys. Rev. B 96, 085302 (2017). [4] Q.H. Wang, K. Kalantar-Zadeh, A. Kis, J.N. Coleman, and M.S. Strano, Nat. Nanotechnol. 7, 699 (2012). [5] G. Moody and S. T. Cundiff, Adv. Phys.: X 2, 641 (2017). [6] G.-B. Liu, W.-Y. Shan, Y. Yao, W. Yao, and D. Xiao, Phys. Rev. B 88, 085433 (2013). [7] K. Ko´smider, J. W. Gonz´alez, and J. Fern´andez-Rossier, Phys. Rev. B 88, 245436 (2013). [8] A. Korm´anyos, G. Burkard, M. Gmitra, J. Fabian, V. Z´olyomi, N. D. Drummond, and V. Fal'ko, 2D Mater. 2, 5 022001 (2015). [9] J. P. Echeverry, B. Urbaszek, T. Amand, X. Marie, and I. C. Gerber, Phys. Rev. B 93, 121107(R) (2016). [10] K. F. Mak, K. He, C. Lee, G. H. Lee, J. Hone, T. F. Heinz, and J. Shan, Nat. Mater. 12, 207 (2013). [11] A. Chernikov, T. C. Berkelbach, H. M. Hill, A. Rigosi, Y. Li, O. B. Aslan, D. R. Reichman, M. S. Hybertsen, and T. F. Heinz, Phys. Rev. Lett. 113, 076802 (2014). [12] K. He, N. Kumar, L. Zhao, Z. Wang, K. F. Mak, H. Zhao, and J. Shan, Phys. Rev. Lett. 113, 026803 (2014) [13] G. Sallen, L. Bouet, X. Marie, G. Wang, C. R. Zhu, W. P. Han, Y. Lu, P. H. Tan, T. Amand, B. L. Liu, and B. Urbaszek, Phys. Rev. B 86, 081301 (2012). [14] T. Korn, S. Heydrich, M. Hirmer, J. Schmutzler, and C. Schuller, Appl. Phys. Lett. 99, 102109 (2011). [15] G. Berghauser and E. Malic, Phys. Rev. B 89, 125309 (2014). [16] S. Konabe and S. Okada, Phys. Rev. B 90, 155304 (2014). [17] Y. Ferreiros and A. Cortijo, Phys. Rev. B 90, 195426 (2014). [18] Y. Li, J. Ludwig, T. Low, A. Chernikov, X. Cui, G. Arefe, Y. D. Kim, A. M. van der Zande, A. Rigosi, H. M. Hill, S. H. Kim, J. Hone, Z. Li, D. Smirnov, and T. F. Heinz, Phys. Rev. Lett. 113, 266804 (2014). [19] D. MacNeill, C. Heikes, K. F. Mak, Z. Anderson, A. Korm´anyos, V. Z´olyomi, J. Park, and D. C. Ralph, Phys. Rev. Lett. 114, 037401 (2015). [20] G. Aivazian, Z. Gong, A. M. Jones, R.-L. Chu, J. Yan, D. G. Mandrus, C. Zhang, D. Cobden, W. Yao, and X. Xu, Nat. Phys. 11, 148 (2015). [21] A. Srivastava, M. Sidler, A. V. Allain, D. S. Lembke, A. Kis, and A. Imamoglu, Nat. Phys. 11, 141 (2015). [22] G. Wang, L. Bouet, M. M. Glazov, T. Amand, E. L. Ivchenko, E. Palleau, X. Marie, and B. Urbaszek, 2D Mater. 2, 034002 (2015). [23] G. Plechinger, P. Nagler, A. Arora, A. G. del ´Aguilla, M. V. Ballottin, T. Frank, P. Steinleitner, M. Gmitra, J. Fabian, P. C. M. Christianen, R. Bratschitsch, C. Schuller, and T. Korn, Nano Lett. 16, 7899 (2016). [24] M. R. Molas, C. Faugeras, A. O. Slobodeniuk, K. Noga- jewski, M. Bartos, D. M. Basko, and M. Potemski, 2D Mater. 4, 021003 (2017). [25] A. V. Chaplik and M. V. Entin, Zh. Eksp. Teor. Fiz. 61, 2496 (1971). [26] L. V. Keldysh, JETP Lett. 29, 658 (1979). [27] P. Cudazzo, I. V. Tokatly, and A. Rubio, Phys. Rev. B 84, 085406 (2011). [28] See Supplemental Material at http://link.aps.org/ supplemental/10.1103/PhysRevB.97.081109 for further technical details. This includes Refs. [1, 34–39]. [29] M. Kira and S. W. Koch, Progress in Quantum Electron- ics 30, 155 (2006). [30] Z. Y. Zhu, Y. C. Cheng, and U. Schwingenschlogl, Phys. Rev. B 84, 153402 (2011). [31] T. C. Berkelbach, M. S. Hybertsen, and D. R. Reichman, Phys. Rev. B 88, 045318 (2013). [32] G. Wang, L. Bouet, D. Lagarde, M. Vidal, A. Balocchi, T. Amand, X. Marie, and B. Urbaszek, Phys. Rev. B 90, 075413 (2014). [33] G. Plechinger, P. Nagler, J. Kraus, N. Paradiso, C. Strunk, C. Schuller, and T. Korn, Phys. Status Solidi RRL 9, 457 (2015). [34] M. Van der Donck, M. Zarenia, F. M. Peeters, Phys. Rev. 1.731.741.751.760.00.20.40.60.81.01.601.641.681.721.76 B 96, 035131 (2017). 245410 (2016). [35] J. Sabio, F. Sols, and F. Guinea, Phys. Rev. B 81, 045428 [38] O. L. Berman, R. Y. Kezerashvili, and K. Ziegler, Phys. (2010). Rev. A 87, 042513 (2013). [36] O. L. Berman, R. Y. Kezerashvili, and K. Ziegler, Phys. [39] D. Xiao, M.-C. Chang, and Q. Niu, Rev. Mod. Phys. 82, Rev. B 85, 035418 (2012). 1959 (2010). [37] O. L. Berman and R. Y. Kezerashvili, Phys. Rev. B 93, 6
1606.00797
2
1606
2016-07-26T08:05:52
Electronic and optical properties of bilayer blue phosphorus
[ "cond-mat.mes-hall" ]
We investigate the electronic and optical properties of monolayer and stacking dependent bilayer blue phosphorus in the framework of density functional theory (DFT) and tight-binding approximations. We extract the hopping parameters of TB Hamiltonian for monolayer and bilayer blue phosphorus by using the DFT results. The variation of energy band gap with applied external electric field for two different stacks of bilayer blue phosphorus are also shown. We examine the linear response of the systems due to the external electromagnetic radiation in terms of the dielectric functions in the DFT theory. The relatively large electronic band gap and possibility of exfoliation form bulk structure due to weak interlayer coupling, make blue phosphorus an appropriate candidate for future electronic devices.
cond-mat.mes-hall
cond-mat
Electronic and optical properties of bilayer blue phosphorus Y. Mogulkoc Department of Engineering Physics, Faculty of Engineering, Ankara University, 06100, Tandogan, Ankara, Turkey 6 1 0 2 l u J 6 2 ] l l a h - s e m . t a m - d n o c [ 2 v 7 9 7 0 0 . 6 0 6 1 : v i X r a Department of Physics, Ferdowsi University of Mashhad, Mashhad, Iran and Department of Physics, Izmir Institute of Technology IZTECH, Izmir, Turkey M. Modarresi A. Mogulkoc∗ Department of Physics, Faculty of Sciences, Ankara University, 06100, Tandogan, Ankara, Turkey Department of Physics, Faculty of Sciences, Gazi University, 06500, Teknikokullar, Ankara, Turkey Y.O. Ciftci (Dated: July 27, 2016) Abstract We investigate the electronic and optical properties of monolayer and stacking dependent bilayer blue phosphorus in the framework of density functional theory (DFT) and tight-binding approximations. We extract the hopping parameters of TB Hamiltonian for monolayer and bilayer blue phosphorus by using the DFT results. The variation of energy band gap with applied external electric field for two different stacks of bilayer blue phosphorus are also shown. We examine the linear response of the systems due to the external electromagnetic radiation in terms of the dielectric functions in the DFT theory. The relatively large electronic band gap and possibility of exfoliation form bulk structure due to weak interlayer coupling, make blue phosphorus an appropriate candidate for future electronic devices. I. INTRODUCTION After the discovery of graphene [1], other 2D nano- structures were predicted theoretically [2–4] and synthe- sized in laboratory [5–7]. Among these, the monolayer black phosphorus, 2D puckered structure of phospho- rus, which was also successfully fabricated in laboratory [8, 9] and studied with several theoretical works [10–16]. Moreover, another 2D structure of phosphorus with A7 phase which is known as blue phosphorus, is confirmed to be as stable as 2D black phosphorus due to the ab- sence of imaginary frequencies in phonon spectrum [17– 19]. In the meanwhile, there are few number of theoret- ical studies on buckled structure of phosphorus [19–21]. Also it was shown the blue phosphorus is stable under substitution of light non-magnetic atoms [22]. Recently the quantum spin-Hall states have been predicted in bi- layer black phosphorus [23]. The carbon atoms in the graphene have sp2 hybridization which leads to in-plane σ and an out-of-plane π states in graphene plane. In the case of phosphorus the hybridization is sp3 which is caused by the extra valence electron. The sp3 hybridiza- tion leads to the out-of-plane atomic position and the buckled structure in phosphorus 2D nano structures [24]. The electronic band in graphene are mostly arised from the atomic pz orbital in the π states perpendicular to the graphene plane. As a result, the simple single tight- binding model works for low energy states around the ∗Electronic address: [email protected] 1 Fermi level considerably well. But for the sp3 hybridiza- tion in phosphorus one should consider at least 4 atomic orbitals for an appropriate tight-binding model. From an experimental point of view the multilayer structures are more convenient in laboratory because of difficulty to obtain monolayer. In the bilayer and multilayer 2D nano-structures, number of layers and stacking may tune different physical properties. The electronic band gap is tunable by stacking in silicene [25, 26]. The optical prop- erties is also stacking dependent in graphene [27, 28] and black phosphorus [29, 30]. Due to the buckling atomic structure, the blue phosphorus has more possible stack- ing than the graphene. The bonding between layers due to the van der Waals interaction should be considered in the DFT-D model [31]. In the tight-binding calculations, the binding between layers is modeled by additional hop- ping between atoms. Here, we study the electronic and optical properties of monolayer and bilayer blue phos- phorus. In the case of bilayer blue phosphorus we con- sider four different stacking of adjacent layers. For the electronic calculations from DFT and four atomic orbital tight-binding models were employed. Finally, the optical properties of monolayer and most stable bilayer structure are calculated based on DFT. II. MODEL AND METHOD We investigate the electronic properties of monolayer and bilayer blue phosphorus with different stacking in the DFT and tight-binding theories. We fit the DFT results with tight-binding model to obtain the required param- erties using GGA-PBE functional. The linear response of a system due to an external electromagnetic radi- ation is described by the complex dielectric function ε(ω)=ε1(ω) + iε2(ω) [37]. The dispersion of the imag- inary part of complex dielectric function ε2(ω) was ob- tained from the momentum matrix elements between the occupied and unoccupied wave functions as follows, 4π2e2 (cid:88) × (cid:10)uc+k+eαquvk (cid:11)(cid:10)uc+k+eαquvk lim q→0 1 q2 c,v,k Ω 2ωkδ (ck − vk − ω) (cid:11)∗ where the c and v correspond to conduction and va- lence band states respectively, and uck is the cell periodic part of the orbitals at the k-point k. The real compo- nent of the dielectric function, ε1(ω) is calculated via the KramersKronig transformation [38]. Then, other impor- tant optical constants such as the reflectivity R(ω), the electron energy-loss spectrum L(ω), as well as the refrac- tive index n(ω), and the extinction coefficient k(ω) were calculated using the following expressions [39, 40]: (1) (cid:19) (cid:18) (cid:33)1/2 (cid:33)1/2 (cid:12)(cid:12)(cid:12)(cid:12)(cid:12)2 (cid:12)(cid:12)(cid:12)(cid:12)(cid:12) (cid:112)ε(ω) − 1 (cid:112)ε(ω) + 1 (cid:32)(cid:112)ε2 (cid:32)(cid:112)ε2 R(ω) = n(ω) = k(ω) = , L(ω) = ε2(ω) ε2 1(ω) + ε2 2(ω) 1(ω) + ε2 2(ω) + ε1(ω) 2 2(ω) − ε1(ω) 1(ω) + ε2 2 , . (2) III. RESULTS AND DISCUSSIONS The monolayer blue phosphorus consists two different sub-lattices that are separated by the buckling length as shown in FIG.1. The buckling length for monolayer is 1.23 A which is comparable with previous reports [21] and stanene buckling length [3]. All the structural parameters have also shown in Table I. The electronic band structure in the DFT and tight-binding models and partial density of states (PDOS) are plotted in FIG.2 for monolayer. The monolayer blue phosphorus is a semiconductor with indirect gap. The valence band maximum (VBM) and conduction band minimum (CBM) are between Γ-K and Γ-M in the first Brillouin zone, respectively. The gap value is 1.94 eV for DFT which is in fair agreement with tight-binding band structure. Black/blue arrows show the position of VBM and CBM in DFT/tight-binding theories. According to the PDOS for different atomic or- bitals, the main contribution around the Fermi level is related to the p atomic orbitals. Unlike the graphene, contribution of s atomic orbitals in the total density of states is not negligible which shows the importance of dif- ferent hybridization of s and p atomic orbitals for tight- binding calculations. FIG. 1: Structure of (a) monolayer, (b) AA stack bilayer and (c) AB stack bilayer blue phosphorus. ε(αβ) 2 = eters which are applicable for future theoretical study of bilayer blue phosphorus. A. Density functional theory In this work, all the first-principles calculations are performed by using VASP package [32]. The exchange correlation potential is approximated by generalized gra- dient approximation (GGA) with PBE [33, 34]. A plane- wave basis set with kinetic energy cutoff of 500 eV is used. All atomic positions and lattice constants are optimized by using the conjugate gradient method with DFT-vdW [35]. Moreover, Brillouin zone sampling with Monkhorst- Pack method [36] of 24× 24× 1 k-points and to eliminate the interaction between monolayers in supercell, ∼ 30 A vacuum were considered. The convergence for energy was set as 10−8 eV between two steps and the maximum Hellmann-Feynman forces acting on each atom was less than 0.001 eV/A upon ionic relaxation. B. Tight-binding calculations In the tight-binding calculations we consider four atomic orbitals per phosphorus atom as the basis set. For monolayer the hopping between nearest-neighbour (NN) and next-nearest-neighbour (NNN) are included in the tight-binding Hamiltonian. In the case of bilayer the hopping between adjacent layers is also included in the Hamiltonian. To construct the total Hamiltonian the re- quired Slater-Koster hopping parameters which include the on-site energy of s and p atomic orbitals, hopping pa- rameter between nearest-neighbor tN N and next-nearest- neighbour tN N N atomic sites and layers are extracted by fitting the band structure with DFT results in the first Brillouin zone. The real space Hamiltonian matrix is Fourier transformed and diagonalized to find the elec- tronic bands as a function of wave vector in the first Brillouin zone. Here, all tight-binding calculations are performed by using a self-developed code. C. Optical properties To learn more about the technological importance of these structures, we focus our attention on optical prop- 2 FIG. 2: (a)Electronic energy levels and (b) PDOS for mono- layer blue phosphorus in the DFT (black solid line) and tight- binding (blue dot) theories. For bilayer blue phosphorus we examined different stacks as shown in FIG.1. In the AA stack (FIG.1(b)) the second layer is exactly above the first one but in the AB stack (FIG.1(c)) the upper layer is moved in xy plane with respect to the first layer. Due to the buckling, there are different atomic configurations for AB bilayer struc- ture. The relaxation process for AB stack is started from different configurations to guarantee the global minimum energy for AB structure. We minimized the total inter- nal atomic force and stress for all structures. Accord- ing to our calculations of the AA and AB stack bilayer blue phosphorus have the minimum energy and consid- ered as the most stable structures in the following. The inter-layer binding energy for AA and AB stacks is 25 meV (cohesive energy as 12.5 meV/atom) which is com- parable for a typical van der Waals layered structure, such that cohesive energy of graphene-hexagonal boron nitride superlattices was found around ∼ 9.5 meV/atom from GGA+vdW functional [41]. The weak binding be- tween layers in bilayer blue phosphorus make it possible to exfoliate 2D layer from the bulk one. The inter-layer distance in bilayer structures are 3.24 and 3.21 A for AA and AB stacks, respectively. Buckling parameter of bilayer is almost same as monolayer for blue phospho- rus. Electronic band structure and PDOS of bilayer blue phosphorus plotted in FIG.3 for AA and the stable AB stacks. The position of VBM and CBM are hardly ever changed in the bilayer structure with respect to the monolayer but they move toward each other that de- creases energy gap to ∼1 eV. In the bilayer structures the atomic p orbital enter to the electronic gap region. Each energy band in the monolayer is split to two bands due the interaction between two adjacent layer. The fit- ting process between DFT and tight-binding is done in an iterative Monte Carlo method to obtain the best possi- ble set of parameters. The difference between on-site en- ergy of s and p atomic orbitals is -4.55 eV for monolayer and different type of bilayer structures of blue phospho- rus. Table II contains all the tight-binding parameters required to construct the Hamiltonian for different struc- tures. Our tight-binding parameters for monolayer blue phosphorous are in good agreement with Ref.42. Due to FIG. 3: Electronic band structure and PDOS for (a,b) AA and (c,d) AB stacks of bilayer blue phosphorus. the simple atomic structure for the AA stack only near- est neighbor hopping leads to relatively good results but for AB configuration we consider both nearest neighbour and next nearest neighbour hopping integrals for bilayer blue phosphorous. structures length (A) angle (Degree) d(A) ∆d (A) monolayer AA stack bilayer AB stack bilayer 2.26 2.26 2.26 93.07 93.11 93.21 1.23 1.23 1.23 3.24 3.21 TABLE I: Equilibrium structure parameters of the monolayer and bilayer blue phosphorus. Parameter tssσ tspσ tppσ tppπ 3.3 monolayerN N -1.0 -2.9 -0.7 monolayerN N N 0.25 -0.3 1.15 -0.4 -0.06 0.06 1.35 -0.45 -0.04 0.08 -0.66 -0.35 -0.22 -0.35 -1.51 -0.3 ABN N ABN N N AAN N TABLE II: Tight-binding parameters of blue phosphorus for monolayer and bilayer in AA and AB stacks. The PDOS for AA and AB stacks shows the contribu- tion of s atomic orbitals in the total density of states in bilayer structure. The tight-binding model predicts position and size of electronic band gap that is a remarkable success for a sim- ple atomic-orbital basis model. We examined the effect of perpendicular external electric field on the band gap 3 FIG. 4: Electronic band gap for AA and AB stacks as a func- tion of applied external electric field. FIG. 5: The computed (a),(b) real and (c),(d) imaginary part of the dielectric function of the structures versus photon en- ergy. of bilayer blue phosphorus in the tight-binding model. The electric field produces a potential difference on each plane and shifts energy bands related to different layers. This shift fills energy region between VBM and CBM and closes the electronic band gap for enough high elec- tric potential. It was shown the external electric field may open band gap in other 2D material [43]. Also the adsorption of molecules on silicene can be thought as an internal electric field that modify the band gap of struc- ture [44]. FIG.4 shows the variation of band gap as a function of applied electric field for AA and AB stacks. The external electric field does not change the position of VBM and CBM for both structures but decreases the electronic gap. The energy gap is closed for electric field around E=0.6 V/A which is compatible with recent pub- lished DFT+HSE06 results [21]. We now focus our at- tention on discussion of optical properties of monolayer and bilayer blue phosphorus. The dielectric constant is a complex function of incident photon energy. The cal- culated ε1(ω) and ε2(ω) parts of the electronic dielectric function for the monolayer and bilayer blue phosphorus in the range of 0-20 eV are shown in FIG.5. The crystal structure of blue phosphorus is hexagonal and characterized by two independent tensor components (perpendicular and parallel to z-axis) of the dielectric tensor. The static perpendicular real part of the dielec- tric function, ε1⊥(0) are found to be 3.411, 4.551 (6.347) for monolayer and AA (AB) stack bilayer blue phospho- rus, respectively. On the other hand, tha static parallel real part of dielectric function ε1(cid:107)(0), 2.081, 2.776 (3.746) for monolayer and AA (AB) stack bilayer blue phospho- rus, respectively. One can notice that from monolayer to bilayer the peaks in the ε1(ω) increase and shift to the low energy region. Due to the absence of absorption in the energy gap region, the imaginary part of dielectric function which is proportional to absorption spectra is zero in low photon energy region. The imaginary part of dielectric function depends on the polarization of incident light. For polarization perpendicular to the phosphorus plane, monolayer and bilayer structures are almost trans- parent to light between 0-2 eV as shown in the inset of FIG.5c. For the parallel polarized light, the imaginary dielec- tric function of AA and AB stacks have a red shift and is more intense with respect to monolayer blue phospho- rus which is related to interlayer interaction in bilayer structure. The difference between absorption of parallel polarized light may be used in laboratory to distinguish between monolayer and bilayer blue phosphorus. Using δε = (cid:0)ε1(cid:107)(0) − ε1⊥(0)/εtotal(0)(cid:1) relation [45], we calcu- lated uniaxial anisotropy and found to be about -0.242 and -0.242 (-0.258) for monolayer and AA (AB) stack bilayer blue phosphorus, respectively. It means that dif- ference between perpendicular and parallel real part of dielectric function suggests anisotropic behavior of op- tical property. The anisotropy of optical absorption in blue phosphorus is originated from 2D nature of atomic configuration and decreased in bilayers for low energy photons. For larger frequencies than about 4.34 (4.32 for AA and 4.34 for AB) eV and 7.65 (7.3 for AA and 7.5 for AB) eV for the monolayer blue phosphorus, the real part becomes negative for ε1⊥ and ε1(cid:107), respectively. As it can be seen from FIG.5(c), and (d), these structures have one major peaks. The highest peak of the imaginary part of the dielectric function ε2⊥ is located at 4.05 (4.07 for AA and 4.05 for AB) eV and ε1(cid:107) is also found to be 7.48 (7.17 and 7.43 for AB) eV for monolayer blue phosphorus, re- spectively, which are related to inter-band transitions be- tween the valence and conduction bands. In comparison the peak of bilayer blue phosphorus for both AA and AB 4 0.00.40.80.00.51.01.5 Gap (eV)Electric field (V/A) AA AB-4-2024681012-2-1012345051015200246810121416051015200123456701230.00.51.01.52.02.53.001230.00.20.40.60.81.01.2(a) monolayer AA AB(b) monolayer AA AB(c) Energy (eV)(d) Energy (eV) Energy (eV) Energy (eV) stack are higher than monolayer blue phosphorus peak. As it is seen in FIG.5, the imaginary part of the dielec- tric functions for monolayer and bilayer blue phophorus within the energy range of 0-20 eV are clearly related to the their band structures that indicates the absorption behavior so that the electronic transitions from valance to conduction bands have contribution to the main part of the optical spectra. Considering the imaginary part of the parallel dielectric function, ε2(cid:107), one can observe that the threshold energies of the dielectric function is around ∼ 1.9 eV for monolayer, and ∼ 1 eV for both AA and AB stack blue phosphorus. The threshold energies of the parallel dielectric function correspond to the band gaps of the systems. The threshold energy of transition be- tween the highest valance band and the lowest conduction band is known as the fundamental absorption edge. The other peaks are related to different electronic transitions from occupied states (valance bands) to the unoccupied states (conduction bands). It should be considered that these peaks are not only been occurred from the elec- tronic transitions between the two bands but also from a combination of direct and indirect inter-band transi- tions. In addition, the low energy peaks are caused by the near-band transitions. The calculated refractive index n(ω), extinction coef- ficients k(ω), energy loss function L(ω) and reflectivity R(ω) are estimated by Kramers-Kronig relations[3] and given in Eq.(2). Our obtained results are plotted in FIGs. 6 and 7. The calculated refractive index is displayed in FIG.6(a) and (b) for monolayer, AA and AB stack blue phosphorus. While the predicted values of perpendicular static refractive index n⊥(0) are 1.84, 2.13, and 2.52, the parallel static refractive index values n(cid:107)(0) are 1.44, 1.66 and 1.93 for monolayer, AA and AB stack bilayer blue phosphorus, respectively. The static parallel refractive index n(cid:107)(0)=1.44 for monolayer blue phosphorus compa- rable with graphene (n(cid:107)(0)=1.12 and n⊥(0)=2.75) [46] and 2D-ZnS (n(cid:107)(0)=1.66) [47]. The main peak values of refractive index for monolayer, AA and AB stack bilayer blue phosphorus are 2.79 at 3.60 eV, 3.17 at 3.70 eV, and 3.75 at 3.60 eV, respectively. From the FIG.6 (c) and (d), we have predicted the extinction coefficients for monolayer and AA (AB) stack bilayer blue phosphorus to be 1.902 and 2.30 (2.74), respectively. The extinction coefficients are needed to calculate for absorption and corresponds also to transmission of light that allows the experiments by using optical spectrometers. As shown in FIG.6 (c) and (d), maximum values of extinction co- efficients in perpendicular direction are AB stack (2.74) > AA stack (2.30) > monolayer (1.9) blue phopshorus while in parallel direction Ab stack (1.9) > monolayer (1.7) > AA stack (1.6). It means that the threshold en- ergies would have been different and dependent to the parallel or perpendicular directions. The black phosphorus solves the high dark current problem in graphene photodetectors [48] and used in field-effect transistors [49]. Due to the electronic and optical band gap the blue phosphorus may be the next 5 FIG. 6: The computed (a),(b) refractive index n(ω) and (c),(d) extinction coefficient k(ω) of the structures versus pho- ton energy. candidate for application in optoelectronic devices. The absorption coefficients is calculated by extinction coef- ficient, α(ω) = 4πk(ω)/λ, where λ is the photon wave- length. According to our calculations the absorption co- efficients for visible light region is in order of ∼ 105 cm−1 which is comparable by silicon absorption [50, 51]. Also the difference between phonon spectra gap and the hard- est acoustic mode is much bigger in the blue phosphorus with respect to black phosphorous [17] which prevents Klemens decay [52] for high efficient 2D solar cell appli- cations. One further point of interest is energy loss functions, which is an important factor describing the energy loss of a fast electron traversing in a material, as depicted in FIG.7 (a) and (b) for monolayer and bilayer blue phos- phorus. The electrons of solids could be excited in several ways. One of them has been done as, when a fast elec- tron passes through a solid, it may has been loss some energy, known as L(ω), and excites the electrons of the solid. Inter and intra-band transmissions, plasmon exci- tations along with other possible ones contribute to form- ing energy loss spectrum, therefore all excitations could be identified by analyzing energy loss spectrum which is related to dielectric function and given in Eq.(2). En- ergy loss spectrum peaks are related to not only inter- band transitions but also corresponded to the plasmons that are collective oscillations of free electrons with ener- gies dependent to the density of valance electrons. The maximum peaks in the energy-loss function indicate that plasmon resonance occurs at around 11.205 and 12.054 (13.634) eV for monolayer and AA (AB) stack bilayer blue phosphorus, respectively. It can be pointed out that the plasma frequency of AB stack bilayer blue phospho- rus is the largest one. Reflectivity R(ω), is an impor- tant quantity to determine the optical properties which 0.00.51.01.52.02.53.03.54.00.00.40.81.21.62.02.4051015200.00.51.01.52.02.53.0051015200.00.40.81.21.62.0 n () monolayer AA AB(b)(a) n () monolayer AA AB(d)(c) k ()Energy (eV) monolayer AA AB k// ()Energy (eV) monolayer AA AB the maximum values of that are about 0.38 at 4.647 eV and 0.45 at 4.506 eV (0.426 at 8.171 eV) for monolayer and AA (AB) stack bilayer blue phosphorus, respectively. Among these structures, AB stack bilayer blue phospho- rus shows the highest reflectivity at low energy due to its more pronounced metallicity character [53]. IV. CONCLUSION In summary, we study the electronic and optical prop- erties of monolayer and bilayer blue phosphorus in AA and AB stacks. The comparison between DFT and Slater-Koster tight-binding provides table of hopping pa- rameters for each atomic configuration. The weak bind- ing between layers proposed the possibility of exfoliation 2D blue phosphorus from bulk in laboratory. Based on the tight-binding model an external perpendicular elec- tric field produces atomic dependent potential that closes electronic band gap in bilayer blue phosphorus. Finally, we reported the stacking dependent optical properties in bilayer blue phosphorus by using DFT. To compare, the static parallel refractive index n(cid:107)(0)=1.44 and the static perpendicular refractive index n⊥(0)=1.84 for monolayer blue phosphorus comparable with graphene (n(cid:107)(0)=1.12 and n⊥(0)=2.75). In perpendicular direction, the re- fractive index value for blue phosphorene is less than graphene as expected. The blue phosphorus may has the potential application in future (opto)electronic devices based on 2D materials. References FIG. 7: The computed (a),(b) electron energy loss spectrum L(ω) and (c),(d) reflectivity R(ω) of the structures versus photon energy. is mentioned in Eq.(2). FIG.7 illustrates the reflectivity spectrum for monolayer and bilayer systems of blue phos- phorus. The R(ω) curve for all structures have a main peak and the reflectivity tends to zero for high energy photons. The peaks have been occurred from the inter- band transitions. The static parallel reflectivity R(cid:107)(0) values are higher than the static perpendicular reflectiv- ity R⊥(0) values for bilayer systems while the static per- pendicular reflectivity R⊥(0) value is higher than R(cid:107)(0) value for monolayer system. As it can be seen from FIG.7(c) and (d), while the static perpendicular reflec- tivity R⊥(0) is 0.088 and 0.131 (0.186), the static paral- lel reflectivity R(cid:107)(0) are 0.0328 and 0.0625 (0.101), and [1] K. S. Novoselov, A. K. Geim, S. Morozov, D. Jiang, Y. Zhang, S. Dubonos, , I. Grigorieva, and A. Firsov, Science 306, 666 (2004). [2] Y. Xu, B. Yan, H.-J. Zhang, J. Wang, G. Xu, P. Tang, W. Duan, and S.-C. Zhang, Phys. Rev. Lett. 111, 136804 (2013). [3] M. Modarresi, A. Kakoee, Y. Mogulkoc, and M. Rokn- abadi, Computational Materials Science 101, 164 (2015). [4] T. P. Kaloni, M. Modarresi, M. Tahir, M. R. Roknabadi, G. Schreckenbach, and M. S. Freund, The Journal of Physical Chemistry C 119, 11896 (2015). [5] L. Tao, E. Cinquanta, D. Chiappe, C. Grazianetti, M. Fanciulli, M. Dubey, A. Molle, and D. Akinwande, Nature nanotechnology 10, 227 (2015). [6] M. E. D´avila and G. Le Lay, Scientific reports 6 (2016). [7] F.-f. Zhu, W.-j. Chen, Y. Xu, C.-l. Gao, D.-d. Guan, C.-h. Liu, D. Qian, S.-C. Zhang, and J.-f. Jia, Nature materials 14, 1020 (2015). [8] E. S. Reich et al., Nature 506, 19 (2014). [9] H. Liu, A. T. Neal, Z. Zhu, Z. Luo, X. Xu, D. Tom´anek, and P. D. Ye, ACS nano 8, 4033 (2014). [10] A. N. Rudenko and M. I. Katsnelson, Phys. Rev. B 89, 201408 (2014). [11] A. Rudenko, S. Yuan, and M. Katsnelson, Phys. Rev. B 92, 085419 (2015). [12] A. Rodin, A. Carvalho, and A. C. Neto, Phys. Rev. Lett. 112, 176801 (2014). [13] J. Pereira Jr and M. Katsnelson, Phys. Rev. B 92, 075437 (2015). [14] X. Zhou, R. Zhang, J. Sun, Y. Zou, D. Zhang, W. Lou, F. Cheng, G. Zhou, F. Zhai, and K. Chang, Scientific reports 5, 12295 (2015). [15] M. Ezawa, New Journal of Physics 16, 115004 (2014). [16] A. Mogulkoc, Y. Mogulkoc, A. N. Rudenko, and M. I. Katsnelson, Phys. Rev. B 93, 085417 (2016). [17] Z. Zhu and D. Tom´anek, Physical review letters 112, 176802 (2014). [18] J. Guan, Z. Zhu, and D. Tom´anek, Phys. Rev. Lett. 113, 046804 (2014). [19] Y. Aierken, D. C¸ akır, C. Sevik, and F. M. Peeters, Phys. 6 0.00.51.01.52.02.53.03.50.00.51.01.52.02.53.03.54.04.5051015200.00.10.20.30.40.50.6051015200.00.10.20.30.40.5(a) L () monolayer AA AB(b) L// () monolayer AA AB(c) R ()Energy (eV) monolayer AA AB(d) R// ()Energy (eV) monolayer AA AB Rev. B 92, 081408 (2015). [20] Y. Ding and Y. Wang, The Journal of Physical Chemistry C 119, 10610 (2015). [21] B. Ghosh, S. Nahas, S. Bhowmick, and A. Agarwal, Phys. Rev. B 91, 115433 (2015). [38] J.-M. Hu, S.-P. Huang, Z. Xie, H. Hu, and W.-D. Cheng, Journal of Physics: Condensed Matter 19, 496215 (2007). [39] R. Egerton, Electron energy-loss spectroscopy in the elec- tron microscope (Springer Science & Business Media, 2011). [22] M. Sun, W. Tang, Q. Ren, S.-k. Wang, J. Yu, and Y. Du, [40] P. Ravindran, A. Delin, B. Johansson, O. Eriksson, and Applied Surface Science 356, 110 (2015). J. M. Wills, Phys. Rev. B 59, 1776 (1999). [23] T. Zhang, J.-H. Lin, Y.-M. Yu, X.-R. Chen, and W.-M. [41] T. P. Kaloni, Y. Cheng, and U. Schwingenschlogl, Jour- Liu, Scientific reports 5, 13927 (2015). nal of Materials Chemistry 22, 919 (2012). [24] Y. Aierken, O. Leenaerts, and F. M. Peeters, Phys. Rev. [42] J. Lee, W.-C. Tian, W.-L. Wang, and D.-X. Yao, Scien- B 92, 104104 (2015). tific reports 5, 17980 (2015). [25] H. Fu, J. Zhang, Z. Ding, H. Li, and S. Meng, Applied [43] T. P. Kaloni, G. Schreckenbach, and M. S. Freund, The Physics Letters 104, 131904 (2014). Journal of Physical Chemistry C 118, 23361 (2014). [26] J. E. Padilha and R. B. Pontes, The Journal of Physical [44] T. Kaloni, M. Tahir, and U. Schwingenschlogl, Scientific Chemistry C 119, 3818 (2015). reports 3, 3192 (2013). [27] Y. Wang, Z. Ni, L. Liu, Y. Liu, C. Cong, T. Yu, X. Wang, [45] A. Reshak and S. Azam, Int. J. Electrochem. Sci 9, 975 D. Shen, and Z. Shen, ACS nano 4, 4074 (2010). (2014). [28] M. Koshino, New Journal of Physics 15, 015010 (2013). [29] H. Shu, Y. Li, X. Niu, and J. Wang, Physical Chemistry Chemical Physics 18, 6085 (2016). [30] D. C¸ akır, C. Sevik, and F. M. Peeters, Phys. Rev. B 92, 165406 (2015). [46] P. Rani, G. S. Dubey, and V. Jindal, Physica E 62, 28 (2014). [47] H. Lashgari, A. Boochani, A. Shekaari, S. Solaymani, E. Sartipi, and R. T. Mendi, Appl. Surf. Sci. 369, 76 (2016). [31] S. Grimme, Journal of computational chemistry 27, 1787 [48] N. Youngblood, C. Chen, S. J. Koester, and M. Li, Na- (2006). [32] G. Kresse and J. Furthmuller, Phys. Rev. B 54, 11169 (1996). [33] J. P. Perdew, K. Burke, and M. Ernzerhof, Phys. Rev. Lett. 77, 3865 (1996). ture Photonics 9, 247 (2015). [49] M. Buscema, D. J. Groenendijk, S. I. Blanter, G. A. Steele, H. S. van der Zant, and A. Castellanos-Gomez, Nano letters 14, 3347 (2014). [50] S. M. Sze and K. K. Ng, Physics of semiconductor devices [34] J. P. Perdew, K. Burke, and M. Ernzerhof, Phys. Rev. (John wiley & sons, 2006). Lett. 78, 1396 (1997). [51] M. Zacharias, C. E. Patrick, and F. Giustino, Phys. Rev. [35] J. Harl, L. Schimka, and G. Kresse, Phys. Rev. B 81, Lett. 115, 177401 (2015). 115126 (2010). [52] W. Yu, Z. Zhu, C.-Y. Niu, C. Li, J.-H. Cho, and Y. Jia, [36] H. J. Monkhorst and J. D. Pack, Phys. Rev. B 13, 5188 arXiv preprint arXiv:1510.04108 (2015). (1976). [53] N. Singh, T. P. Kaloni, and U. Schwingenschlogl, Ap- [37] J. Sun, H.-T. Wang, N.-B. Ming, J. He, and Y. Tian, plied Physics Letters 102, 023101 (2013). Applied physics letters 84, 4544 (2004). 7
1009.4809
1
1009
2010-09-24T11:41:35
Photoinduced dynamics in quantum rings
[ "cond-mat.mes-hall", "cond-mat.other" ]
We investigate the spin-dependent dynamical response of a semiconductor quantum ring with a spin orbit interaction (SOI) upon the application of a single and two linearly polarized, picosecond, asymmetric electromagnetic pulses in the presence of a static magnetic flux. We find that the pulse-generated electric dipole moment is spin dependent. It is also shown that the SOI induces an extra SU(2) effective flux in addition to the static external magnetic flux which is reflected in an additional periodicity of the spin-dependent dipole moment. Furthermore, the pulses may induce a net dynamical charge currents (CC) and dynamical spin currents (SC) when the clockwise and anti-clockwise symmetry of the carrier is broken upon the pulse application.
cond-mat.mes-hall
cond-mat
Photoinduced dynamics in quantum rings Zhen-Gang Zhu and J. Berakdar Institut fur Physik, Martin Luther Universitat Halle-Wittenberg, Heinrich -Damerow-Strasse, 4, 06120 Halle, Germany We investigate the spin-dependent dynamical response of a semiconductor quantum ring with a spin orbit interaction (SOI) upon the application of a single and two linearly polarized, picosecond, asymmetric electromagnetic pulses in the presence of a static magnetic flux. We find that the pulse- generated electric dipole moment (DM) is spin dependent. It is also shown that the SOI induces an extra SU(2) effective flux in addition to the static external magnetic flux which is reflected in an additional periodicity of the spin-dependent DM. Furthermore, the pulses may induce a net dynamical charge currents (CC) and dynamical spin currents (SC) when the clockwise and anti- clockwise symmetry of the carrier is broken upon the pulse application. PACS numbers: 78.67.-n, 71.70.Ej, 42.65.Re, 72.25.Fe INTRODUCTION Spin-orbit interaction (SOI) in semiconducting low di- mensional structures is a key factor for spintronic re- search [1]. There are two important kinds of SOI in conventional semiconductors: one is the Dresselhaus SOI induced by bulk inversion asymmetry [2], and the other is the Rashba SOI caused by structure inversion asymmetry [3]. As pointed out in [4], the Rashba SOI is dominant in a narrow gap semiconductor and the strength of it can be tuned by an external gate voltage [5]. This tunability of the magnitude of the Rashba SOI is crucial for the op- eration of spintronics device such as the spin field effect transistor [6] and the spin interference device [7]. In this work we are interested in quantum rings (QR) [8] which are synthesized routinely with current nan- otechnology. Available phase-coherent rings vary in a wide range in size and particle density [9]. On the theo- retical side, the equilibrium properties of QRs are fairly understood and documented [8]. Current focus is on the non-equilibrium dynamics, in particular that driven by external time-dependent electromagnetic fields [10, 11]. E.g., it has been shown that the irradiation with picosec- ond (from a few hundreds femtoseconds up to nanosec- onds, typically picsecond [12]), time-asymmetric, low- intensity light fields generates charge polarization and charge currents in the ring. A particular feature of the driving pulse is that the electric field has a short half cycle followed by a much longer and weaker half cycle of an opposite polarity. Such pulses are called half-cycle pulse (HCP) because, under certain conditions, only the very short and strong half optical cycle is decisive for the carrier dynamics. Here we study QRs as those fabricated out of a two dimensional electron gas formed between heterojuctions of III-V and II-VI semiconductors. The influence of the SOI in QRs on the equilibrium properties have already been studied [13, 14]. In this work, we shall consider the spin-dependent non-equilibrium dynamic of the ring with SOI driven by HCP's and in the presence of a magnetic flux. We investigate two cases: applying single pulse and two time-delayed pulses with non-collinear polarization axes. THEORETICAL MODEL Hamiltonian For effective single particle Hamiltonian of a one- dimensional (1D) ballistic QR with SOI we use H ′ = HSOI + H1(t) [13], with p2 2m∗ + V (r) + HSOI = H1(t) = −er · E(t) + µBB(t) · σ. (σ × p)z, αR  (1) where αR is the SOI parameter, V (r) is confinement po- tential, E(t) and B(t) are the electric and the magnetic fields of the pulse. Integrating out the r dependence HSOI reads in cylindrical coordinates [13, 14] HSOI = ω0 2 [(i ∂ ∂ϕ + φ φ0 − ωR 2ω0 σr)2 − ( ωR 2ω0 )2 + ωB ω0 σz]. (2) φ0 = h/e is the flux unit, φ = Bπa2 is the magnetic flux, a is the radius of the ring, σr = σx cos ϕ + σy sin ϕ, ω0 = 2/(m∗a2) = 2E0, ωR = 2αR/a, ωB = 2µBB and an external static magnetic field B = Bez. The single-particle eigenstates of HSOI are repre- sented as ΨS n(ϕ) = ei(n+1/2)ϕνS(γ, ϕ) where νS(γ, ϕ) = (aSe−iϕ/2, bSeiϕ/2)T (T means transposed) are spinors in the angle dependent local frame, and a↑ = cos(γ/2), b↑ = sin(γ/2), a↓ = − sin(γ/2), b↓ = cos(γ/2), where tan γ = −QR = −ωR/ω0 (we ignore the Zeeman splitting). γ de- scribes the direction of the spin quantization axis. The energy spectrum of the QR with the SOI reads ES n = 2 h(n − φ ω0 R = 1/ cos γ, and S = ±1 stands for spin up (down) in the local frame. 4 i, where w = p1 + Q2 )2 − Q2 + 1−Sw φ0 2 R Time-dependent wave functions At first we apply a single HCP pulse at t = 0. The pulse propagates in the z direction and has a duration τd. Its E-field is along the x axis. When two pulses are applied, the first pulse is followed by a second one at t = τ with the same duration but the E-field being along the y axis. We consider the case where τd is much shorter than the ballistic time of the QR carriers. The single particle states develop then as [11] ΨS n(ϕ, t > 0) = ΨS n0(ϕ, t > τ ) = ΨS0 ΨS0 α1(2) = eap1(2)  , n(ϕ, t < 0)eiα1 cos ϕ, n0(ϕ, t < τ )eiα2 sin ϕ, p1(2) = −Z τd 0 E1(2)(t)dt, E1(2)(t) = F1(2)f (t). (3) F1(2) and f (t) describe the amplitude and the time de- pendence of the E-field of the first (second) pulse re- spectively. The pulse effect is encapsulated entirely in the action parameter α1(2). With the initial conditions n(t < 0) = n0 and S(t < 0) = S0 one finds n0(ϕ, t) = Xns ΨS0 CS n (n0S0t) √2π ei(n+1/2)ϕe−iES n t/νSi, (4) with CS n =   δSS0δnn0 δSS0in0−nJn0−n(α1) for t ≤ 0, for t ∈ [0, τ ), (5) nn′n0 ei(ES n −E Pn′ ΛSS0 S0 n′ )τ / for t > τ, where ΛSS0 is the n-th order Bessel function. nn′n0 = δSS0[in0−n′ Jn0−n′(α1)Jn−n′ (α2)] and Jn NUMERICAL RESULTS AND DISCUSSIONS Single pulse case n0 (t), where hcos ϕiS0 One HCP pulse induces dynamical oscillation of charge density which is manifested as an electrical dipole mo- ment µS0 n0 (t) = eahcos ϕiS0 n0 (t) = R 2π 0 dϕΨS0 n0 (ϕ, t)2 cos ϕ. The total dipole moment can be derived by summation over all the occupied states. In the presence of the SOI, the dipole moment splits with respect to different spin states. Fig. 1 shows a contour plot of the difference of the dipole moments (in units of ea) for the up and down spins which varies with the mag- netic flux and the SOI. The distinct positive and negative regions correspond to the local spatial splitting of car- rier density for up and down spin states. The oscillation with the static magnetic flux are observed, as expected. 2 -3,0E-13 -2,4E-13 -1,8E-13 -1,2E-13 -6,0E-14 0 6,0E-14 1,2E-13 1,8E-13 2,4E-13 3,0E-13 -70 -60 -50 -40 -30 -20 -10 0 -0,4 -0,2 0,2 0,4 0,0 / 0 FIG. 1: Contour plot of the difference of the dipole moment for up and down spins at the time moment t/tp = 2 (tp is the ballistic (field-free) round trip time). The particle number is N = 100 and the pulse properties are described by the dimensionless parameter α1 = 0.1 (cf. eq.(3)). The oscillation with the SOI angle γ are such, larger γ leads to shorter period oscillations. Increasing γ induces a shift of the oscillation frequencies. However, increasing the strength of the laser field brings more excited energy levels and more frequencies. Two pulses case The charge current (CC) and the spin current (SC) are calculated [15] using the velocity operator vϕ = eϕn −i m∗a ∂ϕ −  m∗a φ φ0 + αR  σro. The SOI induces a SU(2) vector potential (VP) appearing as the third term in the velocity operator. The static magnetic flux and SU(2) VP generate spin independent and spin-dependent per- sistent charge current (PCC) respectively even in the ab- sence of the pulse field. The laser pulse triggers dynamic CC and SC which are tunable by external parameters. Therefore, the total CC (TCC) and the total SC (TSC) (sum over the persistent and dynamic components) for up and down spins are investigated in Fig. 2 with the delay time τ . It is clear, with the appropriate τ , positive and nega- tive TCC can be obtained. TSC for up and down spins are also oscillating and decaying with larger τ . However TSC shows opposite phase with respect to up and down spin states. If φ = 0, the SOI gives rise to equal shifts for up and down spin states but in opposite directions, mak- TCC TSC for up spin TSC for down spin 3 [2] G. Dresselhaus, Phys. Rev. 100, 580 (1955). [3] E. I. Rashba, Sov. Phys. Solid State 2, 1109 (1960). [4] G. Lommer, et al., Phys. Rev. Lett. 60, 728 (1988). [5] M. Schultz, et al., Semicond. Sci. Technol. 11, 1168 (1996); J. Luo, et al., Phys. Rev. B 41, 7685 (1990); J. Nitta, et al., Phys. Rev. Lett. 78, 1335 (1997); C. -M. Hu, et al., ibid 60, 728 (1988); F. Malcher et al., Superlatt. Microstruc. 2, 267 (1986). [6] S. Datta, and B. Das, Appl. Phys. Lett. 56 665 (1990). [7] J. Nitta, et al., Appl. Phys. Lett. 75, 695 (1999). [8] Y. Imry, Introduction to Mesoscopic Physics (Oxford University Press, Oxford, 2002). [9] L. W. Yu, et al., Phys. Rev. Lett. 98 166102 (2007); D. Mailly, et al., ibid 70, 2020 (1993); W. Rabaud, et al., ibid 86, 3124 (2001); A. Fuhrer et al., Nature 413, 822 (2001). [10] V. E. Kravtsov, and V. I. Yudson, Phys. Rev. Lett. 70, 210 (1993); P. Kopietz, and A. Volker, Euro. Phys. J. B 3, 397 (1998); M. Moskalets, and M. Buttiker, Phys. Rev. B 66, 245321 (2002); Y. V. Pershin, and C. Piermarocchi, ibid 72, 245331 (2005); L. I. Magarill, and A. V. Chaplik, JETP Lett. 70, 615 (1999); I. Barth, et al., J. Am. Chem. Soc. 128, 7043 (2006). [11] A. Matos-Abiague, and J. Berakdar, Eorophys. Lett. 69, 277 (2005); Phys. Rev. Lett. 94, 166801 (2005); Phys. Rev. B 70, 195338 (2004); A. S. Moskalenko, et al., ibid 74, 161303 (2006). [12] D. You, et al., Opt. Lett. 18, 290 (1993); A. Wetzels, et al., Eur. Phys. J. D 14, 157 (2001). M. T. Frey et al., Phys. Rev. A 59, 1434 (1999). H. Maeda, et al., ibid 75, 053417 (2007). [13] Z.-G. Zhu, and J. Berakdar, Phys. Rev. B 77, 235438 (2008). [14] F. E. Meijer, et al., Phys. Rev. B 66, 033107 (2002); J. Splettstoesser, et al., ibid 68, 165341 (2003); D. Frustaglia, and K. Richter, ibid 69, 235310 (2004); B. Moln´ar, et al., ibid 69, 155335 (2004); P. Foldi, et al., ibid 71, 33309 (2005); J. S. Sheng, and Kai Chang, ibid 74, 235315 (2006). [15] Z.-G. Zhu, and J. Berakdar, J. Phys.: Condens. Matter 21 145801 (2009). 400 200 0 C S T d n a C C T -200 0 10 20 (ps) 30 FIG. 2: TCC and TSC vary with the delay time τ . The units for CC and SC are 2E0a/φ0 and E0a/2π respectively. The parameters are N = 100, a = 400nm, γ = −40◦, F1 = F2 = 500V /cm and φ/φ0 = 0.3. ing the TSC exactly reverse to each other. If φ 6= 0, the two components of TSC are not exactly opposite, which corresponds to a slight imbalance occupation for up and down spin states. More analysis of the behavior of the system driven by the HCP pulse can be found in Ref. [15]. In summary, it is shown that asymmetric electromag- netic pulses can be used to generate and control spin- dependent charge oscillation and dynamic currents in nano- and mesoscopic rings. The work is support by the cluster of excellence "Nanostructured Materials" of the state Saxony-Anhalt. [1] S. A. Wolf, et al., Science 294, 1488 (2001).
1908.08073
1
1908
2019-08-21T18:13:36
Artificial Spin Ice Phase-Change Memory Resistors
[ "cond-mat.mes-hall", "cs.ET" ]
We study the implications of the anisotropic magnetic resistance on permalloy nanowires, and in particular on the property of the resistance depending on the type of lattice. We discuss how the internal spin configuration of artificial spin ice nanowires can affect their effective resistive state, and which mechanisms can introduce a current-dependent effect dynamic resistive state. We discuss a spin-induced thermal phase-change mechanism, and an athermal domain-wall spin inversion. In both cases we observe memory behavior reminiscent of a memristor, with an I-V hysteretic pinched behavior.
cond-mat.mes-hall
cond-mat
Artificial Spin Ice Phase-Change Memory Resistors Francesco Caravelli,1, ∗ Gia-Wei Chern,2, † and Cristiano Nisoli1, ‡ 1Theoretical Division and Center for Nonlinear Studies, Los Alamos National Laboratory, Los Alamos, New Mexico 87545, USA 2Department of Physics, University of Virginia, Charlottesville, VA 22904, USA We study the implications of the anisotropic magnetic resistance on permalloy nanowires, and in particular on the property of the resistance depending on the type of lattice. We discuss how the internal spin configuration of artificial spin ice nanowires can affect their effective resistive state, and which mechanisms can introduce a current-dependent effect dynamic resistive state. We discuss a spin-induced thermal phase-change mechanism, and an athermal domain-wall spin inversion. In both cases we observe memory behavior reminiscent of a memristor, with an I-V hysteretic pinched behavior. Introduction. The study of interacting magnetic nanos- troctures called artificial spin ices [1 -- 9] has now reached a level of control [10 -- 19] that should open the way to technological applications. To this day they have been employed to study geometric frustration, ground state degeneracy, dimer excitations, and a tendency towards topological order [20 -- 24] and generally as a setting to generate exotic states and behaviors. Since spin ice materials encode naturally internal states in some observable systemic phenomena, it has been suggested that these meta-materials can be engi- neered for the purpose of logical computation [25 -- 29]. Yet, another interesting venue in computation is to use artificial spin ice to design resistive memory and possibly memristors. The use of memory effects in resistive materials have been suggested for a variety of computing applications [30 -- 33] and resistive switching [34 -- 41] (see [42] for a broad introduction, or [43, 44] for a more technical one). Boolean logic computation has also been proposed via experiment proof of principle logic gates [28, 29, 45 -- 48] or via hierarchical gate integration proposals [27]. Here we explore the possibility of using artificial spin ice to engineer memristors, based on previous work on transport and magnetoreristance in these materials [49 -- 51], and start from the theoretical framework previously developed by one of us [52]. It was shown there that con- nected artificial spin ice is as a electrical circuit where tension drops at the vertices because of the magnetoresis- tive effects of domain walls there. Vertices can be consid- ered electrical elements whose functionality is controlled by the magnetic moments impinging in them: chang- ing their configuration affects the resistance of the sys- tem, leading to reconfigurable circuitry. However one can imagine that current itself could alter the moment configurations, thus leaving memory of its passage. We consider two possibilities for this coupling. One is based on Joule effect, where the superparamagnetic tempera- ture of the nanoislands exceeds by little the operative temperature of the system [53]. The other is through the spin torque of a spin polarized current [54 -- 57]. In this work we explore whether connected artificial spin ice can function as a memristor by solving the col- lective dynamics of currents that alter the magnetic tex- ture, which in turns alters the localized resistance and then the currents themselves. We first derive a perturba- tive equation for the effective resistance of the device as a function of the nanoislands moment configurations. We then use this exact solution to obtain via self-consistency a closed equation for the conductance of the device. This latter can be written as the sum of the conductance of the permalloy nanowires and a state dependent conduc- tance. We show first the simple case of a 3-legs junction, and then show that in general for materials with a sharp magnetic order transition the resistance is of the phase- change type. We then extend our study by simulating a Kagome lattice [58, 59] when a threshold domain wall spin inversion is considered, and observe the effect of the many-body interaction on the resistance. FIG. 1. The structure of the anisotropic magneto-resistance memristor device we study, within a Kagome lattice. We con- sider a system of resistive nanowires of magnetic permalloy, which are connected to a battery. The currents flow in the nanowire, but because of the anisotropic magnetoresistance induced by a small external magnetic field H, the internal re- sistivity depends on the distribution of the magnetic moments in the wires at the junctions. arXiv:1908.08073v1 [cond-mat.mes-hall] 21 Aug 2019 Consider a network of permalloy nanowires, as shown in Fig. 1. Each wire portion between vertices is magne- tized and the coupling between moments is such to obey the ice-rule. If the external magnetic field is zero, each wire of the network will have a resistance R = ρ0L, where L is the length of the island. The presence of magnetiza- tion ~m alters the resistance according to the Anisotropic MagnetoResistance (AMR) law at low magnetic field, H ~ E = ρ0 ~J + m(ρ − ρ⊥)( m · ~J) (1) where ~J is the density of current, and ρ(H) and ρ⊥(H) are the resistances parallel and perpendicular to the mag- netization, respectively (and ∆ρ = ρ−ρ⊥). Along a line γ in the material, the voltage drop is Vγ =Zγ ~ E · d~t = V0 + ∆ρZγ(cid:16) m · ~J(cid:17) m · d~t, (2) and as will shall see it affects the behavior of the device by introducing a state-dependent memory. As noted previ- ously by two of us [49, 52], the AMR is independent from a global change of spin configuration. If V0 is an external potential applied to the circuit in one direction (see Fig. 1), the current follows Ohm's law, i = V0/(Rv + Rm), where Rm is the resistance of the gal- vanomagnetic material of interest and Rv is an external resistence. We aim to show that the internal configura- tion of the magnetization acts as a memory for the re- sistance Rm. We neglect any parasitic capacitance. We assume that the magnetization of the system is at a equi- librium temperature at a certain temperature T . Two of us showed previously [49, 52] that the voltage drop across nodes depends on the configuration of the moments in the nanowires {si}. The problem then be- comes how to obtain the current distribution when the magnetic moments configuration is known. For that we employ a graph theoretical approach [38, 60], which has been already successful in the study of circuits of mem- ristors [38, 39, 61, 62]. Consider a general graph G (for definitness a Kagome spin ice in Fig. 1) with Nv vertices (or nodes) and Ne edges, which describes a network of resistors. The graph supports Nc loops (closed loops or subcircuits). One can describe the potential equivalently by assigning a poten- tial pα at each node, or a potential drop vk for each edge k hosting a current ik (we use latin indices for the edges, and greek indices for the nodes, greek indices with tildes represent instead cycles on the graph). We choose an orientation for the current on each edge -- something that can be done in 2Ne ways -- and encode it into the matrix Bαk of size Nv × Ne. j=1 Bαjij = (B · ~i)α = 0 enforces Kirchhoff current law at each vertex α. Then the poten- tial drop vk for each edge k along the chosen direction is In this language, PM given by vk =Pξ pξBξk = (t~p · B)k. 2 The Kirchhoff Voltage Law (KVL) can be written as Pk A ξkvk = 0 where A ξm is the Nc × Ne cycle or loop matrix, obtained by assigning first an orientation to the edges and then the loops of the graphs, and assigning values of +1, 0,−1 if the orientation of the loop agrees or disagrees with the orientation of the edge. This equa- tion simply states that the circuitation of the voltage on voltage on a node must be zero. As a consequence, in general, B ·t A = A ·t B ≡ 0. Finally we introduce R the Ne × Ne diagonal matrix of resistances. As shown in ref. [38, 60] one obtain a generalization of the Ohm's law in the form i = −At(ARAt)−1A~V ({s}) = Q~V ({s}). ~ (3) where we defined the symmetric matrix Q = −At(ARAt)−1A. The vector ~V is the vector of effective voltages in series to the resistances on the graph (see the Supplementary Material, SM-C, for details). Its evalua- tion can be carried out analytically given a certain lattice configuration. In the SM-D, an analytical expression in implicit form is provided for the Kagome lattice, which will be used shortly. But first we discuss the implications of eqn. (3) when an external voltage is applied to the material, as in Fig. 1. The internal currents depend on the voltage, which in turn depends on the spin configuration within the mate- rial, and linearly in the currents as from eqn. (2). Then, a self-consistent nonlinear equation for the voltages can be obtained. Since the anisotropic magnetoresistance is a small effect (it contributes 3-5% on the material re- sistance) we can linearize Eq. 3 in ∆ρ. Remarkably, the final equation for the effective resistance is simply a par- allel resistor equation (see SM-C and SM-D): R−1 ≡ G = G0 + Gm(s), (4) where G0 is the conductance when no anisotropic magnetic resistance is present, and Gm(s) is a state- dependent function with the dimension of an inverse In particular, Gm(s) = ∆ρ ~QtM (s) ~Q is a resistance. quadratic form where ~Q is a network dependent vector with the dimension of inverse resistance and can be ob- tained from the matrix Q from the rows (or column) cor- responding to the resistance in parallel to the generator and the internal resistances. Instead, M (s) is an adi- mensional matrix which depends on the internal magne- tization state via terms of the form sisj. It is hard to obtain exact expressions for ~Q, but this can be easily ob- tained numerically. Naturally M (s) changes in time with the currents, leading to a memory effect that we aim to show to be memristive. How it changes depends on an underlying physical mechanism. We posit that if the nanoislands are close enough to the superparamagnetic threshold they can become thermally active due to teh Joule effect of the applied current. For illustration we consider a simple 3-moments system, as 3 FIG. 4. Memristive behavior of honeycomb spin ice from the spin-dynamics simulations. Current vs. voltage curves for the interacting (blue) and non interacting (red) system for R0 = 1,∆ρ = 0.1, Ic = 0.1 M = L = 8, T = 1000, dt = 0.1, ω = 30 for V0 = 10 and V0 = 1. The initial condition is a honeycomb ice in the completely ordered state. In inset, result for the non-interacting system at small V0 = 1 shows lack of hysteresis. 1 resistances can be obtained also if the interaction is anti- ferromagnetic (and the graph bipartite). The smoothed theta function, in this case, is a reasonable smoothed θk- function is θk(x) = 1+e−kx , where θ(x) = limk→∞ θk(x) which incorporetates a sharp transition or a crossover. The effective mechanism is based on the fact that that the equilibrium temperature depends on the balance between radiation and current induced Joule heating. Thus, in the simplest possible approximation, we see that under the application of a small magnetic field the resistance of the material can be assumed to be a thermal phase-change type of material (or switches), in which the system has two resistance phases depending on the current, which in turn controls the temperature of the permalloy via Joule heating. If the transition is not sharp but only a crossover, then we can assume that hR−1iT = R−1 0 + ∆ρ R(T )2 (7) where R is a smooth function such that R → R< as T → o and and R → R> as T → ∞. In this case, it seems reasonable to assume that the material will fall in the thermal memristor framework introduced in [53]. Then, because we expect the typical memristive v − i hysteresis to be small, it is possible to see the change in the resistances from the v − r Lissajous figures (Fig. 2), obtained from the functional dependence of the effective resistance which we have obtained. Another mechanism for moment inversion in nanowires which does not require very careful fine tuning of the FIG. 2. A simple example of the internal memory of the magnetoresistance. FIG. 3. Memristive behavior shown on the dependence of the Lissajous figure for the resistance as a function of the voltage, on the frequency of the sinusoidal input V = V0 sin(ωt) for a "crossover" transition, with a smoothed θk(x) function for k = 1. We plot in particular R/R0 but for R0 = 1 and in units in which V0 = 1. in Fig. 2. In this case, the directionality of the moment now take a rather small set of possible resistances which introduces different resistive states. For larger system however we can introduce an effective description for the resistance. 0 + ∆ρ(cid:0)θk(T − Tc)R−2 We can write an approximate (first order contribution) equation for the thermal average of the effective resis- tance of the form: > (cid:1)(5) hR−1iT = R−1 < + θk(Tc − T )R−2 where R< and R> are two resistances which depend on the value of M (s) and the geometry of the spin ice (which is contained in ~Q). The resistances are defined as quadratic forms (see SM-C) R< = ~Q · M< ~Q, R> = ~Q · M> ~Q. where M< ≡ M(cid:16)hsisji = 0(cid:17) and M> ≡ M(cid:16)hsisji = 1(cid:17), and ~Q is a circuit dependent vector. The two limiting (6) temperature, is the current-induced domain wall inver- sion via spin-transfer [54]. Specifically, when a current is applied to a magnetic nanowire, some domain wall de- fects can form at the junctions and quickly move along the wires [55 -- 57]. This phenomenon can be effectively modeled in our system by assuming that if the current in a wire is higher than a certain threshold Ic, then the spin along that wire is inverted. In a large system this hard switching behavior gets smoothed out. We consider an extended Honeycomb cir- cuit of 16 loops, where heach whire has resistence R0. We then consider the following two-steps spin dynamics. At each time step we start with a spin configuration ~st−1, solve for the Kirchhoff laws in the nanowires, and find the equilibrium currents ~i(t) in the material as a function of the external voltage V (t) = V0 cos(ωt) only. We then use the eqns derived in the SM-C to find the auxiliary voltage generators (given the spin configuration ~st) in the mate- rial which will affect the spin configuration ~i(t). At this point, we consider the domain-wall inversion process. If the current in each wire is above a certain threshold Ic and the current is in the opposite direction of the magne- tization direction of that wire, we flip the magnetization direction instantaneously. In experiments like those of [54] the switching is extremely fast. We thus consider for simplicity instantaneous inversion. We account for the manybody interaction among spins by imposing constraints on the possible vertex config- urations. Honeycomb spin ice [52, 58, 59, 63] is frus- trated and at low energy enters an ice-rule regime where only vertices with two moments pointing in and one out, or viceversa, are allowed. Therefore we only allow spin inversion when it produces vertices of magnetic charge equal to Q = ±1, and neglect the nodes with Q = ±3. Once we fixed all the parameters, there will be a threshold Vc which depends on the size of the system and the resistivity of the material above which the spin- inversion occurs and below which the system is a normal resistor. The threshold dynamics is reminishent of a fuse- network dynamics [64], but with the difference that it is not the conductance that dramatically drops, but that the effective voltages change instead. In Fig. 4 we plot resultsof current vs. voltage. For small values of V0 no memristive behavior is present (in- set), as expected. For both the non-interacting (red) and interacting (blue) honeycomb lattice we obtain a zero- crossing pinched hysteresis loop typical of memristive de- vices and which suggests the presence of memory [41 -- 43]. The latter is more hysteretic and smoother than the for- mer but memristive behavior is already present even in absence of interactions. The area of hysteresis is small due to the small value of the magneto resistence effect. We have put forward a theoretical framework for the study of memory properties of magnetic nanowires subject to an external field as an effect of anisotropic magnetoresistance, building on previous results [52]. We 4 have derived exact and general equations which show, given a certain spin ice lattice, how the resistance of the material changes given the internal configuration. This has enabled us to obtain first order contributions to the resistance, showing that there exist an effective resistance in parallel to the nanowires network and which depends on the internal state of magnetization. Then teh coupling between current and magnetism lead to a memristive behavior. We studied two mechanisms which induce these memory effects, and which likely coexist. As the anisotropic magnetoresistance effect is of the order of a few percentages, we expect a smaller change in the value of the resistance as a function of the voltage. However, we have shown that the hysteresis curves depend on the many-body interaction and the configurational manifold of artificial spin ices. This sug- gests that more generally the functionality of artificial spin ice memristors can be open to design -- as so many other properties of these materials proved to me. These ideas are an alternative to Spin-Torque memristors for bio-inspired computing [65], to produce an effective magnetic phase-change material [66]. In fact, because of the sensitivity to temperature, memory resistors in spin ices can have a variety of behaviors that can serve as an alternative to known Spin-Transfer-Torque devices [65, 67] and Phase-Change Materials [66]. Furthermore, as the magnetic moments can be acted upon collectively by external magnetic field, or individually, artificial spin ice memristors could be reprogrammable. The work of FC and CN was carried out under the aus- pices of the US Department of Energy through the Los Alamos National Laboratory, operated by Triad National Security, LLC (Contract No. 892333218NCA000001). CN was founded by DOE-LDRD grant 2017014ER. FC was also financed via DOE-LDRD grants PRD20170660 and PRD20190195. ∗ [email protected][email protected][email protected] [1] C. Nisoli et al.,Rev. Mod. Phys. 85, 1473 (2013) [2] R. F. Wang et al., Nature 439(7074):303-6, (2006). [3] S.D. Bader, Rev. Mod. Phys., 78(1):1, (2006). [4] I. Gilbert et al., Nature Phys. 12, 162-165 (2016) [5] L. J. Heyderman, R. L. Stamps, J. of Phys.: Condensed Matter, 25(36):363201 (2013) [6] B. Canals et al., Nat. Comm. 7 (2016) [7] IA Chioar, et al., Phys. Rev. B, 93(21):214410 (2016). Nature, 540(7633):410-413 (2016). [8] C. Nisoli et al, Phys. Rev. Lett., 98(21):217203 (2007) [9] C. Nisoli et al., Phys. Rev. Lett., 105(4):047205 (2010) [10] C. Nisoli, V. Kapaklis, P. Schiffer, Nature Phys.13(3):200-203 (2017) [11] I. Gilbert et al., Nat Phys. 10(9):670-675 (2014) [12] V. S. Bhat et al., Phys. Rev. Lett. 111(7):077201 (2013) [13] A. Ortiz-Ambriz, P. Tierno, Nature Comm. 7 (2016) [14] P. Tierno, Phys Rev. Lett. 116(3):038303 (2016) [15] J. Loehr, A. Ortiz-Ambriz, P. Tierno. Phys. Rev. Lett. 117(16):168001 (2016) [16] M. L. Latimer et al., Phys. Rev. Lett., 111:067001 (2013) [17] J. C. Gartside et al., Nature Nano., 13(1):53-58 (2018) [18] Z. Li et al.,Small 14, 1800868 (2018) [19] Y.-L. Wang et al., Science, 352(6288):962966, 2016. [20] C. Castelnovo et al.,Annu. Rev. Condens. Matter Phys., 3(1):35-55 (2012) [21] E. Mengotti et al., Nat. Phys., 7(1):68-74 (2010) [22] Y. Lao et al., Nature Phys. 14 (2009) [23] G.-W. Chern, P. Mellado, EPL 114 (3): 37004 (2016) [24] S. Gliga, et al., Phys. Rev. Lett, 110(11):117205 (2013). [25] I. Gilbert et al., Phys. Rev. B, 92(10):104417 (2015) [26] P. E. Lammert et al., Nat. Phys., 6(10):786-789 (2010) [27] F. Caravelli, C. Nisoli, arXiv:1810.09190 [28] H. Arava et al, Nanotechnology 29, no. 26 265205 (2018) [29] J. H. Hensen, E. Folven, G. Tufte, Proc. of ALIFE 2018, pp. 15-22, MIT Press, 10.1162/isal-a-00011 (2018) [30] S. A. Wolf et al., Science 294(5546):1488-1495 (2001) [31] A. Ney et al., Nature 425(6957): 485-7 (2003) [32] M. Patra, S. K. Maiti, Eur. Phys. Lett. 121(38004), (2018) [33] Y. Zhang, IEEE in Design, Automation and Exhibition, in Test 10.7873/DATE.2014.316 (2014) Europe Conference and [34] F. L. Traversa et al., Science Advances 1 (6), e1500031 (2015) [35] F. L. Traversa, M. Di Ventra, Chaos 27, 023107 (2017) [36] H. Manukian et al.,IEEE Trans Neural Netw Learn Syst. (2017) [37] F. Traversa, M. Di Ventra, J. App. Phys.,123 (2018) [38] F. Caravelli, F. L. Traversa, M. Di Ventra,Phys. Rev. E 95, 022140 (2017) [39] F. Caravelli, Entropy 21(8), 789 (2019) [40] D. Ielmini, H.-S. P. Wong, Nature Electronics 1, 333-343 (2018) [41] M. Di Ventra, Y. V. Pershin, Nature Phys. 9, 200-202 (2013) [42] F. Caravelli, J. P. Carbajal, Technologies 2018, 6(4), 118; engrXiv preprint:c4qr9 [43] D. S. Jeong et al, Adv. Electron. Mater., 2: 1600090. 5 (2016) [44] T. Serrano-Gotarredona et al., Front. Neurosci. 7: 2 (2013) https://doi.org/10.3389/fnins.2013.00002 [45] A. Imre et al., Science, 311 (5758) 205-208, (2006). [46] G. Csaba, et al., IEEE Trans. on Nano., 99(4), 2009 (2003) [47] M. Gonellia, et al., J. of Mag. and Mag. Mat. 460, 432 (2018) [48] M. T. Niemier et al., J. of Phys.: Condensed Matter, 23(49), 493202 (2011) [49] B. Le, et al. Phys. Rev. B 95, 060405(R) (2017) [50] T. R. McGuire and R. I. Potter,IEEE Trans. Magnetics 11, 1018 (1975). [51] W. R. Branford et al.,Science 335(6076), pp. 1597-1600 (2012) [52] G.-W. Chern, Phys. Rev. Applied 8,064006 (2017) [53] F. Yang, M. P. Gordon, J. J. Urban,J. of App. Phys. 125, 025109 (2019); [54] A. Yamaguchi et al., Phys. Rev. Lett. 92(7), 077205-4 (2004) [55] S. Krishnia, I. Purnama, W. S. Lew, J. Mag. Mag. Ma- terials 420 (2016) 158-165, [56] N. Vernier et al, Eur. Phys. Lett. 65(526), 2004 [57] A. Pushp et al., Nature Phys. volume 9, pages 505511 (2013) [58] Y. Qi, T. Brintlinger, J. Cumings Phys. Rev. B 77, 094418 (2008) [59] S. Zhang et al, Nature 500, pages 553557 (2013) [60] B. Bollobas, Modern Graph Theory, Springer Science, New York (1998) [61] F. Caravelli, Phys. Rev. E 96(5) (2017) [62] F. Caravelli Int. J. of Par., Em. and Dist. Sys., 33:4, pp. 350-366, (2018) [63] G.-W. Chern, O. Tchernyshyov,Phil. Trans. Roy. Soc. A 370, 5718 (2012) [64] F. C. Sheldon, M. Di Ventra, Phys. Rev. E 95(1), 2017 [65] N. Locatelli, V. Cros, J. Grollier, Nature Materials, 13, 11 (2014) [66] S. Raoux, F. Xiong , M. Wuttig, E. Pop, MRS Bulletin 39, pp. 703-710 (2014) [67] M. Stiles, J. Miltat, B. Hillebrands, A. Thiaville (Eds.): Spin Dynamics in Confined Magnetic Structures III, Top- ics Appl. Physics 101, 225308 (2006) (Springer-Verlag Berlin Heidelberg 2006) Artificial Spin Ice Phase-Change Memory Resistors: Supplementary Material Francesco Caravelli,1, ∗ Gia-Wei Chern,2, † and Cristiano Nisoli1, ‡ 1Theoretical Division and Center for Nonlinear Studies, Los Alamos National Laboratory, Los Alamos, New Mexico 87545, USA 2Department of Physics, University of Virginia, Charlottesville, VA 22904, USA arXiv:1908.08073v1 [cond-mat.mes-hall] 21 Aug 2019 2 FIG. 1. The edge voltage configuration: for each node, there is an associated voltage. SUPPLEMENTARY MATERIAL A. Formal solution of linear circuits We use a graph theoretical approach [1, 2] to solve for the current knowing the nanoisland moments. Consider a graph G (for definitness a Kagome spin ice in the figure) with Nv vertices (or nodes) and Ne edges, which describes a network of resistors. The graph supports Nc cycles, that is closed loops or subcircuits. In each node there is a potential pα, and for each edge a current ik (we use latin indices for the edges, and greek indices for the nodes, greek indices with tildes represent instead cycles on the graph). We choose an orientation for the current on each edge -- something that can be done in 2Ne ways and encod it into the matrix Bαk of size N × M . Then PM j=1 Bαjij = (B · ~i)α = 0 enforces Kirchhoff current law at each vertex α. Then the potential drop vk for each edge k along the chosen direction is given by vk =Pξ pξBt The Kirchhoff Voltage Law (KVL) be written as Pk A ξkvk = 0 where A ξm is the Nc × Ne cycle or loop matrix. This equation states that the circuitation of the voltage on voltage on a node must be zero. As a consequence, in general, B ·t A = A ·t B ≡ 0. In order to see how formally one can introduce the reduced loop matrix, such that (ARAt) is invertible, we need some notion of graph theory. Given the graph G, we introduce a spanning tree T (called co-chords), and the set of edges of the graph not included in the tree as T , or chords, are given by ¯T . For each element of the chord ¯T , we assign a cycle, called fundamental loop. The loop matrix A, can be reduced to its Ne − Nv + 1 ~ ic,~ic) = At~ic, fundamental loops. Then, it is not hard to show that the current vector can be written as ~i = (At T where we used the fact that given a chords and co-chords splitting, we have (BT , Bc) · (AT , I)t = 0, which implies T = −B−1 At T Bc. Since A is derived from the reduced incidence matrix, this is called reduced loop matrix. At this point, it can be shown that ξk = (t~p · B)k. i = At~ic = −At(ARAt)−1A~S(t). ~ (1) which is the starting point of the paper. It is not hard to see that ARAt is always invertible for non-zero resistances. For more details, we refer to [1, 2]. The reduced loop matrix A is constructed using the following procedure. First, we assign an orientation to the edges of the graphs, and for each loop of the graph, we assign an arbitrary orientation to the loop along each edge of the loop. We then construct the matrix ALE (dimensions of loops by edges) as follow. If the loop Nc does not contain the edge E, ALE = 0. If the orientation of the loop agrees with the orientation of the edge, then ALE = 1, otherwise ALE = −1. At this point, we choose a subset of Ne − Nv + 1 linearly independent loops and remove the others from A. What we obtain is the reduced loop matrix. B. Mapping voltages drops at nodes to voltage generators It is common in spin ice materials to approximate the magnetization with a internal configuration M = {~si}, where si = si{ax, by} are Ising variables on the plane, which cannot rotate. The plan is to map the node configuration to ~ a set of voltages in series to the resistances, as this is an exactly solvable model For each edge β (which represents a resistance) between the nodes (ni, nj) and, and we consider a tuple of voltages (Vβ,i,Vβ,j) associated to it as in Fig. 1. Let us call Fi the number of resistances attached to the node ni, which in graph theory is commonly called degree. We define also Vβ,i The goal of this section is to derive the voltages Vβ,z based on the configuration of the spins, which as we will see is connected to the voltages Ei below. As introduced in [3], the node configuration can be assessed via the voltage integral across the node, starting from a resistance β1 and going into a resistance β2. In the formalism of the anisotropic magnetoresistance, given a certain local node ni, and spin configuration at that node, a β1,β2 3 (2) FIG. 2. The edge ordering attached to a node. number of voltages Ei β1,β2 can be obtained via the anisotropic magnetic effect: Vγ =Zγ ~ E · d~t = V0 + ∆ρZγ(cid:16) m · ~J(cid:17) m · d~t. Let us call G the graph that represents the circuit. Bulk β1,β2 If the graph is planar, then if K is the number of resistances entering a node i, because of the planarity of the graph, only K values of Ei for a given node. This is due to the fact that for planar graphs only a number of cycles equal to the number of faces of the dual graph are necessary to obtain a self-consistent equation. However, the number of faces in this cases equals the number of entering edges. Thus, a very natural choice is to choose a set of fundamental loops in the circuit that are associated to each node in the dual graph. Also, because the graph is planar, we can choose a consistent orientation for each (fundamental) cycle in the circuit. Given this prescription for each node ni, the number of integrands Ei z,z+1 is equal to the number of voltages Vβ,i. In particular, we have the relationship, obtained by performing the integration via eqn. (2), and the voltages Vβ,i. For each node ni, let us call Bi the set of edges incident to that node. Because of the planarity, it is possible to give a consistent ordering to the edges Bi = {bi Fi} as well such that br+1 − br = 1, as in Fig. 2. Then, we have 1,··· , bi Vbi z,i − Vbi Vbi ,i − Vbi Fi z+1,i = Ei 1,i = Ei Fi,1 z,z+1 ∀ 1 ≤ z ≤ Fi − 1 z = Fi (3) where Fi and Fj are the number of resistances attached to the nodes ni and nj respectively. It is not hard to see that the equation above, for each node, can be written in the more compact form: FiD ~V·,i = ~Ei (4) (5) , where the matrix F D is a matrix of size F × F given by: 1 −1 . . . 0 . . . . . . 0 ··· −1 0 F D =         0 . . . ··· . . . . . . 0 1 −1 1 0 0 . . . . . . 0 ···         which is clear to be the discrete derivative on a circle with F points. Thus, it is clear that for each node, this matrix is not invertible as it contains one null eigenvalue, with eigenvector proportional to ~ei = (1,··· , 1)t of arbitrary sizes 4 FIG. 3. Fixing of boundary resistances via setting to zero a voltage. Fi. We are thus left with an ill-defined problem. The invertible subspace has dimension Fi − 1, and we have thus the freedom of writing the solution of eqn. (4) as: ~ V·,i = Fi D−1 ~Ei + ci~1 (6) for an arbitrary constant ci associated with each node, and where we called D−1 the pseudo-inverse operator. As we will see however the choice of this constant does not have any physical implication and we can freely set it to zero. F D, where F D−2 is The pseudo-inverse for the forward difference operator can be written as F D−1 = ( F Dt D−1 of the matrix, the pseudo-inverse of the second difference operator. We focus for now on the pseudo-inverse Fi that can however be explicitly calculated, as we know that F D F Dt = F Dt F D = F D2, e.g. the discrete second derivative on the circle of dimension F . Thus, for each spin configuration of the spin ice at each node, given by the associated voltages ~Ei, we have a vector of the effective voltages on each edge β, which can be written as F D)−1 i where qβ = ci − cj. Fixing of boundary resistances Vβ = Vβ,i − Vβ,j + qβ, (7) The inversion problem at the boundary is slightly more complicated than the one in the bulk, and requires the prescription of setting some voltages to zero to avoid overdetermination. Given a circuit with a well-identifiable boundary, the it is not hard to see that given the prescription of Fig. 1, the system of equations is underdetermined. At each node on the boundary with m resistances, we have m − 1 loop constraints. Thus, we need to find a way to reduce the number of voltages on the boundary for each node. Our prescription is the following. Let us consider the set of boundary resistances Rb = ∂G. Because the graph is assumed to be planar, we can assign a consistent orientation to this boundary, O. Then, our prescription is that, given Vβ,k if the orientation of the boundary and the positive side of the voltage generator agrees, then we keep it, while otherwise we remove it (or set it to zero). For instance, in Fig. 3, given the orientation of the boundary (red arrow), the generator highlighted in red is set to zero. It is not too hard to see that this prescription removes the extra degree of freedom at each node on the boundary. C. General approach: absorbing the spin configurations in voltage sources As it is shown in the Appendix, given the node dependent voltage configurations of eqn. (eq:amr), we can obtain equivalent voltage generators depending on the configurations of the spins ~V ({si}). This is important, as we can now write an exact equation for the currents of the system at equilibrium, as this is a resistive system with voltages in series. The solution is known and given by: where A is the directed cycle matrix on the fundamental cycles of the circuit. Here we assume that the voltage ~V is indeed depending on the internal spin configuration ~s. i = −At(ARAt)−1A~V , ~ (8) 5 We now comment on the constants c's. It is interesting to note that these can be written as ~q = Bt~c, where Bt is the directed incidence matrix of the graph. However, it is known that ABt = 0, and thus any configuration of these constants has no impact on the configuration of the currents, as one would expect from a change in potential. Another way to see this is by noticing that for each fundamental loop, necessarily at each node the same constant must be counted twice. However, since the cycle is directed, via the Kirchhoff law the same constant appears twice but with opposite signs, as it can be seen in Fig. 2. We can thus set these to zero. Let us now discuss how to write the effective memory of the component. The voltage ~V is n + 1 dimensional, where n is the number of edges internal to the device, and 1 is the edge where the external voltage is applied. First, let us call the matrix Q = −At(ARAt)−1A. The diagonal matrix R can be written as diag(r,··· , r, Rv), where r is the resistance of a single alloy nanowire, while Rv is the resistance of the battery. For the matrix Q, we consider the following splitting: Q =(cid:18) Q00 ~Qt Q Qr(cid:19) , ~ (9) which is necessary to distinguish the resistance of the device, and the resistance of the battery. Let us call (~V )0 = v0 the applied voltage, and the rest n-dimensional vector ~Vr, which are the internal voltages. Similarly, we introduce the splitting of the currents ~i, as (~i)0 = i0 and ~ir, the n−dimensional vector associated with the internal currents. Clearly, at equilibrium, we must have that these voltages depend linearly on the magnetic anisotropic effect, and on the internal configuration of the spins. We can write, because of eqn. (2), (10) (11) (12) (13) (14) (15) 1 Rm(s) , + 0 1 R = 1 R The equation above can be written, given the splitting of eqn. (9), as ~ Vr = ∆ρM (s)~ir. i0 = Q00v0 + ~Q · (∆ρM (s)~ir) ir = v0 ~Q + ∆ρQrM (s)~ir. ~ The internal currents at equilibrium are thus: Using the equation above for internal currents, we have ~ ir = v0 (I − ∆ρQrM (s))−1 ~Q. Thus, at the first order in ∆ρ, we obtain that i0 = Q00v0 + v0 ~Q ·(cid:16)hM (s) (I − ∆ρQrM (s))−1(cid:17) ~Q. It is not hard that we can re-write eqn. (14) in terms of resistances. We have i0 v0 = Q00 + ∆ρ ~QtM (s) ~Q + O(h2) where R0 is the resistance when no anisotropic magnetic resistance is present. It is also interesting to note that the contribution to the conductance is a quadratic form. We see that the formula above states that the effective conductances due to the magnetic anisotropy and conductance of the alloys at zero external field sum. We note that however M (s) can change in time due to the currents. Thus, the equation above states how the effective resistance changes with the internal degrees of freedom. For h → 0, the effective resistance due to the magnetic anisotropy goes to infinity, and since these are in parallel, the resistance of the material goes to its original value. It is thus now the goal to construct the matrix M (s). D. A worked out example: mapping of the spin configuration to the voltages of Kagome ice Let us consider the case of nodes with 3 legs, in the case of the Kagome lattice in Fig. 5. 6 FIG. 4. Effective resistance due to the anisotropic magnetoresistance effect, which induces a resistive state which depends on the internal spin state. FIG. 5. The Kagome lattice. As we have seen, this can be done node by node. For each node, we are going to have, given a configuration of the three spins incoming to that node, a voltage configuration which depends on the spins s1, s2, s3. For clarity, si is positive if it points towards the right We know that the voltage configuration is independent from a change of the sign of the three spins, as this results in a change of direction of the magnetization at the node, and the voltage drop is independent with respect to m → − m. For each edge, we are going to have four possible configurations of the spins at the node: (1) {s1, s2, s3},{−s1,−s2,−s3} (2) {s1, s2,−s3},{−s1,−s2, s3} (3) {s1,−s2, s3},{−s1, s2,−s3} (4) {s1,−s2,−s3},{−s1, s2, s3}. Thus, given a 3-dimensional vector ~E for a node for each of the four configurations above as: E(s1, s2, s3) = ~E(1) (δs1δs2δs3 + δs1 δs2δs3 ) ~ + ~E(2) (δs1δs2δ−s3 + δ−s1δ−s2δs3 ) + ~E(3) (δs1δ−s2δs3 + δ−s1δs2 δ−s3 ) + ~E(4) (δs1δ−s2δ−s3 + δ−s1 δs2δs3 ) (16) where δs is a Kronecker delta which is one if s = 1 and zero if s = −1. This Kronecker delta can be written δs = 1−s 2 . 30 31 42 43 40 41 1 12 13 24 25 36 37 48 10 11 22 23 34 35 46 47 20 21 32 33 44 45 2 3 14 15 26 27 38 39 4 5 16 17 28 29 6 7 18 19 8 9 It is now not hard to see that we have: ~ E2(s1, s2, s3) = ~ E(1) + ~E(2) + ~E(3) + ~E(4) 4 + + + 4 ~ E(1) + ~E(2) − ~E(3) − ~E(4) E(1) − ~E(2) + ~E(3) − ~E(4) ~ ~ E(1) − ~E(2) − ~E(3) + ~E(4) 4 4 7 (17) s1s2 s1s3 s2s3 On a Kagome lattice we have two type of nodes. Let us call them 1 → 2 and 2 → 1, as in Fig. 6. We assume that in both cases the currents direction are from the left to the right, that the integration over the cycles are clockwise and that the spins are positive if they point right. We work first with the 2-1 node. We call s1 and s2 the in-nodes and s3 the out-node. In this case, E(1) ~ E(4) ~ E(2) ~ E(3) ~ 2−1 = 2−1 = 2−1 = 2−1 = E12 = i1−i2 2 ∆ρ E13 = − 2i3+i1 2 ∆ρ E23 = i2+2i3 2 ∆ρ E12 = 0 E13 = 0 E23 = 0 , E12 = 2i1−i2 E13 = − i3+2i1 E23 = −i2+i3 E12 = i1−2i2 E13 = − i1+i3 E23 = 2i2+i3 2 ∆ρ 2 ∆ρ 2 ∆ρ 2 ∆ρ 2 ∆ρ 2 ∆ρ   ,   ,   . (18) FIG. 6. The 2-1 and 1-2 nodes, and the associated direction of the node magnetization for each associated spin configuration. In the case 1 − 2 instead, we have 8   ,   ,   , E(1) ~ E(2) ~ 1−2 = 1−2 = 1−2 = 1−2 = E(3) ~ E(4) ~ E12 = − 2i1+i2 2 ∆ρ E13 = 2i1+i3 2 ∆ρ E23 = −i3+i2 2 ∆ρ E12 = − 2i2+i1 2 ∆ρ E13 = i1+i3 2 ∆ρ E23 = −i3+2i2 2 ∆ρ E12 = i1+i2 2 ∆ρ E13 = 2i3+i1 2 ∆ρ E23 = −2i3+i2 2 ∆ρ E12 = 0 E13 = 0 E23 = 0 . 2 come from the projection onto the current directions (cos( π The factors of 1 2 ). The effective magnetic moment at the node is in fact either directed towards the link, or has an angle π 3 . We stress that the signs of the currents depend only on the direction of the integration of the voltage over the node with respect to the direction of the currents, and not on the magnetization. 3 ) = 1 The voltage at each link, since it is the difference of two voltage sources, depends on five different spins, which decide the magnetization of the nearby nodes. We have that Vβ = Vβ,i − Vβ,j = D−1 E(1) i + ~E(2) ~ β (cid:0) ~E(1) E(1) i − ~E(2) ~ i − ~E(2) E(1) ~ β (cid:0) ~E(1) E(1) j − ~E(2) ~ E(1) j − ~E(2) ~ − D−1 E(1) j + ~E(2) ~ + + + + + + i + ~E(2) i + ~E(3) i + ~E(4) i i − ~E(3) i + ~E(3) 4 i i − ~E(4) i − ~E(4) i + ~E(4) i i 4 i − ~E(3) j + ~E(2) 4 s1s2 s1s3 s2s3(cid:1) j + ~E(3) j + ~E(4) j 4 4 j − ~E(3) j + ~E(3) 4 j j − ~E(4) j − ~E(4) j + ~E(4) j j j − ~E(3) 4 4 s3s4 s3s5 s4s5(cid:1)(cid:17). From the expression above we see that M (s) is not-diagonal, but that for the Kagome lattice is a block which involves 5 currents. One immediate example is an horizontal edge in the ground state. The non-zero portion of the matrix M (s) which corresponds to the voltage V3 and the currents i1,··· , i5 as in Fig. 7 is given by: (19) (20) M (s) = i1 i2 i3 i4 i5       6 + s2s3 s1s3 12 + s4s5 6 + 5 6 24 12 + 13 6 + 5 12 + s1s3 12 + s2s3 − s1s2 − s1s3 12 + s3s5 6 + s3s4 s3s4 6 + 1 12 − s3s5 6 − 1 s3s4 24 12 6       We see from the expressions above that this formalism is ought to be used for a numerical simulation rather than for analytical computations, and that M (s) depends on pairs of variables sisj. 9 FIG. 7. A certain configuration of a node. Magneto-resistance memory We now consider the simplest non-trivial example of magnetoresistance memristor device. In this simple example we focus on a simple enough case for which most of the techniques we have developed for general constructions are not necessary. In particular, only one voltage per edge is necessary, and thus simply the extra voltage vector can be simply written as ~ Vmrs = ∆ρ D−1 ~E2,1(s1, s2, s3) = ∆ρ D−1M (s)~i and if we use the equilibrium current equation: from which we obtain i = −Q(~V0 + ~Vmrs) = −Q~V0 − δρQD−1M (s)~i : ~ Upon investigation, we find that the product of the matrices M (s) and D−1 are given by: i = −(1 − ∆ρQ D−1M (s))−1Q~V0 ~ ≈ −(cid:16)1 + ∆ρQ D−1M (s)(cid:17) Q~V0 (21) (22) (23) (24) 12 (− (s1 + s2) s3 − 5) 12 (− (s1 + s2) s3 − 5) ((s1 + s2) s3 + 5)  16 1 1 1 12 (−3s2s3 + s1 (s2 + s3) − 9) 1 1 24 (2s2 (s3 − s1) + 13) 24 (5 − 2 (s1 − 2s2) s3) 4 (2s1 (s3 − s2) + 13) 2 (2s1 (s2 − s3) − 13) 4 (2s1 (s3 − s2) + 13) 12 11 12 D−1M (s) = which we will now use. We see that the matrix which couples the internal spins to the internal currents is a rather non-trivial matrix which, however, depends only on the internal configuration. In the next section we show that when the spins are allowed to flip thermally, a non-trivial memory effect ar ises out of equilibrium. Thus, the effective voltage in this case is simply ~ V (s) = ~v0 + D−1M (s)~i As a result, we have ~ V (s) =(cid:0) 1 12 i3((−s1 − s2)s3 − 5) + 1 (cid:0) 1 12 i3((−s1 − s2)s3 − 5) + 1 v0 +(cid:0) 1 24 i2(5 − 2(s1 − 2s2)s3) + 1 24 i1(2s1(s3 − s2)) + 13) + 1 24 i2(2s2(s3 − s1) + 13) + 1 6 i3((−s1 − s2)s3 − 5) + 1 12 i2(−3s2s3 + s1(s2 + s3) − 9)(cid:1) ∆ρ 12 i1(2s1(s3 − s2) + 13)(cid:1) ∆ρ 24 i1(2s1(s3 − s2) + 13)(cid:1) ∆ρ which is the state-dependent effective voltage. (25) (26)   , E. Thermally induced flips: out of equilibrium properties It is interesting at this point to observe the out-of-equilibrium dynamics of the system. We perform numerical simulations, apt at enhancing the effect and to show how a hysteresis loop typical of a memristive system emerges in this scenario. At equilibrium, the currents satisfy the Kirchoff laws. For ∆ρ = 0, the system does not present any difference from a normal resistance. However, as ∆ρ 6= 0, thermal coupling can affect the internal properties of the resistance. Here we assume a very simple internal dynamics, governed by the thermal coupling due to the Joule heating of the device. The model we suggest is rather simple but explicative of the phenomenology. The internal state of the device is assumed to evolve according to a Metropolis dynamics for the 3 spins, with a flipping probability: P (f lip) ∝ e− ∆H T (t) (27) 10 FIG. 8. The hysteretic jumps which arises from the internal spin dynamics in the simple model of Fig. ??. where ∆H is the energy difference between one configuration and the proposed one. The energy is the simplest possible ferromagnetic coupling for 3 nanoislands, given by The coupling between the internal states and the currents occurs via Joule heating: as the currents flows, we assume a temperature for the devices which follows a very simple relationship: H = J (s1s2 + s2s3 + s1s3) . (28) dT (t) dt = 2 + i2 3) R(i2 1 + i2 Cvm − σT 4, (29) where the first term is due to the Joule heating effect (and we assume that the temperature is just the average heating of the three branches), and as a balancing effect for the temperature we consider Stefan's radiation law. Given this simple mechanism, we consider the out-of-equilibrium voltage v(t) = v0 sin(ωt), which is shown in Fig. Fig. 8. We observe zero-crossing hysteretic jumps due to the state of the spins, between two resistance lines. In order to observe a real memristive behaviour, we need to go to larger systems. Effective model after thermal averaging For a larger lattice, obtaining the matrix M (s) can be challenging. However, the key features of the resistance can be inferred from thermal averaging as follows. Let us consider eqn. (14) again: In particular, we are interested in the thermal average of the equation above, e.g. i0 v0 = Q00 + ∆ρ ~QtM (s) ~Q. i0 v0iT = Q00 + ∆ρ ~QthM (s)iT ~Q, h (30) where h·iT is the thermal average, over all the possible configurations of the system at temperature T . We note that (31) hM (s)iT is a matrix which, for a local Hamiltonian, is composed of products of neighboring spins only, of the form hsisjiT (32) where the distance d(si, sj) is of order one. Depending on the system of interest, this average will lead to different results depending on the geometric arrangements of the nanoislands. In particular, for lattices which exhibit with a sharp transition from a disordered to an ordered phase at low temperature, we can approximate 11 hsisjiT ≈(0 T ' Tc, 1 T / Tc. Thus, because the matrix M (s) is composed only of products of pairs of neighboring spins, we can write hM (s)iT =(M> T > Tc M< T < Tc. (33) (34) and we can think of an effective interpolation between two limiting values of the resistance. Given this feature, can write an approximate (first order contribution) equation for the thermal average of the effective resistance of the form: (35) hR−1iT = R−1 0 + ∆ρ(cid:0)θk(T − Tc)R−2 > (cid:1) < + θk(Tc − T )R−2 where R< and R> are two resistances which depend on the value of M (s) and the geometry of the spin ice (which is contained in ~Q), and are defined by R< = ~Q · M< ~Q R> = ~Q · M> ~Q. (36) (37) The function θk(x) is a smoothed Heaviside-theta function. Thus, in the simplest possible approximation, we see that under the application of a small magnetic field, and of joint permalloy islands (nanowires), the resistance of the material can be assumed to be a phase-change type of material (or switches), in which the system has two resistance phases depending on the current, which as a matter of fact controls the temperature of the permalloy via Joule heating. If the transition is not sharp but only a crossover, then the it is not too daring to assume that hR−1iT = R−1 0 + ∆ρ R(T )2 (38) where R(T = 0) = R< and R(T = ∞) = R> is a smooth function. In this case, it seems reasonable to assume that the material will fall in the thermal memristor framework introduced in [? ]. In this case, because we expect the typical memristive V − I hysteresis to be small, it is possible to see the change in the resistances from the v − r Lissajous figures, obtained from the functional dependence of the effective resistance which we have obtained. Albeit the exact numbers will depend on the type of material, we expect to be able to distinguish the type of transition from the V − R curves of the device as a function of the frequencies. At slower frequencies, the changes in the resistance will be more symmetric in the continuous case, while more abrupt but still hysterestic in the discontinous case. ∗ [email protected][email protected][email protected] [1] B. Bollobas, Modern Graph Theory, Springer Science, New York (1998) [2] F. Caravelli, F. L. Traversa, M. Di Ventra,Phys. Rev. E 95, 022140 (2017) [3] G.-W. Chern, Phys. Rev. Applied 8,064006 (2017) 12 FIG. 9. Lissajous figure for the resistance as a function of the voltage for a sharp ordering transition (k = ∞), for a sinusoidal input and as a function of the frequency of functional form V = V0 sin(ωt).
1303.1034
1
1303
2013-03-05T13:50:25
Long-range coherent coupling in a quantum dot array
[ "cond-mat.mes-hall" ]
Controlling long-range quantum correlations is central to quantum computation and simulation. In quantum dot arrays, experiments so far rely on nearest-neighbour couplings only, and inducing long-range correlations requires sequential local operations. Here we show that two distant sites can be tunnel coupled directly. The coupling is mediated by virtual occupation of an intermediate site, with a strength that is controlled via the energy detuning of this site. It permits a single charge to oscillate coherently between the outer sites of a triple dot array without passing through the middle, as demonstrated through the observation of Landau-Zener-St\"uckelberg interference. The long-range coupling significantly improves the prospects of fault-tolerant quantum computation using quantum dot arrays and opens up new avenues for performing quantum simulations in nanoscale devices.
cond-mat.mes-hall
cond-mat
Long-range coherent coupling in a quantum dot array Floris R. Braakman1∗, Pierre Barthelemy1, Christian Reichl2, Werner Wegscheider2, and Lieven M. K. Vandersypen1∗ 1: Kavli Institute of Nanoscience, TU Delft, 2600 GA Delft, The Netherlands and 2: Solid State Physics Laboratory, ETH Zurich, 8093 Zurich, Switzerland (Dated: July 1, 2018) Abstract Controlling long-range quantum correlations is central to quantum computation and simulation. In quantum dot arrays, experiments so far rely on nearest-neighbour couplings only, and inducing long-range correlations requires sequential local operations. Here we show that two distant sites can be tunnel coupled directly. The coupling is mediated by virtual occupation of an intermediate site, with a strength that is controlled via the energy detuning of this site. It permits a single charge to oscillate coherently between the outer sites of a triple dot array without passing through the middle, as demonstrated through the observation of Landau-Zener-Stuckelberg interference. The long- range coupling significantly improves the prospects of fault-tolerant quantum computation using quantum dot arrays and opens up new avenues for performing quantum simulations in nanoscale devices. 3 1 0 2 r a M 5 ] l l a h - s e m . t a m - d n o c [ 1 v 4 3 0 1 . 3 0 3 1 : v i X r a 1 Nanofabricated circuits of quantum dot arrays provide an excellent platform for quantum information processing using single charges or spins [1–4]. In such a dot array architecture, the tunnel coupling between neighbouring dots plays an essential role. It governs the motion of charges between the dots, permitting delocalization [5] over the dots and coherent oscillations between them [4, 6], and the same tunnel coupling is at the core of exchange-based quantum gates on spin qubits [1, 7, 8]. Tunnel coupling falls off exponentially with distance, and all experiments on quantum dot arrays so far rely on nearest-neighbour couplings only. In addition, quantum dot arrays are typically constructed from one-dimensional segments since realizing two-dimensional arrays is challenging. These restrictions severely constrain the range of experiments possible in this system at present. Instead of having to repeatedly swap neighboring qubits down the chain, a long-range coupling would enable quantum gates between distant qubits in one step, thereby giving access to many of the benefits of a two-dimensional lattice. This would strongly reduce the requirements for fault-tolerant quantum computing [9, 10] and permit quantum simulation of phenomena that are otherwise inaccessible in this system, for instance involving frustration [11] or superexchange [12, 13]. The most common approach to realizing an effective long-range coupling is to use a quantum bus, as demonstrated for trapped ions [14] and superconducting qubits [15, 16]. For quantum dots, such a bus has been proposed in the form of optical cavities [17] and microwave stripline resonators [18–20]. For the latter, the first steps have been taken re- cently [21, 22]. Furthermore, charge transfer through a channel connecting two distant quantum dots has recently been realized using surface acoustic waves that push electrons forward [23, 24]. In this approach charge coherence is lost but spin coherence is expected to be preserved. As an alternative for creating long-range coupling of quantum dots, which does not require separate elements such as cavities or channels, a quantum bus has been proposed in the form of the continuum of conduction or valence band states [25]. Through a second-order process known as cotunneling, virtual occupation of these states can induce an effective coupling between distant quantum dots. Inspired by this scheme, we propose to create such long- range coupling by virtual occupation of discrete states of quantum dots located in between. 2 In this case only discrete levels participate in the cotunneling process. This permits a fully coherent process, in contrast to all existing measurements of cotunneling in quantum dots in which quantum coherence is quickly lost in the reservoirs (see [26] for a review). Here we demonstrate the coherent transfer of single electron charges between the outer sites of a linear array consisting of three quantum dots, in a regime where sequential trans- port through the middle dot is suppressed energetically. Using real-time charge detection techniques, we study the dependence of the rate with which electrons hop between the outer dots on the detuning of the middle dot levels. We observe a non-monotonous dependence that is characteristic of a coupling mechanism mediated by virtual occupation of the middle dot levels. We also control quantum coherent dynamics between the outer dots in the form of Landau-Zener-Stuckelberg (LZS) interference, induced by a process we dub photon-assisted cotunneling (PACT). A scanning electron micrograph of a device identical to the one used is shown in Figure 1a. Gate electrodes fabricated on the surface of a GaAs/AlGaAs heterostructure (see Sup- plementary Information) are biased with appropriate voltages to selectively deplete regions of the two-dimensional electron gas (2DEG) below and define the linear array of three quan- tum dots. In the array, only adjacent dots are connected through tunnel barriers. The left and right dots are also tunnel coupled to the left and right reservoirs respectively. Above the blue-shaded gate a charge sensing dot (SQD) is created, the conductance of which is sensitive to the number of charges on each dot in the array through capacitive coupling. For maximum sensitivity, the SQD is operated on the flank of a Coulomb peak. Furthermore, one of the SQD contacts is connected via a bias-tee to an LC-circuit so that the SQC con- ductance can be measured both by RF reflectometry [27] and in DC (see Supplementary Information). We operate the device in the few-electron regime: by sweeping the voltages on gates LP, MP and RP, the number of electrons on each of the dots of the triple dot array can be changed one by one. A time-averaged measurement of the differential DC-conductance through the SQD as a function of VLP and VRP maps out a cross-section of the three-dimensional charge stability diagram of the triple dot (Figure 1b). The occupancy is denoted here as (n, m, p), corresponding to the number of electrons on the left, middle and right dot respectively. The strength of the four tunnel couplings can be tuned individually with the voltages on the B-gates. The tunnel rates between the outer dots and their respective leads are set 3 Figure 1: a, SEM image of a sample identical to the one used for these measurements. Dotted circles indicate quantum dots, squares indicate Fermi reservoirs in the 2DEG, which are contacted through Ohmic contacts. Both the current through (white arrow) and the reflectance of the SQD is monitored and used to determine the occupancies of the triple quantum dot. b, Numerical derivative (along VLP axis) of the current through the SQD as a function of the voltages on gates LP and RP, mapping out a charge stability diagram of the triple dot in the few-electron regime. The (0,0,0)-(0,1,0) charging transition appears fragmented because of low tunneling rates from the reservoirs to the center dot. c, Real-time traces of the sensing dot reflectometry signal, taken at points L, R and C in Fig. 1b, as indicated. We use a 50 kHz low-pass filter (Avens Signal Equipment AP220) to filter the reflectometry signal in order to obtain sufficient signal-to-noise. to the 100 Hz range. The tunnel rates between neighbouring sites are tuned to be much higher. The upper two panels of Fig. 1c show real-time traces of the charge detector RF reflectometry signal taken at points R and L in the charge stability diagram. The first trace shows a single step, corresponding to the transfer of one electron from the left dot to the left reservoir, i.e. going from (1, 1, 0) to (0, 1, 0). In the second trace, three single-electron tunnel events are seen, once from the right dot to the right reservoir and twice the other way, i.e. alternating between (0, 1, 1) and (0, 1, 0). The step size is larger than in the first trace, because of the closer proximity of the SQD to the right dot than to the left dot. Remarkably, when we go to point C in the charge stability diagram, the real-time trace 4 500 nmBBBBRPTime (ms)ISQD (a.u.)012345ISQDLRCLPacbMP100VRP (mV)VLP (mV)1201008060125150175(0,0,0)(1,0,0)(1,1,0)(1,1,1)(0,1,0)(0,1,1)(0,0,1)RCLdISQDdV(a.u.) (lower panel) not only shows steps corresponding to the slow tunneling between outer dots and leads, but also exhibits smaller steps that occur at a rate which is an order of magnitude higher. Since point C is at the boundary of the (1, 1, 0) or (0, 1, 1) regions in the charge stability diagram, the fast steps appear to correspond to single electron transfers between the outer two dots. This is consistent with the step size as well as with the observation that the mean times that the measured conductance is high or low are equal for zero detuning between (1, 1, 0) and (0, 1, 1) (point C). Upon increasing or decreasing the detuning, these times quickly become unequal (see supporing online material). This tunneling between the left and right dots is at first sight unexpected, since in these measurements the center dot levels are far detuned from resonance with the outer dot levels, excluding sequential tunneling via the center dot (there is no charging line of the center dot present nearby in the charge stability diagram). Here we argue that these tunneling events are transfers of single electrons between the outer dots, via virtual occupation of the middle dot. This implies that electrons are transferred between the outer parts of the array, essentially without passing through the dot in between. This tunneling between remote dots can be seen for different charge configurations of the triple dot array. Here we focus on transitions between (1, 1, 0) and (0, 1, 1). In this case, two virtual pathways exist for the transfer: either a single electron moves first virtually from the left to the middle dot and then from the middle to the right dot, or an electron moves first from middle to right and then another electron moves from left to middle (note that for other charge configurations the situation can be different, for instance for tunneling between (1, 0, 0) and (0, 0, 1), only the first pathway exists). As will be shown below, the existence of two virtual pathways makes the transfer rate depend non-monotonously on the detuning between the intermediate virtual states and the initial and final states. The dependence on the middle dot detuning is a key signature of this process. 5 Figure 2: a, b, Schematic representations of the cotunneling process in terms of the relevant electrochemical potentials in the linear dot array. The two panels illustrate the two possible pathways for cotunneling between 110(cid:105) and 011(cid:105), as explained in the main text. The charge transfer is depicted schematically in Figures 2a and 2b. Since it involves removing an electron from one dot and adding it to another dot, we need to compare electrochemical potentials for the transitions between initial, intermediate virtual, and final charge states. Only ground-state to ground-state transitions are considered. We denote the various electrochemical potentials as: µL(n, m, p) = E(n, m, p) − E(n − 1, m, p) µM (n, m, p) = E(n, m, p) − E(n, m − 1, p) µR(n, m, p) = E(n, m, p) − E(n, m, p − 1) for the left, middle and right dot respectively. Here E(n, m, p) stands for the ground-state energy of a given charge configuration (n, m, p). For the transition back and forth between the states 110(cid:105) and 011(cid:105) to occur spontaneously, we require µL(1, 1, 0) = µR(0, 1, 1) (we denote the lowest energy state with occupation (n, m, p) as nmp(cid:105)). Furthermore, in order to quantify the detuning between virtual states, and initial and final states, we introduce two parameters δ1 and δ2. For charge transfer via virtual occupation of 020(cid:105), the relevant detuning parameter is δ1 = µM (0, 2, 0) − µL(1, 1, 0) (see Fig. 2a). For charge transfer via 101(cid:105), the relevant detuning is δ2 = µL(1, 0, 1) − µM (1, 1, 0) (Fig. 2b). Note that δ1 and δ2 are related and cannot be changed independently, as VM P increases δ1 by the same amount it decreases δ2. The total tunnel rate Γ is the sum of the tunnel rates via the two respective paths. It can be expressed as (see Supplementary Information): (1) (cid:19) Γ = 2T2  l1t2 r1 δ2 1 + t2 l2t2 r2 δ2 2 (cid:18) t2 6 µM(0,2,0)µL(1,1,0)µR(0,1,1)µL(1,0,1)µM(1,1,0)µM(0,1,1)µR(1,0,1)δ2δ1µM(0,2,0)µL(1,1,0)µR(0,1,1)µL(1,0,1)µM(1,1,0)µM(0,1,1)µR(1,0,1)ab Here tl1, tr1, tl2 and tr2 are the tunnel coupling elements between 110(cid:105) and 020(cid:105), 020(cid:105) and 011(cid:105), (cid:107)101(cid:105) and 011(cid:105), and 110(cid:105) and 101(cid:105), respectively. The charge dephasing time T2 is assumed to be much smaller than 1/Γ (T2 is typically about 1 ns [4, 6] and therefore interference effects between the two pathways can be neglected). Equation 1 is valid as long as the four tunnel couplings and the detuning between 110(cid:105) and 011(cid:105) are small compared to δ1 and δ2. We experimentally verify the non-monotonous dependence of Γ on detuning δ1 (and hence δ2) by stepping the voltage on gate MP, VM P , and measuring the rate of tunneling between 110(cid:105) and 011(cid:105). Figure 3a presents three traces, each for a different value of VM P . For the top trace the value of VM P corresponds to small δ1 and large δ2, therefore the transfer proceeds mainly as depicted in Fig. 2a. For the middle trace, VM P is set such that both δ1 and δ2 are relatively large, resulting in a reduced, but non-zero tunnel rate, in agreement with Eq.1. Finally, for the lower trace, δ2 is small and δ1 is large. In that case, tunneling proceeds mainly via the virtual process shown in Fig. 2b and the tunnel rate is higher again. For a quantitative analysis, we extract the tunnel rate Γ from real-time traces such as in Fig. 3a using the relation [28] Γ−1 = f (1 − f ) ((cid:104)τL(cid:105) + (cid:104)τR(cid:105)), with f the Fermi probability distribution. The times (cid:104)τL(cid:105) and (cid:104)τR(cid:105) are the average times between tunnel events spent in the left and right dot, respectively. We perform a threshold analysis of the real-time traces to obtain the distributions of τL and τR. The value of f can be established using the relation f = (cid:104)τL(cid:105)/ ((cid:104)τL(cid:105) + (cid:104)τR(cid:105)). Figure 3b shows measured values of Γ thus determined, for different values of the detuning, parametrized by δ1. The non-monotonous dependence is striking and is fit well by Eq.1 (red curve), implying that the transfer indeed proceeds via virtual occupation of intermediate states. The hopping of electrons between the outer sites in the array indicates that an effective tunnel coupling is present between the left and right dot, which we call cotunnel coupling. We can express the strength of this cotunnel coupling as (see Supplementary Information) tco = tl1tr1 δ1 + tl2tr2 δ2 . (2) We note that tco need not be small: for typical experimental values of the nearest-neighbour tunnel couplings of order 10 µeV and for detunings of ∼100 µeV, we obtain tco ∼ 1 µeV. The cotunnel coupling enters the Hamiltonian in much the same way as the direct tunnel coupling between neighbouring sites and therefore many phenomena arising from direct 7 Figure 3: a, Real-time traces of the SQD reflectometry signal, taken at zero detuning between the outer dot levels, for three values of VM P , corresponding to three values of δ1 and δ2. b, Plot of the measured cotunneling rate Γ versus detuning δ1. The non-monotonous dependence is a clear indication that the transfer proceeds via cotunneling. This is corroborated by the fact that the measured data points can be fitted well with the predicted expression for Γ (red curve). To make the fit, we rewrite Eq.1 as Γ = a/δ2 1 + b/(c − dδ1)2, with a, b, c and d positive constants. For the detuning axis, gate voltages are converted to energies using microwave-induced sidebands as an energy reference (see Supplementary Information). The error bars on the obtained values for Γ include errors associated with the threshold analysis of the real-time traces (low-frequency noise modulates the baseline signal, so the precise value of the threshold slightly affects the statistics) and sampling errors due to the finite number of transfer events per trace[28] (we sample over 100 ms traces). Note that the use of a low-pass filter results in an overall underestimation of Γ. tunnel coupling have their counterpart in cotunnel coupling between remote sites. For instance, upon application of microwave excitation to a gate, direct tunnel coupling can give rise to photon-assisted tunneling (PAT). In complete analogy we can expect a photon- assisted version of the cotunnelling process described above, which we term photon-assisted cotunneling (PACT). Photon-assisted tunneling (PAT) is a well-described phenomenon in quantum dots and has been observed many times in single and tunnel coupled double quantum dots [5, 29]. Tunneling transitions of electrons between two detuned neighbouring dots can be made resonant by applying microwaves of a frequency matching the detuning, ε0 = nhν (see Figure 4a). Here ε0 is the detuning, ν the microwave frequency and n an integer, showing 8 VMP=197.5mVVMP=210mVVMP=245mVTime (ms)ISQD (a.u.)0123450200400600800Detuning δ1 (µeV)Γ (kHz)40302010VMP (mV)205215225235ba Figure 4: a, Schematic view of photon-assisted tunneling processes between different pairs of dots. Charges can be transferred from one dot to another when the detuning between the corresponding electrochemical potentials matches the photon energy. The left and middle panels correspond to PAT, the right panel corresponds to PACT. Note that similar resonances to the ones shown exist for negative detunings. b, Charge stability diagram in the same configuration as in Fig.1b, but now with microwave excitation (15 GHz) applied via a bias-tee to gate LP. The microwaves were chopped at the reference frequency of a lock-in amplifier and combined with a small amplitude modulation of the same reference frequency. The colorscale data is the numerical derivative (along VLP axis) of the SQD signal acquired via the lock-in amplifier. Multiple sidebands develop where PAT or PACT occurs. that also multiphoton resonances are possible. We apply microwave excitation at ν = 15 GHz to gate LP. The microwaves are chopped at the reference frequency of a lock-in amplifier (see Supplementary Information). The excitation introduces a number of sidebands in the charge stability diagram. Two sets of sidebands are due to conventional PAT between neighbouring tunnel coupled quantum dots. They are seen in Figure 4b near the 100(cid:105) to 010(cid:105) transition (point L) and 001(cid:105) to 010(cid:105) transition (point R). The slope of these lines is such that ε0 = nhν is maintained. Near the 110(cid:105) to 011(cid:105) transition (point C), a different set of resonances develop. Based on their slope and location, we identify these transitions to occur via PACT, where sin- 9 baµL(1,0,0)µM(0,1,0)µR(0,0,1)hνhνµL(1,0,0)µM(0,1,0)µR(0,0,1)µR(0,1,1)µL(1,1,0)µM(0,1,0)hνLRCµM(0,2,0)VLP (mV)VRP (mV)dISQDdV(a.u.)lock-in1001251501751201008060RLC(0,0,0)(1,0,0)(1,1,0)(0,1,0)(0,1,1)(1,1,1)(0,0,1) gle electrons tunnel between the outer dots, now assisted by the microwave excitation. As expected for photon-assisted processes, these resonances appear at a detuning linearly de- pendent on the microwave frequency (see Supplementary Information). In order to get a strong PACT response, the tunnel couplings between neighbouring dots are set to a much higher value than in the real-time experiment descibed before. We can extract the nearest-neighbour tunnel couplings from the spacing between the PAT resonances along the detuning axis as a function of frequency [5, 29] (see Supplementary Information) and find 8.1 ± 0.4 µeV for the tunnel coupling between right and center dot, and 12.3 ± 0.3 µeV between left and center dot. Importantly, photon-assisted cotunneling allows us to demonstrate the coherent dynamics driven by the cotunnel coupling, through the observation of Landau-Zener-Stuckelberg (LZS) interference [30]. Coherent quantum dynamics in the form of LZS interference has been observed in a wide range of two-level quantum systems, such as electronic states of atoms and molecules [31, 32] or superconducting devices [33] and spin states in double quantum dots [34]. In LZS interferometry, a two-level system is swept through an anticrossing of its levels at such a rate that a superposition of its ground and excited states is reached (see Figure 5a). Between two passings through the anticrossing (at times t1 and t2), the two parts of the superposition acquire a relative phase due to their difference in energy ε, (cid:90) t2 t1 ∆Θ12 = 1  ε(t)dt . (3) At the second passing through the anticrossing, the two paths in phase space will interfere. Destructive interference in the occupation probability of the excited state occurs for ∆Θ12 = (2n + 1)π, where n is an integer. In our experiment, the applied microwaves modulate the detuning ε between µL(1, 1, 0) and µR(0, 1, 1). This takes the system back and forth through the anticrossing created by the cotunnel coupling (Fig. 5a). The amplitude of the microwaves is used to control the value of ∆Θ12 [33]. Figure 5b shows a measurement of the SQD signal as a function of detuning ε0 versus microwave power. Contrast against the background indicates a finite population in the excited state (the ground state configuration for positive and negative detuning is as indicated in the figure). We see that for both positive and negative detunings, the excited state population exhibits interference fringes when sweeping the power (moving along the vertical dashed line). The oscillations indicate that a coherent superposition of 110(cid:105) and 10 Figure 5: a, Schematic energy level diagram as function of detuning between 110(cid:105) and 011(cid:105), displaying an avoided crossing due to cotunnel coupling. The red arrows represent the response of the system to microwaves modulating the detuning. Multiple passings of the avoided crossing results in quantum interference of the two paths. b, Numerical derivative (along detuning axis) of the lock-in signal of ISQD (as in Figure 4b) as a function of detuning and microwave power. LZS-interference fringes are clearly visible along both axes. 011(cid:105) is created and maintained between subsequent passings through the anticrossing. Since the microwaves drive the system through the anticrossing periodically, also multiple crossings need to be considered. Consecutive cycles interfere constructively when the total phase difference accumulated over one complete microwave period ∆Θtot equals 2πn. As ∆Θtot = 1  (cid:82) ε(t)dt = 2πε0/hν, this causes peaks to appear for detunings ε0,n = nhν. This can be clearly seen in Figure 5b, where along the horizontal direction ten fringes can be discerned. In summary, we have demonstrated an effective coherent cotunnel coupling between the outer dots in a triple quantum dot, which is mediated by virtual occupation of levels on the dot in between. The coupling strength can be controlled through the detuning between the relevant middle and outer dot levels and agrees well with theoretical predictions. The long-range tunnel coupling may be used as well for realizing spin exchange gates at a distance in one step. When the intermediate site is itself occupied by an electron, its spin affects the strength of the cotunnel coupling due to the Pauli exclusion principle, introducing correlations between the middle spin and the outer spins (i.e. it realizes a three- 11 0111101100111100112tcoε0Detuning ε0 (µeV)Detuning ε151050-15-500-2500250500Power (dBm)EnergyabdISQDdV(a.u.)lock-in qubit gate). First schemes for avoiding these correlations have been worked out, enabling direct long-range spin exchange also in this case [35]. Furthermore, the cotunnel coupling can be extended to include multiple intermediate sites. Long-range coupling thus provides a new approach for operating quantum circuits based on quantum dot qubits, which eases the requirements for fault-tolerance. The cotunnel coupling observed here also gives access to a new range of phenomema with interacting spins, such as superexchange [12, 13] and frustration [11], which can serve as a starting point for quantum simulations. Methods The experiment was performed on a GaAs/Al0.25Ga0.75As heterostructure grown by molecular beam epitaxy, with a 85 nm deep two-dimensional electron gas with electron density of 2.0 × 10−11 cm−2 and mobility of 5.6 × 10−6 cm2V−1s−1 at 4K. The metallic (Ti- Au) surface gates were fabricated using electron beam lithography. The device was cooled inside an Oxford AST Sorb dilution refrigerator to a base temperature of ∼55mK. In order to reduce charge noise [36], the sample was cooled down while applying a positive voltage bias on all gates, ranging between 200 and 350 mV. The magnetic field, as well as the bias across the linear triple quantum dot were set to zero throughout the experiment. Gates LP and RP were connected to homebuilt bias-tees, enabling application of DC as well as high-frequency voltage bias to these gates. RF reflectometry of the SQD was performed using an LC circuit matching a carrier wave of frequency 193.35MHz. The power of the carrier wave arriving at the sample was about -84 dBm. The reflected signal was amplified using a cryogenic Quinstar QCA-U-219-33H amplifier and subsequently demodulated using homebuilt electronics. A Stanford Research Systems SR830 lock-in amplifier was utilized in some of the measurements. In these measurements, a square wave modulation of amplitude 2mV, before 16 dB attenuation, was applied to gate LP at the lock-in reference frequency of 3412Hz. For the microwave measurements, this square wave was combined with the mi- crowaves, chopped at the same reference frequency. The microwaves were generated by an Agilent E8267D microwave source. 12 [1] Loss, D. & DiVincenzo, D. P. Quantum computation with quantum dots. Phys. Rev. A 47, 120126 (1998). [2] Taylor, J. M., Engel, H. A., Dur, W., Yacoby, A., Marcus, C. M., Zoller, P. & Lukin, M. D. Fault- tolerant architecture for quantum computation using electrically controlled semiconductor spins. Nature Phys. 1, 177 - 183 (2005). [3] Hanson, R., Kouwenhoven, L. P., Petta, J. R., Tarucha, S. & Vandersypen, L. M. K. Spins in few- electron quantum dots. Rev. Mod. Phys. 79, 12171265 (2007). [4] Hayashi, T., Fujisawa, T., Cheong, H. D., Jeong, Y. H. & Hirayama, Y. Coherent Manipulation of Electronic States in a Double Quantum Dot. Phys. Rev. Lett. 91, 226804 (2003). [5] Oosterkamp, T. H. et al. Microwave spectroscopy of a quantum-dot molecule. Nature 395, 873 (1998). [6] Petta, J. R., Johnson, A. C., Marcus, C. M., Hanson, M. P. & Gossard, A. C. Manipulation of a single charge in a double quantum dot. Phys. Rev. Lett. 93, 186802 (2004). [7] Petta, J. R., Johnson, A. C., Taylor, J. M., Laird, E. A., Yacoby, A., Lukin, M. D., Marcus, C. M., Hanson, M. P. & Gossard, A. C. Coherent manipulation of coupled electron spins in semiconductor quantum dots. Science 309, 21802184 (2005). [8] Nowack, K. C., Shafiei, M., Laforest, M., Prawiroatmodjo, G. E. D. K., Schreiber, L. R., Reichl, C. and Wegscheider, W., Vandersypen, L. M. K. Single-Shot Correlations and Two-Qubit Gate of Solid-State Spins. Science 333, 1269-1272 (2011). [9] Fowler, A. G., Stephens, A. M. & Groszkowski, P. High-threshold universal quantum computation on the surface code. Phys. Rev. A 80, 052312 (2009). [10] Stephens, A. M. & Evans, Z. W. E. Accuracy threshold for concatenated error detection in one dimen- sion. Phys. Rev. A 80, 022313 (2009). [11] Kim, K. et al. Quantum simulation of frustrated Ising spins with trapped ions. Nature 465, 590593 (2010). [12] Recher, P., Loss, D., Levy, J. Macroscopic Quantum Coherence and Quantum Computing, Ch.Spintronics and Quantum Computing with Quantum Dots. (Kluwer Academic, New York, 2001). [13] Mattis, D. C. The theory of magnetism made simple. (World Scientific, Singapore, 2006). [14] Schmidt-Kaler, F. et al. Realization of the Cirac-Zoller controlled-NOT quantum gate. Nature 422, 408-411 (2003). 13 [15] Majer, J. et al. Coupling superconducting qubits via a cavity bus. Nature 449, 443-447 (2007). [16] Sillanpaa, M. A., Park, J. I. & Simmonds, R. W. Coherent quantum state storage and transfer between two phase qubits via a resonant cavity. Nature 449, 438-442 (2007). [17] Imamoglu, A. et al. Quantum information processing using quantum dot spins and cavity QED. Phys. Rev. Lett. 83, 4204 (1999). [18] Taylor, J. M. & Lukin, M. D. Cavity quantum electrodynamics with semiconductor double-dot molecules on a chip. cond-mat/0605144v1 (2006). [19] Burkard, G. and Imamoglu, A. et al. Ultra-long-distance interaction between spin qubits. Phys. Rev. B 74, 041307(R) (2006). [20] Trif, M., Golovach, V. N. & Loss, D. Spin dynamics in InAs nanowire quantum dots coupled to a transmission line. Phys. Rev. B 77, 045434 (2008). [21] Frey, T. et al. Dipole coupling of a double quantum dot to a microwave resonator. Phys. Rev. Lett. 108, 046807 (2012). [22] Petersson, K. D. et al. Circuit quantum electrodynamics with a spin qubit. Nature 490, 380383 (2012). [23] Hermelin, S. et al. Electrons surfing on a sound wave as a platform for quantum optics with flying electrons. Nature 477, 435438 (2011). [24] Mcneil, R. P. G. et al. On-demand single-electron transfer between distant quantum dots. Nature 477, 439442 (2011). [25] Trauzettel, B., Bulaev, D. V., Loss, D. & Burkard, G. Spin qubits in graphene quantum dots. Nature Phys. 3, 192-196 (2007). [26] Ihn, T. Semiconductor Nanostructures: Quantum states and Electronic Transport. (Oxford University Press, USA, 2010). [27] Reilly, D. J., Marcus, C. M., Hanson, M. P. & Gossard, A. C. Fast single-charge sensing with a rf quantum point contact. Appl. Phys. Lett. 91, 162101 (2007). [28] Gustavsson, S. et al. Electron counting in quantum dots. Surf. Sci. Rep. 64, 191 (2009). [29] Van der Wiel, W. G. et al. Electron transport through double quantum dots. Rev. Mod. Phys. 75, 1 (2003). [30] Shevchenko, S. N., Ashhab, S. & Nori, F. LandauZenerStuckelberg interferometry. Phys. Rep. 492, 130 (2010). [31] Child, M. S. Molecular Collision Theory. (Dover Publications, New York, 1974). [32] Nikitin, E. E. & Umanski, S. Y. Theory of Slow Atomic Collisions. (Springer, Heidelberg, 1984). 14 [33] Oliver, W. D. et al. Mach-Zehnder Interferometry in a Strongly Driven Superconducting Qubit. Science 310, 1653-1657 (2005). [34] Petta, J. R., Lu, H. and Gossard, A. C. A Coherent Beam Splitter for Electronic Spin States. Science 327, 669-672 (2010). [35] Stano, P. and Loss, D. Private communication. [36] Pioro-Ladri`ere, M. et al. Origin of switching noise in GaAs/AlxGa1-xAs lateral gated devices. Phys. Rev. B 72, 115331 (2005). 15 Supplementary Information for Long-range coherent coupling in a quantum dot array I. ADDITIONAL CHARGE STABILITY DIAGRAMS Figure S1: a, Numerical derivative (along horizontal axis) of the conductance of the SQD as a function of VLP and VRP , measured in the opaque barrier regime of Fig.1c. b, Larger range measurement, displaying the numerical derivative (along horizontal axis) of the SQD conductance as a function of VLP and VRP , this time in the more open barrier regime of Figs.1b and 4b. The real-time traces of Figure 1c and Figure 3a were taken in a different configuration of gate voltages than where the charge stability diagrams of Figure 1b and the PACT data of Figure 4b and Figure 5b were taken. Figure S1.a shows a charge stability diagram in this first regime, which was tuned such that all barriers (between dots and between dots and reservoirs) were quite opaque. Note that charging lines of the middle dot are not very visible, since it is charged at a very low rate due to the presence of multiple high barriers between this dot and the reservoirs. Figure S1.b shows a charge stability diagram in the more open barrier regime of Fig.4b, this time for a larger range of gate voltages than shown in the main text. 16 VRP (mV)VLP (mV)-50050100150-50050100150dISQDdV(a.u.)VLP (mV)VRP (mV)270295320345370220245270295320dISQDdV(a.u.)ab(0,0,0)(1,0,0)(2,0,0)(2,1,0)(1,1,0)(1,1,1)(1,1,2)(1,2,2)(2,1,1)(3,1,1)(3,1,0)(3,2,1)(3,2,1)(2,2,2)(0,1,1)(0,0,1)(0,1,0)(0,1,2) II. REAL-TIME TRACES FOR DIFFERENT DETUNINGS BETWEEN OUTER DOTS Figure S1: Real-time traces of the SQD signal for different detunings between (1, 1, 0) and (0, 1, 1), taken for δ1 ∼300µeV. From top to bottom the detunings are: 49µeV, 12µeV, -24µeV and -61µeV. Supporting evidence that the tunneling events shown in Fig.1c are between the outer dots is given by the traces of Figure S2. The different traces are taken for different values of the detuning ε between (1, 1, 0) and (0, 1, 1). From top to bottom, the detuning is increased from negative to positive values. In the upper trace, mainly (0, 1, 1) is occupied. In the center traces, charges go back and forth most rapidly between the outer dots and in the lower trace, mostly (1, 1, 0) is occupied. 17 Time (ms)ISQD (a.u.)01020304050 III. COTUNNELING: EFFECTIVE TUNNEL COUPLING The Hamiltonian describing the experiments can be expressed in the basis ψ(0) 1 (cid:105) = 110(cid:105),ψ(0) 2 (cid:105) = 011(cid:105),ψ(0) 3 (cid:105) = 020(cid:105),ψ(0) 4 (cid:105) = 101(cid:105) as: We perform a unitary transformation of this Hamiltonian, to express it in the eigenbasis of its first-order perturbation: H(cid:48) = U†HU , where, as ε << δ1,2: (4) (5) (8) (9)  H =  −ε/2 0 0 tl1 tl2 ε/2 tr1 tr2 tl1 tl2 tr1 δ1 0 tr2 0 δ2  U =  1 0 0 1 −tl1/δ1 −tr1/δ1 −tl2/δ2 −tr2/δ2 tl1/δ1 tl2/δ2 tr1/δ1 tr2/δ2 1 0 0 1 In this new basis, it is sufficient to only consider processes between the two first states: ψ(1) 1 (cid:105) = 110(cid:105) − tl1 δ1 ψ(1) 2 (cid:105) = 011(cid:105) − tr1 δ1 020(cid:105) − tl2 δ2 020(cid:105) − tr2 δ2 101(cid:105) 101(cid:105) (6) (7) Processes involving mixing between ψ(1) 1 or ψ(1) 2 and the other states are of third order in ti/δj and can therefore be neglected. We can then reduce the Hamiltonian to: − ε H = 2 − t2 − t2 l1 l2 δ1 δ2 + tl2tr2 δ2 tl1tr1 δ1 tl1tr1 + tl2tr2 δ2 − t2 r2 δ2 δ1 ε 2 − t2 r1 δ1  = −ε(cid:48)/2 tco  tco ε(cid:48)/2 This Hamiltonian then simply expresses an effective tunnel coupling tco = tl1tr1 δ1 + tl2tr2 δ2 between states whose detuning has been renormalized. In the presence of microwaves, we can write the detuning as ε → ε0 + Aexp(iωt), and the Hamiltonian becomes: −ε(cid:48) H = 0/2 − Aexp(iωt) tco tco ε(cid:48) 0/2 + Aexp(iωt)  which is exactly the Landau-Zener-Stuckelberg Hamiltonian, so all the physics of the LZS- interference can be directly applied to describe photon-assisted cotunneling in the triple dot array. 18 IV. LOWER BOUND ESTIMATION FOR CHARGE T2 The width w of the PACT resonances can be used to establish a lower bound on the charge dephasing time T2, according to the relation [30]: w2 = t2 coT1 T2 + 1 T 2 2 > 1 T 2 2 (10) With the measured value w = 4.47 GHz, we find a lower boundary for T2 of 224 ps. From PAT measurements between the left and middle and right and middle dots we extract lower bounds for T2 of 394 ps and 338 ps respectively. V. CALCULATION OF THE REAL-TIME TRANSITION RATE The relatively slow charge transition process in Figure 3 can be understood by means of a density matrix description. The evolution of the system is described by the quantum Liouville equation, dρ dt = −i/[ρ, H], where H is the Hamiltonian and ρ the density matrix. Given an effective tunnel coupling tco between two resonant states, the Hamiltonian is:  0 tco tco 0  H = Adding phenomenologically decoherence occuring on a timescale T2, we get the system of equations: d dt d dt d dt d dt ρ11 = −itco itco ρ22 = + ρ12 = −ρ12 T2 ρ21 = −ρ21 T2  (ρ21 − ρ12)  (ρ21 − ρ12) − itco itco  (ρ22 − ρ11)  (ρ22 − ρ11) + We do not include relaxation channels, since processes inducing charge transitions other than the cotunneling are much slower than both cotunneling and decoherence. Introducing N = ρ22 − ρ11 and P = ρ21 − ρ12, the equations reduce to d dt d dt N = P = 2itco  P P T2 + 2itco  N 19 We are interested in the rate with which charge moves back and forth between the outer dots. In our system, the initial conditions describe a pure state, where the electron is in the left dot: N (0) = −1, P (0) = 0. The rate at which charge tunnels to the right dot is dρ22/dt = 1 2dN/dt, where 2(cid:112)1 − 16t2 t2 coT2 dN dt = e−t/2T sinh 2 /2 coT 2 (cid:32)(cid:112)1 − 16t2 2 /2 coT 2 2T2 (cid:33) For a coherent process (tco >> 1/T2) we obtain that a charge oscillates between the two dots at a rate linearly dependent on the coupling tco: (cid:18) (cid:19) dρ22 dt = tcoe−t/2T2 sin 2 tcot  In the time traces of Fig. 1c and 3a, the barriers are tuned so that tunneling is extremely slow (tco << 1/T2), and the transition rate becomes dρ22 dt = 2t2 coT2(1 − e−t/T2) As the timescale of the measurement is much longer than the decoherence time, the charge transition rate is therefore given by: 1 τ = 2t2 coT2 In the experiments described in the manuscript, two paths (110(cid:105) → 101(cid:105) → 011(cid:105) and 110(cid:105) → 020(cid:105) → 011(cid:105)) contribute to the coupling tco. For the slow, real time measurements of the tunneling rate, these two contributions add up incoherently and we have (cid:19) (cid:18) t4 1 δ2 1 + t4 2 δ2 2 1 τ = 2t2 coT2 = 2T2 where t1 = √ tl1tr1 (t2 = √ tl2tr2) describes the coupling through 101(cid:105) (020(cid:105)), and δ1 and δ2 are the respective detunings. VI. CALIBRATION OF THE DETUNING BETWEEN MIDDLE AND OUTER DOT LEVELS IN FIG. 3B We wish to determine the detunings δ1 and δ2 between the intermediate and initial/final electrochemical potentials for any set of gate voltages. To do so, we first convert gate 20 Figure S3: a, Numerical derivative (along horizontal axis) of the SQD lock-in signal (as in Fig.4b) as a function of VLP and VRP , while microwaves of frequency 15 GHz are applied. The visible lines are the PAT resonances between the left and middle dot near point L in Fig.3b. voltages to energies via a set of conversion factors αj and j for LQD, MQD or RQD (left, middle and right quantum dot). Here αj i , where i stands for LP, MP or RP i expresses by how much a change in the voltage applied to gate i shifts the electrochemical poten- tial of dot j. The values of the αj i 's can be established using PAT measurements, using the known energy of the microwaves as a reference. Figure S3.a shows a measured charge stability diagram near the (1, 0, 0)-(0, 1, 0) transition, with microwaves applied. There are multiple PAT sidebands visible. The distance between subsequent PAT resonances is set by the energy of the microwaves, hν. The slopes of the charging lines of each dot re- late the relative influences of each gate on the electrochemical potentials on each dot: SLQD = ∆VRP L/∆VLP L = −αLQD /αM QD Along the charging line of the left dot, the electrochemical potential of the involved state and SM QD = ∆VRP M /∆VLP M = −αM QD LP /αLQD RP RP LP . on that dot does not change: dµL(1, 0, 0) = 0. The distance between subsequent PAT reso- nances along that charging line then is related to a shift in electrochemical potential of the state of the other dot: dµM (0, 1, 0) = −αM QD lating αj RP dVRP L. Using the expressions re- . Similar methods apply for i 's to slopes, we can derive: αLQD dVLP L−αM QD LP = − hν SLQD LP SLQD−SM QD ∆VLP M the determination of the other conversion factors. These conversion factors are used for de- termining the detuning axis of Fig.3b. The point in gate space where µL(1, 1, 0) = µM (0, 2, 0) serves as a reference point, for which we define δ1 to be zero. When gate voltage i is changed, the new value of δ1 is given by the gate voltage change multiplied by αL . A similar i − αM i reasoning applies to δ2. 21 1921962002042085458626670VLP (mV)VRP (mV)∆VRPM∆VLPL∆VRPL∆VLPMdISQDdV(a.u.)lock-in VII. FREQUENCY DEPENDENCE OF PAT AND PACT Figure S4: Numerical derivative (along horizontal axis) of the SQD lock-in signal (as in Fig.4b) as a function of frequency versus the detuning between: a (1, 0, 0) and (0, 1, 0), b (0, 0, 1) and (0, 1, 0), and c (1, 1, 0) and (0, 1, 1). From the frequency dependence of PAT between LQD and MQD (Figure S4.a), and between RQD and MQD (Figure S4.b), values for the tunnel couplings between these pairs of dots can be established, as in Oosterkamp et al [5]. We find a tunnel coupling strength of 12.3µeV between LQD and MQD and of 8.1µeV between RQD and MQD, by fitting using ∆E = 2(cid:112)(hν)2 − (2tc)2). As expected, for high driving frequencies, the frequency of the PACT resonances (Figure S4.c) shows a linear dependence on detuning, for each of the visible multiphoton resonances. 22 2018161412108642Detuning (µeV)Frequency (GHz)2018161412108642Frequency (GHz)Frequency (GHz)14121086420200400-400-2000100200-200-100Detuning (µeV)0100200-200-100Detuning (µeV)abcdISQDdV(a.u.)lock-in
1712.04655
2
1712
2018-04-11T14:05:47
Quantum model of gain in phonon-polariton lasers
[ "cond-mat.mes-hall" ]
We develop a quantum model for the calculation of the gain of phonon-polariton intersubband lasers. The polaritonic gain arizes from the interaction between the electrons confined in a quantum well structure and the phonon confined in one layer of the material. Our theoretical approach is based on expressing the crucial matter excitations (intersubband electrons and phonons) in terms of polarisation densities in second quantisation, and treating all non-resonant polarisations with an effective dielectric function. The interaction between the electronic and phononic polarizations is treated perturbatively, and gives rise to stimulated emission of polartions in the case of inverted subbands. Our model provides a complete physical insight of the system and allows to determine the phonon and photon fraction of the laser gain. Moreover, it can be applied and extended to any type of designs and material systems, offering a wide set of possibilities for the optimization of future phonon-polariton lasers.
cond-mat.mes-hall
cond-mat
Quantum model of gain in phonon-polariton lasers M. Francki´e,∗ C. Ndebeka-Bandou, K. Ohtani, and J. Faist Institute for Quantum Electronics, ETH Zurich, Auguste-Piccard-Hof 1, 8093 Zurich, Switzerland We develop a quantum model for the calculation of the gain of phonon-polariton intersubband lasers. The polaritonic gain arises from the interaction between electrons confined in a quantum well structure and phonons confined in one layer of the material. Our theoretical approach is based on expressing the resonant matter excitations (intersubband electrons and phonons) in terms of polarization densities in second quantization, and treating all non-resonant polarizations with an effective dielectric function. The interaction between the electronic and phononic polarizations is treated perturbatively, and gives rise to stimulated emission of polartions in the case of inverted subbands. Our model provides a complete physical insight of the system and allows to determine the phonon and photon fraction of the laser gain. Moreover, it can be applied and extended to any type of designs and material systems, offering a wide set of possibilities for the optimization of future phonon-polariton lasers. I. INTRODUCTION A polariton1 is a composite excitation arising from the coupling of light with a material excitation. As such, po- laritons are exhibiting properties that are inherited from their two original constituents and can be tailored over a large range through the strength of the light-matter coupling. Polaritons can be seen as forced to interact through their matter part, while the photons will carry the imprint of the coherence properties, enabling their measurement in the far-field using photodetectors. For well-chosen experimental parameters, the polaritons ex- hibit features of a quantum fluid whose properties have attracted a lot of attention recently.2 While much attention has been given to exciton- polaritons and their properties in the visible,1,3–6 the study of polaritons in the mid-infrared portion of the spectrum has also some unique features.7–9 The coupling between an intersubband electronic system and longitu- dinal optical (LO) phonons was described recently as an intersubband polaron,10 and the coupling between an intersubband system and light, called an intersub- band (or cavity) polariton,11,12 was theoretically investi- gated in the Power-Zienau-Woolley (PZW) gauge13,14 by Todorov et al. in Ref. 15. In addition, the light can res- onantly couple to transverse optical (TO) phonons form- ing phonon-polaritons,16 which have mostly been stud- ied at the surface of polarizable materials,17,18 and re- cently also in the bulk using classical theory.19–21 The strong coupling properties have also been observed ex- perimentally and described using a dielectric function approach.22 As shown schematically in Fig. 1, when the cavity and the intersubband transitions are chosen to be en- ergetically resonant with a mechanical resonance of the semiconductor lattice, a unique tripartite coupling can be achieved. For large electron concentrations and in thermal equilibrium, the resulting polaritonic dispersion arises due to the coupling of light to both excitations. An interesting feature of the intersubband system is that it can be electrically excited, providing optical gain. Solid ISB transitions Phonon-polariton TO phonons D Op m k FIG. 1. (Color online) Scheme of the three interactions in- volved in the lasing process of a phonon-polariton laser. The red arrow symbolizes the strong coupling between the cavity modes and the TO phonon modes that creates the phonon- polariton. The green arrow symbolizes the weak interaction between the phonon-polariton and the ISB transitions that generates the laser gain. state phonon lasers were proposed23 and analysed us- ing either a pure phononic gain24 or using an electronic Raman approach.25 In contrast, we present a fully quan- tized model that treat both the photons and phonons, as well as the inter-subband system on an equal footing, in the PZW gauge. This allows us to account for the spatial variation of the material optical response, as the phonon polarization is spatially confined. We thus fully account for the tripartite coupling, albeit using a basis of phonon-polaritons, since the photon-phonon coupling is the stronger one. In this basis, the rate of stimulated emission of phonon-polaritons from inter-subband exci- tations, is derived in first order perturbation theory. We also provide computational examples for a resonant tun- nelling diode (RTD) and a quantum cascade laser (QCL), where the phonon-polaritons are confined to potential barriers in the conduction band profile. However, the theory can easily be expanded to account for arbitrary 2D heterostructures, as well as other material excitations provided their quantized polarization. This paper is organized as follows: In section II we derive the classical Hamiltonian for oscillating polariza- tion densities in the presence of a time-dependent electro- magnetic field as the starting point of our quantum for- mulation. Then, we quantize this Hamiltonian in sec- tion III by introducing the polarization density opera- tors for the intersubband system (Sec. IV) and the rel- evant phonon excitations (Sec. V), in second quantiza- tion. In Sec. VI, we derive the interaction Hamiltonian for the phonon and photon fields, which is then diagonal- ized to give the phonon-polariton creation-annihilation operators and dispersion relation. Finally, in Sec. VII we describe the polariton-ISB interaction responsible for the polartion gain and provide computational examples of the model in Sec. VIII. II. CLASSICAL FORMULATION The starting point for our model is the Lagrangian density26 L = ε0 2 (cid:16) A + ∇φ(cid:17)2 +Xi (cid:18) 1 P2 i − 2χi 1 2µ0 P2 − ω2 i 2χi (∇ × A)2 i − Pi( A + ∇φ)(cid:19) (1) for a polarization Pi in vacuum, represented by a sum of harmonic oscillators with eigenfrequency ωi and "mass" χi, under the application of an electro-magnetic field with vector and scalar potentials A and φ . The last term accounts for the electric potential energy stored in the "springs" of the oscillators. This leads to the Hamilto- nian i + ω2 where D = −ε0( A +∇φ) +Pi Pi is the electric displace- ment field satisfying ∇ · D = 0. Here, the frequencies (ω′i)2 = ω2 P,i of the oscillators are shifted by the plasma frequency ω2 with respect to the bare me- chanical frequency of Eq. (1). We shall consider only a few polarization terms, namely those coming from TO phonons and the electrons confined in the conduction band. We thus treat all non-resonant oscillators with an effective dielectric constant defined by P,i = χi ε0 D = ε0E +Xi∈b. Pi + Xi∈e,L Pi ≡ εrε0E + Xi∈e,L where the first sum is over the background (b), and the second over the ISB (e) and the resonant lattice (L) po- D2 + 1 2µ0 (∇ × A)2 + P2 i + 1 2χi P2 i − 2ε0 H =Z d3rh 1  (cid:18) ω2  +Xi i 2χi 1 2ε0(cid:19) } + {z ω′ i/2χi 1 PiPj 2Xi6=j D · Pi  1 ε0 (2) i, 2 larizations. Assuming Pi∈b oscillate at the cavity fre- quency ω, εr = 1 +Xi∈b ε0(ω2 χi i − ω2) . (4) Considering only the background, the Hamiltonian reads Hb =Z d3r(cid:18) 1 =Z d3r(cid:18) 2 E · D + 1 2 B · H(cid:19) + Hmat 1 D2 + 2ε0εr(z) µ0 2 H2(cid:19) + Hmat, (5) (6) where ∇× A = B = µ0H and we assumed in Eq. (1) that there are no magnetic moments in the system. Hmat con- tains all terms of Eq. (2) which contain the background matter polarizations Pi only. Physically, this term con- tains the energy contribution of all the crystal ions, and will not affect the following theory where the we treat the conduction band electrons in the envelope function approximation. We will thus suppress this term from Pi, not µ0 2 2ε0εr D2 + included in εr, we find now on. Adding the special polarizationsPi∈e,L H =Z d3r(cid:18) 1 ε0εr Z d3r +Z d3r Xi∈e,L H2(cid:19) + 2 X(ij)∈e,L D · Pi + i(cid:17) . − Xi∈e,L 2χi (cid:16)ω2 i + P2 P2 + 1 1 1 i PiPj  (7) This Hamiltonian resembles the one of Ref. 15. We will use Eq. (7) and diagonalize the terms in the quantized Hamiltonian containing PL only in Sec. VI. We also note that in a heterostructure, εr = εr(z, ω) will acquire a z- dependence which in principle has to be considered when performing the volume integral. The background dielectric function εr results from both inter-atomic polarizations and bound electrons. In addition, electrons in quantum states spatially separated from the resonant phonon polarization may give a small contribution. We will assume that εr is close to the bulk values of the constituent materials, why we will later set εr = ε∞ of the bulk well material. III. QUANTUM FORMULATION In order to quantize the system, we write down the quantized version of the Hamiltonian (7) as H = Hrad + HL + He + Hint, (8) Pi (3) where the radiation in the cavity is written in second quantization as Hrad =Xq ωcav,q(cid:18)a†qaq + 1 2(cid:19) . (9) For the cavity modes, we use the quantized displacement field of the TM mode in the PZW gauge (see e. g. Ref. 27) IV. ELECTRON POLARIZATION 3 Dz(R) = iXq r εrε0ωopt,q 2SLcav eiq·rgq(z)(aq − a† −q), (10) where S and Lcav. is the surface area and length of the cavity, repsectively, and gq(z) is the mode profile normal- ized as Z ∞ −∞ g2 q (z)dz = Lcav.. (11) These are the only modes than can propagate in 2D het- erostructures. Still neglecting magnetic interactions, the light-matter interaction in Eq. (7) leads to an interaction Hamiltonian having the form Hint =Z d3r 1 ε0εr (cid:18)− D · Pmat + 1 2 P2 mat(cid:19) , (12) where the sum in Eq. (7) runs over the intersubband transitions and the lattice contributions Pmat = Pe+ PL, respectively. The formalism developed so far does not take into ac- count any dissipative couplings for the phonons, elec- trons, or photons. In the first part of this paper, we will completely neglect these coupling terms. Later, when we calculate the polariton gain, however, we need to include the phonon and photon decays via an effective decay rate into acoustic phonons and cavity losses, respectively. For the ISB system, dissipation due to optical and acoustic phonons, as well as elastic scattering with ion impurities, alloy disorder, and interface roughness, can be included in the transport calculations providing the self-consistent populations of the ISB levels undergoing stimulated po- lariton emission. Since we are interested in a situation where the elec- tronic system provides optical gain but will remain in the weak coupling with radiation, we split the Hamiltonian H = Hrad + HL +Z d3r 1 1 ε0εr (cid:18)− D · PL + {z PL · Pe(cid:19) ε0εr (cid:18)− D · Pe + } {z + He Diagonalize HP 1 2 Perturbation P2 e ε0εr 1 2 1 1 2 P2 L(cid:19) } (13) +Z d3r +Z d3r Neglect {z } into three parts. The first part HP contains the lattice- radiation coupling and will lead to our polaritonic ba- sis after diagonalization. Amplification or attenuation of these polaritons through their interaction with the inter- subband system will be computed using Fermi's golden rule applied to the second part of H. Finally, as we are dealing with a low electron population, we can safely ne- glect the intersubband polarization self-energy, which ac- counts for the depolarization shift. The electronic subband states n are defined by their energies En(k) = En + 2k2 2m∗ and their wavefunctions hr, zn, ki = 1√S eik·rχn(z), where S is the sample area, m∗ is the carrier effective mass, k and r = (x, y) are the in-plane wavevector and the in-plane coordinate respec- tively. Starting from the initial level i, ki (where the carriers are either residing or electrically injected), each possible ISB transition i, ki → n, k′i is labeled by the index j and occurs at a frequency ωj = ωi − ωn. The intersubband polarization is15 e ωj Pe(r) = 2Sm∗ Xj,q p∆Njξj(z) eiqrhb†j,−q + bj,qi , (14) where e = −e is the electron charge, ∆Nj = Ni − Nn is the population inversion, and is expressed as a function of the bright mode creation operators (assuming that the transitions are vertical in the k-space (k ≈ k′)) b†j,q = 1 p∆Nj Xk c†n,kci,k ≡ b†j. (15) Here, c†n,k and ci,k are the creation and anihilation op- erators for the one-electron ISB states n, ki. The mi- crocurrents for the transition between state are defined from the wavefunctions as ξj(z) = χi(z)∂zχn(z) − χn(z)∂zχi(z). (16) In this formalism, the electron Hamiltonian is expressed as He =Xj b†jbj ωj. (17) V. PHONON POLARIZATION The only lattice vibrations interacting with a light field are the transverse optical (TO) phonons, which are as- sumed to be dispersionless and have a mechanical fre- quency ωTO. We will assume that the vibrations are localized in layers in the x-y plane, with z-coordinate zi. The phonon polarization (see Appendix A) PL,z(R) = e 2SM Xq,i ξL,i(z) ωTO eiq·r(d†i,−q + di,q). (18) is similar to the electronic one, with M being the vi- brational mass and d†i,−q creating a TO phonon with in- plane momentum −q. The phonon micro current is (see the appendix) ξL,i =r 2 π 1 σ2 e−(z−zi)2/σ2 (19) and σ is related to the standard deviation from the equi- librium position zi. These phonons are represented glob- ally by the Hamiltonian HL =Xqi ωTOd†qidqi, (20) provided the phonon plasma frequency is ω2 P,i = e2R ξ2 L,i(z)dz 2SM 2ǫ0ǫrωTO . The operator d†i,q can be thought of as creating a phonon excitation confined in one monolayer, traveling with mo- mentum q inside this layer. The polarization operator (18) depends on the density of oscillators via the plasma frequency, which fixes the oscillator "mass" M . The in- dex i can either represents physical atomic layers, or spa- tially separated thin layers of the bulk material (so thin that the lattice ions oscillate in phase and the phonon mi- cro current can be represented by a gaussian function). VI. HAMILTONIAN OF THE PHONON-POLARITON The diagonalization of HP in thermal equilibrium, as- suming all the carriers are in the ground state, yields po- laritons that combine lattice and electronic excitations,1 and have been observed experimentally.22 In this section, we will diagonalize HP in two steps and find the polariton eigenstates. First, we will incorporate the phonon polar- ization self-energy L into the bare phonon Hamil- tonian HL, which will lead to an energy renormalization similar to the depolarization shift of the intersubband system. The following calculation will be significantly lightened by neglecting the terms of P2 L mixing ξP,i with different layer indices i, as motivated in Appendix A. Thus, we diagonalize 2ε0εr P2 1 d†i,qdi,q + 1 P2 H′L ≡ HL +Z d3r Xiq Θi(d†i,q + di,−q)(d†i,−q + di,q), L = ωTOXiq 2ε0ǫr where Θi = e2 8SM 2ε0ǫrω2 TO Z ξ2 L,i(z)dz, (22) (23) (here, we assumed that the background dielectric con- stant does not vary on the scale of the phonon layers), with the new operators ω′i − ωTO 2pω′iωTO The eigenvalue is (ω′i)2 = (ωTO)2 + 4ωTOΘi, and inter- preting this as the longitudinal optical (LO) phonon fre- quency, we deduce the plasma frequency ω2 P,i = 4ωTOΘi. 2pω′iωTO d†i,−q + ω′i + ωTO pi,q = di,q. (24) (21) with Expressing HP in second quantization format now leads to the expression 4 1 ωcav,q(cid:18)a†qaq + HP =Xq Λi,q(cid:0)a†q − a−q(cid:1)(cid:16)p†i,−q + pi,q(cid:17) + iXq,i 2(cid:19) +Xq,i ω′ip†i,qpi,q (25) Λi,q = where ωP,i 2 r ωopt,q ω′i fP,i, (26) fL,i = L,i(z)dz  R gq(z)ξL,i(z)dz  qLcav.R ξ2 2 . (27) The factor fL,i measures the filling of the cavity by the mechanical oscillators and is equal 1 for the bulk mate- rial. Proceeding with the second step of the diagonalization of HP , the Hamiltonian (25) can be exactly diagonalized through a Bogoliubov transformation and by the intro- duction of the polariton operator Πq = xqaq + yqa†−q + zqpq + tqp†−q. The two real solutions ωq,± of the eigen- value equation [Πq, HP] = ωqΠq are the frequencies of the two polaritonic branches and are readily obtained by ωq,± = 1 √2qω′2 + ω2 opt,q ± √∆ ∆ = ω′4 + 4ω2 c ω2 opt,q − 2ω′2ω2 opt,q + ω4 opt,q (28) (29) with ω2 c = fpω2 TO + ω2 TO + ω2 P. The two polaritonic branches have the asymptotes ωq→0,+ =pω2 P ≡ ω′ and ωq→∞,− = pω2 P (1 − fP ) ≡ ω′′. Additionally, the diago- nalization of (25) in the polaritonic basis allows to de- termine the mixing fractions of the phonon-polariton, namely its photonic (hl,q = xq2 − yq2) and phononic (hp,q = zq2−tq2) fractions. For instance, in the upper branch (ωq = ωq,+), we have the fractions h+ l,q = TO ω2 q,+ − ω2 q,+ − ω2 ω2 q,− , p,q = 1 − h+ h+ l,q. (30) In the lower branch, the mixing fractions are simply obtained by h−l,q = 1 − h+ l,q. While the limits when ωq,+ → ω′ and ωq,+ → ωTO correspond to mostly phonon states, in the vicinity of the anti-crossing the mixing fractions reach a value of 0.5, indicating a maxi- mum phonon-photon admixture. A suitable design of the active region enables to achieve a lasing emission at fre- quencies close to this maximum adxmiture point, where a non-vanishing phononic gain is therefore expected. If the filling factor fL is small (as e. g. for a thin-layer structure such at those in Figs. 2 and 4) the equivalent Rabi frequency at resonance ΛR = ωP√fL/2 becomes small compared to the bare cavity and TO phonon fre- quencies. In this regime of weakly coupled oscillators (ΛR/ωTO ≪ 1), the mixing fractions can be approxi- mated by hl ≈ xq2 and hp ≈ zq2 as well as the polari- ton operator Πq ≈ xqaq + zqpq = Πl,q + Πp,q.12 VII. POLARITON-ISB INTERACTION The second step of our approach consists of describ- ing the interaction between the phonon-polariton and the ISB transitions. We express the full quantum Hamilto- nian that describes the phonon-polariton-ISB system as follows ωjb†jbj Hp−ISB =Xq ωq,pΠ†qΠq +Xj Ωj,q(cid:0)a−q − a†q(cid:1)(cid:16)b†j + bj(cid:17) + iXq,j −q(cid:17)(cid:16)b†j + bj(cid:17) . Ξj,q(cid:16)pq + p† +Xq,j (31) The first term in Eq. (31) is the polaritonic part of the Hamiltonian with the approximate polariton operator Πq 5 already defined above, in either the upper or lower po- lariton branch. The second term contains the ISB part. Similarly to Eq. (26), the two last terms in (31) are the interaction components with respective coupling frequen- cies , (32) (33) Ωj,q = Ξj,q = ωj ωPj R fq(z)ξj(z)dz 2 r ωopt,q qLperR ξ2 R ξL(z)ξj(z)dz qR ξ2 4pω′ωj j (z)dzR ξ2 ωPj ωP j (z)dz L(z)dz where ωPj is the ISB plasma frequency proportional to the injected carrier density15. Since the phonon-polariton mode and the ISB tran- sitions are in the weak coupling regime, Fermi's golden rule can be applied to compute the emission rate, i.e., the gain cross section of the phonon-polariton-ISB sys- tem. In a cavity containing Nq phonon-polaritons, we consider all the transitions ul, Nqi → n, Nq + 1i with an electron initally in the upper laser state (ul) and fi- nally in a lower energy level n, that lead to the emission of a phonon-polariton and we calculate the total emis- sion rate. By Fermi's golden rule, then the emission rate becomes g(ωq) = 2π  Xj (cid:12)(cid:12)(cid:12)hn, Nq + 1hiΩj,q(cid:0)a−q − a†q(cid:1)(cid:16)b†j − bj(cid:17) + Ξj,q(cid:16)pq + p† −q(cid:17)(cid:16)b†j − bj(cid:17)iul, Nqi(cid:12)(cid:12)(cid:12) 2 δ(ω − ωj). (34) Retaining only the terms in (34) that describe an emis- sion process (the ones that are proportional to a†qbj and p†qbj), we find the expression of the total gain cross sec- tion 2 δ(ωj − ωq) 2 δ(ωj − ωq) g(ωq) = 2π(Nq + 1)Pj(cid:12)(cid:12)z∗qΞj,q − ix∗qΩj,q(cid:12)(cid:12) = 2π(Nq + 1)Pj(cid:12)(cid:12)zqΞj,q + xqΩj,q(cid:12)(cid:12) as shown in Appendix B. Here special care needs to be taken to the phase between xq and zq, since this is evi- dently crucial to the role of the mixed terms in Eq. (35). If we write xq = xqeiϕ, then zq = zqei(ϕ+π/2) leading to the second line of Eq. (35). Thus, the mixed terms contribute constructively to the emission rate, if the cou- plings Ωj,q and Ξj,q have the same sign. The δ function can be replaced by a Lorentzian function of characteristic width γ: δ(ωj − ωq) → Along the same lines, the optical losses of the device can be estimated from the respective photon (τcav) and phonon (τp) lifetimes in the cavity. Accounting again for the mixed nature of the polariton, the loss rate is written as (ωj−ωq )2+γ 2 . γ/π α(ωq) = hl(q) τcav + hp(q) τp . (35) where, from experimental studies values of phonon life- times, τp of 3.5 ps at 300 K and 7.8 ps at 77 K were determined28 and τcav can be readily estimated from the cavity losses. In the following section we will employ the developed theory to compute the phonon-polariton dispersion and gain in experimentally realizable 2D systems. VIII. COMPUTATIONAL EXAMPLES A. InGaAs-based resonant tunnelling diode As a first example, we consider a resonant tunnelling diode (RTD) structure. The benefit of such a structure for emission in the THz region, is that it can be heav- ily doped and thus have a large inversion, in addition to easily tuneable emission frequency by changing the layer widths. In addition, the simple layer structure provides an excellent starting point for a theoretical analysis of polariton gain in heterostructure. However, such devices typically have population inversion in regions of negative differential conductance (NDC), and can thus not oper- ate in a serial configuration. In addition, in the structure 0.7 0.6 0.5 0.4 0.3 0.2 0.1 ) V e ( y g r e n E InAlAs AlAs phonons Inverted subbands 49 48 47 46 45 44 43 ) V e m ( y g r e n E a) UP 10 15 20 30 25 Position (nm) 35 40 45 50 0.70 LP b) 0.75 0.80 Wavevector (x106 m-1) photon phonon mixed hl hp 6 t n e i c i f f e o c d l e i f p o H P U e t a r s s o l / n i a G 1 0.9 0.8 0.7 0.6 0.5 0.4 0.3 0.2 0.1 0 2.5 2 1.5 1 0.5 0 10 -1 10 -2 10 -3 10 -4 10 n o i t c a r f e t a r n i a G FIG. 2. (Color online) Transport scheme of a phonon- polariton resonant tunnelling diode (RTD). The red oscilla- tors represent the confining barrier of the TO phonon modes which at the same time serves as the tunnelling barrier. The electronic wavefunctions of the upper and the lower las- ing states are plotted thick yellow and green lines, respec- tively, and overlap the TO phonon modes such that an addi- tional gain arising from the TO phonon-ISB transition cou- pling is expected. Under the lasing bias, the energy spac- ing between the two lasing states is resonant with the TO phonon energy. The layer sequence of the structure in A is 250/100/12 /120/12/50/5000, where bold face denotes Al- GaSb barriers, italic face denotes the AlInAs barrier, and the underlined layers are doped to 2 · 1016 cm−3. shown in Fig. 2, a four mono-layer thick InAlAs barrier serves as both the injection barrier of the RTD, giving rise to inversion between the level indicated by a thick yellow line and the two semi-bound states of the subse- quent quantum well, as well as the confining layer for the AlAs phonons. In the following computations, we treat the four mono-layers as one effective layer with σ = 0.48 nm and ωP = 17 meV. The computed optical loss for this structure is ∼ 410 cm−1with a Au/Au double-metal waveguide. In comparison, we calculate a maximum opti- cal gain of ∼ 800 cm−1, using a non-equilibrium Green's function model.29 From Eq. (28), we compute the phonon-polariton dis- persion which is shown in Fig. 3 a). Due to the small filling factor fL = 1.67 · 10−2, this structure exhibits a much smaller polaritonic gap than the one of bulk AlAs. Fig. 3 b) shows the contributions to the gain rate of Eq. (35) from the photon, phonon, and mixed parts, as functions of the energy in the upper polariton branch. For low energies, close to the polariton gap, the phonon fraction is maximal and decreases rapidly with increasing ωq. Reversely, the photonic gain vanishes when ω → ω′, but dominates at high frequencies as the photon fraction increases. Due to the small filling factor, the coupling ra- tio Ξq/Ωq ≪ 1 and the total gain is mostly dominated by the photonic gain. However, a maximum non-photonic 46 47 48 49 50 51 UP Energy (meV) 0 52 q FIG. 3. (Color online) a) Calculated dispersion of light ω ± for the phonon-polariton RTD in Fig. 2. The polaritonic phonon (hp) and photon (hl) mixing fractions of as func- tions of the energy in the upper branch, are also shown with thin lines. The dashed line shows the bare cavity mode with ω = ck . b) Gain fraction of the different gain components √ǫr arising from the photon (green), phonon (blue) and mixed terms (orange) in (35). The right axis shows the ratio of the gain to the losses in the UP branch. gain of 20% is already achieved at the frequency where gain overcomes the losses, despite a phonon extension of only a few monolayers. Figure 3 b) also shows the ratio g/α as a function of the energy in the UP branch. For the bias considered here, the bare optical gain is peaked at a frequency of ω = 48.05 meV. The loss rate being energy-dependent through the mixing fractions, dividing the gain by the losses shifts its maximum by 0.1 meV, which corresponds to the lasing energy of the device. While the phonon gain fraction at this energy is only 1.2 · 10−4, the non-photonic contribution to the gain is still 2% of the total gain. In addition, this structure has relatively low optical losses, and the contribution of the phonon part of the polariton is expected to be more im- portant for structures where the optical losses are higher. InAlAs Inverted subbands ) V e m ( y g r e n E 600 500 400 300 200 100 0 -100 -200 ul ll 0 10 20 30 Position (nm) 40 50 60 70 FIG. 4. (Color online) Band structure and eigenstates of the proposed phonon-polariton QCL for an applied elec- tric field of 18 kV/cm. The marked AlInAs barrier hosts the polaritons and overlaps the gain transition, from the upper laser state (ul) to the lower laser state (ll). The electrons are depopulated from the ll into the ul of the next period via cascading down the potential wells through coherent tunnelling, as well as incoherent transport. The layer sequence in A is, starting from the rightmost barrier, 48/54/3 /86/7.5/82/7.5/81/8.5/71/11.2/61/16/64/30/72, where bold face denotes GaAsSb barriers, italic font denotes the InAlAs barrier, and the underlined well is doped to 4.1 · 1017 cm−3. ) V e m ( y g r e n E n o i t c a r f e t a r n i a G a) 49 48 47 46 45 44 43 UP LP hl hp 0.70 0.75 0.80 Wavevector (x106 m-1 ) b) photon phonon mixed 0 10 -1 10 -2 10 -3 10 -4 10 -5 10 -6 10 7 t n e i c i f f e o c d l e i f p o H P U e t a r s s o l / n i a G 1 0.9 0.8 0.7 0.6 0.5 0.4 0.3 0.2 0.1 0 1.8 1.6 1.4 1.2 1 0.8 0.6 0.4 0.2 0 B. InGaAs-based quantum cascade laser 50 55 UP Energy (meV) 60 65 70 Our second example is a quantum cascade laser30 (QCL) where the TO phonons are provided by a bar- rier close to the inverted ISB transition. In contrast to RTDs, QCLs are reliable sources of coherent radiation in the THz frequency region, with a well proven growth and fabrication technique. In addition, operating at a bias of positive differential resistance, one QCL period can be repeated hundreds of times in a several µm thick structure, potentially allowing significantly more optical power to be extracted than from a single period RTD structure. In the structure in Fig. 4, a monolayer-thick AlInAs barrier plays the role of the phonon barrier in a In- GaAs/GaAsSb active region31. This barrier is placed where the the inverted subbands ul and ll have significant overlap, thus emitting phonon-polaritons via stimulated emission. In this bound-to-continuum design, the carri- ers are extracted from ll in a cascade ending on the black state of lowest energy in Fig. 4, where it is subsequently injected in to the ul state of the next period of the QCL. For this structure, the electron transport is calculated in a density matrix approach.32 The calculated dispersion and mixing fractions are shown in Fig. 5 a). In this structure, fL = 4.3 · 10−3 is even smaller than for the RTD. However the design fre- quency is adjusted to be close to the maximum splitting FIG. 5. (Color online) a) Dispersion relation of the proposed phonon-polariton QCL, where the design frequency belongs to the upper branch, as well as phonon and phonon hop field coefficients (thin lines). The dashed line shows the bare cav- ity mode with ω = ck . b) Gain fraction of the different √ǫr gain components arising from the photonic part (green), the phononic part (blue) and the mixed terms (orange) in (35). The right axis shows the ratio of the gain to the losses of the UP polariton mode. between the branches, where the fraction of the phonon to photon Hopfield coefficitent is close to 50%. For this and slightly higher frequencies, the design has a phonon fraction of about 3· 10−4 of the total gain, while the total non-photonic gain accounts for ∼ 3%, as seen in Fig. 5 b). In this figure we also show the ratio of the calculated gain to the losses from Eq. (35), and we find the max- imum value at an energy slightly blue shifted from the design frequency. The second, lower, peak at 62 meV, arises due to emission to a lower electronic state which has less overlap with the upper laser level. Despite the fact that the gain of these devices remains mainly dominated by the standard dipole coupling, there is room for increasing the phononic contribution. An op- timized design with a suitable location and thickness of the phonon layer could lead to larger overlaps between the phonon and ISB microcurrents. The choice of a mate- rial with a larger polariton gap (with larger ωP ), such as ZnO/ZnMgO or GaN/AlN, could dramatically increase the phonon part of the gain. In particular for structures with large optical losses compared to optical gain, the phonon contribution to the polaritonic gain can then in- crease the stimulated emission rate and thereby the con- version efficiency of electrical power into power radiated in the electric field. where S is the sample area and d†α,ij the creation operator for a lattice vibration excitation. Due to the rotational symmetrty in the x-y plane, we write the total wave func- tion Ψ(R) as a product between the harmonic oscillator wave function ψα(z), and the in-plane (periodic) wave function χα,j(r). As in Ref. 15, the polarization is found via its relation to the current density operator Jz(R) = 1 i [ PL,z,H], (A2) 8 IX. CONCLUSION In conclusion, we have developed a quantum approach for the description of gain in phonon-polariton lasers. Compared to an effective dielectric model,33 this formal- ism is more appropriate for the description of confined modes in thin layers and has the advantage to provide a complete physical insight of the system, especially by directly giving the phonon and photon fractions of the lasing modes that are the key parameters for the gain computation. Our model can be applied to a wide va- riety of designs and material systems, offering a wide set of possibilities for the optimization of future phonon- polariton QCLs. As a demonstration of the flexibility of the model, we have proposed and simulated resonant tun- nelling diodes and quantum cascade lasers made from the conventional InGaAs/InAlAs/InGaSb material system, as well as the less explored ZnO material system. While the former two structures show a small non-photonic con- tribution to the gain of ∼ 10 %, this number can be in- creased by employing more phonon material to increase the filling factor, or using other material systems with larger phonon plasma frequency, such as ZnO/ZnMgO or GaN/AlN. ACKNOWLEDGMENTS This work is partly supported by the ERC project MU- SiC as well as by the NCCR QSIT. JF thank A. Vasanelli, S. De Liberato and J. B. Khurgin for very fruitful discus- sions. Appendix A: Phonon polarization in second quantization For modelling the lattice vibrations in thin layers at z = zi, we expand the collective lattice vibrations in the basis of vibrational harmonic oscillator modes Ψα,ij(R) = ψα,ij (z)χα,ij(r), where j labels the in-plane coordinate and α is the harmonic oscillator excitation: defined by Jz(R) = = ie ie ∂ ∂z ∂ ∂z Ψ†) Ψ(cid:19) 2M (cid:18) Ψ† Ψ − ( 2SM Xαβ Xii′,jj ′ ξii′ αβ (z)χ∗αjχβj ′ d†α,ijdβ,i′j ′ , (A3) where M is parametrising the inertia of the ions. Here, the phonon micro current is defined as ξii′ αβ(z) = φα,i(z) ∂ ∂z φ∗β,i′ (z) − φ∗β,i′ (z) ∂ ∂z φα,i(z). (A4) The only allowed transitions of the harmonic oscillators are those with α − β = 1, and we will consider only the lowest excitation with (α, β) ∈ {0, 1}. Then, the current density operator becomes Jz(R) = ie ξii 10(z) × 2SM Xi,jj ′ × (χ∗1,ijχ0,ij ′ d†1,ijd0,ij ′ − χ1,ij ′ χ∗0,ij d0,ijd†1,ij ′ ). (A5) Here, we neglected the mixing of different layers i 6= i′, which will give a very small contribution if the layers are separated by a few standard deviations σ. The terms in the bracket are periodic in the plane with period ax/y = 2π , since we are interested in solutions where qx/y the polarization is a travelling wave with momentum q, and the first term is Fourier expanded to (the second term is just the complex conjugate of the first one) Xjj ′ χ∗1,ijχ0,ij ′ d†1,ijd0,ij ′ ≡Xq Thus, the current density becomes d†i,qe−iqr. (A6) Jz(R) = ie 2SM Xi,q ξL(z)eiqr(d†i,−q − di,q), (A7) where ξL(z) ≡ ξii 10(z). Using the commutation relations [d†iq, H] = −ωTOd†iq and [diq, H] = +ωTOdiq together with Eq. (A2), we find the polarization density operator of Eq. (18). The similar form of the phonon polarization to the electronic one, prompts us to write the Hamiltonian for the TO phonon as Ψ† = 1 √S Xα,ij d†α,ij ψα,i,j(z)χα,i,j(r), (A1) HL =Xiq ωTOd†i,qdi,q. (A8) Inserting Eq. (18) in place of the classical polarization in the classical Hamiltonian (the last line of Eq. (7)) gives HL =Z d3r 1 = 1 2χL 2χL 2e2 SM 2Z ξ2 L(z)dzXiq (ω2 TO P2 L + P2 L) (A9) d†i,qdi,q (A10) (apart from the constant vacuum energy shift which is irrelevant here). This is equal to Eq. (A8) if we iden- tify the phonon plasma frequency ω2 P = χL/ǫ0ǫr as in Eq. (21). Appendix B: Phonon-polariton eigenstates In order to compute the polariton scattering rates, we need to express the polariton states in terms it's phonon and photon constituents. The polariton states can be cal- culated by repeated application of the polariton creation operator Π†q on the vacuum state 0i as Nqi = CNq (Π†q)Nq0i, (B1) with a normalization constant CNq , to be determined. From now on we suppress the index q for ease of nota- tion. Eq. (B1) can be rewritten by noting that (Π†)N = (x∗a† + z∗p†)N and using the binomial formula as Ni = CN = CN N Xk=0 Xk=0 N N ! N ! k!(N − k)! pk!(N − k)! (x∗)k(z∗)N−k(a†)k(p†)N−k0i (x∗)k(z∗)N−kk, N − ki(B2) where n, mi ≡ niphot ⊗ miphon span the Hilbert space of the phonon-polariton. Here, we used the approxima- tion of small coupling strength ΛR/ωTO ≪ 1. However, the resulting Ni will be the same also without this ap- proximation, since terms like a−qa†q0i = 0. The normal- ization constant is found by solving 9 N !N !xk′ CN2PN 1 = hNNi = √k!(N−k)!√k′!(N−k′)! (B3) hk′, N − k′k, N − ki. The bra-ket gives δkk′ , and again using the binomial for- mula, we find (x∗)kzN −k′ (z∗)N −k k,k′ N !N ! CN2Xk k!(N − k)!x2kz2N−2k = CN2N !(x2+z2)N . (B4) By definition, x2 + z2 = 1, and we readily find that CN = 1/√N !, and Ni = N Xk=0s N ! k!(N − k)! (x∗)k(z∗)N−kk, N − ki. (B5) We can easily check that the number operator gives the correct result by using [a, (a†)N ] = N (a†)N−1: Π†ΠNi = Π†Π(Π†)N CN0i = Π†N (Π†)N−1CN0i = NNi. (B6) Now lets calculate the emission rate Γem. For this, we need to compute terms with hN + 1a†Ni = (x∗)2k+1(z∗)2N−2k N Xk=0 ≡ x∗√N + 1 N k!(n − k)! Xk=0 C2 k,N pN !(N + 1)! (B7) where we defined Ni ≡ Pk Ck,Nk, N − ki. Similarly, we find that N hN + 1p†Ni = z∗√N + 1 Xk=0 The normalization of Ni means that PN and so C2 k,N . (B8) k=0 C2 k,N = 1 hN + 1a†Ni = hN + 1Π†lNi hN + 1p†Ni = hN + 1Π†pNi x∗ z∗ = x∗√N + 1 (B9) = z∗√N + 1 (B10) Eqs. (B9-B10) inserted in Eq. (34) give the emission rate in Eq. (35). ∗ Electronic mail: [email protected] 1 J. J. Hopfield, Phys. Rev. 112, 1555 (1958). 2 I. C. and Rev. Mod. Phys. 85, 299 (2013). Carusotto Ciuti, P. Jeambrun, J. M. J. Keeling, F. M. Marchetti, M. H. Szyma´nska, R. Andr´e, J. L. Staehli, V. Savona, P. B. Littlewood, B. Deveaud, and L. S. Dang, Nature 443, 409 (2006). 3 H. Deng, G. Weihs, C. Santori, J. Bloch, and Y. Ya- 5 H. Deng, H. Haug, and Y. Yamamoto, mamoto, Science 298, 199 (2002). Rev. Mod. Phys. 82, 1489 (2010). 4 J. Kasprzak, M. Richard, S. Kundermann, A. Baas, 6 P. Berini and I. De Leon, Nat. Photonics 6, 16 (2012). 7 R. Colombelli, C. Ciuti, Y. Chassagneux, and C. Sirtori, 21 N. R. Ramanujam and K. S. J. Wilson, 10 Semicond. Sci. Technol. 20, 985 (2005). 8 A. Huber, N. Ocelic, D. Kazantsev, and R. Hillenbrand, Appl. Phys. Lett. 87, 081103 (2005). 9 D. Korobkin, Y. A. Urzhumov, B. Neuner, C. Zor- and G. Shvets, man, Z. Zhang, Appl. Phys. A-Mater. Sci. Process. 88, 605 (2007). I. D. Mayergoyz, Optics Communications 386, 65 (2017). 22 B. Askenazi, A. Vasanelli, A. Delteil, Y. Todorov, L. C. and C. Sirtori, I. Sagnes, Andreani, G. Beaudoin, New J. Phys. 16, 043029 (2014). 23 P. A. Wolff, Phys. Rev. Lett. 24, 266 (1970). 24 J. Chen and B. J. Khurgin, 10 S. De Liberato and C. Ciuti, IEEE Journal of Quantum Electronics 39, 600 (2003). Phys. Rev. B 85, 125302 (2012). 11 D. Dini, R. Kohler, A. Tredicucci, G. Biasiol, and 25 J. B. and Phys. Rev. B 74, 035317 (2006). Khurgin H. C. Liu, L. Sorba, Phys. Rev. Lett. 90, 116401 (2003). 26 C. Kittel, Quantum theory of solids (Wiley, New York, 12 C. Ciuti, G. Bastard, and I. Carusotto, 1963). Phys. Rev. B 72, 115303 (2005). 13 E. Power and S. Zienau, Nuovo Cimento 6, 7 (1957). 14 R. Woolley, Proceedings of the Royal Society of London Series a-Mathematical and Physical Sciences 321, 557 (1971). 15 Y. Photons and atoms: namics (Wiley, New York, 1989). Todorov Sirtori, and M. A. Stroscio, and C. 27 C. Cohen-Tannoudji, J. Dupont-Roc, and G. Grynberg, introduction to quantum electrody- Phys. Rev. B 85, 045304 (2012). 16 J. J. Hopfield, in Confined Electrons and Photons, NATO 28 A. R. Bhatt, K. W. Kim, J. Appl. Phys. 76, 3905 (1994). Lindskog, 29 A. Wacker, M. and D. Winge, ASI Series (Springer, Boston, MA, 1995) pp. 771–782. Selected Topics in Quantum Electronics, IEEE Journal of 19, 1200611 (2013). 17 C. R. Gubbin, S. A. Maier, Phys. Rev. B 94, 205301 (2016). 18 C. R. Gubbin, S. A. Maier, and S. De Liberato, 30 J. Faist, F. Capasso, D. L. Sivco, C. Sirtori, A. L. Hutchin- and S. De Liberato, 31 K. Ohtani, C. Ndebeka-Bandou, L. Bosco, M. Beck, and son, and A. Y. Cho, Science 264, 553 (1994). Physical Review B 95, 035313 (2017). 19 I. V. Dzedolik, in Contemporary Optoelectronics , Springer Series in Optical Sciences (Springer, Dordrecht, 2016) pp. 3–23. 20 H. A. G´omez-Urrea, C. A. Duque, I. V. P´erez-Quintana, and M. E. Mora-Ramos, Appl. Phys. B 123, 66 (2017). J. Faist, arXiv:1610.00963 (2016),. 32 R. Terazzi and J. Faist, New Journal of Physics 12, 033045 (2010). 33 C. H. Henry and J. J. Hopfield, Phys. Rev. Lett. 15, 964 (1965).
1105.5531
1
1105
2011-05-27T11:34:22
Excitonic effects in the optical properties of SiC sheet and nanotubes
[ "cond-mat.mes-hall", "cond-mat.mtrl-sci" ]
The quasiparticle band structure and optical properties of single-walled zigzag and armchair SiC nanotubes (SiC-NTs) as well as single SiC sheet are investigated by ab initio many-body calculations using the GW and the GW plus Bethe-Salpeter equation (GW+BSE) approaches, respectively. Significant GW quasiparticle corrections of more than 1.0 eV to the Kohn-Sham band gaps from the local density approximation (LDA) calculations are found. The GW self-energy corrections transform the SiC sheet from a indirect LDA band gap to a direct band gap material. Furthermore, the quasiparticle band gaps of SiC-NTs with different chiralities behave very differently as a function of tube diameter, and this can be attributed to the difference in the curvature-induced orbital rehybridization between the different chiral nanotubes. The calculated optical absorption spectra are dominated by discrete exciton peaks due to exciton states with large binding energy up to 2.0 eV in the SiC sheet and SiC-NTs. The formation of strongly bound excitons is attributed to the enhanced electron-hole interaction in these low dimensional systems. Remarkably, the excited electron amplitude of the exciton wavefunction is found to peak on the Si atoms near the hole position (which is on the C site) in the zigzag SiC-NTs, indicating a charge transfer from an anion (hole) to its neighboring cations by photoexcitation. In contrast, this pronounced peak structure disappear in the exciton wavefunction in the armchair SiC-NTs. Furthermore, in the armchair SiC-NTs, the bound exciton wavefunctions are more localized and also strongly cylindrically asymmetric.
cond-mat.mes-hall
cond-mat
Excitonic effects in the optical properties of SiC sheet and nanotubes H. C. Hsueh,1, ∗ G. Y. Guo,2, 3, † and S. G. Louie4, 5 1Department of Physics, Tamkang University, Tamsui, Taipei 25137, Taiwan 2Graduate Institute of Applied Physics, National Chengchi University, Taipei 11605, Taiwan 3Department of Physics, National Taiwan University, Taipei 10617, Taiwan 4Department of Physics, University of California at Berkeley, Berkeley, California 94720, USA 5Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, California 94720, USA (Dated: June 10, 2018) The quasiparticle band structure and optical properties of single-walled zigzag and armchair SiC nanotubes (SiC-NTs) as well as single SiC sheet are investigated by ab initio many-body calculations using the GW and the GW plus Bethe-Salpeter equation (GW+BSE) approaches, respectively. Significant GW quasiparticle corrections of more than 1.0 eV to the Kohn-Sham band gaps from the local density approximation (LDA) calculations are found. The GW self-energy corrections transform the SiC sheet from a indirect LDA band gap to a direct band gap material. Furthermore, the quasiparticle band gaps of SiC-NTs with different chiralities behave very differently as a function of tube diameter, and this can be attributed to the difference in the curvature-induced orbital rehybridization between the different chiral nanotubes. The calculated optical absorption spectra are dominated by discrete exciton peaks due to exciton states with large binding energy up to 2.0 eV in the SiC sheet and SiC-NTs. The formation of strongly bound excitons is attributed to the enhanced electron-hole interaction in these low dimensional systems. Remarkably, the excited electron amplitude of the exciton wavefunction is found to peak on the Si atoms near the hole position (which is on the C site) in the zigzag SiC-NTs, indicating a charge transfer from an anion (hole) to its neighboring cations by photoexcitation. In contrast, this pronounced peak structure disappear in the exciton wavefunction in the armchair SiC-NTs. Furthermore, in the armchair SiC-NTs, the bound exciton wavefunctions are more localized and also strongly cylindrically asymmetric. The large excitation energy of ∼ 3.0 eV of the first bright exciton with no dark exciton below it, suggests that the small-radius armchair SiC-NTs be useful for optical devices working in the UV regime. On the other hand, the zigzag SiC-NTs have many dark excitons below the first bright exciton and hence may have potential applications in tunable optoelectric devices ranging from infrared to UV frequencies by external perturbations. PACS numbers: 81.07.De, 73.22.-f, 78.67.Ch,73.63.Fg INTRODUCTION Silicon carbide (SiC) crystallizes in either a cubic or a hexagonal form, and exbihits interesting polytyp- ism [1, 2]. The polytypes are made of identical hexagonal layers with different stacking sequences. These polytypes are semiconductors with a range of band gaps, from 2.39 eV in the zincblende polytype (3C) to 3.33 eV in the wurtzite polytype (2H) [1, 3]. Furthermore, 3C and 6H SiC are used for high temperature, high-power and high- frequency devices [4–7] due to their unique properties [8], while 6H SiC with a band gap of 2.86 eV is a useful ma- terial for blue light-emitting diode applications [3]. Recently, SiC nanotubes (NTs) were synthesized by re- action of carbon nanotubes (CNTs) with SiO at various temperatures [9]. Like other tubular materials such as C [10–12], BN [13, 14], AlN [15], and GaN [16] nanotubes that have been synthesized previously, SiC-NTs display very interesting properties distinctly different from their bulks. Therefore, the successful synthesis of the SiC-NTs has stimulated a number of theoretical and experimen- tal investigations on tubular form of SiC (see [17, 18] and references therein). In particular, based on density- functional calculations, Miyamoto and Yu [19] predicted that the strain energies of SiC-NTs are lower than that of CNTs, and that the band gaps of SiC-NTs can be di- rect or indirect, depending on the chirality. Using both tight-binding molecular dynamics and ab initio methods, Menon and coworkers [20] showed that single-walled SiC- NTs are very stable with a large band gap. Unlike CNTs, SiC-NTs are polar materials and there- fore, may exhibit unusual physical properties that CNTs may not have. For example, like BN-NTs (see, e.g., [21, 22]), zigzag SiC-NTs may become piezoelectric, and also show second-order non-linear optical response. A knowledge of the optical properties of SiC-NTs is im- portant for their optical and electro-optical applications. Therefore, Wu and Guo have recently carried out a series of ab initio calculations within the density functional the- ory (DFT) with the local density approximation (LDA) in order to analyze the linear optical features and under- lying band structure as well as the second-harmonic gen- eration and linear electro-optical coefficients of all three types of the SiC-NTs.[17, 18] In particular, Wu and Guo found that all the SiC nanotubes are semiconductors with exceptions of the ultrasmall (3,0) and (4,0) zigzag tubes which may be regarded as the thinnest conducting SiC nanowires.[17] Interestingly, the band gap of the zigzag SiC-NTs may be reduced from the energy gap of the SiC sheet all the way down to zero by reducing the diameter, though the band gap for all the SiC nanotubes with a diameter larger than ∼20 A approaches that of the SiC sheet. Furthermore, DFT indicates that all the semi- conducting zigzag SiC-NTs have a direct band gap. All these suggest that they may have interesting applications in optical and optoelectronic devices. Nonetheless, both the armchair and chiral SiC-NTs have an indirect band gap at the DFT level. These previous theoretical studies of the electronic and optical properties of SiC-NTs were based on the independent-particle approximation within the DFT framework[17, 18] or on semi-empirical calculations[20]. However, many-body interactions are known to play an important role in low-dimensional systems such as nanotubes[23, 24], due to reduced charge screening and enhanced electron-electron correlation. Therefore, moti- vated by the prospects of optoelectronic device applica- tions of SiC-NTs and also this theoretical issue, we have performed ab initio calculations to study the many-body effects on the quasiparticle band gaps and optical spectra of SiC-NTs. Indeed, we find pronounced GW quasipar- ticle corrections of more than 1.0 eV to the LDA band gaps in the SiC-NTs. Further, the calculated optical ab- sorption spectra from the SiC-NTs are dominated by the discrete exciton peaks due to strongly bound excitons with a large binding energy of up to 2.0 eV. SiC-NTs can be considered as an atomic layer of SiC sheet rolled up into a cylinder, and similar to the CNTs the structure of a SiC-NT is specified by the chiral vector which is given in term of a pair of integers (n, m).[11] Un- derstanding the optical properties of an isolated SiC sheet would help understand the many-body effects on the op- tical responses of SiC-NTs. Therefore, the single atomic layer hexagonal SiC sheet is first considered here. Fur- thermore, the optical properties of the two-dimensional (2D) single SiC sheet are interesting on their own ac- count, and, in particular, a single SiC sheet bears many similarities to graphene which exhibits many fascinating properties.[25, 26] This paper is organized as follows. In the next sec- tion, the theoretical methods and computational details, including the ground-state, quasiparticle and electron- hole interaction calculations, are described. In Sec. III, the calculated quasipartice band structure and optical absorption spectrum of the isolated SiC sheet are pre- sented. IV, the calculated quasiparticle band structures of the SiC-NTs are reported and the effects of curvature and chirality on the band gaps are analyzed. In Sec. V, the calculated optical absorption spectra of the SiC-NTs are presented, and the excitonic effects are discussed. Finally, the conclusions drawn from this work are given in Sec. VI. In Sec. METHODOLOGY 2 In the present paper, we adopt the approach of Rohlf- ing and Louie[27, 28] and hence calculate the quasi- particle energies, electron-hole excitations and optical spectra from first-principles in three steps. First, we calculate the electronic ground state with DFT in the LDA.[29] Second, we obtain the quasiparticle energies (EQP ) within the GW approximation for the electron self energy Σ.[30, 31] Finally, we evaluate the coupled electron-hole excitation energies and optical spectra by solving the Bethe-Salpeter equation (BSE) of the two- particle Green's function.[27, 28] Details of our compu- tations are described below. Ground-state properties An appropriate mean-field description of the ground- state properties of solids is essential to perform quasipar- ticle calculations within many-body perturbation theory. For the conventional covalent and ionic bonded materials, it is shown [31] that eigen wavefunctions and eigen ener- gies of the Kohn-Sham equation[29]in the LDA provide a good starting point for the many-body perturbation cal- culations such as within the GW approximations. There- fore, in this paper, the ground-state electronic struc- ture of the single SiC sheet and SiC-NTs are first calcu- lated by means of ab initio plane-wave pseudopotential method[32]. The electronic configurations of Si(3s2, 3p2) and C(2s2, 2p2) are treated as active valence states when generating pseudopotentials in the Kleinman-Bylander form[33] for all the calculations. The cutoff energy of 40 Ry for the plane wave basis set is used. Also to ensure the convergency, the Brillouin zone (BZ) integrals for the calculations of the SiC sheet and SiC-NTs are carried out using the 10×10×1 and 1×1×32 Monkhorst-Pack k- grid sampling[34], respectively. The supercell approach is used here such that an isolated SiC sheet (nanotube) is approximated by a single SiC layer (nanotube) sur- rounded by vacuum in a supercell. Sufficient intersheet and intertube separations (more than 10 A) are used to prevent intersheet and intertube interactions. The un- derlying atomic positions and lattice constants are taken from Ref. [17] where the theoretical structures were ob- tained by the conjugate gradient method with the atomic forces and the stress calculated from first-principles. Quasiparticle calculations Based on the ground-state Kohn-Sham wavefunctions and corresponding eigenvalues (EKS) calculated above, the many-body effects on the quasiparticle band struc- ture characterized by the self energy (Σ) can be evaluated 4.7 4.3 3.9 ) V e ( p a g P Q E 3.5 0 0.05 −1 (Å −1) LZ 0.1 FIG. 1. The calculated quasiparticle band gap of a SiC sheet (open symbols) as a function of the inverse of intersheet sep- aration (Lz). The converged band gap indicated as the solid line is derived by employing the Coulomb truncation scheme. The dashed line linking the open circles is a guide to the eye only. by solving the Dyson equation [30, 31, 35] hH0 + Σ(EQP nk )i nk >= EQP nk nk > (1) where H0 is the Hamiltonian in the Hatree approxima- tion, and nk > represents the quasiparticle wavefunc- tion of energy EQP nk within one-partcile Green's function In the G0W 0 approximation, the vertex cor- method. rection is approximated by a delta function and the irre- ducible polarizibility P 0 is a convolution of the mean field Green's function G0, i.e., P 0 = −iG0G0. This gives rise to a dynamical dielectric matrix within the random phase approximation (RPA) scheme and a generalized plasmon- pole (GPP) model[31]. Also, the screened Coulomb po- tential W 0 can be obtained from the bare Coulomb in- teraction v through the equation W 0 = υ/(cid:2)1 − υP 0(cid:3). Finally, the quasiparticle self-energy operator is given by Σ = iG0W 0. Although alternatives have been proposed recently to calculate the self energy going beyond this approximation, the G0W 0 approach is still the most ef- ficient scheme for providing a successful description of the electronic excitation and even transport properties in various semiconductors, metals, surfaces, and novel nanomaterials[36–38]. Therefore, in this paper, we adopt the G0W 0 approach to calculate the quasiparticle prop- erties of the single SiC sheet and SiC-NTs. Here a rather dense k-point sampling for the SiC sheet (18×18×1) and also for the SiC-NTs (1×1×32) is used to ensure the cal- culated quasiparticle energies converged to within 0.05 eV. A proper description of the dynamical screening prop- 3 erties of a solid plays an important role in obtaining reli- ably its quasiparticle properties. In low dimensional sys- tems, such requirement becomes crucial due to the highly anisotropic screening interactions associated mainly with the vacuum region between the isolated units in the su- percell scheme. A larger vacuum region is preferred to reduce the residual unphysical inter-unit interaction and eventually ends up with an ideal vacuum screening of the isolated units. For example, the band gap of an isolated SiC sheet, as shown in Fig. 1, would increase as the screening from neighboring layers is reduced by increasing the separation (Lz) between the SiC layers in the neighboring supercells. Furthermore, the conver- gence of the band gap with respect to the spatial sepa- ration of the neighboring SiC layers is very slow. Due to the computation constraints, it is impossible to ob- tain the fully converged band gap simply by expanding the inter-layer separation. Therefore, an efficient trunca- tion scheme[39, 40] to the Coulomb interaction in which a step function at the boundary of the supercell is in- troduced, will be adopted in the present GW and BSE calculations to remove the long-range Coulomb interac- tion between the structure and its images. Fig. 1 clearly demonstrates that the truncation scheme indeed offers an efficient means to achieve the converged quasiparti- cle band gap for the low-dimensional structures. Table I further shows that the quasiparticle band gap and also quasiparticle energy differences from the GW calcula- tions with or without the Coulomb truncation scheme can differ significantly. Electron-hole excitations The optical properties of a solid, associated with the interaction between light and the electronic excitations of a system, are described by the frequency-dependent macroscopic dielectric function ǫ (ω). For example, the optical absorption spectrum is determined by the imagi- nary part of the dielectric function, ǫ2 (ω). According to TABLE I. The band gap (Egap) and quasiparticle energy dif- ferences between the conduction band minimum (c) and va- lence band maximum (v) at some high symmetry k-points of the SiC sheet from the LDA and GW [without/with the Coulomb truncation (no trunc.)/(trunc.)] calculations. All energies are in eV. LDA GW ---– -------- (no trunc.) (no trunc.) (trunc.) Egap K v → K c Γv → Γc M v → M c 2.51 2.56 4.46 3.23 3.66 3.66 5.58 4.60 4.42 4.42 6.04 5.40 the Fermi Golden rule, the transition energy associated with a peak in the optical absorption spectrum can be estimated from the energy difference between the associ- ated electron and hole states. The corresponding oscil- lator strength can be obtained from the optical transi- tion matrix elements derived from the electronic ground and excited states involved. Since the incident photons carry negligible momentum, the peaks in the optical ab- sorption spectrum can be considered as direct interband transitions between the occupied and unoccupied elec- tronic states without any crystal momentum transfer. Therefore, if ignoring the electron-hole interaction, both linear and nonlinear optical spectra can be calculated in an independent-particle approximation by including only the vertical interband transitions between the Kohn- Sham states[17, 18]. In the GW+RPA approach, the dielectric function calculated based on the quasiparticle energies as described above, gives the interband optical absorption spectrum beyond the LDA, but still without electron-hole interaction (or excitonic) effects. However, for the systems with strong excitonic effects, the optical properties may be dominated by excitons which are composed of strongly correlated electron-hole pairs of the systems. The connection of the exciton ener- gies ΩS and corresponding electron-hole amplitudes AS vck of the correlated electron-hole excitations S is governed by the Bethe-Salpeter equation[28] (Eck − Evk) AS vck+ X v′c′k′ < vckK phv′c′k′ > AS vck = ΩSAS vck (2) where Evk(Eck) denotes the quasiparticle (e.g., GW) eigenvalues of valence (conduction) bands at a specific k-point and K eh is the kernel describing the interaction between excited electrons and holes. Therefore, a more realistic two-particle picture of the optical excitations in- cluding excitonic effects in these systems should be pro- vided by means of the GW+BSE method. To obtain the converged optical spectra, in the present work, the kernel K eh of the SiC sheet (SiC-NTs) is computed on a sparse k-point grid of 18×18×1 (1×1×32) and then interpolated[27] onto a denser k-point grid of 36×36×1 (1×1×64). Instructively, the electron-hole amplitude in real space can be expanded in the quasielectron and quasihole basis {φck(re), φvk(rh)}, ΦS(re, rh) = X k X c,v AS vckφck(re)φvk(rh) (3) and the corresponding exciton states can be visualized in real space. Because of the complexity of the six- dimensional exciton wavefunction, a simpler distribu- tion of the electron amplitude square with the hole po- sition fixed, i.e., ΦS(re, rh = 0)2, is usually used to reveal the essence of the electron-hole correlation of the exciton.[23, 24] 4 Finally, as noticed before[12, 17], the employment of a 3D supercell method for reduced-dimensional systems will generate an arbitrary volume effect on the dielec- tric function computation. In order to resolve this am- biguity and compare directly to experimental measure- ments, we calculate the imaginary part of polarizability per unit area (in units of nm) for the SiC sheet, α2 (ω), which is derived from the calculated dielectric suscepti- bility, χ = (ǫ − 1) /4π, multiplied by the distance be- tween two neighboring SiC sheets.[41] Moreover, for the one-dimensional (1D) nanotubes, the measured optical spectrum is determined by the imaginary part of the op- tical polarizability per single tube, α2 (ω). This α2 is equal to the ǫcalc. 2 multiplied by the cross-sectional area of the supercell perpendicular to the tubular axis (Λ⊥ sc), i.e., α2 = Λ⊥ sc (cid:0)ǫcalc 2 (cid:1) /4π. ELECTRONIC AND OPTICAL PROPERTIES OF SIC SHEET In the recent DFT-LDA calculations, a stable non- buckled graphene-like monolayer has been found for the isolated SiC layer[17, 42]. Despite the structural similar- ity, the unique massless Dirac fermion feature in graphene is no longer present in the SiC sheet because of the dif- ferent ionicities of the Si and C atoms. This heteropolar character induces an energy gap of 2.56 eV (the LDA value) at the K point of the hexagonal Brillouin zone, as shown in Fig. 2. The quasiparticle corrections to the 2D SiC sheet state are obtained through the GW calculations described above. The calculated quasiparticle band gaps and energy differenes at some high symmetry k-points are summarized in Table I. It is clear from Table I that the GW corrections to the LDA eigenvalues are rather sig- nificant, being more than 1.0 eV. We note that the GW correction to the LDA band gap of bulk 2H SiC is around 1.0 eV.[43, 44] Strikingly, the GW corrections transform the indirect LDA band gap (K → M ) into a direct band gap (K → K) for the SiC sheet. The nature of the direct band gap in the 2D SiC sheet indicate that the lowest en- ergy exciton in the SiC sheet is optically active (bright). Furthermore, based on the simple zone-folding approx- imation, we could expect no momentum-mismatch in- duced optically inactive (dark) excitons below the lowest energy bright exciton in the SiC-NTs[45]. This anticipa- tion i is indeed confirmed by our GW+BSE calculations for both the SiC sheet and armchair SiC-NTs presented below. However, our GW+BSE calculations also show that this is not the case for very small zigzag SiC-NTs, as will be discussed in Sec. V. Figure 2 shows the LDA band structure (left panel) and also GW corrections to the LDA eigenvalues (right panel) of the 2D SiC sheet. We note that the optical di- electric function of the 2D SiC sheet is highly anisotropic 5 0 π∗ Eπ−π∗ π σ∗ σ π∗ π −5 −10 ) V e ( F E − A D L E −15 0K Γ M K 0 −1 1 EQP−ELDA(eV) FIG. 2. (Color online) The LDA band structure (left panel) and GW corrections to the LDA eigenvalues (right panel) of the graphitic SiC sheet. The π and σ bands (left panel) are denoted as red and blue lines, respectively. In the right panel, the GW corrections for the π and σ bands are represented by red circles and blue diamonds, respectively, whereas the GW corrections of the NFE states are represented by green squares. The LDA Eπ−π∗ gap is marked as an arrow in the left panel. The valence band maximum is aligned at 0 eV. (see, e.g., Ref. 17). In particular, there is only weak dy- namical screening for the electric field perpendicular to the sheet in the energy range below 6 eV, whereas the dy- namical screening for the in-plane electric field is strong in this energy range (see Fig. 1 in Ref. 17). There- fore, many-body interaction effects in the π band (red line in Fig. 2) associated with the pz orbitals, which ex- tend into the vacuum region from the sheet, would be less screened. Consequently, the π band would have a larger quasiparticle correction and hence have a larger difference between the quasiparticle energies and LDA eigenvalues (EQP −ELDA) (red circles in Fig. 2). On the other hand, because the in-plane σ bonds are mainly con- fined to the 2D SiC sheet, electric screening effects on the σ bands (blue lines in Fig. 2) are more significant, and hence GW corrections are smaller (blue diamonds in Fig. 2). Furthermore, due to weak many-body interaction in nearly free electron (NFE) states which mainly lie more than a few As above and below the SiC sheet, the GW corrections to these conduction bands are small, being less than 0.3 eV (green squares in Fig. 2). This is similar to the NFE states in graphene[47]. These sophisticated screening effects enforce the notion that the quasiparticle calculations rather than the simple scissor-operator ap- proximation are necessary for low-dimensional systems such as the 2D SiC sheet. 5 1 1 1 1 results with the GW+BSE calculations. Because of the huge depolarization effect in the 2-D planar geometry for light polarization perpendicular to the plane, we will focus on the optical absorption spectrum for light polar- ization parallel to the plane. Figure 3 shows the optical spectra from both the GW+RPA and GW+BSE calcu- lations (a) as well as the Eπ−π∗ exciton wavefunction (b) of the SiC sheet. In Fig. 3(a), the first prominent peak located at Eπ−π∗ = 3.25 eV in the GW+BSE absorption spectrum comes from a bright exciton due to the exci- tation between the π and π∗ states at the K point [see Fig. 2 (left panel)]. This is a strongly bound exciton with a large binding energy of EBind = 1.17 eV as mea- sured by the difference between the Eπ−π∗ and the on- set energy of the GW corrected electron-hole continuum (Eπ−π∗ = 4.42 eV). We note that the theoretical exciton binding energy in bulk 2H SiC is only 0.1 eV.[44] This shows clearly that the reduced dimensionality of a SiC sheet strongly confine the quasiparticles, and this signifi- cantly enhance the overlap between the electron and hole wave functions and hence the electron-hole interaction. In addition to this quantum confinement, the presence of the vacuum region reduces the screening and hence provides extra contribution to the large excitonic effect in the SiC sheet. An analysis of R ΦS(re, rh)2dre and R ΦS(re, rh)2drh shows that the hole is mainly resided in the C-sublattice whereas the excited electron is on the Si-sublattice. In order to elucidate the extent of the Eπ−π∗ exciton wavefunction, we plot the electron ampli- tude square with the hole position fixed at the position slightly above the C atom (see Fig. 3b). Clearly, the elec- tron orbital is of the pz character, and distributes mainly on the nearest neighbor Si atoms around the hole to form a fairly localized exciton. 1 In the optical absorption spectrum of the SiC sheet α2(ω) from the GW+BSE calculations, the low-energy features in the energy range from 3.0 to 5.0 eV (Fig. 3a) are dominated by the π → π∗ transitions near the K point at the zone edge (Fig. 2), whereas the pronounced absorption peak at 5.83 eV is mainly due to the σ → σ∗ transition at the zone center. As shown in Fig. 3(a), the electron-hole interaction not only triggers a red-shift of the onset optical transition energies but also modifies their relative absorption intensities. Furthermore, be- cause the GW corrections transform the indirect LDA band gap of the SiC sheet into the direct band gap, as mentioned above, no dark exciton was found in the opti- cal spectrum until the photon energy up to 4.2 eV which is above the lowest bright exciton (Eπ−π∗ ). 1 QUASIPARTICLE BAND STRUCTURE OF SIC NANOTUBES The excitonic effects on the optical properties of the SiC sheet can be examined by comparing the GW+RPA An intuitive approach to construct a nanotube with a specific chirality is simply to roll up a layer of the (a) (b) n (a.u.) 0 102 1 FIG. 3. (Color online) (a) The optical polarizability per unit area from both GW+RPA (blue)and GW+BSE (red) calcu- lations and (b) the Eπ−π∗ exciton wavefunction of the SiC sheet. In (a), the theoretical spectra are broadened with a Gaussian smearing width of 0.15 eV. In (b), the hole position (red sphere) is fixed at the top of a C atom (yellow sphere) and the squared electron amplitude (n = ΦS(re, rh = 0)2 in an arbitrary unit (a.u.)) is mainly distributed on the Si atoms (cyan spheres) next to the hole. graphitic sheet along a specific lattice vector into a nanocylinder. This geometrical connection between a single-wall nanotube and the isolated sheet has inspired the application of the zone-folding method to construct the zeroth-order electronic band structure and phonon dispersions for single-wall nanotubes[11]. However, the zone-folding scheme alone cannot address the significant orbital rehybridization due to the curvature effect and also the many-body interaction effects in the nanotubes mentioned above. To include these effects, we have calcu- lated the diameter-dependence of the fundamental quasi- particle band gap of some small-radius zigzag and arm- chair SiC-NTs, as compiled in Fig. 4. First of all, we note that all the SiC-NTs are semiconducters, and this may be attributed to the different potentials of the Si and C atoms, being similar to the case of the BN nanotubes[13]. Secondly, an asymptotic convergence of the calculated band gaps of the zigzag and armchair SiC-NTs as a func- 6 ) V e ( p a g E 5 4 3 2 1 0 LDA (n,0) LDA (n,n) GW (n,0) GW (n,n) 2 6 10 14 18 22 Tube Diameter (Å) FIG. 4. (Color online) The fundamental band gaps of some zigzag (n,0) and armchair (n,n) SiC nanotubes as a func- tion of the tube diameter from the GW (solid symbols) and LDA[17](open symbols) calculations. The band gap of the iso- lated SiC sheet obtained from the GW and LDA calculations is also displayed as the solid and dashed line, respectively. Dotted lines between the symbols are a guide to the eye only. tion of tube size towards that of the isolated SiC sheet is observed in both the LDA and GW calculations. Interestingly, in contrast to the reciprocal radius de- pendence of the band gap in CNTs, we find a peculiar band gap reduction with the decrease of tube size for both zigzag and armchair SiC-NTs. This band gap re- duction of SiC-NTs with the increasing tubular curvature can be attributed to the curvature-induced hybridization between the π∗ and σ∗ orbitals near the conduction band minimum (CBM) in the small radius SiC-NTs, similar to that found in the very small diameter CNTs.[46] To illustrate this point, we display the charge density con- tours of the CBM of the zigzag (n,0) and armchair (n,n) nanotubes at the 1D Brillouin zone center (Γ point) and zone boundary (Z point), respectively, in Fig. 5. Fig- ure 5 shows that in the small zigzag (n,0) SiC-NTs such as (5,0) and (6,0) nanotubes, a strong mixture of the π∗ and σ∗ states in the SiC sheet now exists inside the tube to form a ring-like charge distribution, whereas the fea- ture of the pz state is floating above the Si and C atoms outside the SiC-NT even under considerable structural distortion. Therefore, a substantial band gap reduction upon increasing the tube curvature can be expected. In- deed, this is confirmed by our LDA and quasiparticle calculations (see circle symbols in Fig. 4). In contrast, a much smaller degree of the orbital hybridization in the armchair SiC-NTs is induced by the folding-curvature, as revealed by the cylindrically symmetric distribution of the Si pz component of the CBM at the Brillouin zone boundary (Z point) in the (5,5) nanotube (Fig. 5). This (3,3) (5,0) (5,5) (6,0) ρ 0 10 −3 (Color online) The charge density contours (ρ in FIG. 5. 10−2A )of the states at the conduction band minimum (CBM) at the 1D Brillouin zone boundary (Z point) of the (3,3) and (5,5) SiC-NTs and also at the zone center (Γ point) of the (5,0) and (6,0) SiC-NTs. The Si and C atoms are rep- resented by the cyan and yellow spheres, respectively. gives rise to a minor size dependence of the band gap (square symbols in Fig. 4) for the armchair SiC-NTs. Nevertheless, a spectacular curvature effect in terms of strongly enhanced π∗ − σ∗ hybridization does occur in the ultrasmall armchair (3,3) SiC-NT (see Fig. 5). This unusual orbital rehybridization effects on the band gap have also been recognized before in other ionic group III- V nanotubes[14, 45]. The quasiparticle corrections to the Kohn-Sham eigen- values from the GW calculations for two ultrasmall (ra- dius being ∼ 5 A) armchair (3,3) and zigzag (5,0) SiC- NTs are given in Fig. 6, together with their LDA band structures. In the (3,3) SiC-NT, the GW corrections widens the LDA indirect band gap (2.13 eV) to the di- rect one of 4.09 eV, and also modify the band dispersions signicantly as a result of the complicated energy depen- dence of the GW corrections (see open circles in Fig. 6). Meanwhile, the small direct band gap (0.19 eV) of the (5,0) SiC-NT predicted by the LDA is increased to 2.02 eV in the GW calculations. Furthermore, the GW cor- 7 ) V e ( F E − A D L E ) V e ( F E − A D L E 2 0 −2 2 0 −2 (3,3) (5,0) 0Γ Z 1 −1 0 2 EQP−ELDA(eV) FIG. 6. The energy differences between the quasiparticle eigenvalues(EQP ) and LDA eigenenergies (ELDA) of the arm- chair (3,3) (upper panel) and zigzag (5,0) (lower panel) SiC- NTs. The corresponding LDA band structures are shown in the left panels for reference. The dashed lines denote the valence band maximum at 0 eV. rections depend rather sensitively on the electronic state character, as illustrated in Fig. 6 for the (5,0) SiC-NT (open squares). We note that the curvature-induced hy- bridization between the π and σ states in these ultrasmall SiC-NTs is responsible for the mixture of the quasipati- cle corrections of the otherwise orthogonal π and σ states near the top of the valence band in the SiC sheet. This hybridization would become weaker gradually as the tube radius increases, and eventually diminish in the large tube radius limit where the self-energy corrections would be the same as that of the SiC sheet (as shown Fig. 2). The NFE tubular states are found in both (3,3) and (5,0) tubes as well as the small-radius chiral SiC-NTs. How- ever, these NFE states lie in the rather high energy re- gion (more than 5 eV above the band gap region from the LDA calculations) and hence play a minor role in the optical absorption spectrum. Therefore, they are not in- cluded in the present GW and GW+BSE calculations. Nonetheless, the NFE states can be shifted downward toward the band gap regime by doping electrons in the nanotubes[48] and consequently may significantly affect the optical properties of the n-type SiC-NTs. EXCITONIC EFFECTS IN SIC NANOTUBES In quasi-one dimensional nanotube systems, the wave- functions of quasielectrons and quasiholes can overlap significantly because of quantum confinement, and there- fore, electron-hole interaction may be considerably en- TABLE II. The binding energies (EB) of the first bright exci- ton (I1) and lowest energy dark exciton (K1) below I1 for the single-wall zigzag and armchair SiC nanotubes (NTs) consid- ered here. The exciton binding energy (EB) is calculated as the energy difference between the onset energy (E) of the cor- responding interband transition continuum and the excitation energy (Ω). The tube diameter (D) and quasiparticle energy gap (EQP gap) are listed for comparison. Symbol (i) denotes an indirect band gap in the armchair SiC-NTs. Moreover, the results for the SiC sheet which can be considered as the lim- iting case of an infinite diameter SiC-NT, are also listed for comparison. All the energies are in the unit of eV. D (A) EQP gap EK1 EI1 ΩK1 ΩI1 EK1 B EI1 B NTs (3,3) (4,4) (5,5) (5,0) (6,0) 5.05 4.09(i) 7.43 3.81(i) 8.45 4.15(i) 5.10 6.06 2.00 1.63 1.42 2.02 2.02 4.92 0.28 3.21 1.64 1.71 2.51 2.51 5.70 0.95 4.24 1.56 1.46 4.86 4.75 4.55 2.86 3.12 3.13 Sheet ∞ 4.42 4.42 3.25 1.17 hanced. At the same time, the screening among the quasiparticles can be systematically changed because of curvature and reduced-dimensionality effects. For exam- ple, the phenomenon of anti-screening was discovered re- cently in the CNTs.[49] Therefore, the absorption fea- tures associated with the exciton formation can become prominant in the optical spectra in SiC-NTs. To study the excitonic effects on the optical properties of SiC-NTs, we have performed GW+BSE calculations by taking into account the electron-hole interaction kernel for several SiC-NTs of different sizes and different chiralities. In Fig. 7, the quasiparticle band structure and the imaginary part of the optical polarizability for the ultra- small armchair (3,3) SiC-NT are presented. Because of the strong depolarization effects in nanotubes[50], only the optical response for light polarized along the tube axis is significant. Therefore, only this polarization is considered here. For comparison, the optical absorption spectrum obtained without the electron-hole interaction in the GW+RPA scheme is also displayed in Fig. 7 (the blue-dashed curve in Fig. 7b). The onset energy (4.86 eV) of this independent-particle optical spectrum corre- sponds to the lowest-energy dipole-allowed vertical tran- sition (EI1 ) from the valence to conduction bands near 2/3 of the Γ − Z line in the Brillouin zone which corre- sponds to the K point in the hexagonal BZ of the SiC sheet (see Fig. 7a). When the interaction between electrons and holes is included, excitons with specific excitation energies (Ω) are formed to give rise to the prominent photoabsorption peaks. The binding energy of the lowest-energy exciton 8 (a) (b) n (a.u.) 0 104 FIG. 7. (Color online) (a) The quasiparticle band struc- ture and (b) the optical absorption spectra of the armchair (3,3) SiC-NT. In (b), the optical spectra from GW+RPA and GW+BSE calculations are denoted as the blue-dashed and red-solid curves, respectively. The theoretical absorp- tion spectra were broadened with a Gaussian of 0.15 eV. The lowest-energy bright exciton (I1) is formed by the mixture of four interband transitions as indicated by the red arrows in (a). In the inset in (b), the squared electron amplitude (n = ΦS(re, rh = 0)2 in an arbitrary unit (a.u.)) of the I1 exciton on a cross-sectional tubular plane with the hole fixed at the position of the red sphere (see text) are displayed. Si and C atoms are represented by cyan and yellow spheres, re- spectively. is defined as the energy difference between the continuum onset and corresponding excitation energy. For the arm- chair (3,3) SiC-NT, the optical absorption spectra from the GW+BSE calculations is dominated by the discrete exciton peaks [see the red curves in Fig. 7(b)]. The first bright exciton (I1) appearing at 2.86 eV with a large binding energy (EI1 B ) of ∼ 2.0 eV is formed by a mix- 1 ture of four pair of interband transitions, as indicated by the arrows in Fig. 7(a). These four different interband transitions couple strongly to make the electron ampli- tude more localized and highly asymmetric around the hole position located outside the tube but near the C atom, as displayed in the inset in Fig. (b), thereby re- sulting in a strongly bound character of exciton I1. At the same time, the large electron-hole overlap in the (3,3) SiC-NT lifts the double degeneracy of the Eπ−π∗ exci- ton in the 2D SiC sheet to create the bright I1 exciton and a dark exciton with a higher (by 0.02 eV) excitation energy. Similar excitonic effects are also found in the larger armchair (5,5) SiC-NT (see Table II). However, the lowest optically active exciton in the (5,5) SiC-NT has a smaller binding energy of EI1 B = 1.42 eV, because the reduced electron-hole overlap due to the decreased curvature. Moreover, apart from the bright bound and resonant excitons shown as red peaks in Fig 7(b), there also exist many dark excitons among the bright ones. However, we find no dark exciton below the I1 exciton in the armchair SiC-NT. As mentioned before, this can be anticipated from the fact that the isolated SiC layer has a direct band gap at the K-point. In this case, there is no momentum mismatch when the SiC sheet is rolled up to form an armchair SiC-NT, and hence the possibility of the formation of the optically inactive lowest-energy exciton diminishes[45]. Next, let us concentrate on the excitonic effects on the optical absorption in the small radius zigzag SiC-NTs. In order to scrutinize the chirality effects, we performed GW+RPA and GW+BSE calculations of the zigzag (5,0) SiC-NT with a tube radius comparable to that of the armchair (3,3) SiC-NT. The quasiparticle band structure and optical polarizability α2 of the (5,0) SiC-NT is dis- played in Fig. 8 (a) and (b), respectively. In Fig. 8 (b), label Ij denotes the jth optically allowed excited state. The inset in Fig. 8 (b) is a contour plot of the electron charge density distribution of the I1 exciton with respect to a fixed hole position. Like the (3,3) SiC-NT, the pho- toexcited spectrum of the (5,0) SiC-NT is characterized by the discrete exciton peaks. The first bright exciton I1 is the most prominent feature in the spectrum, and con- sists of the different interband transitions near the zone- center Γ-point (represented by the solid arrow). As a result, the charge density distribution is not cylindrically symmetric. Similar results are found in the (6,0) SiC-NT, and the corresponding excitation energies are listed in Table II. Interestingly, although the (5,0) and (3,3) SiC- NTs have a similar curvature, the relatively smaller bind- ing energy (0.3 eV) of the I1 exciton in the (5,0) SiC-NT indicates a rather delocalized exciton. This pronounced chirality effect can be attributed to the considerable or- bital rehybridization in the zigzag SiC-NTs emphaszied above. This orbital rehybridization induces a significant band-gap reduction giving rise to the increasing of the ef- fective screening, and hence reduces the binding energy. 9 (a) (b) n (a.u.) 0 104 FIG. 8. (Color online) (a) The quasiparticle band structure and (b) optical absorption spectrum (broadened with a Gaus- sian width of 0.15 eV) of the zigzag (5,0) SiC-NT. In (b), the first bright exciton, I1, is mainly related to the interband transition indicated as a red-solid arrow in (a) whereas the transition by the red-dashed arrow in (a) corresponds to the third (I3) bright exciton in (b). The inset in (b) shows the squared electron amplitude (n in an arbitrary unit) of I1 with the fixed hole position marked by a red sphere. The Si and C atoms are represented by the cyan and yellow spheres, re- spectively. Furthermore, according to the zone-folding scheme for nanotubes, the lowest energy optically active interband transition at the K point of the 2D sheet can be folded into the Γ point to form a bright exciton with the lowest excitation energy in zigzag nanotubes. However, in the very small-radius zigzag SiC-NTs such as the (5,0) nan- otube, the strong curvature-induced orbital rehybridiza- tion has brought a higher conduction band down to the GW band gap (0.0 ∼ 4.0 eV) region [see Fig. 8 (a)]. Since the optical transitions from the top valence bands to this conduction band are dipole-forbiden, some low en- ergy dark excitons including the K1 dark exciton, appear in the small-radius zigzag SiC-NTs. As shown in Table II, this low-energy K1 dark exciton is also rather local- ized with a large binding energy, and this could make the zigzag SiC-NTs candidates for the tunable optical devices for temperature or external field sensors. Finally, we explore the extent of the exciton wavefunc- tion localization in the different SiC-NTs by comparing the intensity of their electron-hole wavefunctions in real space. In Fig. 9, the isosurface plots of the electron density with the fixed hole position (the red cross in the figure) of the first bright exciton (I1) of the armchair SiC-NTs suggest a significant local distribution in the direction along the circumference of the tube. Mixing of excitations to different subband pairs in the small-radius SiC-NTs is responsible for this tightly bound character. In addition, a spatial localization of the exciton wave- function along the tubular direction is also revealed by integrating out the electron coordinates in the perpendic- ular plane (red curves in Fig. 9). In contrast with the car- bon nanotubes, the deviation from the one-dimensional nature of the low-energy exciton is mainly attributed to the strongly mixing of the interband transitions. Similar tightly bound behavior of the lowest-energy bright exci- ton has also been discovered in the BN-NTs[24]. In the zigzag SiC-NTs, we find the similar anisotropic bound nature of the first bright exciton. The slightly broadened distribution of the integrated wavefunction intensity (see the blue curves in Fig. 9) suggests a less bound charac- ter in the zigzag SiC-NTs. The distinct spiky features in the curve are located on the cation (Si atom) planes and they indicate a charge transfer from an anion (hole) to its neighboring cations by photoexcitation. On the other hand, the pronounced peak structures are not ob- served in the armchair SiC-NT because of the specific atomic arrangement dictated by its particular chirality. Furthermore, the asymmetric distribution of Φ2 with respect to the fixed hole position, is a consequence of the different nearest-neighbor cation-anion distances caused by rolling up the ideal symmetric 2D SiC sheet. This curvature-induced spatial symmetry breaking is also re- sponsible for the special nodal-like feature near the hole position in the smallest armchair (3,3) SiC-NT. Nonethe- less, by comparing the distribution of the exciton wave- function of the (3,3) SiC-NT with the larger (5,5) nan- otube, we note that this symmetry breaking will decrease gradually as the curvature decreases or the tube diameter increases. CONCLUSIONS In summary, we have employed the state-of-the art many-body GW and GW+BSE approaches to study the quasiparticle band structure and optical properties, re- X X 10 X X Z(Å) Z(Å) FIG. 9. (Color online) Isosurface plots of the electron distri- bution Φ2 of the I1 exciton (see Fig. 7 and Fig. 8) with the fixed hole position (indicated by a red cross) in the armchair (3,3) and (5,5) as well as the zigzag (5,0) and (6,0) SiC-NTs. The corresponding integrated intensities by averaging out the coordinates perpendicular to the tube axis, are also shown. Here the hole position is set at 0. The Si and C atoms are represented by the cyan and yellow spheres, respectively. spectively, of the SiC sheet and related SiC nanotubes. First of all, we find a direct quasiparticle band gap for the isolated 2D SiC sheet. Our GW band structure calcu- lations show that rather complicated orbital-dependent self-energy corrections are needed in obtaining accurate quasiparticle properties for the isolated SiC layer. The profile of the optical spectra is modified dramatically when the electron-hole interaction is included. In partic- ular, a strongly bright bound exciton with a large bind- ing energy (1.17 eV) is found to dominate the optical spectrum, because of the enhanced overlap between the electron and hole orbitals due to reduced-dimensionality effects and also the existence of vacuum region which re- duces the effective screening in the SiC sheet. Secondly, the quasiparticle band structure of the small- radius armchair and zigzag SiC-NTs is systematically studied within the GW approximation. Our detailed analysis on the charge density distributions reveals that a curvate-induced orbital rehybridization plays a vital role on determining the band gap of the small-radius SiC-NTs with different chiralities at both the LDA and GW levels. In particular, the quasiparticle band gaps as a function of tube diameter behave very differently, depending on the chirality of the SiC-NTs concerned. Finally, the calculated photoexcited spectra are con- sisted of discrete exciton peaks, thereby indicating strong excitonic effects in both the armchair and zigzag SiC- NTs. The optical absorption spectra of the small radius armchair and zigzag SiC-NTs are dominated by the first bright bound exciton I1 with a significant binding energy up to ∼2.0 eV. The highly asymmetric charge distribu- tion of the exciton I1 in the (3,3) SiC-NT is found to be a consequence of the strong coupling of the four op- tically allowed inter-subband transitions. Interestingly, we also find a quasi-zero dimensional bound character of the first bright exciton in the SiC-NTs and curvature- induced symmetry breaking affects the shape and size of this bound exciton. Moreover, our GW and BSE calcula- tions demonstrate that the simple zone-folding approach fails in predicting the low energy exciton characters in very small zigzag SiC-NTs, mainly because of the strong curvature-induced orbital rehybridization in these nan- otubes. We believe that the large excitation energy of ∼ 3.0 eV of the first bright exciton, with no dark exci- ton below it, may make the small-radius armchair SiC- NTs candidates for optical devices working in the UV regime. In contrast, the numerous dark excitons below I1 in the zigzag SiC-NTs may lead to potential applica- tions in tunable optelectric devices ranging from infrared to UV frequencies by external perturbations. We thank Jack Deslippe for helpful discussions on per- forming the GW+BSE calculations in BerkeleyGW code. H. C. H. and G. Y. G. thank the National Science Council and NCTS of ROC for support, and also NCHC of ROC for CPU time. S.G.L. is supported by the Director, Of- fice of Science, Office of Basic Energy Sciences, Materials Sciences and Engineering Division, U.S. Department of Energy under Contract No. DE-AC02-05CH11231. ∗ [email protected][email protected] [1] Semiconductors: Physics of Group IV Elements and III- V Compounds, Landolt-Bornstein, New Series, Group IV and III-V, Vol. 17, Pt. A, edited by K. H. Hellwege and O. Madelung (Springer-Verlag, Berlin, 1982). [2] R. W. G. Wyckoff, Crystal Structures (Wiley, New York, 1963). [3] P. A. Ivanov and V. E. Chelnokov, Semicond. Sci. Tech- nol. bf 7, 863 (1992). [4] C. Persson and U. Lindefelt, J. App. Phys. 82, 5496, (1997) [5] R. Wang, D. Zhang, C. Liu, Chemical Phys. Lett. 411, 333, (2005). [6] R. Rurali, P. Godigonon, J. Rebollo, E. Hernandez, and P. Ordejon, Appl. Phys. Lett. 82, 4298 (2003). 11 Properties of Carbon Nanotubes (Imperial College Press, London, 1998). [12] G. Y. Guo, K. C. Chu, D. S. Wang, and C. G. Duan, Phys.Rev. B 69, 205416 (2004). [13] A. Rubio, J. L. Corkill and and M. L. Cohen, Phys. Rev. B 49, 5081 (1994). [14] G. Y. Guo and J. C. Lin, Phys. Rev. B 71, 165402 (2005). [15] M. Zhao, Y. Y. Xia, D. J. Zhang and L. M. Mei, Phys. Rev. B 68, 235415 (2003). [16] S. M. Lee, Y. H. Lee, Y. G. Hwang, J. Elsner, D. Porezag, and Th. Frauenheim, Phys. Rev. B 60, 7788 (1999). [17] I. J. Wu and G. Y. Guo, Phys. Rev. B 76, 035343 (2007). [18] I. J. Wu and G. Y. Guo, Phys. Rev. B 78, 035447 (2008). [19] Y. Miyamoto and B. D. Yu, Appl. Phys. Lett. 80, 586 (2002). [20] M. Menon, E. Richter, A. Mavrandonakis, G. Froudakis, and A. N. Andriotis, Phys. Rev. B 69, 115322 (2004). [21] G. Y. Guo and J. C. Lin, Phys. Rev. B 72, 075416 (2005); 77, 049901 (E) (2008). [22] G. Y. Guo, S. Ishibashi, T. Tamura, and K. Terakura, Phys. Rev. B 75, 245403 (2007). [23] C. D. Spataru, S. Ismail-Beigi, L. X. Benedict, and S. G. Louie, Phys. Rev. Lett. 92, 077402 (2004). [24] C.-H. Park and C. D. Spataru and S. G. Louie, Phys. Rev. Lett. 96, 126105 (2006). [25] K. S. Novoselov, A. K. Geim, S. V. Morozov, D. Jiang, Y. Zhang, S. V. Dubonos, I. V. Grigorieva, and A. A. Firsov, Science 306, 666 (2004). [26] A. H. Castro Neto, F. Guinea, N. M. R. Peres, K. S. Novoselov, and A. K. Geim, Rev. Mod. Phys. 81, 109 (2009). [27] M. Rohlfing and S. G. Louie, Phys. Rev. Lett. 81, 2312 (1998). [28] M. Rohlfing and S. G. Louie, Phys. Rev. B 62, 4927 (2000). [29] W. Kohn and L. J. Sham, Phys. Rev. 140, A1133 (1965). [30] M. S. Hybertsen and S. G. Louie, Phys. Rev. Lett. 55, 1418 (1985). [31] M. S. Hybertsen and S. G. Louie, Phys. Rev. B 34, 5390 (1986). [32] B. G. Pfrommer, M. Cote, S. G. Louie and M. L. Cohen, J. Comput. Phys. 131, 233 (1997). [33] L. Kleinman and D. M. Bylander, Phys. Rev. Lett. 48, 1425 (1982). [34] H. J. Monkhorst and J. D. Pack, Phys. Rev. B 13, 5188 (1976). [35] L Hedin, Phys. Rev. 139, A796 (1965). [36] W. G. Aulbur and L. Jonsson and J. W. Wilkins, Solid State Physics: Advances in Research and Applications vol. 54, p. 1 (Academic, New York, 2000). [37] C. D. Spataru, S. Ismail-Beigi, R. B. Capaz and S. G. Louie, Carbon Nanotubes: Advanced Topics in the Syn- thesis, Structure, Properties and Applications vol. 111, p. 195 (Springer-Verlag, Heidelberg, 2008). [38] J. B. Neaton, M. S. Hybertsen and S. G. Louie, Phys. [7] C. H. Park, B. H. Cheong, K. H. Lee, and K.J. Chang, Rev. Lett. 97, 216405 (2006). Phys. Rev. B 49, 4485, (1994) [8] Properties of Silicon Carbide edited by G. L. Harris (IN- SPEC, Institution of Electrical Engineers, London,1995) [9] X.-H. Sun, C.-P. Li, W.-K. Wong, N.-B. Wong, C.-S. Lee, S.-T. Lee, and B.-K. Teo, J. Am. Chem. Soc. 124, 14464 (2002). [10] S. Iijima, Nature (London) 354, 56 (1991). [11] R. Saito, G. Dresselhaus and M. S. Dresselhaus, Physical [39] C. D. Spataru, S. Ismail-Beigi, L. X. Benedict and S. G. Louie, Appl. Phys. A 78, 1129 (2004). [40] S. Ismail-Beigi, Phys. Rev. B 73, 233103 (2006). [41] L. Yang, J. Deslippe, C.-H. Park, M. L. Cohen, and S. G. Louie, Phys. Rev. Lett.103, 186802 (2009) [42] H. Sahin, S. Cahangirov, M. Topsakal, E. Bekaroglu, E. Akturk, R. T. Senger and S. Ciraci, Phys. Rev. B 80, 155453 (2009). [43] R. T. M. Ummels, P. A. Bobbert, and W. van Haeringen, [47] L. Yang, M. L. Cohen, S. G. Louie, Nano Lett. 7, 3112 Phys. Rev. B 58, 6595 (1998). (2007). [44] Here we have also performed the LDA, GW and BSE calculations for the bulk 2H SiC, and find the LDA and GW band gaps to be 2.10 and 3.17 eV, respectively. The BSE calculation predicts the exciton binding energy in 2H SiC to be 0.1 eV. [45] S. Ismail-Beigi, Phys. Rev. B 77, 035306 (2008). [46] X. Blase, L. X. Benedict, E. L. Shirley, and Steven G. Louie, Phys. Rev. Lett. 72, 1878 (1994) [48] E. R. Margine and V. H. Crespi, Phys. Rev. Lett. 96, 196803 (2006). [49] J. Deslippe, M. Dipoppa, D. Prendergast, M. V. O. Moutinho, R. B. Capaz and S. G. Louie, Nano Lett. 9, 1330 (2009). [50] H. Ajiki and T. Ando, Physica (Amsterdam) 201B, 349 (1994). 12
1103.3650
2
1103
2011-04-11T16:40:05
Screening effects on the excitonic instability in graphene
[ "cond-mat.mes-hall", "cond-mat.str-el" ]
We investigate the excitonic instability in the theory of Dirac fermions in graphene with long-range Coulomb interaction. We analyze the electron-hole vertex relevant for exciton condensation in the ladder approximation, showing that it blows up at a critical value of the interaction strength \alpha = e^2/4\pi v_F sensitive to further many-body corrections. Under static screening of the interaction, we find that taking into account electron self-energy corrections increases the critical coupling to \alpha_c \approx 2.09, for a number N = 4 of two-component Dirac fermions. We show that the dynamical screening of the interaction has however the opposite effect of enhancing the instability, which turns out to develop then at \alpha_c \approx 0.99 for N = 4, bringing the question of whether that critical value can be reached by the effective coupling in real graphene samples at the low-energy scales of the exciton condensation.
cond-mat.mes-hall
cond-mat
Screening effects on the excitonic instability in graphene Instituto de Estructura de la Materia, Consejo Superior de Investigaciones Cient´ıficas, Serrano 123, 28006 Madrid, Spain (Dated: November 20, 2018) J. Gonz´alez We investigate the excitonic instability in the theory of Dirac fermions in graphene with long- range Coulomb interaction. We analyze the electron-hole vertex relevant for exciton condensation in the ladder approximation, showing that it blows up at a critical value of the interaction strength α = e2/4πvF sensitive to further many-body corrections. Under static screening of the interaction, we find that taking into account electron self-energy corrections increases the critical coupling to αc ≈ 2.09, for a number N = 4 of two-component Dirac fermions. We show that the dynamical screening of the interaction has however the opposite effect of enhancing the instability, which turns out to develop then at αc ≈ 0.99 for N = 4, bringing the question of whether that critical value can be reached by the effective coupling in real graphene samples at the low-energy scales of the exciton condensation. Introduction. -- The discovery of graphene, the mate- rial made of a one-atom-thick carbon layer, has attracted a lot attention as it provides the realization of a system where the electrons have conical valence and conduction bands, therefore behaving at low energies as massless Dirac fermions[1 -- 3]. This offers the possibility of em- ploying the new material as a test ground of fundamen- tal concepts in theoretical physics, since the interacting electron system represents a variant of strongly coupled quantum electrodynamics (QED) affording quite unusual effects[4 -- 7]. A remarkable feature of such a theory is that a suf- ficiently strong Coulomb interaction may open a gap in the electronic spectrum. This effect, already known from the study of QED [8], was first addressed in graphene in the context of the theory with a large number N of fermion flavors[9 -- 12]. The existence of a critical point for exciton condensation was also suggested from second- order calculations of electron self-energy corrections[13]. More recently, Monte Carlo simulations of the field the- ory have been carried out on the graphene lattice[14, 15], showing that the chiral symmetry of the massless theory can be broken at the physical value N = 4 above a crit- ical interaction strength αc ≈ 1.08 [14]. The possibility of exciton condensation has been also studied in the lad- der approximation[16 -- 19], leading in the case of static screening of the interaction to an estimate of the critical coupling αc ≈ 1.62 for N = 4 [16]. Lately, the resolution of the Schwinger-Dyson formulation of the gap equation has revealed that the effect of the dynamical polarization can significantly lower the critical coupling for exciton condensation, down to a value αc ≈ 0.92 for N = 4[20]. In this paper we take advantage of the renormaliza- tion properties of the Dirac theory in order to assess the effect of different many-body corrections to the ex- citonic instability. In this respect, the renormalization of the quasiparticle properties can have a significant im- pact, mainly through the increase of the Fermi velocity at low energies[21, 22]. Thus, we will consider the renor- malization of the electron-hole vertex accounting for the exciton condensation in the ladder approximation, sup- plemented by self-energy corrections to electron and hole states. This dressing of the bare quasiparticles will have the result of increasing the critical coupling at which the excitonic instability takes place, going in the same direc- tion as the effect of screening the Coulomb interaction. We will see however that, incorporating the dynamical polarization in the ladder approximation, the screening effects are softened at N = 4, leading to values of the crit- ical coupling below those corresponding to the nominal interaction strength in isolated free-standing graphene. We consider the field theory for Dirac quasiparticles in graphene interacting through the long-range Coulomb potential, with a Hamiltonian given by H = ivFZ d2r ψi(r)γ · ∇ψi(r) 8πZ d2r1Z d2r2 ρ(r1) e2 + 1 r1 − r2 ρ(r2) (1) where {ψi} is a collection of N/2 four-component Dirac spinors, ψi = ψ† i γ0, and ρ(r) = ψi(r)γ0ψi(r). The ma- trices γσ satisfy {γµ, γν} = 2 diag(1, −1, −1) and can be conveniently represented in terms of Pauli matrices as γ0,1,2 = (σ3, σ3σ1, σ3σ2) ⊗ σ3, where the first factor acts on the two sublattice components of the graphene lattice. Our main interest is to study the behavior of the vertex for the operator ρm(r) = ψ(r)ψ(r). This gives the order parameter for the exciton condensation, and the signal that it gets a nonvanishing expectation value can be ob- tained from the divergence of hT ρm(q, t)ρm(−q, 0)i. The singular behavior of this susceptibility can be traced back to the divergence of the vertex for hρm(q)ψ(k + q)ψ†(k)i at q → 0. We will denote the vertex in this limit (setting also the corresponding frequency ωq = 0) by Γ(k, ωk). In the ladder approximation, depicted diagrammatically in Fig. 1, the vertex is bound to satisfy the equation Γ(k, ωk) = γ0+Z d2p (2π)2 dωp 2π Γ(p, ωp) v2 F p2 + ω2 p V (k−p, iωk−iωp) (2) where V (p, ωp) stands for the Coulomb interaction. We will deal in general with the RPA to screen the potential, so that V (p, ωp) = e2/(2p + e2χ(p, ωp)), in terms of the polarization χ for N two-component Dirac fermions. γ 0 k+q k = γ 0 k+q k + γ 0 k+q k FIG. 1: Self-consistent diagrammatic equation for the vertex of hρm(q)ψ(k + q)ψ†(k)i, equivalent to the sum of ladder diagrams built from the iteration of the Coulomb interaction (wavy line) between electron and hole states (arrow lines). Eq. (2) is formally invariant under a dilatation of fre- quencies and momenta, which shows that the scale of Γ(k, ωk) is dictated by the high-energy cutoff Λ needed to regularize the integrals. The vertex acquires in general an anomalous dimension γψ2, which governs the behavior under changes in the cutoff[23] Γ(k, ωk) ∼ Λγψ2 (3) We recall below how to compute γψ2 , showing that it di- verges at a critical value of the interaction strength. This translates into a divergence of the own susceptibility at momentum transfer q → 0, providing then the signature of the condensation of ρm(r) = ψ(r)ψ(r) and the conse- quent development of the excitonic gap. Self-energy corrections to ladder approximation. -- We deal first with the approach in which electrons and holes are dressed by self-energy corrections, while the Coulomb interaction in (2) is screened by means of the static RPA with χ(p, 0) = (N/16)p/vF . It is known that graphene remains a conventional Fermi liquid even at the charge neutrality point, with a quasiparticle weight that does not vanish at the Fermi level[24]. The most important self-energy effect comes from the renormalization of the Fermi velocity at low energies[25], and this is the feature that we want to incorporate in our analysis, identifying vF in Eq. (2) with the Fermi velocity dressed by self- energy corrections. The electron self-energy corrections, as well as the terms of the ladder series, are given by logarithmically divergent integrals that need to be cut off at a given scale Λ. Alternatively, one can also define the theory at spatial dimension d = 2 − ǫ, what automatically regularizes all the momentum integrals. Eq. (2) then becomes evF (p)p whereevF (p) is the Fermi velocity dressed with the self- energy corrections, κ = 1 + N e2/32vF , and e2 0 is related to e2 through an auxiliary momentum scale ρ such that 0 = ρǫe2. e2 In the ladder approximation, the Fermi velocity gets a divergent correction only from the "rainbow" self- energy diagram with exchange of a single screened interaction[25]. The dressed Fermi velocity becomes e2 0 κ evF (p) = vF + 1 Γ( ǫ 2 )Γ( 1−ǫ 2 )Γ( 3−ǫ 2 ) (4π)2−ǫ/2 Γ(2 − ǫ) 1 pǫ (5) Γ(k, 0) = γ0 + e2 0 4κZ ddp (2π)d Γ(p, 0) 1 1 c2(λ) = 1 (4) p − k 2 The expressions (4) and (5) are singular in the limit ǫ → 0. The most convenient way to show that all the divergences can be renormalized away is to resort at this point to a perturbative computation of Γ(k, 0). The solution of (4) can be obtained in the form Γ(k, 0) = γ0 1 + rn knǫ! λn 0 ∞Xn=1 (6) with λ0 = e2 tained from the previous one by noticing that 0/4πκvF . Each term in the sum can be ob- Z ddp (2π)d p(m−1)ǫ 1 1 p = (4π)ǫ/2 4π3/2 1 p − k Γ( mǫ Γ( 1+(m−1)ǫ 2 )Γ( 1−mǫ 2 )Γ( 1−ǫ 2 ) 2 )Γ(1− m+1 ǫ) 2 1 kmǫ (7) At each given perturbative level, the vertex displays then a number of poles in the variable ǫ. The crucial point is that these divergences can be reabsorbed by passing to physical quantities such that vF = Zv(vF )ren and ψψ = Zψ2(ψψ)ren (the scale of the single Dirac field is not renormalized in the ladder approximation). The renormalized vertex Γren = Zψ2 Γ can be actu- ally made finite with a suitable choice of momentum- independent factors Zv and Zψ2. Zv must be chosen to cancel the 1/ǫ pole in (5), and it has therefore the simple structure Zv = 1 + b1/ǫ, with b1 = −e2/16πκ(vF )ren. On the other hand, we have the general structure Zψ2 = 1 + cn ǫn ∞Xn=1 (8) The position of the different poles must be chosen to enforce the finiteness of Γren = Zψ2Γ in the limit ǫ → 0. The computation of the first orders of the expansion gives for instance the result c1(λ) = − 1 2 λ − 1 8 log(2) λ2 − 1 1152(cid:0)π2 + 120 log2(2)(cid:1) λ3 13π4+2064π2 log2(2)+144(716 log4(2)+37 log(2)ζ(3)) λ5 − 10π2 log(2)+688 log3(2)+15ζ(3) λ4 6144 737280 − + . . . 16 λ2 + 1 + 110π2 log(2)+8592 log3(2)+135ζ(3) 24 log(2) λ3 + 1 184320 18432(cid:0)5π2 + 744 log2(2)(cid:1) λ4 184320(cid:0)π2 + 360 log2(2)(cid:1) λ5 + . . . λ5 + . . . (9) c3(λ) = − 1 c4(λ) = − 1 768 log(2) λ4 − 1 7680 log(2) λ5 + . . . where the series are written in terms of the renormalized coupling λ ≡ ρ−ǫZvλ0 The physical observable in which we are interested is the anomalous dimension γψ2. The change in the dimen- sion of Γren comes from the dependence of Zψ2 on the only dimensionful scale ρ, being γψ2 = (ρ/Zψ2 )∂Zψ2/∂ρ [23]. The bare theory at d 6= 2 does not know about the arbitrary scale ρ, and the independence of λ0 = ρǫλ/Zv on that variable leads to ρ ∂λ ∂ρ = −ǫλ − λb1(λ) (10) The anomalous dimension is then[26] γψ2 = ρ ∂λ ∂ρ ∂ log Zψ2 ∂λ = −λc′ 1(λ) (11) In the derivation of (11), it has been implicitly assumed that poles in the variable ǫ cannot appear at the right- hand-side of the equation. For this to be true, the set of equations c′ n must be satisfied identically[26]. Quite remarkably, we have verified that this is the case, up to the order λ7 we have computed the coefficients in (8). This is the proof of the renormal- izability of the theory, which guarantees that physical quantities like γψ2 remain finite in the limit ǫ → 0. n+1 = cnc′ 1 − b1c′ From the practical point of view, the important result is the evidence that the perturbative expansion of c1(λ) approaches a geometric series in the λ variable. It can be checked that the coefficients in the expansion grow exponentially with the order n, in such a way that − c1(λ) ≥ dnλn ∞Xn=1 (12) A lower bound for d can be obtained from the first orders in c1(λ). This estimate tends to increase as it is made from higher orders in the expansion. The assumption of scaling with the order n allows us to estimate a radius of convergence λc ≈ 0.49 (to be compared with the value in the approach neglecting self-energy corrections, which leads to λc ≈ 0.45 [18], in close agreement with the re- sult of Ref. 16). The critical coupling in the variable λ = α/κ can be used to draw the boundary for exciton condensation in the (N, α) phase diagram, represented in Fig. 2. For N = 4, we get in particular the critical coupling αc ≈ 2.09, significantly above the critical value that would be obtained from the radius of convergence without self-energy corrections (αc ≈ 1.53). the form χ(p, ωp) = (N/16)p2/qv2 Dynamical screening in the ladder approximation. -- In the framework of the ladder approximation, one can also study the effect of the dynamical screening of the Coulomb interaction. We can go beyond the static RPA by taking into account the full effect of the frequency- dependent polarization, which for Dirac fermions takes p [25]. This expression can be introduced in Eq. (2) to look again for self-consistent solutions for the vertex Γ(k, ωk). While this problem does not afford an analytic approach of the type shown before, one can resort to numerical methods for the resolution of the integral equation. In this pro- cedure, we find again that there is a critical coupling at which Γ(k, ωk) blows up, marking the boundary between two different regimes where the integral equation has re- spectively positive and negative (unphysical) solutions. F p2 − ω2 3 4 3 2 1 F v Π 4  2 e m ¹ 0 0 0.0 0.2 0.4 0.6 0.8 1.0 1N FIG. 2: Phase diagram showing the boundary of the phase with exciton condensation (m 6= 0), obtained in the ladder ap- proximation with and without electron self-energy corrections (upper and lower dashed lines) and with dynamical screening of the Coulomb interaction (full line). In practice, we have solved the integral equation (2) by defining the vertex in a discrete set of points in fre- quency and momentum space. One can take as indepen- dent variables in Γ(k, ωk) the modulus of k and positive frequencies ωk, and we have adopted accordingly a grid of dimension l × l covering those variables. The advan- tage of this approach is that the number l plays the role of cutoff, making straightforward to assess the effect of higher frequencies and momenta as l is increased. We have solved the integral equation in l × l grids with l run- ning up to a value of 200, for which it is still viable to invert a matrix of dimension l2. One can rely moreover on the scale invariance of the theory to find the trend at larger values of l, as the critical coupling αc must obey a well-defined finite-size scaling law as a function of the cutoff αc(l) = αc(∞) + c/lν. For a given value of N , we have determined the critical point at which the vertex Γ(k, ωk) blows up. The value of αc(l) for our largest l provides an upper bound for the critical coupling in the continuum limit, as αc(l) turns out to be always a decreasing function of the variable l. On the other hand, the use of the above finite-size scaling law allows to estimate αc(∞) . We have cho- sen to represent in Fig. 2 the conservative upper bound αc(200) as a function of N . In marked difference with other approaches, we observe that now a critical cou- pling always exists, no matter how large the value of N may be. For N = 4 corresponding to graphene, we get the values αc(200) ≈ 1.08 and αc(∞) ≈ 0.99. We see that the value of the critical coupling obtained upon dynamical screening of the interaction is substan- tially smaller than the value found for N = 4 in the static approximation. This agrees with the results ob- tained in Ref. [20], where the resolution of the gap equa- tion was accomplished taking into account the frequency- dependent polarization. The critical coupling obtained there for N = 4, αc ≈ 0.92, is actually very close to our 4 estimate αc(∞) ≈ 0.99. These values are also close to the critical coupling αc ≈ 1.08 found in lattice Monte Carlo simulations[14], suggesting that the consideration of the dynamical screening provides a most sensible ap- proximation to the excitonic instability. Conclusion. -- We have shown that the various many- body corrections used to dress the electron quasiparticles and the Coulomb interaction can have significant impact on the excitonic instability. The effects of the electron self-energy corrections and the electron-hole polarization have an important role in reducing the strength of the instability. We have seen however that, as anticipated in Ref. [20], the simple static approximation overestimates the screening effects, and that a more accurate approach to the problem requires the consideration of the dynam- ical screening of the interaction. It is puzzling that, if we were to use the nominal values of the parameters appropriate for graphene, we would ar- rive at the conclusion that an isolated free-standing layer of the material (for which α ≈ 2.2) should be in the phase of exciton condensation. This is at odds with the absence of any experimental observation of a gap in suspended graphene samples. Our many-body analysis shows that the only possible relevant effects that have been dismissed are those related to the scaling of the quasiparticle pa- rameters. In this respect, the growth of the Fermi veloc- ity at low energies[24] can have a deep impact to prevent the excitonic instability[22, 27]. This effect, expressed by the scaling law (10) at ǫ = 0, has been already ob- served in experimental samples of graphene at very low doping levels[28]. It is quite plausible that, at the low- energy scales where the gap could develop (about three orders of magnitude below the scale of the high-energy cutoff), the scaling of the Fermi velocity may have driven the effective coupling to such small values that the exci- tonic instability cannot then proceed. This can be one more consequence of the nontrivial scaling properties of the theory of Dirac fermions, implying that the electrons in graphene approach a noninteracting regime as they are observed at energies arbitrarily closer to the charge neutrality point. Acknowledgments. -- We thank F. Guinea for very use- ful discussions. The financial support from MICINN (Spain) through grant FIS2008-00124/FIS is also ac- knowledged. [1] K. S. Novoselov, A. K. Geim, S. V. Morozov, D. Jiang, M. I. Katsnelson, I. V. Grigorieva, S. V. Dubonos and A. A. Firsov, Nature 438, 197 (2005). Phys. Rev. B 81, 125105 (2010). [16] O. V. Gamayun, E. V. Gorbar and V. P. Gusynin, Phys. Rev. B 80, 165429 (2009). [2] Y. Zhang, Y.-W. Tan, H. L. Stormer and P. Kim, Nature [17] J. Wang, H. A. Fertig and G. Murthy, Phys. Rev. Lett. 438, 201 (2005). 104, 186401 (2010). [3] A. H. Castro Neto, F. Guinea, N. M. R. Peres, K. S. Novoselov and A. K. Geim, Rev. Mod. Phys. 81, 109 (2009). [18] J. Gonz´alez, Phys. Rev. B 82, 155404 (2010). [19] J. Wang, H. A. Fertig, G. Murthy and L. Brey, Phys. Rev. B 83, 035404 (2011). [4] V. M. Pereira, J. Nilsson and A. H. Castro Neto, Phys. [20] O. V. Gamayun, E. V. Gorbar and V. P. Gusynin, Phys. Rev. Lett. 99, 166802 (2007). Rev. B 81, 075429 (2010). [5] M. M. Fogler, D. S. Novikov, and B. I. Shklovskii, Phys. [21] D. V. Khveshchenko, J. Phys.: Condens. Matter 21, Rev. B 76, 233402 (2007). 075303 (2009). [6] A. V. Shytov, M. I. Katsnelson, and L. S. Levitov, Phys. [22] J. Sabio, F. Sols and F. Guinea, Phys. Rev. B 82, Rev. Lett. 99, 236801 (2007). 121413(R) (2010). [7] I. S. Terekhov, A. I. Milstein, V. N. Kotov, and O. P. Sushkov, Phys. Rev. Lett. 100, 076803 (2008). [8] T. Appelquist, D. Nash and L. C. R. Wijewardhana, Phys. Rev. Lett. 60, 2575 (1988). [9] D. V. Khveshchenko, Phys. Rev. Lett. 87, 246802 (2001). [10] E. V. Gorbar, V. P. Gusynin, V. A. Miransky and I. A. [23] D. J. Amit and V. Mart´ın-Mayor, Field Theory, the Renormalization Group, and Critical Phenomena, Chaps. 6 and 8 (World Scientific, Singapore, 2005). [24] J. Gonz´alez, F. Guinea and M. A. H. Vozmediano, Phys. Rev. B 59, R2474 (1999). [25] J. Gonz´alez, F. Guinea and M. A. H. Vozmediano, Nucl. Shovkovy, Phys. Rev. B 66, 045108 (2002). Phys. B 424, 595 (1994). [11] I. L. Aleiner, D. E. Kharzeev and A. M. Tsvelik, Phys. [26] P. Ramond, Field Theory: A Modern Primer, Chap. IV Rev. B 76, 195415 (2007). [12] J. E. Drut and D. T. Son, Phys. Rev. B 77, 075115 (2008). [13] O. Vafek and M. J. Case, Phys. Rev. B 77, 033410 (2008). [14] J. E. Drut and T. A. Lahde, Phys. Rev. Lett. 102, 026802 (Benjamin/Cummings, Reading, 1981). [27] See also I. F. Herbut, V. Jurici´c and O. Vafek, Phys. Rev. B 80, 075432 (2009); V. Jurici´c, I. F. Herbut and G. W. Semenoff, Phys. Rev. B 80, 081405 (2009). [28] D. C. Elias, A. S. Mayorov, F. Guinea, K. S. Novoselov (2009); Phys. Rev. B 79, 241405(R) (2009). and A. K. Geim, in preparation. [15] See also S. J. Hands and C. G. Strouthos, Phys. Rev. B 78, 165423 (2008); W. Armour, S. Hands, C. Strouthos,
1904.08047
1
1904
2019-04-17T01:53:27
Multifunctional 2D CuSe monolayer nanodevice
[ "cond-mat.mes-hall" ]
In a very recent experimental work [Gao et al., 2018 Adv. Mater. 30, 1707055], a graphene-like CuSe monolayer was realized. Motivated by this success, we performed first-principles calculations to investigate its electronic transport and photoelectronic properties. We find that the CuSe ML shows a strong electrical anisotropy, and its current-voltage (I-V) curves along the zigzag and armchair directions are noticeably different. The CuSe ML also displays a useful negative differential resistance (NDR) effect along the both directions when the bias is beyond 1.0 V. Moreover, it has a large photon absorption to orange light. Our study suggests that CuSe ML is a multifunctional material and has various potential applications in electrical-anisotropy-based, NDR-based, and even optical nanodevices.
cond-mat.mes-hall
cond-mat
Multifunctional 2D CuSe monolayer nanodevice Yipeng An1,2,3, Yusheng Hou2, Hui Wang2, Jie Li2, Ruqian Wu2,3, Chengyan Liu2, Tianxing Wang1 and Jutao Jiao1 1College of Physics and Materials Science & International United Henan Key Laboratory of Boron Chemistry and Advanced Energy Materials, Henan Normal University, Xinxiang 453007, China 2Department of Physics and Astronomy, University of California, Irvine, California 92697, USA 3Author to whom any correspondence should be addressed. E-mail: [email protected](Y. An);[email protected](R. Wu) Keywords: Nanodevices, Electronic transport, CuSe monolayer, negative differential resistance, photon devices Abstract: In a very recent experimental work [Gao et al., 2018 Adv. Mater. 30, 1707055], a graphene-like CuSe monolayer (ML) was realized. Motivated by this success, we performed first-principles calculations to investigate its electronic transport and photoelectronic properties. We find that the CuSe ML shows a strong electrical anisotropy, and its current-voltage (I -- V) curves along the zigzag and armchair directions are noticeably different. The CuSe ML also displays a useful negative differential resistance (NDR) effect along the both directions when the bias is beyond 1.0 V. Moreover, it has a large photon absorption to orange light. Our study suggests that CuSe ML is a multifunctional material and has various potential applications in electrical-anisotropy-based, NDR-based, and even optical nanodevices. 1 1. Introduction Two-dimensional (2D) materials have attracted an extensive research interest since the successful isolation of graphene by mechanical exfoliation from graphite in 2004 [1]. Many 2D layered materials have been predicted theoretically, and a few of them have been realized experimentally, including silicene [2-4], hexagonal boron nitride (h-BN) [5, 6], transition metal dichalcogenides (TMDs) [7, 8], phosphorene [9, 10], MXene [11, 12], borophene [13-16], and their derivatives [17-19]. 2D MLs typically show distinguished mechanical, electronic, optical, and thermal conduction properties, and are hence promising for the design of novel nanodevices. A variety of monolayer-based prototype devices has been proposed [20-24] and fabricated [9, 25, 26], and they demonstrated a great potential for technological innovations, particularly for the next-generation electronic and photoelectronic applications. In a very recent experiment [27], a graphene-like transition metal monochalcogenide CuSe ML was successfully synthesized on Cu(111) substrate by means of molecular beam epitaxy (MBE). High resolution scanning tunneling microscopy (STM), angle resolved photoemission spectra (ARPES), and first-principles calculations have been applied for the characterization and determination of its honeycomb structure and electronic properties. It is interesting that the honeycomb CuSe ML possesses two 2D Dirac nodal line fermions (DNLFs), protected by the mirror reflection symmetry (MRS). To exploit CuSe ML in nanodevices, it is imperative to investigate this new 2D material more comprehensively. For example, its electronic transport and photoelectronic properties have not been examined, neither theoretically or experimentally. In the present work, we carry out a systematic research on the electronic, transport and optical properties of the 2D CuSe ML (see figure 1(a)) by means of first-principles calculations. Our results show that 2D CuSe has a remarkable electrical anisotropy, namely, its I -- V curves along the zigzag and armchair directions are noticeably different. In particular, 2 the CuSe ML shows strong negative differential resistances along both directions. Furthermore, it displays a strong photo response to orange light. Our findings suggest that the CuSe-based ML as a new multifunctional material can be used for a variety of purposes. Figure 1. (a) Atomic structure of CuSe monolayer. The black/red box refers to its hexagonal (H)/simple orthorhombic (SO) unit cell. The first Brillouin zone of SO unit cell is embedded in its box. (b) Band structures of hexagonal CuSe ML. Bands of CuSe along X -- Γ -- Y path without (c) and with (d) SOC. I-IV in (c) refer to the four bands near the Fermi level which is set to zero. I and II are degenerated around Γ point. 3 2. Method The calculations of electronic transport and photoelectronic properties of 2D CuSe ML are performed using the density functional theory and nonequilibrium Green's function approach as implemented in the ATK-DFT software [28-30]. The Perdew-Burke-Ernzerhof (PBE) scheme of the generalized gradient approximation (GGA) [31, 32] is adopted to describe the exchange-correlation effect among electrons. The core electrons of all atoms are described by the optimized norm conserving Vanderbilt (ONCV) pseudopotentials [33], and wave-functions of valence states are expanded as linear combinations of atomic orbitals (LCAO) with the SG15 basis set, which are fully relativistic and can provide comparable results to the all-electron method. The cutoff for the mesh density of basis expansion is set as 200 Ry. The atomic structures are fully optimized until the residual force on each atom is smaller than 0.01 eV/Å and the total energy tolerance is below 10−6 eV, respectively. Higher-level HSE06 method is used to confirm its band properties [34, 35]. For the transport calculations, we use a 1×9×100 Monkhorst-Pack k points grid to sample the Brillouin zone of the electrodes. The spin-orbital coupling correction is also taken into account as a comparison. 3. Results and discussion Figure 1(a) shows the optimized atomic configuration of the 2D CuSe ML. The honeycomb lattice parameter a is 3.98 Å, and the corresponding Cu-Se bond length is 2.30 Å. The band structure for a primitive unit cell is plotted in figure 1(b), which shows a metallic character, in good agreement with the previous report [27]. The result of higher-level HSE06 method gives the consistent band structure and confirms its metallic character (see figure 1(b)). In general, 2D honeycomb structures have different mechanical, electronic, and 4 transport properties along the zigzag and armchair directions. For instance, graphene shows a metallic character along the zigzag direction but has a semiconducting feature along the armchair one [36]. To better show the anisotropic characteristics of the CuSe ML, we plot its bands along the X -- Γ -- Y path for a rectangular unit cell, i.e., the zigzag direction (Γ -- X) and armchair one (Γ -- Y) in figure 1(c). Although CuSe ML appears to be metallic in both directions, the difference is also obvious as more bands cross the Fermi level (EF) along the zigzag direction than along the armchair one. Figure 1(d) shows the band structures with spin-orbit coupling (SOC), which only causes tiny band splits and would not obviously influence its electronic transport properties. To get a more quantitative description of this difference, we construct a two-probe structure of 2D CuSe ML (see figure 2(a)) and directly calculate its electric conductivities along the zigzag (X axis) and armchair (Y axis) directions, labeled as z-CuSe and a-CuSe, respectively. The two-probe structure has a periodicity perpendicular to transport direction (i.e., from the drain (D) to the source (S) electrode), and its third orthogonal direction is a slab with a 15 Å thick vacuum along the normal direction of CuSe ML plane. Both the drain and source electrodes are represented by a large supercell that is semi-infinite in length along the transport direction. Under a bias Vb, the current I is obtained by using the Landauer -- Büttiker approach [37] ( I V b )  2 e h    , T E V b ( )[ f E D (   D )  f E S (   S )]d E . (1) Here, T(E,Vb) is the bias-dependent transmission coefficient, determined from the Green's functions; fD/S are the Fermi-Dirac distribution functions of the drain/source electrodes; their electrochemical potentials are shifted as D (= EF -- eVb/2) and S (= EF + eVb/2), respectively. Obviously, [ D , S ] sets a bias window (BW) for electron transport and we will 5 focus on states in this energy range in following discussions. More details about this approach can be seen in the previous reports [28-30, 38, 39]. Figure 2. (a)Schematic of CuSe nanodevice. Dz/a and Sz/a refer to the drain and source electrodes along the zigzag (X axis)/armchair (Y axis) direction, respectively. Iz/a refers to the current through z-CuSe/a-CuSe diode. (b) I -- V and I -- G curves of z-CuSe and a-CuSe diodes. Transmission spectra under various biases of z-CuSe (c) and a-CuSe (d). The Fermi level is set to zero. 6 Figure 2(b) shows the I -- V curves of z-CuSe and a-CuSe two-probe systems, which are noticeably different. The CuSe ML has better conductivity along the zigzag direction than along the armchair one. Its ratio of current anisotropy η=Iz/Ia (Iz and Ia refer to the currents of z-CuSe and a-CuSe, respectively) is about 2, larger than that of most 2D materials [40, 41]. Furthermore, it shows a prominent negative differential resistance effect for both the z-CuSe and a-CuSe when the bias around 1.0 V. Note that NDR is an important feature of electronic materials and it is useful for many applications such as memory cells [42], threshold logic [43], electronic oscillators [44], amplifiers [45], and particularly at microwave frequencies [46]. In additions, this effect is often characterized by two important factors that are obviously dependent on the materials and can change significantly in experiments. The first factor is the NDR threshold voltage (NDR-TV) where the current reaches its maximum. The second one is the alleged peak-to-valley ratio (PVR) between the maximal (peak) and minimal (valley) currents. Generally, it is desired to have materials with low NDR-TV for minimizing the power consumption along with large PVR for maximizing the performance [47]. To this end, both z-CuSe and a-CuSe have the same NDR-TV as small as 1.0 V, better than most materials reported so far [48], and a large PVR because the current decrease quite rapidly under a high bias. Therefore, we may propose that a 2D CuSe ML is an excellent NDR material, much better than ZrB2 ML [41] and thiol-terminated Ru(ǁ) bis-terpyridine molecular junction proposed before [49]. What's more, the conductance (G) of CuSe ML along the zigzag or armchair direction is gradually decreased as the bias increases and will converge to almost zero under a specific high bias (see the I -- G curves shown in figure 2(b)). Note that the SOC does not obviously influence the electronic transport of CuSe ML due to its tiny band splits. 7 For instance, the I -- V curve of z-CuSe with SOC (labeled as z-CuSe-SOC) is almost consistent with that without SOC (see figure 2(b)). To understand the electrical anisotropy of CuSe ML and NDR effect of CuSe ML, we first examine the transmission spectra of z-CuSe and a-CuSe under the various biases (see figures 2(c) and 2(d)). The CuSe ML, especially for the z-CuSe, has better electron transmission channels under the low bias (i.e., below 1.0 V), which gives rise to upward current as the bias increases. However, the electron transmission coefficients drop drastically after that (i.e., beyond 1.0 V), even to zero near the upper edge of the bias window. This results in the strong anisotropy and NDR phenomena, as the current is the integral of transmission coefficients over the bias window (by equation 1). Figure 3. Transmission spectra and band structures for the drain and source electrodes of the z-CuSe (a) and a-CuSe (b) under the biases of 0.5, 1.0, and 1.5 V, respectively. The Fermi level is set to zero. 8 Basically, the electronic transport of 2D monolayers is mostly dominated by their band structures, from the inter- and intra-band transitions around the EF. Figure 3 shows the band structures and transmission spectra of the CuSe ML under biases of 0.5, 1.0, and 1.5 V, respectively. As a forward bias is applied to the drain and source electrode, their bands shift down and up accordingly. The transmission coefficients are mainly determined by the band overlap between the drain and the source electrodes. For z-CuSe, the transmission coefficients are mostly related to the bands of drain electrode (see figure 3(a)), and only its bands I, II, and III within the bias window give rise to effective contributions to the current. As bias increases (from 0 to 1.0 V), the expansion of the bias window results in the upward I -- V curve, even though the transmission coefficient slightly decreases. However, as the bias increases further (such as 1.5 V), the bias window hits a gap of the drain electrode and the current decreases, causing the NDR phenomenon. The same mechanism is applicable for the NDR of a-CuSe. Differently, only two bands (I and II) of its drain electrode contribute to the electron transmission, leading to smaller conductance. Figure 4. Transmission pathways of z-CuSe at the Fermi level under 0.5 (a), 1.0 (b), and 1.5 V (c), respectively. (d) Transmission pathways of a-CuSe at 1.0 V. (e) TE-I and (f) TE-II of z-CuSe, and (g) TE-Iꞌ and (h) TE-IIꞌ of a-CuSe at the EF under 1.0 V, respectively. 9 We further analyze the electron transmission pathways (i.e., local current) [50] of z-CuSe and a-CuSe. This splits the total transmission coefficient into local bond contributions Tij. The pathways across the boundary between two parts (A and B) give rise to the total transmission coefficient T E ( )=  ( T E ij ) . (2) In general, there are two types of local current pathways: (i) bond current (i.e., via chemical i A j B   , bonds between two neighboring atoms), and (ii) hopping current (i.e., via electron hopping between atoms on the same lattice) [51]. At a low bias (see figure 4(a) at 0.5 V), there are two different local current pathways for z-CuSe: (i) Cu−Se−Cu bond current (yellow arrows) and (ii) Se→Se hopping current (green arrows). As the bias reaches up to 1.0 V (see figure 4(b)), more current pathways appear, e.g., (iii) Se→Se hopping current (red arrows) and (iv) Se−Cu bond current (cyan arrows). Note that the fourth Se−Cu bond current pathway is perpendicular to the transport direction and hence gives little contribution to the total current. Under a high bias (such as 1.5 V), the current pathways (see figure 4(c)) decreases. For a-CuSe, only one type of current pathways, namely, step-like Cu−Se−Cu−Se bond current pathways (see figure 4(d)), contributes to electron transport. Obviously, both Cu and Se orbitals contribute to electron transmission of z-CuSe and a-CuSe as eigenstates near the EF under results from the Cu-Se hybridization (see figures 4(e) to 4(h)). Both z-CuSe and a-CuSe have the double-degenerate transmission eigenstates at the EF under the bias of 1.0 V, namely, TE-I and TE-II for z-CuSe, TE-Iꞌ and TE-IIꞌ for a-CuSe, respectively. These states become localized or even empty under a high bias, leading to the NDR effect. Another important factor for the design of electrical and electronic circuits is threshold current density (CD). Most electrical conductors have a finite resistance and dissipate power 10 as Joule heat which may cause melting of conductors. Our calculations indicate that both the CD of z-CuSe and a-CuSe first increase and then decrease as the bias is beyond 1.0 V (see figures 5(a) to 5(f)). For instance, the CD of z-CuSe increases from 4.0×105 nA/Å2 at 0.5 V (see figure 5(a)) to 7.6×105 nA/Å2 at 1.0 V (see figure 5(b)), then gradually decreases due to the NDR effect, and hence it is self-protected. Figure 5. Current density of CuSe ML under 0.5, 1.0, and 1.5 V, respectively. (a)-(c) for z-CuSe, (d)-(f) for a-CuSe. Different from the conventional photoelectronic devices, the monolayer materials may have exceptional transport and optical properties. For instance, the large-area single and few-layers of graphene ultrafast photodetectors had been prepared in experiments[52]. Now we investigate if CuSe ML has a potential for applications in photoelectronics. Figure 6(b) shows the optical absorption coefficient, α, as a function of photon energy of the zigzag and 11 armchair CuSe ML. As expected, the photon absorption spectra of CuSe ML along these two directions are identical. There are two absorption peaks (near the energy E = 2.0 and 0.9 eV) within the AM-1.5 photon energy range, including one in the visible light region (orange light). They result from the electron transitions from the band I to IV and from the III to II or degenerated I around the Γ point (see figure 1(c)), respectively. The absorption coefficients at these two peaks, 1.2-1.4×105 cm-1 are rather large. Therefore, the CuSe ML can be a promising candidate for the use of photodetectors, such as to examine the orange and infrared light. Figure 6. Photon absorption coefficient α of z-CuSe and a-CuSe. The embedded spectrum patterns indicate the visible light region. 4. Conclusions In summary, we systematically study the electronic transport and photoelectronic properties of 2D CuSe ML along the zigzag and armchair directions by means of the 12 first-principles calculations. Our results show that CuSe ML shows a strong electrical anisotropy along these two perpendicular directions, based on its diverging I -- V curves. Both z-CuSe and a-CuSe show a significant NDR effect with low threshold voltage and large PVR. Furthermore, CuSe ML has a large photon absorption coefficient to the orange light. Our findings suggest that the multifunctional CuSe ML has a variety of applications in electrical-anisotropy-based, NDR-based, and photoelectronic nanodevices. Acknowledgments The work at the University of California at Irvine was supported by the US DOE-BES under Grant DE-FG02-05ER46237. The work at Henan Normal University was supported by the National Natural Science Foundation of China (Grant Nos. 11774079 and U1704136), the CSC (Grant No. 201708410368), the Natural Science Foundation of Henan Province (Grant No. 162300410171), the young backbone teacher training program of Henan province's higher education, the Science Foundation for the Excellent Youth Scholars of Henan Normal University (Grant No. 2016YQ05). We also thank X. Dai at Zhengzhou Normal University and H. Da at Nanjing University Posts and Telecommunications for helpful discussion, and the High-Performance Computing Centre of Henan Normal University. ORCID Yipeng An: 0000-0001-5477-4659 Ruqian Wu: 0000-0002-6156-7874 Hui Wang: 0000-0001-9972-2019 Tianxing Wang: 0000-0003-3659-8801 13 References [1] Novoselov K S, Geim A K, Morozov S V, Jiang D, Zhang Y, Dubonos S V, Grigorieva I V and Firsov A A 2004 Electric Field Effect in Atomically Thin Carbon Films Science 306 666−9 [2] Zhao J, Liu H, Yu Z, Quhe R, Zhou S, Wang Y, Liu C C, Zhong H, Han N, Lu J, Yao Y and Wu K 2016 Rise of silicene: A competitive 2D material Prog. Mater. Sci. 83 24−151 [3] Feng B, Ding Z, Meng S, Yao Y, He X, Cheng P, Chen L and Wu K 2012 Evidence of Silicene in Honeycomb Structures of Silicon on Ag(111) Nano Lett. 12 3507 -- 11 [4] Vogt P, De Padova P, Quaresima C, Avila J, Frantzeskakis E, Asensio M C, Resta A, Ealet B and Le Lay G 2012 Silicene: Compelling Experimental Evidence for Graphenelike Two-Dimensional Silicon Phys. Rev. Lett. 108 155501 [5] Liao Y, Chen Z, Connell J W, Fay C C, Park C, Kim J-W and Lin Y 2014 Chemical Sharpening, Shortening, and Unzipping of Boron Nitride Nanotubes Adv. Funct. Mater 24 4497−506 [6] Zeng H, Zhi C, Zhang Z, Wei X, Wang X, Guo W, Bando Y and Golberg D 2010 "White Graphenes": Boron Nitride Nanoribbons via Boron Nitride Nanotube Unwrapping Nano Lett. 10 5049−55 [7] Splendiani A, Sun L, Zhang Y, Li T, Kim J, Chim C-Y, Galli G and Wang F 2010 Emerging Photoluminescence in Monolayer MoS2 Nano Lett. 10 1271 -- 5 [8] Mak K F, Lee C, Hone J, Shan J and Heinz T F 2010 Atomically Thin MoS2: A New 14 Direct-Gap Semiconductor Physi. Rev. Lett. 105 136805 [9] Li L, Yu Y, Ye G J, Ge Q, Ou X, Wu H, Feng D, Chen X H and Zhang Y 2014 Black phosphorus field-effect transistors Nature Nanotechnol. 9 372−7 [10] Zhang J L, Zhao S, Han C, Wang Z, Zhong S, Sun S, Guo R, Zhou X, Gu C D, Yuan K D, Li Z and Chen W 2016 Epitaxial Growth of Single Layer Blue Phosphorus: A New Phase of Two-Dimensional Phosphorus Nano Lett. 16 4903−8 [11] Naguib M, Kurtoglu M, Presser V, Lu J, Niu J, Heon M, Hultman L, Gogotsi Y and Barsoum M W 2011 Two-Dimensional Nanocrystals Produced by Exfoliation of Ti3AlC2 Adv. Mater. 23 4248−53 [12] Naguib M, Mashtalir O, Carle J, Presser V, Lu J, Hultman L, Gogotsi Y and Barsoum M W 2012 Two-Dimensional Transition Metal Carbides ACS Nano 6 1322−31 [13] Wu X, Dai J, Zhao Y, Zhuo Z, Yang J and Zeng X C 2012 Two-dimensional Boron Monolayer Sheets ACS Nano 6 7443 -- 53 [14] Zhou X-F, Dong X, Oganov A R, Zhu Q, Tian Y and Wang H-T 2014 Semimetallic Two-dimensional Boron Allotrope with Massless Dirac Fermions Phys. Rev. Lett. 112 085502 [15] Mannix A J, Zhou X-F, Kiraly B, Wood J D, Alducin D, Myers B D, Liu X, Fisher B L, Santiago U, Guest J R, Yacaman M J, Ponce A, Oganov A R, Hersam M C and Guisinger N P 2015 Synthesis of Borophenes: Anisotropic, Two-dimensional Boron Polymorphs Science 350 1513 -- 6 [16] Feng B, Zhang J, Zhong Q, Li W, Li S, Li H, Cheng P, Meng S, Chen L and Wu K 2016 Experimental Realization of Two-dimensional Boron Sheets Nature Chem. 8 15 563 -- 8 [17] Ma F, Jiao Y, Gao G, Gu Y, Bilic A, Chen Z and Du A 2016 Graphene-like Two-Dimensional Ionic Boron with Double Dirac Cones at Ambient Condition Nano Lett. 16 3022−8 [18] Kou L, Ma Y, Tang C, Sun Z, Du A and Chen C 2016 Auxetic and Ferroelastic Borophane: A Novel 2D Material with Negative Possion's Ratio and Switchable Dirac Transport Channels Nano Lett. 16 7910−4 [19] Li J, Wei Y, Fan X, Wang H, Song Y, Chen G, Liang Y, Wang V and Kawazoe Y 2016 Global minimum of two-dimensional FeB6 and an oxidization induced negative Poisson's ratio: a new stable allotrope J. Mater. Chem. C 4 9613 -- 21 [20] Gong S-J, Gong C, Sun Y-Y, Tong W-Y, Duan C-G, Chu J-H and Zhang X 2018 Electrically induced 2D half-metallic antiferromagnets and spin field effect transistors PNAS 115 8511−6 [21] An Y P, Zhang M J, Wu D P, Fu Z M and Wang K 2016 The electronic transport properties of transition-metal dichalcogenide lateral heterojunctions J. Mater. Chem. C 4 10962 -- 6 [22] Wu D-D, Fu H-H, Liu Q-B and Wu R 2018 How to realize the spin-Seebeck effect with a high spin figure of merit in magnetic boron -- nitrogen nanoribbon and nanotube structures? J. Mater. Chem. C 6 10603−10 [23] Fan Z-Q, Jiang X-W, Chen J and Luo J-W 2018 Improving Performances of In-Plane Transition-Metal Dichalcogenide Schottky Barrier Field-Effect Transistors ACS Applied Materials & Interfaces 10 19271−7 16 [24] Ding G, Wang C, Gao G, Yao K, Dun C, Feng C, Li D and Zhang G 2018 Engineering of charge carriers via a two-dimensional heterostructure to enhance the thermoelectric figure of merit Nanoscale 10 7077−84 [25] Choi H K, Park J, Myoung N, Kim H-J, Choi J S, Choi Y K, Hwang C-Y, Kim J T, Park S, Yi Y, Chang S K, Park H C, Hwang C, Choi C-G and Yu Y-J 2017 Gas molecule sensing of van der Waals tunnel field effect transistors Nanoscale 9 18644−50 [26] Chow W L, Yu P, Liu F, Hong J, Wang X, Zeng Q, Hsu C-H, Zhu C, Zhou J, Wang X, Xia J, Yan J, Chen Y, Wu D, Yu T, Shen Z, Lin H, Jin C, Tay B K and Liu Z 2017 High Mobility 2D Palladium Diselenide Field-Effect Transistors with Tunable Ambipolar Characteristics Adv. Mater. 29 1602969 [27] Gao L, Sun J-T, Lu J-C, Li H, Qian K, Zhang S, Zhang Y-Y, Qian T, Ding H, Lin X, Du S and Gao H-J 2018 Epitaxial Growth of Honeycomb Monolayer CuSe with Dirac Nodal Line Fermions Adv. Mater. 30 1707055 [28] Taylor J, Guo H and Wang J 2001 Ab initio modeling of open systems: Charge transfer, electron conduction, and molecular switching of a C60 device Phys. Rev. B 63 121104(R) [29] Brandbyge M, Mozos J-L, Ordejón P, Taylor J and Stokbro K 2002 Density-functional method for nonequilibrium electron transport Phys. Rev. B 65 165401 [30] Soler J M, Artacho E, Gale J D, García A, Junquera J, Ordejón P and Sánchez-Portal D 2002 The SIESTA method for ab initio order-N materials simulation J. Phys.: Condens. Matter. 14 2745 17 [31] Perdew J P, Chevary J A, Vosko S H, Jackson K A, Pederson M R, Singh D J and Fiolhais C 1992 Atoms, Molecules, Solids, and Surfaces: Applications of the Generalized Gradient Approximation for Exchange and Correlation Phys. Rev. B 46 6671 -- 87 [32] Perdew J P, Burke K and Ernzerhof M 1996 Generalized Gradient Approximation Made Simple Phys. Rev. Lett. 77 3865 -- 8 [33] Schlipf M and Gygi F 2015 Optimization Algorithm for the Generation of ONCV Pseudopotentials Comput. Phys. Commun. 196 36 -- 44 [34] Heyd J, Scuseria G E and Ernzerhof M 2003 Hybrid functionals based on a screened Coulomb potential J. Chem. Phy. 118 8207 -- 15 [35] Xiao H, Tahir-Kheli J and Goddard W A 2011 Accurate Band Gaps for Semiconductors from Density Functional Theory J. Phy. Chem. Lett. 2 212 -- 7 [36] Tang Q, Zhou Z and Chen Z 2013 Graphene-related nanomaterials: tuning properties by functionalization Nanoscale 5 4541 -- 83 [37] Büttiker M, Imry Y, Landauer R and Pinhas S 1985 Generalized Many-channel Conductance Formula with Application to Small Rings Phys. Rev. B 31 6207 -- 15 [38] An Y, Jiao J, Hou Y, Wang H, Wu D, Wang T, Fu Z, Xu G and Wu R 2018 How does the electric current propagate through fully-hydrogenated borophene? Phys. Chem. Chem. Phys. 20 21552−6 [39] An Y, Sun Y, Zhang M, Jiao J, Wu D, Wang T and Wang K 2018 Tuning the electronic structures and transport properties of zigzag blue phosphorene nanoribbons IEEE Trans. Electron Devices 65 4646 -- 51 18 [40] Shukla V, Grigoriev A, Jena N K and Ahuja R 2018 Strain controlled electronic and transport anisotropies in two-dimensional borophene sheets Phys. Chem. Chem. Phys. 20 22952 -- 60 [41] An Y, Jiao J, Hou Y, Wang H, Wu R, Liu C, Chen X, Wang T and Wang K 2019 Negative differential conductance effect and electrical anisotropy of 2D ZrB2 monolayers J. Physics: Condensed Matter 31 065301 [42] Burr G W, Shenoy R S, Virwani K, Narayanan P, Padilla A, Kurdi B and Hwang H 2014 Access devices for 3D crosspoint memory J. Vac. Sci. Technol. B 32 040802 [43] Matthew D P and Williams R S 2013 Phase transitions enable computational universality in neuristor-based cellular automata Nanotech. 24 384002 [44] Kumar S, Strachan J P and Williams R S 2017 Chaotic dynamics in nanoscale NbO2 Mott memristors for analogue computing Nature 548 318 [45] Leon C 2013 Memristor, Hodgkin -- Huxley, and Edge of Chaos Nanotech. 24 383001 [46] Abdallah R M, Dessouki A A S and Aly M H 2018 The Resonant Tunneling Diode characterization for high frequency communication systems Microelectron. J. 75 1 -- 14 [47] Xu B and Dubi Y 2015 Negative differential conductance in molecular junctions: an overview of experiment and theory J. Phys.: Condens. Matter. 27 263202 [48] Grobis M, Wachowiak A, Yamachika R and Crommie M F 2005 Tuning Negative Differential Resistance in a Molecular Film Appl. Phys. Lett. 86 204102 [49] Zhou J F, Samanta S, Guo C L, Locklin J and Xu B Q 2013 Measurements of contact specific low-bias negative differential resistance of single metalorganic molecular junctions Nanoscale 5 5715 -- 9 19 [50] Solomon G C, Herrmann C, Hansen T, Mujica V and Ratner M A 2010 Exploring local currents in molecular junctions Nature Chem. 2 223 -- 8 [51] Castro Neto A H, Guinea F, Peres N M R, Novoselov K S and Geim A K 2009 The electronic properties of graphene Rev. Mod. Phys. 81 109 -- 62 [52] Xia F, Mueller T, Lin Y-m, Valdes-Garcia A and Avouris P 2009 Ultrafast graphene photodetector Nature Nanotech. 4 839−43 20
1709.06728
3
1709
2018-08-27T17:39:41
Ponderous impurities in a Luttinger liquid
[ "cond-mat.mes-hall" ]
In this work, analytical expressions for the Green function of a Luttinger liquid are derived with one and two mobile impurities (heavy particles) using a combination of bosonization and perturbative approaches. The calculations are done in the random phase approximation (RPA) limit using the powerful non-chiral bosonization technique (NCBT) which is nothing but the resummation of the most singular parts of the RPA terms of the Green function expanded out in powers of the forward scattering between fermions with the source of inhomogeneities treated exactly. The force acting on the heavy particle(s) is studied as a function of its terminal velocity, both in the linear and non-linear regime. Linear mobility (which is valid for impurities moving much slower than a certain cross-over speed) has a power-law temperature dependence whose exponent has a closed algebraic expression in terms of the various parameters in the problem. This expression interpolates between the ballistic regime of no-coupling with the fermions and the no-tunneling regime. When the speed of the impurity is much larger than this cross-over speed, the applied force depends non linearly on the speed and this too is a power-law with a closely related exponent. The case of two mobile impurities is also studied whose mobility exhibits peculiar resonances when their mutual separation is appropriately chosen.
cond-mat.mes-hall
cond-mat
a Ponderous impurities in a Luttinger liquid Joy Prakash Das and Girish S. Setlur∗ Department of Physics Indian Institute of Technology Guwahati Guwahati, Assam 781039, India In this work, analytical expressions for the Green function of a Luttinger liquid are derived with one and two mobile impurities (heavy particles) using a combination of bosonization and perturbative approaches. The calculations are done in the random phase approximation (RPA) limit using the powerful non-chiral bosonization technique (NCBT) which is nothing but the resummation of the most singular parts of the RPA terms of the Green function expanded out in powers of the forward scattering between fermions with the source of inhomogeneities treated exactly. The force acting on the heavy particle(s) is studied as a function of its terminal velocity, both in the linear and non-linear regime. Linear mobility (which is valid for impurities moving much slower than a certain cross-over speed) has a power-law temperature dependence whose exponent has a closed algebraic expression in terms of the various parameters in the problem. This expression interpolates between the ballistic regime of no-coupling with the fermions and the no-tunneling regime. When the speed of the impurity is much larger than this cross-over speed, the applied force depends non linearly on the speed and this too is a power-law with a closely related exponent. The case of two mobile impurities is also studied whose mobility exhibits peculiar resonances when their mutual separation is appropriately chosen. I. INTRODUCTION One of the major themes in condensed matter physics is the study of the effect of impurities in quantum sys- tems. Various types of impurities are studied in the ex- isting literature : Coulombic impurities [1] and Kondo impurities [2, 3] to name a few. Impurities in solid state systems are commonly immobile and are considered as static perturbations. On the other hand, mobile impuri- ties are more typically studied in fluidic systems [4]. The well-known example in this regard would be the motion of a heavy particle in a three dimensional quantum fluid [5, 6]. The presence of an impurity can bring drastic changes to interacting systems, especially if the systems are one dimensional [7], whose physics is quite different from higher dimensional systems, better described by the Luttinger liquid model [8]. With the advancement in micro/nano-fabrication [9], which has made the realiza- tion of 1D systems practical, there has been a growing interest towards problems concerned with the Tomonaga Luttinger (TL) liquids, the problem of an impurity in a TL liquid being one of them. The transport properties of TL liquids with localized impurities have been rigorously studied using bosonization, renornmalization group and other theoretical tools [7, 10, 11]. More recently, the study of mobile impurities in one dimensional quantum liquids has been an active area of research[12 -- 17]. Castro-Neto and Fisher [12] studied the dynamics of a heavy particle in a Luttinger liquid with repulsive interactions, besides analyzing the temperature dependence of the mobility of the particle. Caldeira and Castro-Neto computed the damping constant of a heavy ∗ [email protected] particle, coupled to fermionic and bosonic environments in 1D [13]. Tsukamoto et al. obtained the exact crit- ical exponents of various correlation functions of a TL liquid with a mobile impurity as functions of the impu- rity mass and momentum [14]. Fukuhara et al. studied the quantum dynamics of a spin impurity as it propa- gates in a 1D lattice [15]. Astrakharchik and Pitaevskii [16] predicted a power-law dependence of the drag force on a moving heavy impurity within Luttinger liquid on its velocity for small velocities. Girardeau and Minguzzi studied the problem of a moving impurity of finite mass in a 1D gas of hardcore bosons, also known as the TG (Tonks-Girardeau) gas[18]. In the work done by Mathy et al. [17], a phenomenon called quantum flutter was de- scribed for an impurity injected with finite momentum into a 1D quantum liquid. Schecter et al. realized that a constant force acting on an impurity in a 1D liquid leads to Bloch oscillations of the impurity around a fixed point, followed by energy release in the form of phonons [19]. Lychkovskiy studied mobile impurities in a 1D quantum fluid at zero temperature and concluded that the velocity of the impurity at infinite time does not vanish at zero temperature, which is not the case at finite temperature [20, 21]. In this work, the Green functions of a Luttinger liquid in presence of a slowly moving heavy particle (or a pair of them) is calculated using a combination of perturba- tive approaches and the non-chiral bosonization method [22, 23]. Using the Green function, the force acting on the heavy particle is calculated in terms of its terminal velocity, both in the linear and non-linear regime. Mobil- ity is calculated for the linear regime, shedding light on temperature dependence and mutual interaction strength between the fermions. Our results are qualitatively con- sistent with the highly cited work on the subject [12] both at low and high temperatures. Our findings are also consistent with the impenetrable impurity moving ballistically (mobility diverges) at low temperatures so long as the fermions are mutually repelling. At higher temperatures small compared to the Fermi energy the mobility saturates to a constant value. II. SYSTEM DESCRIPTION AND METHOD OF SOLUTION Consider a Luttinger liquid in one dimension with for- ward scattering short range mutual interactions [24] in the presence of a heavy particle (impurity) moving with speed small compared with the Fermi velocity vF . The full generic-Hamiltonian of the system(s) under study (before approaching the RPA limit) is (are), H = (cid:90) ∞ −∞ 1 2 + (cid:18) (cid:90) ∞ −∞ − 1 2m (cid:48) dx x + V0δ(x − X(t)) ∂2 v(x − x (cid:48) ) ρ(x)ρ(x ) (cid:48) (cid:19) ψ(x) (1) dx ψ†(x) (cid:90) ∞ dx −∞ (cid:48) (cid:48) (cid:80) q e−iq(x−x ) = 1 L where V0δ(x − X(t)) is the potential due to the impu- rity at position X(t) and v(x − x )vq (where vq = 0 if q > Λ for some fixed Λ (cid:28) kF and vq = v0 is a constant, otherwise) is the forward scat- tering mutual interaction. It is necessary to confine the study to the so-called RPA limit which means, among other things, working in the limit where the Fermi mo- mentum and the mass of the fermion diverge in such a way that their ratio is finite (i.e. kF , m → ∞ but kF /m = vF < ∞: units that make  = 1, so that kF is both the Fermi momentum as well as a wavenumber, are used). This amounts to linearizing the energy mo- mentum dispersion near the Fermi surface and thereby leading to a feasible analytical solution. The obvious method for studying this system is to ob- serve that a mobile impurity moving with a speed much lower than the Fermi velocity may be regarded as be- ing stationary to the lowest order approximation. The fermion Green function (with or without mutual inter- actions between fermions - in the former case, using the non-chiral bosonization [22, 23]) is computed with this as- sumption. In order to incorporate the effects of the non- zero speed of the impurity, an iteration of the relevant equations is performed wherein the zeroth order station- ary Green functions are employed in order to compute leading corrections due to the finite speed of the impurity. The general Dyson's equation for the full Green func- tion denoted by G(x, x(cid:48); t, t(cid:48)) ≡< T ψ(x, t)ψ†(x(cid:48), t(cid:48)) > in terms of its counterpart GSCh(x, x(cid:48); t, t(cid:48)) that assumes the impurity(s) is(are) stationary at(near) the origin is (here C is the Keldysh contour), G(x, x(cid:48); t, t(cid:48)) = GSCh(x, x(cid:48); t − t(cid:48)) + V0 ×(cid:16) (cid:48)(cid:48) (cid:48)(cid:48) GSCh(x, X(t ); t − t − GSCh(x, 0; t − t (cid:48)(cid:48) C (cid:48)(cid:48) dt ), x(cid:48); t )G(X(t )G(0, x(cid:48); t , t(cid:48)) (cid:48)(cid:48) (cid:48)(cid:48) (cid:48)(cid:48) (cid:17) , t(cid:48)) Keeping in mind that the speed of the mobile impurity is small compared to the Fermi velocity, the leading ap- proximation to the above full Green function would be, (cid:90) (cid:90) C 2 (2) (3) G(x,x(cid:48); t, t(cid:48)) ≈ GSCh(x, x(cid:48); t − t(cid:48)) + V0 ×(cid:16) (cid:48)(cid:48) GSCh(x, X(t ); t − t − GSCh(x, 0; t − t (cid:48)(cid:48) (cid:48)(cid:48) )GSCh(X(t )GSCh(0, x(cid:48); t (cid:48)(cid:48) (cid:48)(cid:48) dt ), x(cid:48); t (cid:48)(cid:48) , t(cid:48)) (cid:48)(cid:48) (cid:17) , t(cid:48)) Having obtained this, the force acting on the impurity may be computed as follows, FX = − V0 (cid:19) (cid:18) d (cid:19) (cid:18) d dx < ρ(x, t) > x=X(t) =V0 G<(x, x; t, t) dx x=X(t) where G> and G< are the advanced and retarded Green functions respectively and G(x, x(cid:48), t, t(cid:48)) = θ(t − t(cid:48))(cid:104)ψ(x, t)ψ†(x(cid:48), t(cid:48))(cid:105)−θ(t(cid:48)−t)(cid:104)ψ†(x(cid:48), t(cid:48))ψ(x, t)(cid:105). The RPA Green function with and without mutual forward scatter- ing interactions between fermions with stationary impu- rities has been computed in a couple of recent works using the NCBT [22, 23]. A. Non chiral bosonization technique As in conventional bosonization schemes, the fermionic field operator is expressed in terms of currents and den- sities, although the field operator is now modified to in- clude the effect of back-scattering by impurities. sgn(x)∞ ρs(−y,σ,t)dy ψν(x, σ, t) ∼ eiθν (x,σ,t)+2πiλν(cid:82) x (4) Here θν is the local phase which is a function of the cur- rents and densities (ρs) which is also present in the stan- dard bosonization schemes [24], which typically works for homogeneous systems. The new addition is the ρs(−y) term which ensures the necessary trivial exponents for the single particle Green functions for a system of other- wise free fermions with impurities. Here ν can be 1 or -1 depending on right movers or left movers and λ is either 0 or 1. Thus the standard bosonization scheme can be obtained by setting λ = 0. The factor 2πi ensures that the fermion commutation rules are preserved. This field operator (annihilation), to be treated as a mnemonic to obtain the Green functions and not as an operator iden- tity, is clubbed together with another field operator (cre- ation) to obtain the two-point functions, the details being described in an earlier work [22]. When mutual interactions between fermions are ab- sent, it is well known that the mobility is infinite (ballistic motion) for a homogeneous system. Upon introducing an impurity, the mobility gradually diminishes with increas- ing strength of the impurity and saturates to minimum non-zero value when tunneling across the impurity is for- bidden. The NCBT is able to interpolate between these two extremes. The interesting question is how these ex- pectations are modified upon inclusion of mutual interac- tions between fermions. The finding is that the mobility is a power law in the temperature with an analytically computable characteristic exponent so long as the speed of the impurity is much smaller than a certain cross-over speed. Alternatively, for a given applied force, the force is proportional to the terminal speed only when the tem- perature is much larger than a certain cross-over tem- perature (whose value is fixed by the applied force). For temperatures much lower than this scale, the linear mo- bility concept is no longer valid. All these assertions will be explicitly demonstrated in the discussion that follows. III. ANALYSIS AND COMPUTATIONS The analytical expressions for the Green functions of a Luttinger liquid in presence of one and two scalar impuri- ties obtained in the cited central work [22] of the present authors is heavily relied upon in the present work. The technique of non-chiral bosonization used in this work provides an analytical expression for the most singular part of the RPA Green function of such systems which also happens to exhibit power law behavior analogous to homogeneous Luttinger liquids. In the RPA limit, the assertion is: X(t) → 0 even as kF → ∞ so that kF X(t) < ∞. Hence quantities such as eikF X(t) that appear repeatedly in the calculations now make sense. The ansatz that amounts to asserting that the impurity executes a simple harmonic motion about the origin X(t) = vX ω sin(ωt) is used where vX is the maximum drift (steady state) velocity of the particle in response to an weak external force that is applied on it. The frequency ω could represent one of two things - a) if the applied force is sinusoidal in time, it is the frequency of the applied force b) if the force is indepen- dent of time, then ω ∼ T which is the temperature of the system. When the particle is moving very slowly i.e. kF X(t) (cid:28) 1 in other words kF vX T (cid:28) 1, calculations are ) ≈ 1 + ikF X(t done using the approximation eikF X(t ) which is inserted into the right hand side of eq. (3). This shows that the terminal speed is proportional to the applied force and the coefficient of proportionality is (cid:48)(cid:48) (cid:48)(cid:48) 3 a power law in the dominant energy scale in this problem viz. temperature T, in this case. When the particle is not moving slowly i.e. kF vX T (cid:29) 1, T (cid:48)(cid:48) (cid:48)(cid:48) (cid:48)(cid:48) sin(T t ) = ei kF vX (cid:48)(cid:48) (cid:28) 2π (cid:48)(cid:48) it is not possible to expand in this fashion, but since eikF X(t ) where T is temperature in frequency units, this term rapidly oscillates and averages T . In this case we may write, out to zero unless t ) ∼ eikF vX t eikF X(t while performing the integral means the integrals in eq. (3) are going to be a power-law in the dominant energy scale in the problem which is now kF vX rather than temperature. This is the reason for the nonlinear power-law dependence of the force on the terminal velocity when kF vX (cid:29) T . and rescaling t (cid:48)(cid:48) For a single mobile impurity, the externally applied force FX on the impurity may be related to the drift velocity vX quite easily. When fermions are not mutually interacting with one another this relation is FX = 0 k2 2V 2 F 0 + v2 π (V 2 F ) vX (5) where V0 is the strength of the coupling between the heavy particle and the fermions. Mobility µ is defined as the ratio between the terminal velocity of the impu- rity and the force acting on it so that, π(cid:0)V 2 (cid:1) µ = 0 + v2 F 2V 2 0 k2 F (6) [12]. The mobility diverges when the coupling between the im- purity and the fermions vanishes, implying ballistic mo- tion of the impurity i.e. the impurity accelerates in re- sponse to the external force rather than reaching a ter- minal velocity. Conversely, when the coupling diverges - which means no tunneling of fermions through the impu- rity is permitted, the mobility saturates to its minimum value of µ0 = π 2k2 F Two mobile impurities may be studied by observing that since both are slowly moving, the leading approx- imation would have only one of them moving and the other fixed so that the applied force would be propor- tional to the drift velocity of the moving impurity. In general it may be surmised that F = const.vX +const.v2 X where the second term is the small correction to the mo- bility of one of the moving impurity in response to the motion of the second impurity which may be neglected. The mobility of a moving impurity in presence of another at a distance a = ξ0 (where ξ0 is a tunable dimensionless kF parameter) is, (cid:16) µ =µ0 0 (2V 2 V 2 v2 F 0 sin2[ξ0] − v2 0 (V0 sin(ξ0) cos(ξ0) + vF )2(cid:17) (2V 2 F )2 + 4V 2 0 sin2[ξ0] − v2 F )2 (7) where µ ≥ µ0 teracting. v2 F V 2 0 and the fermions are not mutually in- In presence of a finite number of identical impurities, the reflection and transmission amplitudes of fermions may be parametrized as follows: R = sin(θ)eiφ and T = i cos(θ)eiφ which is consistent with known identities such as T2 + R2 = 1 and RT ∗ = −R∗T . The mobility then evaluates to a simple expression (µ0 has been defined previously), µ = µ0 csc2 φ (8) The dependence of the mobility on the phase (φ) is de- picted in fig. (1). The minimum mobility is µ0 which cor- responds to the situation where no tunneling across the impurities is allowed. The maximum mobility is infinite which corresponds to the ballistic motion of the impurity which happens in the trivial situation when the coupling between the impurity and the fermions vanishes. The in- teresting question is, does it also happen when there are appropriate resonances? In the two impurity case, an ex- amination of the formula in eq. (6) suggests that ballistic motion of the impurities in response to an applied force vF√ may be expected to be seen when V0 = 2sin(ξ0) , in which case the mobility diverges, but for the most part, the motion of the impurities is heavily damped by the fermions in the background. Also, when sin(ξ0) = 0, the two-impurity system resembles the single impurity sys- tem where there is no possibility of ballistic motion of impurities for non-zero V0. The other extreme is when the strength of the impurities tends to infinity (V0 → ∞) when the mobility tends to a non-zero minimum value of π for a single impurity. Of special interest is the dou- 2k2 F ble impurity system where the mobility vanishes when V0 → ∞, provided sin(ξ0) (cid:54)= 0 as may be seen from equation (6). This means that when tunneling through the impurities is forbidden, their mobilities vanish except when the distance between them is an integral multiple of half a Fermi wavelength. Since the dependence of the phase angle φ on V0 and ξ0 in the two-impurity case is complicated, it is better to vi- sualize the dependence of the mobility on these variables more directly through the following 3D plot in fig. 2. The explicit dependence of the phase angle φ on V0 and Figure 1. Plot of the mobility vs phase φ for the general case of a finite number of heavy particles. 4 Figure 2. µ µ0 versus V0 vF and ξ0 for a two-impurity system ξ0 for a two impurity system may be expressed as follows. sin2(φ) = 0 sin2(ξ0) − v2 (2V 2 0 (vF cos (ξ0) + V0 sin (ξ0))2) F )2 (v4 F + 4V 2 IV. MOBILITY OF A SINGLE AND TWO-IMPURITY SYSTEM IN A LUTTINGER LIQUID When mutual interactions between the fermions are in- cluded, it is well-known that the low temperature prop- erties of these systems are qualitatively different from those of free fermions. They form what is known as a Luttinger liquid. In earlier works [22, 23], the present authors have shown that the most singular part of the RPA Green function of Luttinger liquids in presence of barriers or wells is a discontinuous function of the height of the barriers for small barrier heights. Thus the limit of small barriers (large tunneling amplitudes) may not be usefully studied by the traditional approaches that invoke a perturbation theory around the homogeneous Luttinger liquid starting point. In fact the present ap- proach which is based on a non-standard harmonic anal- ysis of the fast part of the density fluctuations is uniquely suited to study impurity systems as it allows for an ana- lytical interpolation between the weak link and the weak barrier extreme limits unlike the traditional approaches that fall well short of providing explicit expressions for the exponents associated with the mobility in the general cases and instead rely on tentative renormalization flow analyses. It suffices to state that the analytical expressions for the Green functions for Luttinger liquids in presence of barriers and wells derived in a recent work [22] are bor- rowed and used as input to compute the mobility of one and two impurities using the algorithm outlined in Sec.II of the present work. This leads to the following formula for the external force acting on the impurity FX in terms of the drift velocity vX . FX ∼ µ−1∗ ωα vX where µ∗ is the mobility of the corresponding system with 5 no mutual interactions between fermions and, α = Min(α1, α2) The explicit values of α1 and α2 both for single and two impurities are given in Appendix I. Here α1 is the dy- namical density of states (DDOS) exponent at the origin when the two (spatial) points of the two-point function are assumed to merge with the origin from the same side while α2 is that from the opposite sides. Both these ap- pear in the analysis since in the defining equation for the Green function viz. eq. (3), even if x = x(cid:48), the heavy particle can be found on either side of x = x(cid:48). As pointed out earlier, ω is the dominant energy scale T (cid:28) 1 T (cid:29) 1. Roughly speaking that corresponds to temperature as long as kF vX but ω would correspond to the energy scale set by the drift velocity viz. vX kF if kF vX it should not matter whether the drift velocity or the externally applied force is used to pin down the second energy scale (other than temperature), these two notions are interchangeable as long as α (cid:28) 1. The present study is limited to regions where this condition is obeyed. Thus the force acting on the heavy particle is explicitly expressed as follows. (cid:20) (cid:18) kF vX vF Λ , T vF Λ (cid:19)(cid:21)M in(α1,α2) FX = µ−1∗ M ax vX (9) Figure 3. Plot of FX is constant FXc for a given temperature. The dotted lines signify regions that interpolate between regimes that are easily amenable to ana- lytical approaches. where vXc = T kF vs vX vXc These formulas may also be described diagrammatically as in fig. (4). An examination of fig. (5) shows that Here vX is the drift velocity of the heavy particle(s) when acted upon by a force FX . The cross-over speed which provides a scale that separates the regime of linear de- pendence on the applied force and the nonlinear regime is clearly, vXc = T . The force on the particle moving kF with this cross-over speed is the cross-over force (cid:21)M in(α1,α2) (cid:20) T vF Λ FXc = µ−1∗ vXc Figure 4. Variation of the cross-over force scale on tempera- ture for different choices of the sign of α. where ΛvF is the band-width mentioned earlier. fig. (3) shows the variation of the force on the heavy particle as a function of the drift velocity (rescaled appropriately to make them both, dimensionless). From fig. (3), it is clear that when vX (cid:28) vXc = T , the force varies linearly with drift velocity, the ratio being the inverse of mobility. On the other hand when vX (cid:29) vXc, the force varies non-linearly with the drift velocity and the curvature is decided by the sign of the exponent α. kF and kF FXc ΛFvF The dependence of the cross-over scales themselves on temperature may also be studied. Consider the dimen- sionless quantities kF vXc where FvF is the ΛvF hypothetical force extrapolated from the above formulas which would naively correspond to the force acting on a heavy particle whose drift velocity is the Fermi velocity vF (there is no valid physics here - this is just a scale to render dimensional quantities, dimensionless). It is per- tinent to examine the dependence of these quantities on the dimensionless temperature g = T . From the above ΛvF formulas it is clear that, for negative values of α, the mobility decreases from a maximum value with an increase in temperature while for positive values of α, it increases from a minimum value with an increase in temperature, while both of them tend to converge to the value of the non-interacting case. As Figure 5. Ratio of mobility in the linear regime in presence of interactions to that without interactions vs g (temperature). kF FXc ΛFvF = g1+α; kF vXc ΛvF = g (10) mentioned earlier, the force acting on the heavy particle ΛvF is expressed as a power-law in terms of the energy scale 'ω' which is expressed in units of the bandwidth ΛvF is dimensionless and is always less than such that unity. The expression for force obtained from the Green function is originally a linear combination of terms with different powers of and thus the dominant term is the one with the smallest exponent, which explains the choice of α as the minimum of α1 and α2 in equation (9). ΛvF ω ω It is important to analyze the exponent α since ear- (a) (b) Figure 6. Plots of the exponent α for (a) Single impurity system and (b) Two-impurity system as a function of the im- purity strenth V0 and the strength of the mutual interactions v0 (setting vF = 1 and ξ0 = π/2 + nπ for two impurity case where n is an integer). 6 Figure 7. Ratio of mobility in the linear regime in presence of interactions to that without interactions vs g (tempera- ture) and the strength of the mutual interactions v0 when the strength of impurities diverge (no-tunneling case). lier plots show that the sign of α is quite important in determining the qualitative behavior of the applied force versus terminal velocity. In general, α can be positive or negative or even vanish altogether while its absolute value is sufficiently less than unity. From the plots in fig. (6) it is observed that both for single and double impurity, α tends to take positive values for repulsive interactions and thus the mobility, as observed from fig. (5), decreases with an increase in temperature which is consistent with the literature [12, 25]. On the other hand for attrac- tive interactions, α takes negative values which indicates an increase in mobility with an increase in temperature. The two-impurity system shows some interesting physics in the behavior of the exponents as well. The plot in fig. (6b) is given for ξ0 = π/2 but it is observed that the exact same plot is obtained for ξ = π/2 + nπ where n is an integer. This indicates that the mobility oscillates as a function of ξ0 with a period of π. Finally the mobility is studied when tunneling through the impurities is forbidden (V0 → ∞). In this case the ex- ponent α vanishes for repulsive interactions and becomes equal to (vh − vF )/vF for attractive interactions both for the double and the single impurity. The double impurity is of lesser importance here because, as already discussed earlier, the mobility vanishes for double impurity in this situation except when ξ0 = nπ. The variation of mo- bility is shown in fig. (7) as a function of the forward scattering strength v0 and temperature g (= kT ΛvF ). Generally, fermions that are mutually attracting tend to mitigate the effect of an impurity [7] ("heal the chain"). A weak impurity in turn implies a tendency to- ward ballistic mobility or at least increased mobility. At higher temperatures, attractive fermions become better at mitigating the effect of the impurity hence the mobility increases when temperature increases. Conversely for fermions that are mutually repelling, there is a tendency to aggravate the effect of the im- purity [7] ("cut the chain"). However, when the impu- rity strength is already strong enough to prevent tun- neling through the impurity, the mutual repulsion of the fermions do not do anything to the mobility as it is al- ready the minimum value it can be. Hence in this situ- ation the mobility is independent of temperature. When tunneling is allowed in the repulsive case, mobility de- creases with increasing temperature as it would have done had the barrier strength increased instead. V. COMPARISON WITH EXISTING STUDIES The highly cited work on the subject by Castro-Neto et al. [12] considers a.c. mobility. When the applied force is a.c., the terminal velocity is also a.c. and proportional to the applied force right down to absolute-zero temper- ature. This is not the case in the present work where we consider a d.c. applied force. At temperatures small compared to the Fermi energy when fermion-fermion in- teractions are ignored, it is well-known that the mobility of a heavy particle is temperature independent. This is clearly stated in [12], and their µ0 is the same as ours - indeed we simply borrowed this well-known result. At very low temperatures the main prediction of [12] is that the a.c. mobility (d.c. limit of a.c. mobility is not the same as d.c. mobility: this is clearly stated in [12]. We have calculated d.c. mobility and not the d.c. limit of a.c. mobility) diverges as the 4-th power of tempera- ture whereas at high temperatures it is approximately independent of temperature as long as the heavy parti- cle is impenetrable by the mutually repelling fermions. In order to study this limit as best as we can using our manifestly d.c. formulas, we first observe that the ter- minal velocity vX is related to applied d.c. force FX at very low temperatures in the following nonlinear way: FX = µ−1∗ v1+c where c = (v2 0 ) for vh > vF (repulsion be- tween fermions) and c = (vh − vF )/vF for vh < vF (at- traction between fermions), both for an impenetrable im- purity (V0 → ∞). Now the differential mobility is h − v2 F )/(2V 2 X µ = dvX dFX = (1 + c)−1µ∗v−c X The linear mobility is defined as the vX → 0 limit of the differential mobility. µdif f = lim vX→0 (1+c)−1µ∗v−c X = ∞ since c > 0 (repulsion) This is consistent with [12] which says that at very 7 low temperatures the mobility of an impenetrable heavy particle with mutually repelling fermions diverges (motion is ballistic). Conversely at high temperatures, both [12] and our paper predicts a roughly temperature independent linear mobility as long as the impurity is impenetrable by the mutually repelling fermions. The particular result of [12] namely the T −4 law is derived by them by treating the time-dependent spatially inho- mogeneous impurity potential as a small perturbation around the homogeneous Luttinger liquid background. This is because their RG equations show that at low temperature the impurity behaves effectively as if it were much lighter and much more penetrable. Since our formalism is identical to theirs for the homogeneous system, discussing this T −4 law would be a simple duplication of their analysis. Our results are only valid for a fully d.c. externally applied force and hence this is qualitatively different from the situation they consider in the latter half of their paper. Even so, our results also confirm their conclusions namely that the impurity tends to be much more mobile at low temperatures when fermions are mutually repelling than when they are non-interacting. VI. CONCLUSIONS In this work, the Green function of slowly moving im- purities in a Luttinger liquid is obtained using a com- bination of perturbative approach and the non-chiral bosonization technique. The force acting on the heavy particle is calculated as a function of the drift velocity for the non-interacting case and the expression for mobility is calculated. Both the linear and non-linear dependence of the force on the drift velocity has been analytically obtained for systems with forward scattering interactions between the fermions with one or two mobile impurities. Peculiar resonances that are seen in the two-impurity sys- tem have been mapped out. The unique feature of this work is the analytical closed expressions for the expo- nents in terms of the coupling strengths in the problem that interpolate between the ballistic regime on the one hand and the no-tunneling regime on the other. FUNDING A part of this work was done with financial support from Department of Science and Technology, Govt. of India DST/SERC: SR/S2/CMP/46 2009. APPENDIX I: EXPONENTS α1 AND α2 v0 > 0 for repulsive ones. For two identical impurities, 8 For one impurity, (vh − vF )(2V 2 2vF (V 2 α1 = (cid:113) 0 + vF (vh − vF )) 0 + vhvF ) ; α2 = h − v2 v2 2(V 2 0 + vhvF ) F α1 = α2 = where vh = vF , V0 is the strength of the im- purity and v0 is the strength of forward scattering inter- actions such that v0 < 0 for attractive interactions and 1 + 2v0 πvF vh − vF vF + v2 F (v2 F − v2 h) 0 (V0 sin(ξ0) + vF cos(ξ0))2 + v3 2(4V 2 v2 F (v2 h − v2 F ) F vh) 2(4V 2 0 (V0 sin(ξ0) + vF cos(ξ0))2 + v3 F vh) with ξ0 = kF a where a is the distance between the two impurities and kF is the fermi momentum. [1] J. Brum, G. Bastard, and C. Guillemot, Physical Review [14] Y. Tsukamoto, T. Fujii, and N. Kawakami, Physical Re- B 30, 905 (1984). [2] K. Nagaoka, T. Jamneala, M. Grobis, and M. Crommie, Physical review letters 88, 077205 (2002). [3] O. ´Ujs´aghy, J. Kroha, L. Szunyogh, and A. Zawadowski, Physical review letters 85, 2557 (2000). [4] G. Baym and C. Ebner, Physical Review 164, 235 (1967). [5] N. Prokof'ev, Physical review letters 74, 2748 (1995). [6] N. Prokofev, International Journal of Modern Physics B 7, 3327 (1993). view B 58, 3633 (1998). [15] T. Fukuhara, A. Kantian, M. Endres, M. Cheneau, P. Schauss, S. Hild, D. Bellem, U. Schollwock, T. Gia- marchi, C. Gross, et al., Nature Physics 9, 235 (2013). [16] G. Astrakharchik and L. Pitaevskii, Physical Review A 70, 013608 (2004). [17] C. J. Mathy, M. B. Zvonarev, and E. Demler, Nature Physics 8, 881 (2012). [18] M. Girardeau and A. Minguzzi, Physical Review A 79, [7] C. Kane and M. P. Fisher, Physical Review Letters 68, 033610 (2009). 1220 (1992). [19] M. Schecter, D. Gangardt, and A. Kamenev, Annals of [8] F. Haldane, Journal of Physics C: Solid State Physics 14, Physics 327, 639 (2012). 2585 (1981). [9] I. Brodie and J. J. Muray, The physics of micro/nano- fabrication (Springer Science & Business Media, 2013). [10] C. Kane and M. P. Fisher, Physical Review B 46, 15233 (1992). [20] O. Lychkovskiy, Physical Review A 89, 033619 (2014). [21] O. Lychkovskiy, Physical Review A 91, 040101 (2015). [22] J. P. Das, C. Chowdhury, and G. S. Setlur, arXiv preprint arXiv:1608.05826 (2016). [23] J. P. Das and G. S. Setlur, Physica E: Low-dimensional [11] A. Furusaki and N. Nagaosa, Physical Review B 47, 4631 Systems and Nanostructures 94, 216 (2017). (1993). [24] T. Giamarchi, Quantum physics in one dimension, Vol. [12] A. C. Neto and M. P. Fisher, Physical Review B 53, 9713 121 (Oxford university press, 2004). (1996). [25] A. C. Neto and A. Caldeira, Physical Review B 50, 4863 [13] A. Caldeira and A. C. Neto, Physical Review B 52, 4198 (1994). (1995).
1907.01830
1
1907
2019-07-03T10:12:19
Probing defect states in few-layer MoS$_{2}$ by conductance fluctuation spectroscopy
[ "cond-mat.mes-hall", "cond-mat.mtrl-sci", "cond-mat.str-el" ]
Despite the concerted effort of several research groups, a detailed experimental account of defect dynamics in high-quality single- and few-layer transition metal dichalcogenides remain elusive. In this paper we report an experimental study of the temperature dependence of conductance and conductance-fluctuations on several few-layer MoS$_{2}$ exfoliated on hexagonal boron nitride and covered by a capping layer of high-$\kappa$ dielectric HfO$_{2}$. The presence of the high-$\kappa$ dielectric made the device extremely stable against environmental degradation as well as resistant to changes in device characteristics upon repeated thermal cycling enabling us to obtain reproducible data on the same device over a time-scale of more than one year. Our device architecture helped bring down the conductance fluctuations of the MoS$_2$ channel by orders of magnitude compared to previous reports. The extremely low noise levels in our devices made in possible to detect the generation-recombination noise arising from charge fluctuation between the sulphur-vacancy levels in the band gap and energy-levels at the conductance band-edge. Our work establishes conduction fluctuation spectroscopy as a viable route to quantitatively probe in-gap defect levels in low-dimensional semiconductors.
cond-mat.mes-hall
cond-mat
Probing defect states in few-layer MoS2 by conductance fluctuation spectroscopy Department of Physics, Indian Institute of Science, Bangalore 560012, India Suman Sarkar and Aveek Bid∗ Department of Physics, Indian Institute of Technology, Madras, India K. Lakshmi Ganapathi Centre for NanoScience and Engineering, Indian Institute of Science, Bangalore-560012, India Sangeneni Mohan Despite the concerted effort of several research groups, a detailed experimental account of defect dynamics in high-quality single- and few-layer transition metal dichalcogenides remain elusive. In this paper we report an experimental study of the temperature dependence of conductance and conductance-fluctuations on several few-layer MoS2 exfoliated on hexagonal boron nitride and cov- ered by a capping layer of high-κ dielectric HfO2. The presence of the high-κ dielectric made the device extremely stable against environmental degradation as well as resistant to changes in device characteristics upon repeated thermal cycling enabling us to obtain reproducible data on the same device over a time-scale of more than one year. Our device architecture helped bring down the con- ductance fluctuations of the MoS2 channel by orders of magnitude compared to previous reports. The extremely low noise levels in our devices made in possible to detect the generation-recombination noise arising from charge fluctuation between the sulphur-vacancy levels in the band gap and energy- levels at the conductance band-edge. Our work establishes conduction fluctuation spectroscopy as a viable route to quantitatively probe in-gap defect levels in low-dimensional semiconductors. Following the discovery of graphene1, the exploration of the basic physics and technological implications of two- dimensional (2D) materials has gained tremendous im- portance. Though graphene is a system rich in novel physics, the lack of band-gap limits its applications in transistor technology. Transition metal dichalcogenides (TMD) like MoS2 and MoSe2, on the other hand, have band-gaps of the order of eV in the few-layer limit2 mak- ing them ideal for opto-electronic applications 3 -- 5. On the flip-side, the reported mobilities of these TMD based field effect transistor (FET) devices are very low 4,6 and the quoted values vary widely between samples. It is now understood that defect-levels (primarily arising from chalcogenide vacancies) adversely affect the mobilty and optical properties of these TMD-based devices7 -- 10. Despite extensive research, there is no clear under- standing of the underlying defect dynamics in this sys- tem. Traditional transport measurements like current- voltage characteristics and the temperature dependence of the resistance, while providing indications of the exis- tence of defect states, cannot directly probe their ener- getics 9,11. Photoluminescence measurements report the appearance, at low temperatures of an additional peak in the spectrum which is tentatively attributed to transi- tions from a 'defect'-level 12,13, but no direct evidence of this level has been found from optical studies. Trans- mission electron microscopy (TEM) 7,14 -- 17 and scan- ning tunnelling microscopy (STM) 18 -- 23 have shown that the primary point-defects are S-vacancies although other types of defects like interstitials, dislocations, dopants and grain boundaries were also seen. These two tech- niques come with their own sets of limitations. While TEM imaging is believed to induce additional defects in 24,25, atomic-resolution imaging of few-layer TMD MoS2 using STM has proved challenging 11,26 -- 28. Thus, al- though theoretical studies predict the presence of promi- nent defect-levels in these materials29 -- 33, probing them experimentally has proved to be challenging. In this paper, we present conductance fluctuation spec- troscopy34 as a viable technique to identify these defect states and their characteristic energy levels. Conduc- tance fluctuations (noise) in TMD-based devices has been studied by several groups35 -- 39. In different studies, the observed conductance fluctuations have been variously attributed to charge-carrier number density fluctuations due to trapping at the interface36, to mobility fluctua- tions37,38 or to contact noise39. In general, in the high doping regime, carrier-number density fluctuation model could explain the measured noise behavior while in the low doping regime mobility fluctuation models seemed to better fit the experimental observations40. Thus there is a lack of consensus in the community as to the ori- gin of the observed large conductance fluctuations in this system. The problem is aggravated by the fact that ultra-thin layers of TMD degrade extremely fast when exposed to the ambient39,41 -- 43. This makes repeated, re- liable measurements on the same device challenging while at the same time severely limiting the scope of practical applications. We have performed detailed measurements of temper- ature T dependence of conductance and conductance- fluctuations on several few-layer MoS2 exfoliated on hexagonal boron nitride (hBN) andcovered by a film of high-κ dielectric HfO2. We find that over a large range of T , the noise in the system is dominated by generation- recombination processes caused by random charge fluc- tuations via transitions between the S-vacancy impurity band and the conduction band of MoS2. Thepresence of 9 1 0 2 l u J 3 ] l l a h - s e m . t a m - d n o c [ 1 v 0 3 8 1 0 . 7 0 9 1 : v i X r a 2 a two-probe configuration using low-frequency lock-in technique. The bias voltage across the device was set to Vds = 5 mV. The current Ids flowing through the device was amplified by a low-noise current-amplifier (Ithaco 1211) and measured by a digital dual-channel Lock-in-amplifier (LIA). The gate voltage, Vg was con- trolled by a Keithley-2400 source-meter. An optical image of the device D1 is shown in Fig. 1(a), the few-layer MoS2 (encapsulated between hBN and HfO2) is outlined by a black dashed line. Fig. 1(b) shows a plot of the sheet resistance R ≡ Vds/Ids of the device D1 versus Vg measured over the temperature range 115 -- 300 K. The gate response of the device establishes it to be an n-type semiconductor which is typically what is observed in naturally occurring MoS2. The large on-off ratio (∼ 105), low on-state resistance (∼ 30 KΩ) and very low off-state current (∼10 pA) attest to the high- quality of the device. From the inset of Fig. 1(b) it can be seen that the threshold voltage Vth decreases sharply with increasing temperature going to negative Vg near room-temperature. On the other hand, Vth for D2 at room temperature was ∼ 15 V. In Fig. 2(a) we plot the sheet resistance R of the device D1 in a semi-logarithmic scale versus inverse temperature for few representative values of Vg. The linearity of the plots indicates that, at least in the high T limit, electri- cal transport is dominated by thermal activation of the charge carriers. More specifically, as we go higher in Vg, the range of T where this linearity holds extends down to lower temperatures. The activation energy, ε extracted from the slope of the ln(R) versus 1/T plots is plotted in Fig. 2(b). One can see that ε increases as one decreases the gate bias and it varies from 20 meV at high Vg to an order of magnitude higher ∼200 meV, close to the off- state of the device. The activation energy for device D2, extracted in a similar fashion is, as expected, higher than that of D1 at all values of Vg. L wCVds The field-effect mobility µ of the devices can be ob- tained from the relation µ = dIds . Here L is the dVg length of the channel, w its width and C is the gate ca- pacitance per unit area. In Fig. 2(c) we show plots of Ids versus Vg, the slope of this curve gives the mobility of the device. A plot of the T dependence of the mo- bility is shown in Fig. 2(d). We find that µ for device D1 is ∼ 20 cm−2V−1s−1 at 100 K. With increasing T , µ increases monotonically till about 225 K beyond which it begins to fall with increasing T . To understand the measured T dependence of µ we note that in 2D semicon- ductors, the mobility of the charge carriers is affected by Coulomb scattering, acoustic and optical phonon scatter- ing, scattering by the interface phonon and roughness due to the surface47. At high -- T , scattering due to phonons is dominant which causes the mobility to have a T −3/2 de- pendence48,49. On the other hand, scattering from charge impurities located randomly in the sample is the domi- nant factor limiting µ at low temperatures causing the mobility to depend on temparature as T 3/28,50. Follow- Figure 1: (a) Optical image of device D1. The bottom hBN is defined with a solid green line and the few-layer (FL) MoS2 is outlined by a red dashed line. The top HfO2 is outlined by a dashed black line. (b) Gate-voltage Vg dependence of the resistance R of device D1 at a few representative temperatures ranging from 115 to 300 K in steps of 15 K. The inset plots the on-set voltage Vth versus T for devices D1 (red open circles) and D2 (green open squares). the HfO2 capping-layer makes it extremely stable against degradation upon exposure to the atmosphere and to re- peated thermal-cycling. The presence of the crystalline hBN beneath screens the device from charge-fluctuations in the SiO2 substrate resulting in the noise levels in our device being orders of magnitude smaller than previous reports of on-substrate devices. This enabled us to detect charge fluctuations between the S-vacancy levels and the conduction-band edge. Samples were prepared in FET configuration by con- ventional Polydimethylsiloxane (PDMS) assisted dry- transfer method44. We studied two classes of devices. In the first class, a few-layer hBN flake (≈ 20 nm thick) was transferred on Si++/SiO2 substrate followed by the transfer of a few-layer MoS2 on top. The transfers were made using a custom-built set-up based on a motorized XYZ-stage (Thorlabs model B51x) using a long work- ing distance 50X-objective under an optical microscope. Electrical contacts were defined by standard electron- beam lithography followed by thermal deposition of 5 nm Cr and 25 nm Au. This was followed by an electron- beam assisted evaporation of 30 nm of HfO2 covering the entire surface of the device. The HfO2 thin film was deposited directly on MoS2 without any buffer layer or surface treatment - the details of the HfO2 film growth are discussed elsewhere45,46. Several such devices were tested. In this article we concentrate on the results ob- tained on one such device, labeled D1. For comparison, we also studied a second class of devices - these were few- layer MoS2 devices fabricated directly on the Si++/SiO2 substrate without the top encapsulation layer (labeled D2). The thickness of the SiO2 in all cases was 295 nm. In all cases, the gate bias voltage, Vg is applied from underneath Si++/SiO2 substrate. Electrical transport measurements were performed in FLMoS2(a)5 um020406080100105106107108109751502253000204060R (Ω)Vg(V)115K300K(b)Vth(V)T (K)D1D2 3 Figure 3: Plots of source-drain current Ids versus time at a few representative temperatures from 105 K to 245 K for device D1. The data were taken for Vg = 90 V. The presence of both bulk- and surface-transport chan- nels complicates the charge transport in these systems. To understand the charge-carrier dynamics arising from the surface- and bulk-states in this system, we studied the low-frequency conductance fluctuations over the temper- ature range 70 K-300 K using a 2-probe ac digital-signal- processing technique51. As established in several previ- ous reports, 1/f noise is an excellent parameter to probe inter-band scattering of charge-carriers in systems with multiple conduction channels 52 -- 54. We used an SR830 dual-channel digital LIA to voltage-bias the sample at a carrier frequency of f0 ∼ 228 Hz. The current Ids through the device was amplified by the low-noise cur- rent preamplifier and detected by the LIA. The data were acquired at every T and Vg for 32 minutes at a sampling rate of 2048 points/s using a fast 16-bit data acquisition card. This time-series of current fluctuations δIds(t) was digitally anti-alias filtered and decimated. The power spectral density (PSD) of current-fluctuations, SI (f ) was calculated from this filtered time-series using the method of Welch-periodogram51,56. The system was calibrated by measuring the thermal (Johnson-Nyquist) noise of standard resistors. The time-series of Ids measured for device D1 at a few representative temperatures at Vg = 90 V are shown in Fig. 3. We find that over the T range ∼140-190 K, the measured Ids(t) (and conse- quently the conductance g(t) = Ids(t)/Vds) for D1 fluctu- ates between two well-defined levels57,58. This 'Random telegraphic noise' (RTN)59 usually signifies that the sys- tem has access to two (or more) different states separated by an energy barrier. We come back later in this article to a discussion of the detailed statistics of the RTN and the physical origin of these states. In Fig. 4(a) we plot the PSD of current fluctuations at a few representative values of T and Vg = 90 V for the device D1. We find that over the T range where RTN were present in the time-series Ids(t), the PSD deviates significantly from the 1/f dependence (shown in the plot by a gray line). This can be appreciated better from Figure 2: (a) Scatter plot of resistance R of device D1 plotted on a semi-logarithmic scale versus 1/T at several representa- tive values of Vg from 10 V to 90 V in steps of 5 V. The solid lines are the linear fits of 1/T vs ln(R). (b) Plots of activation energy ε versus Vg extracted from the ln(R) versus 1/T plots for the two devices, D1 (red open circles) and D2 (green open squares). The lines are guides to the eye. (c) Plots of Ids ver- sus Vg at different T ranging from 115 K to 300 K for device D1. (d) Plots of mobility µ versus T for the two devices, D1 (red open circles) and D2 (green open squares). The blue line is fit to the data for D1 using Eqn. 1. ing Matthiessen's rule: 1 µ = 1 MpT −3/2 + 1 MiT 3/2 (1) where Mp and Mi represent the relative contributions of the phonon-scattering and impurity-scattering mech- anisms respectively. These coefficients are not indepen- dent, but are related by (Mp/Mi)1/3 = Tmax, where Tmax is the temperature at which µ has a maxima. In Fig. 2(d) we show a fit of the T dependence of the mobility of D1 to Eqn. 1. The mobility of D2, on the other hand, mono- tonically increases with T showing that over the range of T studied, impurity-scattering dominates the transport in on-SiO2 substrate devices. 3.0x10-36.0x10-39.0x10-310510610710803060905010015010VR (Ω)1/T(K-1)90V(a)D1D2ε(meV)Vg(V)(b)848688900.30.60.91.21.5100150200250300051015202530354045Ids(A)Vg(V)115K300K(c)610−×D1D2µ(cm-2V-1s-1)T (K)(d)0501005x10-86x10-87x10-88x10-8 Ids (A)t (s) 245K181K175K171K161K155K151K145K105K141K165K 4 Figure 5: (a) Plot of f SI (f ) as a function of f over the tem- perature range 156 K (purple data points) -- 207 K (red data points) in steps of 4 K for the device D1b. (b) Plot of the logarithm of fC versus 1/T . The solid line is a linearized fit to the Arrhenius relation fC= f0exp(−Ea/kBT ). The inset shows a plot of fC versus T . The measurements were done at Vg = 90 V. Figure 4: (a) Plots of SI (f ) versus f at a few representative temperatures for device D1. The data were measured for Vg = 90 V. (b) Plots of f SI (f ) versus f for the same values of T as in (a). The dotted purple lines are fits using Eqn. 2 to the data at 165 K, 175 K and 185 K. (c) Plot of f SI (f ) as a function of f over the temperature range 145 K (purple data points) -- 195 K (red data points) in steps of 5 K. The arrow indicates the evolution of fC to higher values with increasing T . The solid lines are guides to the eye. (d) Plot of the logarithm of fC versus 1/T . The solid line is a linearized fit to the Arrhenius relation fC= f0exp(−Ea/kBT ). The inset shows a plot of fC versus T . The two dotted lines are the upper (28 Hz )and lower (31.25 mHz) limits of our measurement band-width. Figure 6: (a) Plot of Ids versus time at a few representative values of T for the device D2. (b) PSD SI (f ) correspond- ing to the time-series shown in (a). The gray line shows a representative 1/f curve. The measurements were done at Vg = 72 V. Fig. 4(b) where we plot the quantity f SI (f ) which should be independent of frequency for 1/f noise, as is indeed the case for the PSD measured at 105 K and 245 K. On the other hand, the PSD measured in the intermediate T range (140 K< T < 190 K) has a significant non-1/f component. The PSD of an RTN is a Lorentzian with a characteristic frequency fC, where 1/fC = τc is the typical time-scale of switching between the two distinct levels. This motivated us to fit the measured PSD of current fluctuations to an equation which contains both 1/f and Lorentzian components: SI (f ) I 2 = A1 f + A2fC f 2 + f 2 C (2) A1 and A2 are fit parameters that denote the relative contributions of the random and RTN fluctuations re- spectively to the total PSD. The dotted purple lines are 10-1100101012345.0x10-36.0x10-3-3-2-1012315017520010-210-1100101102X10-20fSI(f)(A2)f(Hz)(c)ln(fc)1/T(K-1)(d)fC(Hz)T(K)145 K 195 K10-210-110010110-2210-2110-2010-1910-11001011234SI(f)(A2Hz-1)f (Hz)(a)105K165K175K185K245Kf SI(f)(A2)f (Hz)(b)X10-20105K165K175K185K245K0.1110024684.9x10-35.6x10-36.3x10-3-3-2-10123416018020010-210-1100101102fSI(f)(A2)f(Hz)(a)ln(fc)1/T(K-1)(b)X10-21fc(Hz)T(K)156 K156 K207 K10-1100101010020030004812162010-210-110010110-2210-2110-2010-1910-18 Ids (nA)t (s)180 K165 K150 K140 K125 K110 K90 K(a) SI(f) (A2 Hz-1)f (Hz) 180K 165K 150K 140K 125K 110K 90K(b) fits to the data at 165 K, 175 K and 185 K using Eqn. 2. In Fig. 4(c) we show plots of f SI (f ) versus f over an ex- tensive range of T . We find that as T increases, the peak position evolves from a few mHz to few tens of Hz [see the inset of Fig. 4(d). Beyond this T range, the value of fC goes beyond our measurement frequency bandwidth (31.25 mHz -- 28 Hz). The value of fC is ther- mally activated and follows the Arrhenius relation: fC= f0exp(−Ea/kBT ). Figure 4(d) shows a plot of ln(fC) ver- sus 1/T , the red-line is a fit to the activated behaviour. The value of activation energy Ea extracted from the fit is 370 meV. These measurements were repeated on three such devices (MoS2 encapsulated between hBN and HfO2); we find that the activation energy-scale in all of them lie in the range 370 ± 30 meV. In Fig. 5, we show data for another device, D1b, for which we obtain Ea=353 meV. We come back to the physical implications of this energy-scale later in this article. The time-series δI(t) for device D2, on the other hand, did not have any RTN component (Fig. 6(a)) and the PSD had a 1/f α (with 0.9 < α < 1.1) dependence on f over the entire T and Vg range studied (Fig. 6(b)). The Ids(t) data were obtained for the device D2 at 72 V. We have attempted to compare the data in the two sets of devices at similar values of number-densities. Due to the presence of the hBN layer, the effective thickness of the dielectric layer in D1 was higher than that of D2 -- requiring a higher gate-voltage for D1 than that for D2 to achieve similar carrier number density. On the other hand, D1 had a lower threshold voltage than D2. Taking both these factors into account, we have estimated the Vg at which the induced number densities are similar for both D1 and D2. Thus, for D1, the data are presented for Vg =90 V while for the device D2, the data are presented for Vg = 72 V. In Fig. 7(a) we present the Vds dependence of the quan- tity f SI (f ) measured at T = 175 K and Vg = 90 V for the device D1. We see that the form of the PSD is indepen- dent of Vds. To make this observation quantitative, we plot in Fig. 7(b) the dependence of fC on Vds extracted from these plots using Eqn. 2. The fact that fc is inde- pendent of Vds within experimental uncertainties shows that this time-scale is intrinsic to the sample60. 28 1 The PSD, SI (f ) can be integrated over the frequency bandwidth of measurement to obtain the relative vari- ance of conductance fluctuations, Gvar at a fixed T and Vg: Gvar ≡ (cid:104)δg2(cid:105) (cid:104)g(cid:105)2 = The relative variance of conductance fluctuations, Gvar was found to be independent of Vds at all T and Vg con- firming that the noise arises from conductance fluctua- tions in the MoS2 channel and not from the contacts [for representative data, see Fig. 7(c)]. (cid:104)δI 2 ds(cid:105) (cid:104)Ids(cid:105)2 = (cid:104)Ids(cid:105)2 SI (f )df . 0.03125 (3) We measured the noise as a function of gate-bias volt- age, Vg -- the results obtained at T = 170 K for the device 5 Figure 7: (a) Plot of f SI(f) versus frequency f at differ- ent source-drain bias Vds across the sample D1. The verti- cal dashed gray line is a visual guide indicating the positions of the Lorentzian peaks in the spectra. The value of Vds is marked next to each curve. (b). Plot of fc as a function of Vds extracted from the PSD in (a) using Eqn. 2. The dotted line is a visual guide to the eye. (c) Plot of the relative vari- ance of conductance fluctuations Gvar as a function of Vds, the dotted line is a guide to the eye. The data were all taken at T = 175 K and Vg = 90 V. D1 are plotted in Fig. 8(a). We find fC to be indepen- dent of Vg (Fig. 8(b)) with-in experimental uncertain- ties. In Fig. 8(c) we have plotted Gvar as a function of Vg-Vth. The total noise has been separated into its 1/f- component and the RTN-component. At low values of Vg-Vth, the 1/f-component noise contribution is compa- rable to that of the RTN-component while at higher Vg- Vth, the RTN-component dominates the measured con- ductance fluctuations. This motivated us to perform our noise measurements at high Vg (90 V) so that the RTN component of the noise is easily resolvable. In Fig. 9 we show a plot of Gvar versus T for the two devices. The noise-data for device D1 (plotted in green open circles) has a prominent hump over the T range (∼140 -- 190 K) coinciding with the regime where we ob- served RTN. To appreciate this, we plot on the same graph the relative variance of conductance fluctuations arising from the 1/f component (red filled circles) as well as the Lorentzian component (blue open circles). It can be seen that the increase in noise over the 140 -- 190 K temperature range is entirely due to two-level conduc- tance fluctuations in the system. For comparison, we also add a plot of Gvar versus T for the unencapsulated de- vice prepared on SiO2-substrate, D2. The noise on SiO2 substrate devices, is more than two orders of magnitude larger than that of D1 and matches with previous reports of measured noise in MoS2 by various groups 37,39. Our work thus shows that encapsulation helps in significantly improving the signal to noise ratio. 3mV10-210-110010110-2410-2310-2210-2110-2002460408012016020002461x10-52x10-53x10-54x10-55x10-54mV2mV0.5mVf SI(f) (A2)f (Hz)0.1mV1mV5mV(a)fc (mHz)(b)<dg2>/<g>2Vds (mV)(c) 6 Figure 9: Comparison of the measured relative variance of conductance fluctuations Gvar =(cid:104)δg2(cid:105)/(cid:104)g(cid:105)2versus T for de- vice D1 (green-open circles) and D2 (purple-filled triangles). The red-filled circles and blue-open circles are respectively the contributions of the 1/f-component and the Lorentzian- component to the measured noise for the device D1. the on-SiO2 substrate device D2. The non-1/f seen only in the encapsulated device has a different origin. The presence of RTN in the time-series of conductance fluctuations and the associated Lorentzian component in the PSD indicates that the noise originates from random charge fluctuations via transitions between two well-defined energy states separated by an energy barrier. We propose that in this case, these two levels correspond to the S-vacancy impurity band and the con- duction band. This is supported by the fact that the value of the activation energy, Ea = 370 meV extracted from the temperature dependence of the corner-frequency fC of the Lorentzian component of the current fluctua- tions matches closely with the estimated position of the S-vacancy impurity band with respect to the conduction band edge64. Note that it was possible for us to detect this fluctuation-component only because of the two or- ders of noise reduction made possible by the introduction of hBN between the MoS2 and SiO2 substrate. The HfO2 layer has a two-fold effect on the noise. Firstly, being a high-k dielectric with a dielectric con- stant value of about 25, its presence screens the device from Coulomb scattering, and reduces the 1/f noise by orders of magnitude, enabling us to detect the RTN. Sec- ondly, it acts as a capping layer that shields the MoS2 from the ambient. We believe that this prevents the S-vacancies from getting saturated by adsorbates, thus preserving the RTN. With the current data, we cannot distinguish between these two effects. Preliminary results obtained on devices fabricated on hBN without the HfO2 capping layer had higher on-off ratios, higher-mobilities and lower noise levels as compared to MoS2 devices fabri- Figure 8: (a) Plot of f SI(f) versus frequency f at different gate-bias voltage Vg across the sample D1. The vertical gray dashed line is a visual guide indicating the positions of the Lorentzian peaks in the spectra. (b) Plot of fc as a function of Vg-Vth extracted from the PSD in (a) using Eqn. 2. The dotted line is a guide to the eye. (c) Plots of the relative variance of conductance fluctuations Gvar, along with its RTN component and 1/f-component versus Vg-Vth. The solid lines are guides to the eye. The data were all taken at T = 170 K and Vds = 5 mV. A careful study of Fig. 9 provides clues to the origin of the observed noise in this system. The temperature de- pendence of the relative variance of conductance fluctua- tions Gvar(T ) measured for the on-SiO2 substrate device D2 closely resembles the T -dependence of the 1/f compo- nent of Gvar measured on D1. This indicates that these two noises have similar origins. The primary source of the T -dependence of noise in many semiconductor devices is generation-recombination (GR) noise due to trapping- detrapping of charges at the gate dielectric-channel inter- faces. This process can be quantified by the McWhorter model40,61,62: Nim = f SI (f )(cid:104)R(cid:105)2 W LC 2 e2kB 1 T (4) where Nim is the areal-density of trapped charges per unit energy, W and L are respectively the width and the length of the device-channel, C is the gate-capacitance per unit area. kB is the Boltzmann constant and e is the charge of the electron. Equation 4 predicts the linear dependence of f SI (f ) on the temperature. Fig. 10 shows a plot of f SI (f ) versus T for both D1 and D2. The plots are linear to within experimental uncertainties. From the slopes of these plots, the value of Nim for device D2 was extracted to be 3.5 × 1012 cm−2 eV−1 which agrees with previously reported values for MoS2 devices prepared on SiO2 substrates63. On the other hand, for the HfO2 cov- ered, on-hBN device D1, Nim = 1.8 × 1010 cm−2 eV−1, more than two orders of magnitude lower than that in 10-11001010.51.01.52.00.500.751.001.25404550556010-610-5X10-20 f SI(f) (A2)f (Hz) 70V 75V 80V 85V 90V170K(a) fC (Hz)(b)(c)<dg2>/<g>2Vg-Vth (V)1/f componentTotal noiseRTN component10015020025010-610-510-4<dg2>/<g>2T (K) 7 ence of the high-κ dielectric made the device extremely stable against environmental degradation enabling us to obtain reproducible data on the same device for over 1 year. The hBN substrate helped bring down the conductance fluctuations by over two orders of magni- tude as compared to similar devices on bare SiO2 sub- strates. The low noise levels in our devices made it pos- sible to detect the generation-recombination noise arising from charge fluctuation between the S-vacancy levels in the MoS2 band-gap and states at its conductance band edge. Our work establishes conduction fluctuation spec- troscopy as a viable route to detect in-gap defect levels in low-dimensional semiconductors. Figure 11: (a) Comparison between the R versus T data at Vg = 90 V for device D1 right after fabrication (red data points) and several months as well as several thermal cycles later (green data points). (b) Similar comparison of R versus Vg data measured at T = 270 K for D1 in the pristine state and several months (and thermal cycles) later. Acknowledgments AB acknowledges funding from Nanomission and FIST program, Department of Science & Technology (DST), government of India and the Indo-French Centre for the Promotion of Advanced Research (CEFIPRA) (Project No. 5304-F). Figure 10: Plot of f SI (f ) versus T for the (a) on-SiO2 sub- strate device D2, and (b) on-hBN HfO2-encapsulated device D1. The red dashed line in both the plots are fits to Eqn. 4. cated on SiO2 without the HfO2 capping layer - however, we did not find any RTN in these devices. From these results, one can tentatively conclude that both the top- and bottom-layers are necessary to preserve the RTN. This issue is currently under detailed investigation. Finally, coming to the question of stability of the de- vices, we have compared the R versus T , R versus Vg and the noise measurements on device D1 immediately after fabrication and after a gap of several months. The sample was thermally cycled several times during this period be- tween 300 K and 77 K. As shown in Fig. 11, the temper- ature and Vg dependence of the resistance of the device were quite reproducible. This is in sharp contrast to un- encapsulated on-SiO2 devices like D2 in which after a few days the channel and contacts both degrade drastically making further measurements impossible 39. Similarly, thermal cycling alters the characteristics of such devices and makes the channel resistance unstable. The stability of the resistance of D1 over time period of months con- firms that encapsulation between hBN and HfO2 makes the device robust to thermal cycling and against degra- dation with time. To conclude, in this paper we reported on detailed con- ductance fluctuation spectroscopy of high-quality MoS2 devices encapsulated between hBN and HfO2. The pres- ∗ Electronic address: [email protected] 1 K. S. Novoselov, A. K. Geim, S. V. Morozov, D. Jiang, Y. Zhang, S. V. Dubonos, I. V. Grigorieva, and A. A. Firsov, science 306, 666 (2004). 2 K. F. Mak, C. Lee, J. Hone, J. Shan, and T. F. Heinz, Physical review letters 105, 136805 (2010). 3 Q. H. Wang, K. Kalantar-Zadeh, A. Kis, J. N. Coleman, and M. S. Strano, Nature nanotechnology 7, 699 (2012). 4 A. Radenovic, J. Brivio, V. Giacometti, A. Kis, et al., Nat. Nanotechnol 6, 147 (2011). 5 G. Eda and S. A. Maier, Acs Nano 7, 5660 (2013). 6 H. Li, J. Wu, Z. Yin, and H. Zhang, Accounts of Chemical Research 47, 1067 (2014), pMID: 24697842, https://doi.org/10.1021/ar4002312, URL https://doi. org/10.1021/ar4002312. 7 J. Hong, Z. Hu, M. Probert, K. Li, D. Lv, X. Yang, L. Gu, N. Mao, Q. Feng, L. Xie, et al., Nature communications 6, 6293 (2015). 8 Z.-Y. Ong and M. V. Fischetti, Physical Review B 88, 165316 (2013). 9 H. Qiu, T. Xu, Z. Wang, W. Ren, H. Nan, Z. Ni, Q. Chen, S. Yuan, F. Miao, F. Song, et al., Nature communications 1001502002500.00.51.01.52.01001502002501.01.52.02.53.03.54.0 ×10-21 f SI(f) (A2)T (K) ×10-20 (a)T (K)(b)501001502002503000.05.0x1041.0x1051.5x1052.0x1052.5x105-200204060801001041051061071081091010 R(W)T (K)(a) Vg (V)(b) 4, 2642 (2013). 10 W. Zhu, T. Low, Y.-H. Lee, H. Wang, D. B. Farmer, J. Kong, F. Xia, and P. Avouris, Nature Communications 5, 3087 (2014). 11 S. McDonnell, R. Addou, C. Buie, R. M. Wallace, and C. L. Hinkle, ACS nano 8, 2880 (2014). 12 S. Tongay, J. Suh, C. Ataca, W. Fan, A. Luce, J. S. Kang, J. Liu, C. Ko, R. Raghunathanan, J. Zhou, et al., Scientific reports 3, 2657 (2013). 13 N. Saigal and S. Ghosh, Applied Physics Letters 109, 122105 (2016). 14 Y.-C. Lin, D. O. Dumcenco, H.-P. Komsa, Y. Niimi, A. V. Krasheninnikov, Y.-S. Huang, and K. Suenaga, Advanced materials 26, 2857 (2014). 15 X. Zou, Y. Liu, and B. I. Yakobson, Nano letters 13, 253 (2012). 16 A. M. Van Der Zande, P. Y. Huang, D. A. Chenet, T. C. Berkelbach, Y. You, G.-H. Lee, T. F. Heinz, D. R. Reich- man, D. A. Muller, and J. C. Hone, Nature materials 12, 554 (2013). 17 S. Najmaei, Z. Liu, W. Zhou, X. Zou, G. Shi, S. Lei, B. I. Yakobson, J.-C. Idrobo, P. M. Ajayan, and J. Lou, Nature materials 12, 754 (2013). 18 S. Hosoki, S. Hosaka, and T. Hasegawa, Applied surface science 60, 643 (1992). 19 H. Permana, S. Lee, and K. S. Ng, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena 10, 2297 (1992). 20 J. S. Ha, H.-S. Roh, S.-J. Park, J.-Y. Yi, and E.-H. Lee, Surface science 315, 62 (1994). 21 H. Murata, K. Kataoka, and A. Koma, Surface science 478, 131 (2001). 22 N. Kodama, T. Hasegawa, Y. Okawa, T. Tsuruoka, C. Joachim, and M. Aono, Japanese Journal of Applied Physics 49, 08LB01 (2010). 23 R. Addou, L. Colombo, and R. M. Wallace, ACS applied materials & interfaces 7, 11921 (2015). 24 H.-P. Komsa, J. Kotakoski, S. Kurasch, O. Lehtinen, U. Kaiser, and A. V. Krasheninnikov, Physical review let- ters 109, 035503 (2012). 25 H.-P. Komsa, S. Kurasch, O. Lehtinen, U. Kaiser, and A. V. Krasheninnikov, Physical Review B 88, 035301 (2013). 26 C.-P. Lu, G. Li, J. Mao, L.-M. Wang, and E. Y. Andrei, Nano letters 14, 4628 (2014). 27 Y. L. Huang, Y. Chen, W. Zhang, S. Y. Quek, C.-H. Chen, L.-J. Li, W.-T. Hsu, W.-H. Chang, Y. J. Zheng, W. Chen, et al., Nature communications 6, 6298 (2015). 28 P. Vancsó, G. Z. Magda, J. Pető, J.-Y. Noh, Y.-S. Kim, C. Hwang, L. P. Biró, and L. Tapasztó, Scientific reports 6, 29726 (2016). 29 S. KC, R. C. Longo, R. Addou, R. M. Wallace, and K. Cho, Nanotechnology 25, 375703 (2014). 30 M. H. Naik and M. Jain, Phys. Rev. Materials 2, 084002 (2018). 31 A. V. Krivosheeva, V. L. Shaposhnikov, V. E. Borisenko, J.-L. Lazzari, C. Waileong, J. Gusakova, and B. K. Tay, Journal of Semiconductors 36, 122002 (2015). 32 Z. Lin, B. R. Carvalho, E. Kahn, R. Lv, R. Rao, H. Ter- rones, M. A. Pimenta, and M. Terrones, 2D Materials 3, 022002 (2016). 33 J.-Y. Noh, H. Kim, and Y.-S. Kim, Physical Review B 89, 205417 (2014). 8 34 P. Dutta and P. M. Horn, Rev. Mod. Phys. 53, 497 (1981). 35 S. H. Song, M.-K. Joo, M. Neumann, H. Kim, and Y. H. Lee, Nature communications 8, 2121 (2017). 36 H.-J. Kwon, H. Kang, J. Jang, S. Kim, and C. P. Grig- oropoulos, Applied Physics Letters 104, 083110 (2014). 37 V. K. Sangwan, H. N. Arnold, D. Jariwala, T. J. Marks, L. J. Lauhon, and M. C. Hersam, Nano letters 13, 4351 (2013). 38 S. Ghatak, S. Mukherjee, M. Jain, D. Sarma, and A. Ghosh, APL Materials 2, 092515 (2014). 39 J. Renteria, R. Samnakay, S. Rumyantsev, C. Jiang, P. Goli, M. Shur, and A. Balandin, Applied Physics Letters 104, 153104 (2014). 40 J. Na, M.-K. Joo, M. Shin, J. Huh, J.-S. Kim, M. Piao, J.- E. Jin, H.-K. Jang, H. J. Choi, J. H. Shim, et al., Nanoscale 6, 433 (2014). 41 H. Qiu, L. Pan, Z. Yao, J. Li, Y. Shi, and X. Wang, Applied Physics Letters 100, 123104 (2012). 42 D. J. Late, B. Liu, H. S. S. R. Matte, V. P. Dravid, and C. N. R. Rao, ACS Nano 6, 5635 (2012), pMID: 22577885. 43 P. J. Kooyman and J. R. van Veen, Catalysis Today 130, 135 (2008). 44 C. R. Dean, A. F. Young, I. Meric, C. Lee, L. Wang, S. Sor- genfrei, K. Watanabe, T. Taniguchi, P. Kim, K. L. Shep- ard, et al., Nature nanotechnology 5, 722 (2010). 45 K. Lakshmi Ganapathi, N. Bhat, and S. Mohan, Applied Physics Letters 103, 073105 (2013). 46 K. L. Ganapathi, N. Bhat, and S. Mohan, Semiconductor Science and Technology 29, 055007 (2014). 47 S. Kim, A. Konar, W.-S. Hwang, J. H. Lee, J. Lee, J. Yang, C. Jung, H. Kim, J.-B. Yoo, J.-Y. Choi, et al., Nature communications 3, 1011 (2012). 48 V. K. Sangwan and M. C. Hersam, Annual review of phys- ical chemistry 69, 299 (2018). 49 J. Mao, J. Shuai, S. Song, Y. Wu, R. Dally, J. Zhou, Z. Liu, J. Sun, Q. Zhang, C. dela Cruz, et al., Proceedings of the National Academy of Sciences 114, 10548 (2017). 50 C. Liu, K. Huang, W.-T. Park, M. Li, T. Yang, X. Liu, L. Liang, T. Minari, and Y.-Y. Noh, Materials Horizons 4, 608 (2017). 51 A. Bid, Ph.D. thesis, Indian Institute of Science (2006). 52 G. N. Daptary, P. Kumar, A. Dogra, and A. Bid, Physical Review B 98, 035433 (2018). 53 P. Price, Annals of Physics 133, 217 (1981). 54 F. Hooge, IEEE Transactions on Electron Devices 41, 1926 (1994). (1987). 55 A. Ghosh, S. Kar, A. Bid, and A. Raychaudhuri, arXiv preprint cond-mat/0402130 (2004). 56 J. H. Scofield, Review of scientific instruments 58, 985 57 F. K. Du Pré, Phys. Rev. 78, 615 (1950). 58 H. K. Kundu, S. Ray, K. Dolui, V. Bagwe, P. R. Choud- hury, S. Krupanidhi, T. Das, P. Raychaudhuri, and A. Bid, Physical review letters 119, 226802 (2017). 59 K. K. Hung, P. K. Ko, C. Hu, and Y. C. Cheng, IEEE electron device letters 11, 90 (1990). 60 F. Hooge, T. Kleinpenning, and L. Vandamme, Reports on progress in Physics 44, 479 (1981). 61 A. H. McWhorter, Semiconductor surface physics, 207 (Univ. of Pennsylvania Press, 1957). 62 G. Ghibaudo, O. Roux, C. Nguyen-Duc, F. Balestra, and J. Brini, physica status solidi (a) 124, 571 (1991). 63 S. Dubey, S. Lisi, G. Nayak, F. Herziger, V.-D. Nguyen, T. Le Quang, V. Cherkez, C. González, Y. J. Dappe, K. Watanabe, et al., ACS Nano 11, 11206 (2017), pMID: 28992415. 64 M. Ghorbani-Asl, A. N. Enyashin, A. Kuc, G. Seifert, and T. Heine, Physical Review B 88, 245440 (2013). 9
1912.08621
1
1912
2019-12-18T14:11:11
Spin-Orbital Textures and Interferometers by Nanoscale Geometric Design of Quantum Rings with Orbital Rashba Coupling
[ "cond-mat.mes-hall", "cond-mat.mtrl-sci", "quant-ph" ]
We derive an effective continuum model for describing the propagation of electrons in ballistic one-dimensional curved nanostructure which are marked by a strong interplay of spin-orbital degrees of freedom due to local electronic states with $d-$orbital symmetry, atomic spin-orbit and orbital Rashba couplings. We demonstrate how a planar inhomogeneous spatial curvature of the nanochannel can generate both spin and orbital textures represented through loops on the corresponding Bloch spheres. In particular, we employ the paradigmatic case of an elliptically shaped quantum ring to investigate the role of geometry in steering the electron transport at low energy. We find that in this regime spin and orbital textures exhibit equal windings around the radial or out-of-plane directions. Remarkably, the spin and orbital windings can be not only tuned through a modification of the nanoscale shape, as for the spin Rashba effect, but also via a change in the electron density by electrical gating. We show that the presence of textures is related to patterns in the geometric Aharonov-Anandan phase acquired in a cycle within the quantum ring. Furthermore, differently from the single band Rashba-coupled nanoring, a manipulation of the conductance can be achieved by electron density variation and, indirectly, through changes of the strength of the inversion asymmetric interactions.
cond-mat.mes-hall
cond-mat
Spin-Orbital Textures and Interferometers by Nanoscale Geometric Design of Quantum Rings with Orbital Rashba Coupling G. Francica,1 P. Gentile,1, 2 and M. Cuoco1, 2 1CNR-SPIN, I-84084 Fisciano (Salerno), Italy 2Dipartimento di Fisica "E. R. Caianiello", Universit`a di Salerno, I-84084 Fisciano (Salerno), Italy We derive an effective continuum model for describing the propagation of electrons in ballis- tic one-dimensional curved nanostructure which are marked by a strong interplay of spin-orbital degrees of freedom due to local electronic states with d−orbital symmetry, atomic spin-orbit and orbital Rashba couplings. We demonstrate how a planar inhomogeneous spatial curvature of the nanochannel can generate both spin and orbital textures represented through loops on the corre- sponding Bloch spheres. In particular, we employ the paradigmatic case of an elliptically shaped quantum ring to investigate the role of geometry in steering the electron transport at low energy. We find that in this regime spin and orbital textures exhibit equal windings around the radial or out-of-plane directions. Remarkably, the spin and orbital windings can be not only tuned through a modification of the nanoscale shape, as for the spin Rashba effect, but also via a change in the electron density by electrical gating. We show that the presence of textures is related to patterns in the geometric Aharonov-Anandan phase acquired in a cycle within the quantum ring. Furthermore, differently from the single band Rashba-coupled nanoring, a manipulation of the conductance can be achieved by electron density variation and, indirectly, through changes of the strength of the inversion asymmetric interactions. I. INTRODUCTION The manipulation and control of the electron spin set fundamental challenges for the development of emergent technologies and quantum engineering. These mainly in- clude spin-based quantum computation and encryption systems, spintronics devices, and everything that can em- ploy quantum degrees of freedom beyond the electron charge to achieve exceptional performances in speed, en- ergy requirements, and functionality. While the most direct control over the spins has been exploiting the mag- netic field, novel paradigms are more and more com- mon with significant step forward in next generation of magnetic-field free spin-based technology. Along this direction, the spin-orbit coupling, as for instance the Rashba interaction [1] in low-dimensional systems with structure inversion asymmetry, represents a key micro- scopic ingredient for an all-electrical intrinsic control over the spin through the electron propagation, and at the same time as a source of spin control of the electron tra- jectories [2]. On a general ground, there are two key interactions that mark the inversion asymmetric microscopic envi- ronments, i.e. the Rashba spin-orbit coupling occurring at the surface or interface of heterostructures, and the Dresselhaus interaction arising from the inversion asym- metry in the bulk of the host material [3]. Apart from these fundamental couplings, it has been recently real- ized that spin-momentum locking can also occur as a consequence of the inversion symmetry breaking arising from the orbital polarization of electrons in solids which is, then, linked with the spin-sector by the atomic spin- orbit coupling. The role of spin and orbital polarization in materials can lead to different scenarios of the mani- festation of inversion symmetry breaking when compared to the canonical spin-Rashba effect, leading to the so- called orbital-driven Rashba coupling [4]. The orbital Rashba (OR) effect can yield two-dimensional chiral or- bital textures and orbital dependent spin-vector via the spin-orbit coupling [4 -- 10, 25]. Evidences of anomalous energy splitting have been found in a large variety of surfaces, i.e. Au(111), Pb/Ag(111) [12], Bi/Ag(111) [13], etc. as well as in transition metal oxides based interfaces, i.e. LaAlO3-SrTiO3 [14, 15]. Such phenomenology is typ- ically encountered in multi-orbital materials where the combination of the atomic spin-orbit interaction with or- bitally driven inversion symmetry-breaking sources effec- tively generates emergent asymmetric spin-orbital inter- actions within the electronic manifold close to the Fermi level. Orbital degrees of freedom are crucial microscopic in- gredients in quantum materials when considering the degeneracy of d-bands of transition elements not being completely removed by the crystal distortions or due to the intrinsic spin-orbital entanglement [16] triggered by the atomic spin-orbit coupling. Motivated by the search for innovative paths to successfully achieve an electron spin control, here we investigate the interplay of the or- bital degree of freedom and the geometry of the quan- tum system in which electrons reside with applications based on quantum rings that can be potentially set out at the interface of oxide band insulators. In this con- text, LaAlO3-SrTiO3 [18] is a paradigmatic example of orbitally controlled quantum material because the filling of titanium d− orbitals can be electrically tuned lead- ing to an orbital dependent [19] two-dimensional elec- tron gas (2DEG) with high-mobility. Furthermore, the combination of atomic spin-orbit at the transition ele- ment and the gate tunable and orbital dependent inver- sion asymmetric interaction leads to a highly non-trivial spin-orbital splitting in the reciprocal space. The OR in- teraction indeed manifests through mixing of orbitals on neighboring atoms, i.e. dxy with (dxz,dyz), that would not overlap in an inversion symmetric configuration. Re- markably, electric field control of 2DEG transition metal- oxide-based structures have recently enabled the explo- ration of nanoscale electron quantum transport [20, 21] thus emphasizing the prospect of advanced quantum en- gineering including also the possibility of topological su- perconducting phases [22 -- 26]. For the canonical Rashba coupling the electron spin can be successfully guided by non-trivial geometric cur- vature of the semiconducting nanochannel. In these physical systems a manipulation of the electronic states, through the corresponding spin geometric phase, has been experimentally demonstrated [28, 29] with remark- able perspectives of achieving topological spin engineer- ing [30, 31]. The potential of the union of inversion sym- metry breaking and nanoscale shaping indeed yields aug- menting paths for topological states [30 -- 33] and spin- transport [29, 38 -- 42]. There, the Rashba spin-orbit driving fields act as spatially inhomogeneous geometri- cal torque controlling both the spin-orientation and its spin-phase through non-trivial spin windings [30, 31, 33]. Taking into account such a geometrical driving of the electron spin through the conventional spin Rashba cou- pling, here we ask whether the conspiracy of the orbital Rashba interaction and the atomic spin-orbit coupling in nanoscale shaped quantum rings [17] can lead to un- conventional spin-orbital textures and innovative control mechanisms for the quantum transport. Remarkably, we find that the geometric curvature of a shape deformed one-dimensional nanostructure can both steer the elec- tron spin and the orbital angular momentum and, in turn, the quantum geometric phase that an electron ac- quires when moving in a closed circuit. These results provide a non standard view on the way to manipulate the electron spin and the quantum interference by ex- ploiting the atomic orbital character and the geometric profile of the nanochannel. Similarly to the spin configurations occurring in single- band Rashba spin-orbit systems, taking the paradigmatic example of an elliptically deformed quantum ring, we find spin and orbital textures with complex three-dimensional patterns in space exhibiting a tunable topological char- acter with different type of spin and obital windings. Re- markably, for the low-energy electronic states we demon- strate that the spin and orbital windings are equal. We also show that the geometric phase can be controlled not only by the spin-orbit coupling but also by the shape of the nanostructure through a series of topological-like transitions that are strongly entangled to the windings of the spin-orbital textures. Furthermore, considering that, nanostructuring methods at oxide interfaces have recently achieved a level of control that enable the de- sign of nanoscale profiles [20, 21, 34 -- 36] with arbitrary shape [37] and thickness, our findings anticipate a great potential for innovative device concepts where spin and orbital degrees of freedom can be employed to guide the electron transport by suitable selected system geometry. 2 The paper is organized as follows. In the Sect. I we derive the effective model in the continuum for describing the propagation of electrons in ballistic one-dimensional curved nanostructure with d-orbital symmetry, spin-orbit coupling and orbital Rashba interaction. In Sect. II we present how the spatial propagation in the nanochannel leads to non-trivial spin-orbital textures and the resulting quantum phases acquired in a cycle. Then, we determine the conductance for different amplitudes of the orbital Rashba coupling and of the Fermi energy. Finally, in the Appendix we provide few useful symmetry relations for the trasmission coefficient and the demonstration that the winding numbers for the spin and the orbital angular momentum have equal amplitude when considering the propagation of electrons in a closed loop. II. MODELLING CURVED NANOCHANNEL WITH d− ORBITALS, ATOMIC SPIN-ORBIT AND ORBITAL RASHBA COUPLINGS We consider an effective microscopic model that is suit- able for describing the 2DEG with broken out-of-plane inversion symmetry assuming that only t2g orbitals, i.e. {dxy, dzx, dyz}, are active at the Fermi level [24, 25, 46]. Due to the 2D confinement, the local t2g are split by the crystal field potential which favors the xy configura- tion as the lowest one in the orbital hyerarchy. When considering the electrons moving in a narrow quantum nanochannel we assume that only the states close to the Γ point contribute to the electronic transport and thus the low momentum excitations can be effectively de- scribed by an Hamiltonian in the continuum that in the {dxy, dzx, dyz} orbital basis for each spin configuration is expressed as: H2D = d2r c†(r)H2D(r)c(r) (1) (cid:90) where c(r) = (cxy,σ(r), czx,σ(r), cyz,σ(r))T are the corre- sponding orbital fermionic fields. The electronic connec- tivity of the t2g bands is highly directional for symmetric TM-O bonds, e.g., an electron in dxy-orbital can only hy- bridize with px (py) states along y(x) directions, respec- tively, in a square lattice geometry. Other microscopic ingredients include the atomic spin-orbit interaction and the orbital Rashba interaction that couples the momen- tum to the local orbital angular momentum within the t2g sector. Taking into account the t2g hopping connectiv- ity, the inversion-broken mirror symmetry and the atomic spin-orbit interaction, the one particle Hamiltonian can be written as H2D(r) = Ht(r) + His(r) + HSO. More specifically, the kinetic term is given by  −t1∇2 Ht(r) =  ⊗ σ0 (2) −t1∇2 −t2∇2 + ∆t where ∇2 = ∂2 y, t1, t2 are the orbital dependent hop- ping amplitudes, for a surface layer ∆t denotes the crys- x + ∂2 tal field potential. We point out that for the case of the orbital dependent motion along the curved nanochannle, the effective mass would a priori depend on the propa- gation direction. Here, since we focus on the low density regime where the most isotropic xy band is dominating and we are interested in extracting the consequences aris- ing in the phase coherent transport from the interplay of spin and orbital polarizations via the atomic spin-orbit and the orbital Rashba coupling, we neglect the orbital dependence of the effective mass. Then, t1 and t2 are assumed to be constant. The inversion symmetry breaking term reads His(r) = −i∆is∂xly ⊗ σ0 + i∆is∂ylx ⊗ σ0 and the atomic spin orbit interaction is expressed as HSO = λSOl · σ (3) (4) where we have introduced the matrices for the projected l = 1 angular momentum associated with the d-states in the t2g symmetry sector  0 0 0 0 0 i 0 −i 0  ly =  0 0 −i 0 0 0 i 0 0  lz =  0 i 0 −i 0 0 0 0 0  lx = ∆tt2 When the split energy ∆t of the xy and the {zx, yz} orbitals at the Γ point is negative, at the first order in the orbital Rashba field there is a k-linear depen- dence spin splitting in the lowest band around Γ, so that the spin state can be effectively described by a Rashba model with Rashba coupling α = − λSO∆is [46]. We will show that for a curved nanochannel, due to the geometri- cally induced orbital texture, this description cannot be strictly applied for exctracting information on the quan- tum phase and on the electronic transmission. Hereafter, we will employ the parameter α to set out the strength of the Rashba interaction. Moeover, since we consider elec- trons which are spatially confined to move along a curved narrow channel, it is expected that the propagation of the spin state is affected by the non-uniform spatial cur- vature of the wire. For instance, the transmission in a Rashba semiconductor the spin direction winds with re- spect to the Frenet-Serret axes due to a non-homogenous curvature, allowing to control the geometric phase pro- duced [33]. The shape of the curved nanochannel can be described by introducing two unit vectors T (s) and N (s), which are tangent and normal to the spatial profile at a given position labelled by the curvilinear arclength coordinate s. They can be expressed in terms of a polar an- gle f (s) as N (s) = (cos f (s), sin f (s), 0) and T (s) = (sin f (s),− cos f (s), 0), and are related via the Frenet- Serret type equation ∂s N = κ T with κ(s) = −∂sf (s) being the local curvature. Following the Refs. [43, 44], one can generally con- sider a local frame (T (s), N (s)) and introduce the curvi- linear coordinates (q1, q2) = (s, u) to re-express the ki- netic operator. The Laplacian in these curvilinear coor- dinate can be expressed as ∇2 = 1√ ∂ ∂qj with GG−1 ∂ ∂qi √ ij G 3 4 Ψ(cid:48)(s, u)(cid:105) so that (cid:82) (cid:104)Ψ(cid:48)(s, u) Ψ(cid:48)(s, u)(cid:105) dsdu = 1, G = det Gij and Gij being the metric tensor, having the following non zero-elements G11 = (1 + uκ)2, G22 = 1. The solution of the one-particle time-independent Schrodinger equation can be written as Ψ(x, y)(cid:105) = G− 1 and for a curved narrow wire one is taking the limit u → 0. (cid:0) κ 2 − ∂u Hence, we have that ∇2 Ψ(cid:105) ∼ (∂2 (cid:1)(cid:1)Ψ(cid:48)(cid:105). We consider (cid:0)lN ∂s + lT u + V (u)(cid:1) Φ(u) = EΦΦ(u) [49]. The wave 4 )Ψ(cid:48)(cid:105), and in our analysis we will neglect the geometric potential com- ing from the term κ2/4. The broken-inversion term gives His Ψ(cid:105) ∼ −i∆is a confinement potential V (u) even in u. The Schrodinger equation can be solved by employing an adiabatic ap- proximation, so that Ψ(cid:48)(cid:105) ∼ Φ(u)ψ(s)(cid:105) with Φ(u) solu- function ψ(cid:105) is solution of H ψ(s)(cid:105) = E ψ(s)(cid:105) where the effective Hamiltonian is obtained by averaging with re- spect to the ground state Φ(u) and reads [50] tion of (cid:0)−t2∂2 u+ κ2 s +∂2 (cid:18) H = − t2 −i ∆is 2 l2 + (t1 − t2) l2 ∂2 s + ∆t 2 (lN ∂s + ∂slN ) + λSOl · σ z (cid:19) (cid:18) l2 2 (cid:19) − l2 z (5) We observe that for a circular wire, We remark that the Hamiltonian is symmetric with respect time-reversal transformation, i.e. ΘHΘ−1 = H where Θ is the anti-unitary operator Θ = iσyK and K is the operation of complex conjugation. the time- independent Schrodinger equation H ψnσ(cid:105) = Enσ ψnσ(cid:105) can be solved by taking in account the rotational sym- metry with respect to the z axis. In this case the nor- mal direction is radial N = R, and the polar angle is f (s) = s/R where R is the radius R = L/2π. The eigen- function ψnσ(cid:105) with energy Enσ can be then expressed as ψnσ(s)(cid:105) = ei(n+ 1 2 ) s R Uz (s/R)ψnσ(0)(cid:105) (6) where Uz(θ) = ei(lz− σz boundary conditions. 2 )θ and n is an integer for periodic In particular the state ψnσ(0)(cid:105) and the eigen-energy Enσ are given by the eigenvalues equation (cid:26) 1 R2 (cid:18) (cid:18) l2 t2 +∆t 2 +λSOl · σ (cid:19)(cid:18) (cid:18) l2 2 − l2 z (cid:27) z (cid:20) (cid:19) + (t1 − t2) l2 lz − σz 2 lz − σz 2 ψnσ(0)(cid:105) = Enσ ψnσ(0)(cid:105) ∆is R lx + + n + + n + (cid:19)2 (cid:19) 1 2 1 2 − i (cid:21) ly 2 We note that the Kramers conjugate of the state ψnσ(s)(cid:105) is Θψnσ(s)(cid:105) = ψ−n−1σ(s)(cid:105), so that E−n−1σ = Enσ. III. ELECTRON TRANSPORT IN CURVED NANOCHANNEL WITH d-ORBITAL SYMMETRIC STATES We consider an electron with energy E injected at the extremity of the narrow quantum wire which we indi- cate as s = 0. The spatial propagation for an arbitrary curvature of a nanowire can be achieved by employing a rectification procedure by taking the limit of infinitesimal segments (for instance see Ref. [45]). Here, we consider a wire of length L (in unit of the inter-atomic lattice dis- tance) and we construct the solution by solving the model Hamiltonian in each segment. Locally a solution of the time-independent Schrodinger equation is expressed as eisk χ(s, k)(cid:105) where we refer to χ(s, k)(cid:105) as the polariza- tion state at a given position s. The polarization states are related through the relation χ(s, k)(cid:105) = Uz(f (s))χ(k)(cid:105), where the polarization χ(k)(cid:105) at the input point s = 0 and the value of k satisfy the equation H0(k)χ(k)(cid:105) = E χ(k)(cid:105), with (cid:18) H0(k) = l2 2 + (t1 − t2) l2 t2 +∆islxk + λSOl · σ z k2 + ∆t (cid:19) (cid:18) l2 2 (cid:19) − l2 z Due to the Kramers degeneracy the values of k are {kσ,−kσ}σ, where kσ gives a forward propagating solu- tion. We indicate with Π(s) the projector to the subspace V(s) spanned by the states {χ(s, kσ)(cid:105)}σ. From the con- tinuity of the wave function, the spatial propagation of the input polarization state is determined by the spatial propagator Γ(s) Γ(s) = Pei(cid:82) s 0 G(s(cid:48))ds(cid:48) Π (7) where Π = Π(0) is the projector at s = 0, P is the path ordering operator, and the generator G(s) is the sum of two terms G(s) = K(s) − A(s) with K(s) being the generator of the propagation along a flat segment at a given position s, and can be ex- pressed as K(s) = Uz(f (s))KU† z (f (s)) with K = σσ(cid:48) χ(kσ)(cid:105)(cid:104)χ(kσ(cid:48)) where X is the matrix with elements Xσσ(cid:48) = (cid:104)χ(kσ) χ(kσ(cid:48))(cid:105). Conversely A(s) = 2 , Π(s)(cid:3) Π(s)κ(s) generates the parallel transport. (cid:80) (cid:2)lz − σz σσ(cid:48) kσX−1 We remark that a general solution of the Schrodinger equation can be expressed as the linear combination ψ(s)(cid:105) = c1Γ(s)φ(cid:105) + c2ΘΓ(s)φ(cid:105). Moroever, we note that the rotation of the polarization state depends on the spatial curvature. In particular for the limiting case of a circle, the curvature κ(s) is constant, i.e. κ(s) = −2π/L, and the path ordered integral in Eq. (7) can be solved, so that Γ (s) reads Γ (s) = Uz(2πs/L)e−iΠ(lz− σz 2 )Π2πs/L+iKsΠ (8) 2 (cid:1) Π 2π K − Π(cid:0)lz − σz 4 Then, an input state φ(cid:105) which is an eigenstate of L with eigenvalue ξ, evolves in space as eiξsUz(2πs/L)φ(cid:105). In particular by requiring that ξ = (2n − 1)π/L one can obtain again the solution given in Eq. (6). For this limiting case, the spin (cid:104)σ(s)(cid:105) and orbital (cid:104)l(s)(cid:105) local orientations do not develop a tangen- tial component. Conversely, a tangential component can emerge because of a non-homogenous curvature, allowing the orientations to wind with respect to the Frenet-Serret directions in analogy with the single orbital spin-Rashba model [33]. A. Low-energy regime: spin-orbital textures We consider the electronic propagation in the simplest configuration with only two channels identified through the orthogonal polarization states {χ(kσ)(cid:105)}σ=1. We ex- pect that this physical configuration is paradigmatic and applies well for the case of 2DEG in the low electron fill- ing regime when the crystal field potential is larger than the other energy scales, ∆t is negative and thus the xy orbital is the lowest configuration. This is indeed the case for LaAlO3-SrTiO3 2DEGs or at the surface of SrTiO3, whereas the typical en- ergy scales associated to the model Hamiltonian, the mi- croscopic parameters of our interest assumes the values ∆t ∼ 50 − 100 meV, ∆is ∼ 20 meV, λSO ∼ 10 meV, t ∼ 200 − 300 meV,[19, 46 -- 48]. Furthermore, assum- ing quantum rings with radius larger than 100 nm, the orbital direction (cid:104)l(cid:105) for a given electronc configuration mainly points towards the radial direction in the low en- ergy regime. In order to investigate the effect of the nonuniform cur- vature we consider the example of a quantum ring with an elliptical shape and a ratio a/b between the minor (a) and the major (b) axes of the ellipse. The propa- gation in space of the spin (cid:104)σ(s)(cid:105) and orbital (cid:104)l(s)(cid:105) ori- entations is represented through two Frenet-Serret-Bloch (FSB) spheres (Fig. 1). We topologically characterize the resulting spin and orbital texture through the number of windings around the normal N and the binormal z directions that the cor- respondent orientations trace over the FSB sphere in a single loop. Due to the reflection symmetry of the wire, these winding numbers are even, and those calculated with respect to the tangential direction are zero. Fur- thermore, the spin and the orbital textures have the same winding numbers, since the relative curves are homotopic (see the Appendix for the detailed demonstration). We perform our analysis as a function of the inversion broken parameter ∆is, the Fermi energy E and the semi- axis lengths ratio a/b. As shown in Fig. 2 the textures show two different regimes. When the curvature is almost homogenous (black re- gions) the texture does not exhibit any winding in the Bloch spheres. The behavior in terms of the effective Rashba strength is similar to that one obtained for the 5 a variation of the Fermi energy. B. Low-energy regime: quantum conductance For a unitary propagation we can isolate the U (1) contribution eiΦ by writing Γ(s) = eiΦΓ(cid:48)(s). An elec- tron moving along a closed loop can acquire a phase β = arg (cid:104)φ Γ(cid:48)(L)φ(cid:105), which can be separated as a sum of dynamical and geometric phases. The nonadi- abatic Aharonov-Anandan geometric phase γσ associ- ated to the curve φ(s)(cid:105) = Γ(cid:48)(s)φ(cid:105) is defined through γ = β + i(cid:72) (cid:104)φ ∂sφ(cid:105) ds. For a circle the geometric phase can be expressed as the sum γ = Ω(s)/2 − Ω(l) where Ω(s) and Ω(l) are the solid angles swiped on the Bloch spheres by the spin and orbital orientations. The phase β enters in the transport features by considering a mirror symmetric ring with re- spect to the xz plane, coupled to two contact leads at s = 0, L/2. In the limit of low bias applied voltage, the differential conductance at the energy E can be obtained by means of the Landauer approach, and reads g = e2/hT , where the transmission coefficient T can be calculated as in [33]. At s = 0 the polarization states χ(kσ)(cid:105) propagate along the upper arm in accordance with Γu(s, 0) = Γ(s), conversely the states χ(−kσ)(cid:105) = Θχ(kσ)(cid:105) along the lower arm in accordance with Γl(s, 0) which is obtained through the time reversal Γl(s, 0) = ΘΓu(L − s, L)Θ−1. We neglect backscattering effects at the contacts, and we assume that the electrons enter with same probability in lower and upper paths. For unpolarized leads the transmission coefficient can be expressed as T = 1 + ReTr{Γlu} /M , where we have † defined Γlu = Γ Π, where l (L/2, 0) Γu (L/2, 0) = ΠPei(cid:82) L (cid:26) G(s(cid:48))ds(cid:48) 0 G(s) = G(s), 0 < s < L/2 −ΘG†(s)Θ−1, L/2 < s < L (9) and Π = ΘΠΘ−1. We note that the geometric phase is not easily related to the conductance due to the orbital contribution, in- deed the counterclockwise propagation Γ(cid:48)(L) enters in the transmission through Γlu (cid:54)= Γ(cid:48)(L). Indeed we ob- serve that Γlu = Γ(cid:48)(L) if ΘK(cid:48)† Θ−1 = −K(cid:48) and Π = Π, which is obtained if there is only one orbital l(cid:105). In this case K(cid:48) can be written as K(cid:48) = l(cid:105)(cid:104)l ⊗ h · σ for some h and Π = l(cid:105)(cid:104)l ⊗ σ0. In general the two paths are related through the relation Γlu = BΓ(cid:48)(L), so that the transmission coefficient T can be re-expressed as (cid:88) T = 1 + Re (cid:104)φσ B φσ(cid:105) eiβσ /M (10) σ where {φσ(cid:105)}σ are the eigenstates of Γ(cid:48)(L), and those whit non zero eigenvalues are such that Γ(cid:48)(L)φσ(cid:105) = eiβσ φσ(cid:105). FIG. 1. The Bloch-Frenet-Serret (BFS) representation of (cid:104)l(s)(cid:105) and (cid:104)σ(s)(cid:105) for a given Fermi energy E = −0.5315. We put t1 = 1., λSO = 0.1, ∆t = −.5, ∆is = 0.2 in unit of t2, and we consider an ellipse having a ratio of the semi-axis lengths a/b = 0.2, with the overall length of the ring being L = 400π (in unit of the atomic distance). FIG. 2. We display the half of winding numbers calculated with respect to the normal N (left panel) and the z (right panel) axes as a function of ∆is, the Fermi energy E and the ratio a/b. We use the parameter α = − λSO ∆is rescaled to the length L to have an adimensional amplitude that measure the effective strength of the orbital Rashba. The other micro- scopic parameters are set as in Fig. 1 and ∆is is changed in the interval [0.16, 0.24]t2. The energy E is varied by fixing ∆is = 0.2 (dotted white line). ∆tt2 single band Rashba model [33]. More specifically, for a fixed energy E of the selected eigenstate the winding number around the normal N increases by increasing the field ∆is and the winding around the out-of-plane direc- tion, z, displays a comb-like structure. Remarkably, by increasing the energy, the same patterns appear with a decreasing of the winding number around N . The de- pendence of the spin-orbital texture on the Fermi energy is a relevant outcome of the analysis. Indeed, for the single band Rashba model the spin-orbital textures were independent of the Fermi energy. Here, we find that ap- proaching a regime of strong curvature (i.e. a/b ∼ 0.4) there are transitions in the winding which are induced by At low energy only two channels are employed and the transmission coefficient T can be expressed as T = 1 + b cos(β + arg b) (11) where b = (cid:104)φ1 B φ1(cid:105) which comes out due to the broken inversion, is intimately related to the orbital degrees of freedom, and can give a damping of the interference effect related to the phase β. From Fig. 3, we deduce that b is approximatively equal to one and sensibly decreases by increasing the energy E. 6 In order to go outside the low k regime, we consider a wire that is made by regular polygons with N sides circumscribed in a circle of radius R. In fig. 5 we com- pare the transmission T calculated with the continuum effective model with the transmission TN for a regular polygon with N sides circumscribed in a circle of radius R. As one can notice, the behavior is quite similar in the two approaches. FIG. 3. The factor b as a function of the orbital Rashba strength ∆is, the Fermi energy E and the ratio a/b. The values of the other microscopic parameters are set as in Fig. 2. The phase γ, the transmission coefficient T in Fig. 4. FIG. 4. The quantum geometric contribution 1 + cos γ (left panel), and the transmission coefficient T (right panel) as a function of the orbital Rashba coupling ∆is, the Fermi energy E and the elliptical ratio a/b. The values of the microscopic parameters are set as in Fig. 2. We notice that approaching the regime of large geometrical curvature (i.e. a/b ∼ 0.4) the evolution becomes non adiabatic and there are series of transitions with geometric phase slips. Interestingly, the total conductance can be tuned by varying the Fermi energy and thus the filling too (bottom right panel). As the curvature becomes nonhomogenous for small a/b the geometric phase γ sensibly differs from the adi- abatic value π in relation with the nontrivial windings around the Frenet-Serret directions, in analogy with the Rashba model [33]. Conversely, the transmission pattern is smoothed, showing almost constant conductance or a changeover from destructive to constructive interference by decreasing the ratio a/b, FIG. 5. Transmission coefficient as a function of the Fermi energy EF . The values of the parameters are set as in Fig. 1. The trasmission T is calculated through the effective contin- uum model for a circle of ray R = 200, and TN by consider- ing the Bloch Hamiltonian H2D(k) of the corresponding tight binding model and by constraining the quasi-momentum k to change along the direction of the side for a circumscribed polygon of N = 100 sides. IV. CONCLUSIONS To conclude, we have derived a continuum model for describing the propagation of electrons in ballistic one- dimensional curved nanostructure which are marked by a strong interplay of spin-orbital degrees of freedom due to local electronic states with d−orbital symmetry, atomic spin-orbit and orbital Rashba couplings. The analysis has been focused on the low electron density regime where the xy-state is dominant as due to the 2D con- finement and the crystalline distorions. The microscopic regime is relevant for LAO-STO 2DEG oxide nanochan- nels. We find that, although the xy orbital is dominant, the geometric curvature can drive both spin and orbital textures by yielding three-dimensional non-collinear pat- terns. Remarkably, even if the total angular momentum is not a good quantum number due to the crystal field potential and the reduced symmetries, we find that the spin and orbital angular momentum manifest the same winding when the electron travels in a closed loop. This implies that the spin and orbital components can coher- ently contribute to the transport properties. Concerning the electronic transport, we demonstrate that the orbital Rashba can drive a change in the con- ductance and more importantly that the transmission de- TTN-0.5-0.4-0.3-0.20.00.51.01.52.0EF/t2T pends on the Fermi energy. This is a relevant aspect that distinguishes the orbital Rashba coupled nanochan- nel from the single band Rashba case where the ballis- tic transport and the spin-texture are independent of the Fermi energy. According to these results, even in a single quantum well mode nanochannel, one can expect varia- tions of the conductance when the electron density is modified, for instance by electrical gating. V. APPENDIX VI. SYMMETRY PROPERTIES OF THE QUANTUM GEOMETRIC PHASE The Aharonov-Anandan geometric phase γσ associated to the curve φσ(s)(cid:105) = Γ(cid:48)(s)φσ(cid:105) is defined through (cid:73) γσ = βσ + i (cid:104)φσ ∂sφσ(cid:105) ds (12) For two channels the spatial propagator in Eq. (7) takes the simpler form Γ(s) = eiΦ s L Γ(cid:48)(s) = eiΦ s 0 G(cid:48)(s(cid:48))ds(cid:48) Π (13) LPei(cid:82) s (cid:105) where Φ = k1+k2 2 L, and τz(s) −(cid:104) k1 − k2 G(cid:48)(s) = (14) with τz(s) = χ(s, k1)(cid:105)(cid:104)χ(s, k1) − χ(s, k2)(cid:105)(cid:104)χ(s, k2). Then, it follows that β1,2 = ±β, γ1,2 = ±γ. lz − σz 2 Π(s)κ(s) , Π(s) 2 2 where bi = (cid:104)φi B φi(cid:105). The expression for the transmission coefficient T in eq. (11) can be derived from the general one in eq. (10) by observing that b1 = b∗ In- deed, we note that if there is an antiunitary operator R such that RΓ(cid:48)(L)R−1 = Γ(cid:48)(L) then φ2(cid:105) = R φ1(cid:105), and if RBR−1 = B the matrix elements are related via a com- plex conjugation b1 = b∗ In particular R can be realized through the time reversal Θ by considering kσ as odd with respect to time reversal. 2 = b. We note that for wires with a spatial profile symmetric with respect to the xz plane there is a unitary operator Y such that Y 2 = 1 , Y ΠY = Π and Y G(cid:48)(s)Y = −G(cid:48)(L − s). In order to show that, we consider Y = eiψ χ(k1)(cid:105)(cid:104)χ(k2) + e−iψ χ(k2)(cid:105)(cid:104)χ(k1) + Q where ΠQΠ = 0. By choosing ψ so that 7 From Y Γ(cid:48)(L)Y = Γ(cid:48)†(L) follows that φ1(cid:105) = Y φ2(cid:105). We also mention that the spatial propagator Γ(s) al- lows to define a curve in the Stiefel manifold defined by the set of the frames in the subspaces V(s) with dimen- sion M = Tr{Π}. The Stiefel manifold can be regarded as a fiber bundle with the Grassmannian G(6; M ) (the set of the M -dimensional subspaces) as base manifold and with the set of M × M unitary matrices as fibers. For defined as Umn = (cid:104)mPe−i(cid:82) L a closed wire one then gets a Wilczek-Zee holonomy U 0 A(s)ds n(cid:105) with {n(cid:105)} frame in V(0). A. Correlation between the spin and orbital winding numbers In this subsection we demonstrate that the winding of the spin and orbital angular momentum have to be the same. An operator Π(s)O(s)Π(s) is represented through a 2 × 2 matrix O(V )(s), so that (cid:104)O(s)(cid:105) = (cid:104)O(V )(s)(cid:105) where the average is calculated with respect to φ(s)(cid:105). Due to time reversal symmetry, we have that l(V ) α (s) = α (s) = vσα (s) · τ which are consid- vlα (s) · τ and σ(V ) ered nonsingular for every s, where τ is the vector of the Pauli matrices. For instance, from Π(s)lN (s)Π(s) = Uz(f (s))ΠlxΠU† N (s) is singular iff ΠlxΠ is zero, from which it can be singular only if (cid:104)lN (s)(cid:105) = 0 for every s. z (f (s)) we have that l(V ) From reflection symmetries with respect to T N and zT planes, we have that (vσT , vσN , vσz ) and (vlT , vlN , vlz ) are two collinear triads of mutual orthogonal vectors. with respect T N plane, we have that RzΠ(s)R−1 For instance, from the property of symmetry reflection z = Π(s)  1 1 −1  ⊗ σxK, where Rz is the reflection Rz = then z Tr (s) l(V ) T (s)l(V ) = Tr{lT (s)Π(s)lzΠ(s)} z RzlzR−1 z RzΠ(s)R−1 (cid:110) (cid:111) = Tr(cid:8)R−1 = Tr(cid:8)RzlT (s)R−1 z RzlT (s)R−1 z RzΠ(s)R−1 (cid:110) z RzlzR−1 (cid:111) z = −lz and RzlT R−1 since RzlzR−1 it results that Tr orthogonality of the vectors vlT and vlz . = −Tr{lT (s)Π(s)lzΠ(s)} l(V ) T (s)l(V ) (s) z z RzΠ(s)(cid:9) (cid:9) z RzΠ(s)R−1 z z = lT , from which = 0 which implies the ei2ψ = −(cid:104)χ(k1) lz − σz (cid:104)χ(k1) lz − σz 2 χ(k2)(cid:105)∗ 2 χ(k2)(cid:105) From the collinearity property we have that ((cid:104)σT(cid:105),(cid:104)σN(cid:105),(cid:104)σz(cid:105)) = (cT(cid:104)lT(cid:105), cN(cid:104)lN(cid:105), cz(cid:104)lz(cid:105)) then exists Q so that lz − σz 2 changes sign under the unitary transformation Y . Since f (L − s) = −f (s) we have that Y G(cid:48)(s)Y = −G(cid:48)(L − s). where cα is defined by vσα (s) = cα(s)vlα (s), and cα(s) (cid:54)= 0 for every s. The transformation Ft(s) = ([(1−t)cT (s)+t](cid:104)lT (s)(cid:105), [(1−t)cz(s)+t](cid:104)lz(s)(cid:105)) with t ∈ [0, 1] is a homotopy, because of Ft(s)1 ≥ 8 δ((cid:104)lT (s)(cid:105) + (cid:104)lz(s)(cid:105)) > 0 for every t, s, where δ = min{1,cT ,cN ,cz} > 0. Then the curves ((cid:104)σT (s)(cid:105),(cid:104)σz(s)(cid:105)) and ((cid:104)lT (s)(cid:105),(cid:104)lz(s)(cid:105)) wind the same number of times around the origin. The same arguments apply also for the winding around z. [1] E. I. Rashba, Sov. Phys. - Solid State 2, 1109 (1960). [2] A. Manchon, H. C. Koo, J. Nitta, and R. A. Duine, Nat. Mat. 14, 871 (2015). [3] G. Dresselhaus, Phys. Rev. 100, 580 (1955). [4] S. R. Park, C. H. Kim, J. Yu, J. H. Han, and C. Kim, and M. Cuoco, Phys. Rev. B 97, 174522 (2018). [25] Y. Fukaya, S. Tamura, K. Yada, Y. Tanaka, P. Gentile, and M. Cuoco, Phys. Rev. B 100, 104524 (2019). [26] C. A. Perroni, V. Cataudella, M. Salluzzo, M. Cuoco, and R. Citro Phys. Rev. B 100, 094526 (2019) Phys. Rev. Lett. 107, 156803 (2011). [27] S. Gariglio, A.D. Caviglia, J.-M. Triscone and M. Gabay, [5] J.-H. Park, C. H. Kim, H.-W. Lee, and J. H. Han, Phys. Reports on Progress in Physics 82, 012501 (2018). Rev. B 87, 041301(R) (2013). [28] F. Nagasawa, J. Takagi, Y. Kunihashi, M. Kohda, and J. [6] P. Kim, K. T. Kang, G. Go, and J. H. Han, Phys. Rev. Nitta, Phys. Rev. Lett. 108, 086801 (2012). B 90, 205423 (2014). [29] F. Nagasawa, D. Frustaglia, H. Saarikoski, K. Richter, [7] J. Hong, J.-W. Rhim, C. Kim, S. R. Park, and J.-H. and J. Nitta, Nat. Commun. 4, 2526 (2013). Shim, Sci. Rep. 5, 13488 (2015) [8] B. Kim, C. H. Kim, P. Kim, W. Jung, Y. Kim, Y. Koh, M. Arita, K. Shimada, H. Namatame, M. Taniguchi, J. Yu, and C. Kim, Phys. Rev. B 85, 195402 (2012). [9] J.-H. Park, C. H. Kim, J.-W. Rhim, and J. H. Han, Phys. Rev. B 85, 195401 (2012). [10] S. R. Park, J. Han, C. Kim, Y. Y. Koh, C. Kim, H. Lee, H. J. Choi, J. H. Han, K. D. Lee, N. J. Hur, M. Arita, K. Shimada, H. Namatame, and M. Taniguchi, Phys. Rev. Lett. 108, 046805 (2012). [11] Y. Fukaya, S. Tamura, K. Yada, Y. Tanaka, P. Gentile, and M. Cuoco, Phys. Rev. B 100, 104524 (2019). [12] L. El-Kareh, G. Buchter, H. Bentmann, S. Blugel, F. Reinet, and M. Bode, New J. Phys. 16, 045017 (2014). [13] S. Schirone, E. E. Krasovskii, G. Bihlmayer, R. Piquerel, P. Gambardella, and A. Mugarza, Phys. Rev. Lett. 114, 166801 (2015). [14] P. D. C. King, S. McKeown Walker, A. Tamai, A. de la Torre, T. Eknapakul, P. Buaphet, S.-K. Mo, W. Meevasana, M.S. Bahramy, and F. Baumberger, Nat. Communs. 5, 3414 (2014). [15] H. Nakamura, T. Koga, and T. Kimura, Phys. Rev. Lett. 108, 206601 (2012). [16] A. M. Ole´s, J. Phys.: Condens. Matter 24, 313201 (2012). [17] V. Fomin, Physics of Quantum Rings (Nanoscience and [30] H. Saarikoski, J.E. V´azquez-Lozano, J.P. Baltana´s, F. Nagasawa, J. Nitta, and D. Frustaglia, Phys. Rev. B 91, 241406(R) (2015). [31] A.A. Reynoso, J.P. Baltana´s, H. Saarikoski, J.E. Va´zquez-Lozano, J. Nitta, and D. Frustaglia, New J. Phys. 19, 063010 (2017). [32] P. Gentile, M. Cuoco, and C. Ortix, Phys. Rev. Lett. 115, 256801 (2015). [33] Z. J. Ying, P. Gentile, C. Ortix, and M. Cuoco, Phys. Rev. B 94, 081406(R) (2016). [34] A. Annadi, G. Cheng, H. Lee, J.-W. Lee, S. Lu, A. Tylan-Tyler, M. Briggeman, M. Tomczyk, M. Huang, D.Pekker, C.-B.Eom, P.Irvin, andJ.Levy,Nano Letters 18,4473 (2018). [35] G. Cheng, M. Tomczyk, S. Lu, J.P. Veazey, M. Huang, P. Irvin, S. Ryu, H. Lee, C.-B. Eom, C.S. Hellberg, and J. Levy, Nature 521, 196 (2015). [36] G.Cheng, M. Tomczyk, A.B. Tacla, H. Lee, S. Lu, J.P. Veazey, M. Huang, P. Irvin, S. Ryu, C.-B. Eom, A. Daley, D. Pekker, and J. Levy, Phys. Rev. X 6, 041042 (2016). [37] M. Briggeman, J. Li, M. Huang, H. Lee, J.-W. Lee, K. Eom, C.-B. Eom, P. Irvin, and J. Levy, arXiv:1912- 07166v1 (2019). [38] D. Frustaglia and K. Richter, Phys. Rev. B 69, 235310 (2004). Technology, Springer), 2018. [39] T. Koga, J. Nitta, and M. van Veenhuizen, Phys. Rev. B [18] A. Ohtomo and H. Y. Hwang, Nature 427, 423 (2004). [19] M. Salluzzo, J. C. Cezar, N. B. Brookes, V. Bisogni, G. M. De Luca, C. Richter, S. Thiel, J. Mannhart, M. Huijben, A. Brinkman, G. Rijnders, and G. Ghiringhelli, Phys. Rev. Lett. 102, 166804 (2009). [20] H. Thierschmann, E. Mulazimoglu, N. Manca, S. Goswami, T.M. Klapwijk, A.D. Caviglia, Nat. Commun. 9, 2276 (2018). [21] A. Jouan, G. Singh, E. Lesne, D. C. Vaz, M. Bibes, A. Barth´el´emy, C. Ulysse, D. Stornaiuolo, M. Salluzzo, S. Hurand, J. Lesueur, C. Feuillet-Palma, and N. Bergeal, arXiv:1903.12134v1 (2019). 70, 161302(R) (2004). [40] D. Bercioux, D. Frustaglia, and M. Governale, Phys. Rev. B 72, 113310 (2005). [41] T. Koga, Y. Sekine, and J. Nitta, Phys. Rev. B 74, 041302(R) (2006). [42] F. Qu, F. Yang, J. Chen, J. Shen, Y. Ding, J. Lu, Y. Song, H. Yang, G. Liu, J. Fan, Y. Li, Z. Ji, C. Yang, and L. Lu, Phys. Rev. Lett. 107, 016802 (2011). [43] R. C. T. da Costa, Phys. Rev. A 23, 1982 (1981). [44] P. Gentile, M. Cuoco, and C. Ortix, SPIN 03, 1340002 (2013). [45] D. Bercioux, D. Frustaglia, and M. Governale, Phys. Rev. [22] M. S. Scheurer and J. Schmalian, Nat. Commun. 6, 6005 B 72, 113310 (2005) (2015). [46] G. Khalsa, B. Lee, and A. H. MacDonald, Phys. Rev. B [23] F. Loder, A. P. Kampf, and T. Kopp, Sci. Rep. 5, 15302 88, 041302 (2013). (2015). [47] Z. Zhong, A. T´oth, and K. Held, Phys. Rev. B 87, [24] Y. Fukaya, S. Tamura, K. Yada, Y. Tanaka, P. Gentile, 161102(R) (2013). [48] J. Zabaleta, V. S. Borisov, R. Wanke, H. O. Jeschke, S. C. Parks, B. Baum, A. Teker, T. Harada, K. Syassen, T. Kopp, N. Pavlenko, R. Valent, and J. Mannhart, Phys. Rev. B 93, 235117 (2016). [49] We consider ∆is and t2 − t1 small with respect the wire [50] We notice that the value of ∆t gets shifted by (t1 − (cid:113) V (cid:48)(cid:48) 2t2 width. t2)(cid:82) Φ∗∂2 uΦdu ≈ t2−t1 2 (0) . 9
1910.04248
1
1910
2019-10-04T14:36:02
Partial time-reversal invariance violation in a flat, superconducting microwave cavity with the shape of a chaotic Africa billiard
[ "cond-mat.mes-hall", "quant-ph" ]
We report on the experimental realization of a flat, superconducting microwave resonator, a microwave billiard, with partially violated time-reversal (T ) invariance, induced by inserting a ferrite into the cavity and magnetizing it with an external magnetic field perpendicular to the resonator plane. In order to prevent its expulsion caused by the Meissner-Ochsenfeld effect we used a cavity of which the top and bottom plate were made from niobium, a superconductor of type II, and cooled it down to liquid-helium temperature T LHe ' 4 K. The Cavity had the shape of a chaotic Afrivca billiard. Superconductivity rendered possible the accurate determination of complete sequences of the resonance frequencies and of the widths and strengths of the resonances, an indispensable prerequisite for the unambiguous detection of T invariance violation, especially when it is only partially violated. This allows for the first time the precise specification of the size of T invariance violation from the fluctuation properties of the resonance frequencies and from the strength distribution, which actually depends sensitively on it and thus provides a most suitable measure. For this purpose we derived an analytical expression for the latter which is valid for isolated resonances in the range from no T invariance violation to complete violation.
cond-mat.mes-hall
cond-mat
Partial time-reversal invariance violation in a flat, superconducting microwave cavity with the shape of a chaotic Africa billiard B. Dietz,1, ∗ T. Klaus,2 M. Miski-Oglu,3 A. Richter,2, † and M. Wunderle2 1School of Physical Science and Technology, and Key Laboratory for Magnetism and Magnetic Materials of MOE, Lanzhou University, Lanzhou, Gansu 730000, China 2Institut fur Kernphysik, Technische Universitat Darmstadt, D-64289 Darmstadt, Germany 3GSI Helmholtzzentrum fur Schwerionenforschung GmbH D-64291 Darmstadt, Germany (Dated: October 11, 2019) We report on the experimental realization of a flat, superconducting microwave resonator, a microwave billiard, with partially violated time-reversal (T ) invariance, induced by inserting a ferrite into the cavity and magnetizing it with an external magnetic field perpendicular to the resonator plane. In order to prevent its expulsion caused by the Meissner-Ochsenfeld effect we used a cavity of which the top and bottom plate were made from niobium, a superconductor of type II, and cooled it down to liquid-helium temperature TLHe (cid:39) 4 K. The Cavity had the shape of a chaotic Afrivca billiard. Superconductivity rendered possible the accurate determination of complete sequences of the resonance frequencies and of the widths and strengths of the resonances, an indispensable prerequisite for the unambiguous detection of T invariance violation, especially when it is only partially violated. This allows for the first time the precise specification of the size of T invariance violation from the fluctuation properties of the resonance frequencies and from the strength distribution, which actually depends sensitively on it and thus provides a most suitable measure. For this purpose we derived an analytical expression for the latter which is valid for isolated resonances in the range from no T invariance violation to complete violation. Introduction. -- An important aspect of quantum chaos is the understanding of the features of the classical dy- namics in terms of the spectral properties of the cor- responding quantum system [1 -- 3]. Numerous experi- mental and numerical studies confirmed that for a fully chaotic classical dynamics they coincide with those of random matrices [4] from the Gaussian orthogonal en- semble (GOE) when time-reversal (T ) invariance is pre- served, from the Gaussian unitary ensemble (GUE) when it is violated [5 -- 7] and from an ensemble interpolating be- tween the GOE and the GUE, when T invariance is only partially violated [8 -- 10]. Most suitable for the exper- imental verification are flat, cylindrical microwave res- onators [11, 12] and microwave networks [10]. For mi- crowave frequencies below a certain cutoff value fmax, the associated Helmholtz equation is mathematically equiva- lent to the Schrodinger equation of the quantum billiard and the quantum graph of corresponding shape [13, 14], respectively. The random-matrix theory (RMT) analy- sis of the spectral properties of a quantum system and the assignment to one of these ensembles requires com- plete sequences of several hundreds of eigenvalues [7] or an elaborate procedure to cope with missing levels [15 -- 21] which hinder or render the unambiguous determi- nation of the strength of T invariance violation unfea- sible in cases where it is only partially violated. For T invariant systems complete sequences of up to 5000 eigenvalues [22 -- 24] of the corresponding quantum billiard were obtained in high-precision experiments at liquid- helium temperature TLHe = 4 K with niobium and lead- coated microwave resonators which become supercon- ducting at Tc = 9.2 K and Tc = 7.2 K, respectively. Quantum systems in the presence T invariance violation were investigated experimentally, e.g., in nuclear spectra and reactions [25, 26], through Rydberg excitons [27] in copper oxide crystals, and in electron transport through quantum dots [28]. The effects of T invariance viola- tion on the fluctuation properties in the eigenvalue spec- tra have been measured in microwave billiards [29 -- 31] and networks [21, 32, 33]. However, one had to cope with missing levels in these experiments. Furthermore, the fluctuation properties of the scattering matrix de- scribing open quantum systems with partially violated T invariance were studied thoroughly with microwave bil- liards [34 -- 36]. In this letter we present the first experi- mental realization of T invariance violation in a supercon- ducting microwave billiard, which allows the precise de- termination of the strengths and widths of the resonances and of their positions, i.e., of the resonance frequencies and, thereby, of the size of T invariance violation based on the strength distribution and the spectral fluctuation properties. In the room-temperature experiments T invariance vi- olation was induced by inserting a ferrite into the res- onator and magnetizing it with an external magnetic field. Due to the Meissner-Ochsenfeld effect [37] this, however, is no longer possible in experiments with super- conducting lead-coated cavities [23], since lead is a super- conductor of type I [38] which is characterized by zero electrical resistance and perfect diamagnetism. Meiss- ner and Ochsenfeld showed that regardless of whether such a superconductor was cooled below the critical tem- perature and placed in a magnetic field or placed in a magnetic field and then cooled below Tc the field was ex- 9 1 0 2 t c O 4 ] l l a h - s e m . t a m - d n o c [ 1 v 8 4 2 4 0 . 0 1 9 1 : v i X r a pelled from the superconductor. Superconductors of type I can be turned into normal conductors by increasing the temperature or the external magnetic field beyond their critical values Tc and Bc, respectively, whereas niobium is one of type II [39] for magnetic fields between two crit- ical values, Bc1 = 153 mT and Bc2 = 268 mT. Below Bc1 it behaves like a superconductor of type I and above Bc2 it becomes normal conducting. Between these critical values, magnetic flux starts to penetrate the supercon- ductor via vortices corresponding to regions of circulat- ing supercurrent around a core which essentially behaves like a normal conductor [40]. The magnetic flux enters the superconductor through these flux tubes, with the supercurrents screening the bulk material from the ex- ternal field. We used this property of niobium to achieve partial T invariance violation at TLHe. Experiment. -- The microwave billiard consisted of a cir- cular niobium billiard of 7 mm height, previously used for the experimental investigation of chaos-assisted tunnel- ing [41], containing a brass frame of 7.1 mm height with the shape of a fully chaotic Africa billiard, see Fig. 1, which was coated with lead. In order to guarantee a good electrical contact between the frame and the top and bot- tom plates, the upper and lower edges of the frame were sharp cut and the resonator was inserted into a circu- lar brass container which was flush with the former and tightly closed with screws, thus firmly pressing the top and bottom niobium plates onto the frame. The screw holes are visible in Fig. 1. In order to induce T invariance violation, a cylindrical CV19 ferrite of 5 mm height and 4 mm diameter was introduced into the billiard at the po- sition marked by a black cross in Fig. 1 and magnetized by an external magnetic field perpendicular to the plates generated by two NdFeB magnets mounted outside the resonator above and below the ferrite at a distance of 3.5 mm from the Niobium plates, which corresponds to a magnetic field strength of B = 200 mT [35, 36], such that Bc1 < B < Bc2. FIG. 1: Photograph of the top plate (left) and basin (right) of the cavity. The circles on the top plate indicate the six differ- ent positions where two antennas were attached and the cross marks the ferrite position. A lead-coated brass frame with the shape of an Africa billiard was inserted into the circular basin which was made from niobium. 2 FIG. 2: Transmission spectra for B = 0 mT (top) and B = 200 mT (middle). The latter exhibits a broad peak in the frequency range f ∈ [16.5, 18.5] GHz, which is at- tributed to trapped modes inside the ferrite. The zooms into this spectrum (bottom) illustrate exemplary the conservation (left) and violation (right) of the principle of reciprocity below and within this frequency range. sion spectra two antennas were attached at two out of six possible positions visible in Fig. 1 and connected to a Vector Network Analyzer (VNA) which provided the rf signal for frequencies below fmax = 20 GHz. The VNA determined the amplitude and phase of the output signal relative to the input signal, thus yielding the scattering (S) matrix elements Sba describing the scattering pro- cess from antenna a to b. Figure 2 shows transmission spectra measured at B = 0 mT and B = 200 mT, re- spectively. The latter one exhibits a broad peak in the frequency range [16.5,18.5] GHz which may be attributed to electric field modes trapped inside the ferrite (see be- low). While for B = 0 mT and also below and above that range for B = 200 mT the principle of reciprocity holds, i.e., Sab(f ) = Sba(f ), it is clearly violated within it. This is illustrated in the two zooms shown at the bottom of Fig. 2. Fluctuations in the transmission spectra. -- We use the scattering matrix approach [42] for the RMT description of the fluctuation properties in the transmission spectra. It was developed by Mahaux and Weidenmuller in the context of compound nuclear reactions and extended to microwave resonators in [43], (cid:20) W †(cid:16) f 1 − H ef f(cid:17)−1 (cid:21) Sba(f ) = δba − 2πi W . (1) ba For the measurement of the reflection and transmis- Here, H ef f = H − iπ W W † with H denoting the Hamil- x()Sfab2,()Sfba2(a.u.)-90-70-50-30() (dB)Sfba2() (dB)Sfba2-90-70-50-301214161820f(GHz)B = 0 mTB = 200 mT15.5915.6015.6115.620246f(GHz)f(GHz)17.0817.0917.1017.11 tonian describing the closed resonator or quantum bil- liard and W accounting for the coupling of the resonator modes to their environment. Since the classical dynam- ics of the Africa billiard is chaotic, we model H by an ensemble of N × N -dimensional random matrices with entries Hµν = H (S) µν + i πξ√ N H (A) µν . (2) Here, H (S) is a real-symmetric random matrix from the GOE and H (A) is a real-antisymmetric one with uncorre- lated Gaussian-distributed matrix elements. The param- eter ξ determines the magnitude of T violation. For ξ = 0 H describes chaotic systems with preserved T invariance, √ N = 1 H is a random matrix from whereas for πξ/ the GUE. Yet, the transition from GOE to GUE already takes place for ξ (cid:39) 1 [36]. (1+π2v2 µµ(cid:105) π µ=1 WeµWe(cid:48)µ = N v2 (cid:113) 2 N (cid:104)H 2 property (cid:80)N The entries of W were real, Gaussian distributed with Waµ and Wbµ describing the coupling of the antenna channels to the resonator modes. Furthermore, Λ equal fictituous channels accounted for the Ohmic losses in the ferrite [34] and the walls of the resonator [35, 36]. Direct transmission between the antennas was negligible, that is, the frequency-averaged S-matrix was diagonal. This feature is incorporated in the RMT model through the e δee(cid:48). The parameter v2 e measures the average strength of the coupling of the res- onances to channel e, which for e = a, b is determined by the average size of the electric field at the position of the antenna. Generally, it is related to the transmission coefficients Te = 1 − (cid:104)See(cid:105)2 via Te = 4π2v2 e /d e /d)2 with d = N denoting the mean resonance spacing. The input parameters of the RMT model Eq. (1) are the transmission coefficients Ta, Tb associated with antennas a and b, τabs = ΛTc accounting for the Ohmic losses, and the T violation parameter ξ. In the RMT model Eq. (1) the coupling matrix W is assumed to be frequency in- dependent. Accordingly, in order to ensure this for the resonance widths, the frequency range used for the anal- ysis of the data was divided into windows of 1 GHz as in the room-temperature measurements [36]. In [35, 36] the parameters ξ, Ta,b and τabs were deduced from the cross-correlation coefficients and two-point S-matrix cor- relation functions. However, since for well-isolated reso- nances the distributions of the resonance strengths and S-matrix elements depend more sensitively on ξ, Ta,b and τabs, we used them. They were obtained by averaging over six transmission spectra. The T invariance violation parameter ξ was deter- mined by fitting an analytic expression for the distri- bution of the strengths yab = ΓµaΓµb to the experimen- tally determined one. Here, Γµa and Γµb are the partial widths related to antennas a, b, which are proportional to the electric field intensity at the position of the an- tenna. For sufficiently isolated resonances the S-matrix has the form Sab = δab − i 3 , (3) (cid:112)ΓµaΓµb f − fµ + i 2 Γµ close to the µth eigenfrequency fµ with Γµ denoting the total width of the corresponding resonance [44]. Since this is the case for transmission spectra obtained from measurements at superconducting conditions, we may de- termine the strength of the resonances with high preci- sion by fitting this expression to them. Note that the individual partial widths are not accessible [45]. The strength distribution is derived from that of the partial widths [45, 46], P (ta) with ta = Γµa, which for chaotic systems with preserved T invariance (ξ = 0) is a Porter-Thomas distribution, whereas it is an exponential one in the case of complete T violation [47]. For the case of partial T violation it is obtained by starting from Hµν = ReHµν + iλImHµν with λ = πξ√ and proceeding as for the GUE, which N in the limit of large N [47] amounts to computing the distribution of (ReHµν)2 + λ2 (ImHµν)2, P (ta) = 1 2π yielding (cid:82) ∞ −∞ dxe−x2/2(cid:82) ∞ (cid:16) ta −(cid:112)x2 + λ2y2 (cid:18) 1 − λ2 −∞ dye−y2/2δ (cid:19) (cid:17) , P (ta) = − 1+λ2 2λ2 ta I0 e 1 λ 2λ2 ta , (4) . where I0(x) is the zero-order modified Bessel function of the first kind. Here, P (ta) is normalized to unity and its first moment is τa = 1+λ2 2 (cid:16) y (cid:80)Nab We proceeded as in Ref. [45] to determine the experi- mental distributions. The Nab identified products of par- tial widths were rescaled such that the expectation value of the strength distribution, τaτb = N−1 µ=1 ΓµaΓµb, equaled unity. Figure 3 shows the strength distribu- tion for the GOE (solid line), the GUE (dashed line) and the experimental results for B = 0 mT (left panel) and B = 200 mT (right panel) on a logarithmic scale, z = log10 lytical strength distribution deduced from Eq. (4) which best fits the experimental one for ξ = 0.2. Actually, this procedure for determining ξ is less demanding than those based on the S-matrix correlation functions, since the an- alytical expression is simpler and there are no ambiguities to overcome as encountered in Refs. [35, 36]. [48]. The red solid line shows the ana- (cid:17) τaτb ab In order to obtain Ta,b and τabs we generated en- sembles of 500 random S matrices of the form Eq. (1) with Λ = 30 and N = 200, and fit the resulting S- matrix distributions to the experimental ones. Since they depend sensitively on these parameters their val- ues could be determined with a high accuracy. They are given in the insets of Fig. 4 which exhibits the distribu- tion of the S-matrix element Sba in the frequency inter- vals [15, 16], [16.5, 17.5], [17.5, 18.5] and [18.5, 19.5] GHz. Generally, absorption was very small in comparison to 4 ered the distribution P (s) of nearest-neighbor spacings si = i+1 − i, the cumulative nearest-neighbor spacing distribution I(s), the variance Σ2(L) = (cid:104)(N (L) − L)2(cid:105) of the number of levels in an interval L, where (cid:104)N (L)(cid:105) = L, and the Dyson-Mehta statistic ∆3(L) which gives the average least-square deviation of N (L) from a straight line [4]. These measures have the advantage that ana- lytical expressions exist for the transition from GOE to GUE. The nearest-neighbor spacing distribution is given by [9] (cid:114) (cid:113) P (s; ξ) = 2 + ξ2 2 e− s2 c(ξ)2 2 (cid:104) ξ (cid:16) sc2(ξ)erf (cid:18) sc(ξ) (cid:19) (cid:17) − √ tan−1(cid:16) ξ√ (cid:20)(cid:18) s(L) −D(L; ξ) (cid:19)(cid:21) 1 − 2 2 (5) (cid:17)(cid:105) π 2+ξ2 4 with c(ξ) = and erf(x) denoting the error function. To compute Σ2(L; ξ) and ∆3(L; ξ) we used analytical results for the two-point cluster function [4], π 2ξ 2+ξ2 Y2(L; ξ) = det s(L) , (6) −J(L; ξ) (cid:82) π (cid:82) ∞ π dxe−2ξ2x2 sin(Lx) π x 0 dxe2ξ2x2 πL , D(L; ξ) = 1 with s(L) = sin πL x sin(Lx) and J(L; ξ) = 1 [8, 49]. We de- termined the T invariance violation parameter ξ by fit- π ting these analytical curves to the corresponding exper- imental ones, yielding for ξ the same value as for the strength distribution. In Fig. 5 we compare the exper- imental curves (histograms and triangles) for the mi- crowave billiard without (left) and with magnetized fer- rite (right) with those for the GOE (solid lines) and the GUE (dashed lines). Furthermore, the red solid lines show the corresponding analytical curves for ξ = 0.2. Note, that they barely differ from the GOE curves for P (s) and I(s), that is, it is indispensable to consider in addition long-range correlations to detect the partial T violation. FIG. 5: Spectral properties (histograms and triangles) for external magnetic fields B = 0 mT (left) and B = 200 mT (right). The red solid lines show the corresponding best fitting curves computed from Eqs. (5) and (6), yielding ξ = 0.2. Conclusions. -- We may conclude from our findings, that T invariance is partially violated in the frequency range from 16.5-18.5 GHz. Yet, we demonstrated in [34] that this may be achieved only if the resonances over- lap [35, 36], whereas in the experiments discussed in the FIG. 3: Experimental strength distribution (histogram) for external magnetic fields B = 0 mT (left) and B = 200 mT (right) in comparison to the GOE (solid lines) and GUE (dashed lines) curves. The red curve shows the best fitting analytical curve obtained from Eq. (4), yielding ξ = 0.2 that in the room temperature experiments [35, 36]. In- deed, the experimental distributions are well described for a fixed τabs = 0.0001. The distributions clearly re- flect the distinct features in the transmission spectrum observed in Fig. 2 for B = 200 mT within and outside the range 16.5−18.5 GHz. Interestingly, below and above this frequency range Ta and Tb barely vary whereas they are considerably larger within it, even though they are expected to increase slowly with frequency [36]. This indicates that there the electric field distribution, and therefore that at the antennas, is noticeably influenced by the presence of the magnetized ferrite. This issue will be further discussed below. FIG. 4: Distribution of the modulus of the measured off- diagonal S-matrix element Sba (black solid lines) in compar- ison to RMT simulations (red dashed lines). The frequency ranges and values of the transmission coefficients are provided in the insets. Fluctuation properties of the resonance frequencies. -- In order to verify the value of ξ we, furthermore, in- vestigated the spectral properties of the Africa billiard without and with magnetized ferrite. Due to the small area of the Africa microwave billiard, the complete se- quences of resonance frequencies comprised in both cases only ≈ 215 levels in the interval [12, 19] GHz. The res- onance frequencies fµ were unfolded to mean spacing unity [1, 11] with Weyl's law, µ = Aπ fµ+ const., c2 where A (cid:39) 230 cm2, L (cid:39) 53 cm and c0 denote the area, 0 perimeter, and speed of light, respectively. We consid- µ + L f 2 2c0 5 of T invariance violation ξ is proportional to the ratio of the effective area occupied by the potential and the area of the billiard. Within this picture only part of the resonances will be influenced by the magnetized ferrite, as indeed observed in the experiments. Furthermore, it is corroborated by our result that the value of ξ is the same in the experiments with normal and superconduct- ing microwave billiards, respectively. This explains why we observe only partial T invariance violation but, since the details of the interaction are unknown, we cannot provide a quantitative prediction for ξ as in Ref. [49]. In summary, we experimentally realized for the first time a superconducting microwave billiard with partially violated T invariance. In order to obtain longer and com- plete sequences of eigenvalues and to realize a stronger violation of T invariance the area of the billiard needs to be increased and a few more ferrites must be added. Yet, care has to be taken that additional absorption leaves the resonances isolated in order to ensure complete level sequences. This work was supported by the Deutsche Forschungs- gemeinschaft (DFG) within the Collaborative Research Center 1245. BD thanks the NSF of China for financial support under Grant Nos. 11775100 and 11961131009. present letter they were isolated even in the frequency range, where T violation was observed. Indeed, violation of the principle of reciprocity is induced if the coupling of the spins in the ferrite -- precessing with their Lar- mor frequency about the external magnetic field -- to the rf magnetic-field components of the resonator modes de- pends on the rotational direction of polarization of the latter, implying that the modes should be circularly po- larized with unequal magnitudes of the two rotational components [34]. Furthermore, the effect is strongest at the ferromagnetic resonance which is at around 6 GHz. However, in both the experiments at room temperature and at 4 K, a stronger violation of T invariance was ob- served at about 15 and 23 GHz and around 17.5 GHz, re- spectively. This finding was attributed to modes trapped inside the ferrite in these frequency regions. To con- firm this assumption we performed simulations with CST MICROWAVE STUDIO [50]. Indeed, since the dielectric constant of the cylindrically-shaped ferrite is larger than that of air, TE field modes of Bessel-function (Jm(x), m = 0, 1, 2,··· ) type may be localized inside the ferrite at its resonance frequencies [51]. In order to find them, we computed the ratio of the electric energy stored inside the ferrite and the resonator, respectively. The magnitudes of the two rotational components of the cir- cularly polarized microwaves excited inside the resonator become unequal through the coupling to trapped modes with m > 0, which is possible only if the electric field intensity is non-vanishing in the vicinity of the ferrite. The numerical simulations revealed that this indeed is the case for trapped modes identified around 15 GHz and 23 GHz thus confirming the interpretation of the results obtained in the room-temperature experiments [36]. A crucial difference between the present and previous ex- periments is that the height of the cavity was larger in the latter case so that there was a gap between the fer- rite and the top plate. This was taken into account in further simulations which clearly showed, that there is one trapped mode at about 18.3 GHz, which leads to the broad peak in the transmission spectrum in Fig. 2, and interacts with the resonator modes. Thus, the numerical simulations firstly confirm our interpretation of the mech- anism which leads to partial violation of T invariance and furthermore provide an explanation of our finding that it occurs around 18 GHz. Summarizing, we ob- serve only partial T invariance violation, and this only if, in the region of the ferromagnetic resonance, the elec- tric field intensity, i.e., the modulus of the wavefunction, is nonvanishing at the position of the ferrite. This fact may be decribed in line with the approach presented for charged particles in [49] in a ray-dynamical picture with the effect of the magnetized ferrite on the microwaves modeled by an average potential of finite range. Namely, only those trajectories -- which, in distinction to Ref. [49] are all straight in our case -- are influenced which pass the ferrite within this range. Accordingly, the strength ∗ email: [email protected] † email: [email protected] [1] F. Haake, Quantum Signatures of Chaos (Springer- Verlag, Heidelberg, 2001). [2] H. A. Weidenmuller and G. E. Mitchell, Rev. Mod. Phys. 81, 539 (2009). [3] J. G´omez, K. Kar, V. Kota, R. Molina, A. Relano, and J. Retamosa, Phys. Rep. 499, 103 (2011). [4] M. L. Mehta, Random Matrices (Academic Press Lon- don, London, 1990). [5] M. V. Berry, J. Phys. A 10, 2083 (1977). [6] G. Casati, F. Valz-Gris, and I. Guarnieri, Lett. Nuovo Cimento 28, 279 (1980). [7] O. Bohigas, M. J. Giannoni, and C. Schmit, Phys. Rev. Lett. 52, 1 (1984). [8] A. Pandey and P. Shukla, J. Phys. A 24, 3907 (1991). [9] G. Lenz and K. Zyczkowski, J. Phys. A 25, 5539 (1992). [10] O. Hul, S. Bauch, P. Pako´nski, N. Savytskyy, Zyczkowski, and L. Sirko, Phys. Rev. E 69, 056205 K. (2004). [11] H.-J. Stockmann, Quantum Chaos: An Introduction (Cambridge University Press, Cambridge, 2000). [12] A. Richter, in Emerging Applications of Number Theory, The IMA Volumes in Mathematics and its Applications, edited by D. A. Hejhal, J. Friedmann, M. C. Gutzwiller, and A. M. Odlyzko (Springer, New York, 1999), vol. 109, p. 479. [13] T. Kottos and U. Smilansky, Phys. Rev. Lett. 79, 4794 (1997). [14] T. Kottos and U. Smilansky, Ann. Phys. 274, 76 (1999). [15] H. I. Liou, H. S. Camarda, and F. Rahn, Phys. Rev. C 5, 1002 (1972). [16] T. Zimmermann, H. Koppel, L. S. Cederbaum, G. Per- sch, and W. Demtroder, Phys. Rev. Lett. 61, 3 (1988). [17] U. Agvaanluvsan, G. E. Mitchell, J. F. Shriner, and M. Pato, Phys. Rev. C 67, 064608 (2003). 6 [31] D. H. Wu, J. S. A. Bridgewater, A. Gokirmak, and S. M. Anlage, Phys. Rev. Lett. 81, 2890 (1998). [32] O. Hul, M. (cid:32)Lawniczak, S. Bauch, A. Sawicki, M. Ku´s, and L. Sirko, Phys. Rev. Lett. 109, 040402 (2012). [33] M. Allgaier, S. Gehler, S. Barkhofen, H.-J. Stockmann, and U. Kuhl, Phys. Rev. E 89, 022925 (2014). [34] B. Dietz, T. Friedrich, H. L. Harney, M. Miski-Oglu, A. Richter, F. Schafer, and H. A. Weidenmuller, Phys. Rev. Lett. 98, 074103 (2007). [35] B. Dietz, T. Friedrich, H. L. Harney, M. Miski-Oglu, A. Richter, F. Schafer, J. Verbaarschot, and H. A. Wei- denmuller, Phys. Rev. Lett. 103, 064101 (2009). [36] B. Dietz, T. Friedrich, H. L. Harney, M. Miski-Oglu, A. Richter, F. Schafer, and H. A. Weidenmuller, Phys. Rev. E 81, 036205 (2010). [37] W. Meissner and R. Ochsenfeld, Die Naturwissenschaften 21, 787 (1933). [38] H. K. Onnes, Further experiments with liquid helium. G. On the electrical resistance of pure metals, ect. VI. On the sudden change in the rate at which the resistance of mercury dissappears (Comm. from the Phys. Lab., Lei- den, 1911). [39] L. V. Shubnikov, V. I. Ehotkevich, Y. D. Shepelev, and Y. N. Riabinin, Zh. Eksper. Teor. Fiz. 7, 221237 (1937). [40] A. A. Abrikosov, Sov. Phys. JETP 5, 1174 (1957). [41] C. Dembowski, H.-D. Graf, A. Heine, R. Hofferbert, H. Rehfeld, and A. Richter, Phys. Rev. Lett. 84, 867 (2000). [42] C. Mahaux and H. A. Weidenmuller, Shell Model Ap- proach to Nuclear Reactions (North Holland, Amster- dam, 1969). [43] S. Albeverio, F. Haake, P. Kurasov, M. Ku´s, and P. Seba, J. Math. Phys. 37, 4888 (1996). [44] H. Alt, H. D. Graf, H. L. Harney, R. Hofferbert, H. Lengeler, A. Richter, P. Schardt, and H. A. Wei- denmuller, Phys. Rev. Lett. 74, 62 (1995). [45] C. Dembowski, B. Dietz, T. Friedrich, H.-D. Graf, H. L. Harney, A. Heine, M. Miski-Oglu, and A. Richter, Phys. Rev. E 71, 046202 (2005). [18] O. Bohigas and M. P. Pato, Phys. Lett. B 595, 171 [46] B. Dietz, T. Guhr, H. L. Harney, and A. Richter, Phys. (2004). [19] A. Frisch, M. Mark, K. Aikawa, F. Ferlaino, J. L. Bohn, C. Makrides, A. Petrov, and S. Kotochigova, Nature 507, 474 (2014). Rev. Lett. 96, 254101 (2006). [47] T. Guhr, A. Muller-Groeling, and H. A. Weidenmuller, Phys. Rep. 299, 189 (1998). [48] A. A. Adams, G. E. Mitchell, W. E. Ormand, and J. F. [20] J. Mur-Petit and R. A. Molina, Phys. Rev. E 92, 042906 ShrinerJr., Phys. Lett. B 392, 1 (1998). (2015). [49] O. Bohigas, M.-J. Giannoni, A. M. O. de Almeida, and [21] M. Bia(cid:32)lous, V. Yunko, S. Bauch, M. (cid:32)Lawniczak, B. Dietz, C. Schmit, Nonlinearity 8, 203 (1995). [50] CST STUDIOS Suite (www.CST.com) (2019). [51] M. Hentschel and K. Richter, Phys. Rev. E 66, 056207 (2002). and L. Sirko, Phys. Rev. Lett. 117, 144101 (2016). [22] B. Dietz and A. Richter, Chaos 25, 097601 (2015). [23] B. Dietz, T. Klaus, M. Miski-Oglu, and A. Richter, Phys. Rev. B 91, 035411 (2015). [24] B. Dietz and A. Richter, Phys. Scr. 94, 014002 (2018). [25] J. B. French, V. K. B. Kota, A. Pandey, and S. Tomsovic, Phys. Rev. Lett. 54, 2313 (1985). [26] G. E. Mitchell, A. Richter, and H. A. Weidenmuller, Rev. Mod. Phys. 82, 2845 (2010). [27] M. Assmann, J. Thewes, D. Frohlich, and M. Bayer, Na- ture Materials 15, 741 (2016). [28] Z. Pluhar, H. A. Weidenmuller, J. Zuk, C. Lewenkopf, and F. Wegner, Ann. Phys. 243, 1 (1995). [29] P. So, S. Anlage, E. Ott, and R. Oerter, Phys. Rev. Lett. 74, 2662 (1995). [30] U. Stoffregen, J. Stein, H.-J. Stockmann, M. Ku´s, and F. Haake, Phys. Rev. Lett. 74, 2666 (1995).
1801.07540
2
1801
2018-05-30T18:09:14
Polyaniline (C_{3}N) nanoribbons: Magnetic metal, Semiconductor, and Half-Metal
[ "cond-mat.mes-hall" ]
Two-dimensional polyaniline sheet has been recently synthesized and found that it is a semiconductor with indirect band gap. Polyaniline nanoribbons decomposed from two-dimensional polyaniline sheet (C3N sheet) are investigated using density functional theory. The existence of nitrogen atoms in the edge of the ribbons increases stability and magnetization of the ribbons and make them different from graphene nanoribbons. Unsaturated nanoribbons are magnetic metals so that armchair C3N nanoribbons are gap-less spin semicon- ductors in the antiferromagnetic state and half-metals in the ferromagnetic state. A transition from metal to semiconductor is observed in the armchair C3N nanoribbons when the edge atoms are passivated by hy- drogen. The band gap of hydrogen saturated armchair C3N nanoribbons can be controlled using an external transverse electric field so that its magnitude is dependent on the direction of the electric field. Being metal or semiconductor in hydrogen saturated zigzag C3N nanoribbons is strongly dependent on the edge atoms so that just ribbons having nitrogen atoms in both edges are semiconductor. An external electric field cannot induce any spin polarization in the zigzag nanoribbons which is in contrast with what was observed in zigzag
cond-mat.mes-hall
cond-mat
a Polyaniline (C3N ) nanoribbons: Magnetic metal, Semiconductor, and Half-Metal Meysam Bagheri Tagani1, a) and Sahar Izadi Vishkayi1 Department of physics, Computational Nanophysics Laboratory (CNL), University of Guilan, P.O.Box 41335-1914, Rasht, Iran (Dated: May 2018) Two-dimensional polyaniline sheet has been recently synthesized and found that it is a semiconductor with indirect band gap. Polyaniline nanoribbons decomposed from two-dimensional polyaniline sheet (C3N sheet) are investigated using density functional theory. The existence of nitrogen atoms in the edge of the ribbons increases stability and magnetization of the ribbons and make them different from graphene nanoribbons. Unsaturated nanoribbons are magnetic metals so that armchair C3N nanoribbons are gap-less spin semicon- ductors in the antiferromagnetic state and half-metals in the ferromagnetic state. A transition from metal to semiconductor is observed in the armchair C3N nanoribbons when the edge atoms are passivated by hy- drogen. The band gap of hydrogen saturated armchair C3N nanoribbons can be controlled using an external transverse electric field so that its magnitude is dependent on the direction of the electric field. Being metal or semiconductor in hydrogen saturated zigzag C3N nanoribbons is strongly dependent on the edge atoms so that just ribbons having nitrogen atoms in both edges are semiconductor. An external electric field cannot induce any spin polarization in the zigzag nanoribbons which is in contrast with what was observed in zigzag graphene nanoribbons. I. INTRODUCTION Discovery of graphene as the first two-dimensional (2D) material created a great revolution in indus- try and science1. Unique properties of graphene like massless Dirac fermions2, high thermal and electric conductivity3 -- 5, and quantum Hall effect6 -- 8 made it most popular material in condensed matter in the last decade. After its discovery, people started to ask about synthesiz- ing other 2D materials. Answer to these questions led to successful synthesis of silicene9, germanene10, stanene11, black phosphorene12, and borophene13,14 which are sin- gle element two-dimensional materials. Graphene, sil- icene, and germanene have nearly same properties, how- ever, increase of atomic radius induces some buckling in silicene and germanene sheets. Black phosphorene is a semiconductor which makes it distinct in mentioned 2D family. Borophene, a monolayer of boron atoms, has significant differences with other 2D materials. Exper- imental and theoretical investigations have shown that different phases of borophene can be grown on suitable substrate14 -- 16. Very recently, 2D polyaniline has been added to two- dimensional family17 consisting of six carbon atoms and two nitrogen atoms in a hexagonal lattice with empir- ical formula of C3N as shown in Fig.1a. The struc- ture has a Dirac point below the Fermi level and its electric conductivity is 0.72S/cm. Next investigations showed that C3N sheet is an indirect semiconductor18. Liu et.al synthesized a polyaniline crystal with thickness of 0.8nm19. Thermal conductivity of C3N sheet is less than graphene making it a potential candidate for ther- a)Electronic mail: m [email protected], Corresponding author moelectric applications20,21. Li and coworkers showed that a transition from semiconductor to metal is hap- pened when the thickness of C3N sheet is increased from one layer to three ones22. Although there is superficial similarity between graphene and C3N sheet, existence of nitrogen atoms obtains outstanding differences in elec- tronic properties. Electrical and mechanical properties of C3N nanostructures need more investigations in the future. Convert of 2D sheets to nanoribbons produces funda- ment changes in electronic and transport properties of them. Therefore, nanoribbons as one-dimensional mate- rials are very important. Cutting direction, nanoribbon width, functionalizing of ribbons' edge significantly af- fect transport properties of the nanoribbons. There are two typical graphene nanoribbons (GNR) as armchair graphene nanoribbons (AGNRs) and zigzag graphene nanoribbons (ZGNRs)23,24. Band gap oscillation with ribbons' width is observed in AGNRs. On the other hand, ground state of hydrogen saturated ZGNRs is an- tiferromagnetic (AFM) and they are semiconductor. It was shown that ZGNRs can be changed to half-metals using an external transverse electric field25,26. In addi- tion of quantum confinement effect coming from finite width of the ribbon, existence of two different atoms in the C3N structure leads to interesting phenomena which are absent in GNRs. In this research, we study elec- tric and magnetic properties of C3N nanoribbons using density functional theory (DFT). Not only the effect of ribbon width and edge profile is studied but also influ- ence of edge passivation is investigated in details. We find that unsaturated armchair C3N nanoribbons can be magnetic or half-metal dependent on its width and edge atoms. Armchair ribbons having nitrogen atoms in both edges are gap-less semiconductors in AFM configu- ration, whereas they become half-metal in ferromagnetic (FM) configuration. Our analysis shows that the gap- less semiconductors can be converted to half-metal using suitable external transverse electric field. A transition from magnetic metal to semiconductor is observed when armchair ribbons are terminated by hydrogen. These ob- servations are completely different from what we knew about AGNRs and emphasis potential of C3N nanorib- bons for electronics and spintronics applications. Zigzag C3N nanoribbons are magnetic metals when they are not passivated by hydrogen atoms. A very interesting point about hydrogen saturated C3N nanoribbons is a transi- tion from metal to semiconductor when both edges have nitrogen atoms. This is in contrast with hydrogen ter- minated ZGNRs, whose ground state is AFM. Compu- tational method is presented in next section. Section 3 is devoted to results. Effect of edge configuration, rib- bon's width, and edge passivation is discussed in details. And some sentences are given as a summary at the end of article. II. SIMULATION METHOD AND FORMALISM All calculations were performed using SIESTA package based on density functional theory27. The cut-off energy was set to be 100Ha. A unit cell of C3N sheet was sam- pled using (61×61×1) Monkhorst-Pack mesh28, while 100 K-points were used for sampling of first Brillouin zone of a ribbon. General gradient approximation (GGA) with Perdew-Burke-Ernzerhof exchange-correlation functional (PBE)29 and norm-conserving pseudopotential were em- ployed to describe core electrons. A 30A vaccume layer was chosen to neglect the interaction of the ribbon with its image. Double-zeta-single polarized basis set (DZP) was used. Thirteen orbitals were employed for each car- bon and nitrogen atom consisting of two sets of orbitals for s type, two sets for p type, and one set for d type with cut-off radius of 2.4A, 2.9A, and 2.9A for carbon atoms and 2.144A, 2.624A, and 2.624A for nitrogen atoms, re- spectively. All ribbons were fully optimized with a force tolerance of 0.001eV /A. For all ribbons, probability of spin polarization at the edges of a ribbon was considered. A transverse electric field Eexternal = Vexternal/d is applied across the ribbons where d is the lattice constant across the ribbon. Poisson equation was solved using dirichlet boundary condition across the ribbon and peri- odic boundary condition along the ribbon. Spin popula- tion of each atom was calculated using mulliken popula- tion analysis30. III. SIMULATION RESULTS Figure 1a shows the structure of C3N sheet with a hexagonal lattice. Each unit cell is composed of six car- bon and two nitrogen atoms. Lattice constant is equal to 4.87A which is in good agreement with pervious ex- perimental and theoretical reports17,22. Band structure 2 15 1 16 1 6 A A- Z 16AB-A 1 2 2 (a) 15 55 16 (b) FIG. 1. (a) 2D C3N sheet: unit cell of the sheet is shown by black rhombus. Unit cell of 16AA-Z ribbon is shown by solid rectangular and unit cell of 16AB-A ribbon is shown by dashed rectangular. Red and blue balls are carbon and nitro- gen atoms, respectively. (b) Band structure, left panel, and DOS, right panel of the sheet. Carbon and nitrogen contri- bution in the DOS is also plotted. and density of states (DOS) of each unit cell is shown in Fig. 1b. The C3N is a p-type semiconductor with indi- rect band gap so that maximum of valance band (VBM) is located in M (1/2, 0, 0) and minimum of conduction band (CBM) is located in Γ point. The obtained band gap is 0.4eV which is consistent with previous calcu- lation based on GGA approximation and experimental investigations18,31. The C3N sheet can be considered as a p-type semiconductor because the VBM is located near the Fermi level. The von Hove singularity is observed in the DOS located −1eV below the Fermi level. The en- ergy band gap is also observed in the DOS as zero around the Fermi level. A Dirac cone is observed at −2.5eV below the Fermi level which is supported by a saddle zero in the DOS plot. Our investigations show that the density of the states located below the Fermi level are created by equal contributions of carbon and nitrogen atoms. In contrast, just carbon atoms participate in the density of states slightly above the Fermi level. The exis- tence of Dirac cone, band gap, von Hove singularity, and anisotropic contributions of carbon and nitrogen atoms in the DOS make C3N nanostructures very interesting which will attract a lot attention in the future. The sim- ilarity between our results and others confirms that the simulation details employed in this research have enough accuracy. Unlike graphene, cutting a C3N monolayer along x or y-direction leads to two different edge configurations: ) m o t a / V e ( E ) m o t a / V e ( E -7.16 -7.18 -7.2 -7.22 -7.24 -6.8 -6.85 -6.9 -6.95 -7 (a) Non-magnetic-unsaturated Armchair Magnetic-unsaturated Armchair 16AB-A 17AA-A 17BB-A 18AB-A 19AA-A 19BB-A 20AB-A 21AA-A 21BB-A (b) 16AB 17AA 17BB 18AB 19AA 19BB 20AB 21AA 21BB FIG. 2. (a) The formation energy of NAB-ARs for magnetic and non-magnetic configurations. (b) The formation energy of NAB-AHRs which are non-magnetic. edge with just C atoms (like graphene) or edge with equal number of C and N atoms. We show the former with A and the latter with B, so a unit cell of an armchair C3N nanoribbon is shown by NUV-AR where U (V ) = A or B and N stands for the number of atoms in a row across the ribbon, see Fig. 1a. There are two differ- ent edge configurations for even N i.e. AA and BB and just one configuration for odd N i.e. AB. It is clear from Fig. 1a that a unit cell of C3N nanoribbon is wider than graphene one. We analyze the C3N nanoribbons with two different scenarios: unsaturated ribbons and ribbons saturated by hydrogen atoms. For unsaturated edges, edge reconstruction is an important issue which can change the final results. So, we also considered a 2 × 1 supercell for optimization process. The obtained results do not exhibit a significant change in comparison with results prepared from optimization of a unit cell. In addition, we made an Ab-initio molecular dynamic sim- ulation at room temperature to analyze edge reconstruc- tion with more details. First, we analyze unsaturated armchair C3N nanoribbons which can be synthesized in ultra-high vacuum condition. Figure 2 shows formation energy of the ribbon, Ef = Eribbon −NN EN −NC EC where Eribbon, and EN (EC ) are the total energy of a unit cell of the ribbon, and energy of an isolated nitrogen (car- bon) atom, respectively. The ground state of the unsat- urated armchair ribbons is degenerated and magnetic so that ferromagnetic (FM) and antiferromagnetic (AFM) configurations have the same energy. This phenomenon makes armchair C3N nanoribbons completely different from unsaturated AGNRs because their ground state is non-magnetic. Stability of the ribbons increases with NN +NC AFM FM 3 X G X (a) (b) (c) 0.5 0 -0.5 0.5 0 -0.5 0.5 0 ) V e ( y g r e n E ) V e ( y g r e n E ) V e ( y g r e n E -0.5 G FIG. 3. The band structure of (a) 18AB-AR, (b) 19AA-AR, and (c) 19BB-AR in FM and AFM configurations. Spin-up is denoted by dashed line and spin-down is shown by solid line. Gray line shows the Fermi energy. (C) (N) EG(AFM) 0.16 0.14 0.12 0.1 0.08 0.06 0.04 0.02 ) B ( 80 70 60 50 40 30 20 10 ) V e m G E ( 0 0 0.05 0.1 Eexternal(V/A) 0.15 0.2 0 0.25 FIG. 4. The net magnetization of edge atoms for carbon (solid line) and nitrogen (dashed line) versus external electric field in AFM 17BB-AR. The variation of band gap (dotted line) versus electric field is also drawn. their width which was predictable. Energy difference between the magnetic and non-magnetic ground state of considered NAA-ARs is about 160meV , while it is 295meV for NBB-AR, and as a result, NBB-ARs are more stable than NAA-ARs. The mentioned energy dif- ference is about 230meV for considered NAB-ARs. As a result, the unsaturated armchair ribbons will be mag- netic at room temperature. Increase of magnetization in the edges having nitrogen atom comes from an extra elec- tron that is donated to the ribbon from nitrogen atom. 18AB-AH 19AA-AH 19BB-AH ) V e ( y g r e n E 1 0.5 0 -0.5 -1 1 ) V e ( y g r e n E 0.5 0 -0.5 -1 1 0.5 0 -0.5 ) V e ( y g r e n E -1 G 4 X FIG. 5. The spin density (Blue and gray balls are nitrogen and carbon atoms, respectively) and the band structure (solid (dashed) lines are for spin up (down) bands) of 17BB − AR after molecular dynamics simulation for 10ps. Figure 3 shows band structure of 18AB-AR, 19AA- AR, and 19BB-AR in FM and AFM configuration. A very interesting observation for 18AB-AR is spin band splitting in AFM state which was not observed in AG- NRs. The spin splitting is attributed to asymmetry in two edges of the ribbon. Indeed, spatial anisotropy in the edges gives rise to the breaking spin degeneracy in energy space. Recently, we reported a similar effect in β12 borophene nanoribbons32. From mulliken population analysis we found that the magnetization of each C (N) atom in the B type edge is equal to 0.7µB (0.15µB). The magnetization story is more interesting for A type edge so that the magnetization of each C atom connected to N one is 0.38µB whereas, C atoms which are not connected to N ones are non-magnetic. 18AB-AR is a magnetic metal while, AGNRs are semiconductor. 19AA-AR is also a magnetic metal in both AFM and FM configura- tions. There are several bands crossing the Fermi level in AFM configuration so the ribbon cannot convert to a half-metal using an external transverse electric field. In ferromagnetic configuration, the number of bands cross- ing the Fermi level is more for minority spin carries lead- ing to an asymmetry in transport properties of NAA- ARs. The band structure of (2N+1)BB-ARs is very inter- FIG. 6. The band structure of hydrogen passivated armchair nanoribbons. esting and strange. We are faced with a gap-less semi- conductor in AFM configuration. Therefore, applying an external transverse electric field can convert the rib- bon from semiconductor to a half-metal structure. Note that the zigzag graphene nanoribbons could transform to a half-metal, while here, unsaturated armchair C3N nanoribbons. Our analysis reveals that the AFM 17BB- AR changes to a half-metal when external electric field is less than 0.125V /A and after that the ribbon will be a ferromagnetic metal as shown in Fig.4 . External elec- tric field induces an inhomogeneous spatial distribution of spin in two edges so that a net magnetization, the difference between the magnetization of upper and lower edge atoms, is appeared. Fig.4 shows that the net mag- netization increases by the electric field and its effect is more pronounced on carbon atoms. FM (2N+1)BB-ARs are intrinsic half-metals with a spin gap equal to 470meV . This result makes them a special unit in 2D materials world so that they can be a promising candidate in next- generation spintronic applications. The observed spin gap is a robust feature of the ribbons so that external transverse electric field as high as Eexternal = 0.25V /A makes no change in the gap. The spin density and DOS of the ribbons for higher external electric fields are plot- ted in supplementary information. It is revealed that applying s stronger electric field shifts the spin band gap above the Fermi level. These results are completely dif- ferent from what we found in unsaturated AGNRs. Un- saturated AGNRs are semiconductor and external trans- verse electric field cannot induce any spin polarization in the ribbon. It just shifts the bands so that energy gap is decreased. Band structure of an unsaturated 10AGNR is plotted in Fig. S3 for Eexternal = 0, and Eexternal = 0.2V /A. 600 500 400 300 200 100 ) V e m ( p a g d n a B 17AA-AH 17BB-AH 18AB-AH 18AB-AH-1 0 0 0.05 0.1 0.15 0.3 0.35 0.4 0.45 0.2 0.25 Eexternal(V/A0) FIG. 7. Variation of band gap versus external electric field. The electric field points from A type edge to B type edge in 18AB-AH and vice versa in 18AB-AH1. Edge reconstruction is an important issue for unsat- urated nanoribbons which can dominate their electronic properties. To have an approximate insight about the effect of finite temperature on the edge configuration of the (2N + 1)BB − ARs and their electronic properties, we have used classical molecular dynamics to simulate 17BB − AR at 300K. A super cell of 4 × 1 × 1 in NVT ensemble is simulated for 10ps with time step of 1f s. Final structure shows partial edge reconstruction. How- ever, the obtained structure still prefers to be magnetic. Its spin density is plotted in Fig. 5. As shown in Fig. 5 the ribbon is a magnetic metal in antiferromagnetic state and spin degeneracy is broken due to disordered edge profile. A transition from ferromagnetic metal to semiconduc- tor is observed when the armchair C3N nanoribbons are passivated by hydrogen, NUV-AHR. Fig. 6 shows the band structure of three different classes of the ribbons. 2N-AB-AHRs are indirect semiconductors so that the VBM is located in X and CBM is in Γ point. (2N+1)AA- AHRs are also indirect semiconductors, but, the position of valance band maximum is related to the ribbon width. On the contrary, (2N+1)BB-AHRs are direct semicon- ductors so that the gap is located in Γ point. The com- puted band gap of 18AB-AHR is 380meV , while it is 480meV , and 370meV for 17AA-AHR, and 17BB-AHR, respectively. Results show that the threshold electric field, the external transverse electric field in which the ribbon is transformed from semiconductor to metal, is nearly equal for (2N+1)AA-AHR and (2N+1)BB-AHRs. Change of energy gap against external electric field is dependent on the direction of the field in 2NAB-AHRs which makes them very interesting for field effect appli- cations. Indeed, we found that when the electric field is 5 directed from B-type edge toward A-type edge, the gap is monotonically reduced. On the other hand, when the di- rection of electric field is reversed first an increase in the energy gap is observed, then, the energy gap is smoothly reduced toward zero as shown in Fig.7. The observa- tion is a robust feature of 2N-AB-AHRs family and the threshold electric field and the electric field causing the maximum value of the energy gap are dependent on the ribbon width. Change of energy gap with electric field and band structure of 12AB-AHR is drawn in Fig. S2. Role of edge passivation in armchair C3N and graphene nanoribbons is also different. Edge passivation in AG- NRs leads to the increase of the band gap and change of the ribbons from indirect semiconductors to direct ones as shown in Fig. S3. In addition, the electric field cannot induce any spin polarization in the AGNRs. In the following, unsaturated (saturated) zigzag C3N nanoribbons are studied in details. We call them NUV- Z(H)R that N denotes the number of dimers across the ribbon as shown in Fig.1a, U (V ) = A, B stands for the edge type and H is used for hydrogen terminated ribbons. Ribbons with even N have two different edge configura- tions i.e. AA, and BB, while odd N ribbons are AB. Formation energy of zigzag ribbons is drawn in Fig.8. First, we analyze unsaturated zigzag ribbons. Results show that all ribbons are magnetic and the ribbons hav- ing nitrogen atom in the edge are more stable than NAA- ZRs. Interesting point is that ribbons with narrower width and nitrogen atom in the edges are more stable than the wider ribbons with AA configuration. The en- ergy difference between magnetic and non-magnetic state is about 150meV for AA, 980meV for BB and 575meV for AB configurations. It means that the unsaturated ribbons will be magnetic at room temperatures. The en- ergy difference obtained for zigzag ribbons shows behav- ior similar to armchair ones but with more pronounced intensity. Magnetization of carbon atoms in the edge of A type is 0.3µB, whereas it is about 1µB in B type edge. Indeed, existence of nitrogen atom in the edge not only increases stability of the ribbon but also increases the magnetization of the ribbon. Note that the ground state of unsaturated zigzag graphene nanoribbons is anti- ferromagnetic. In addition, our investigation shows that the magnetization of each edge carbon atom is 1.22µB. Existence of nitrogen atom brings significant changes in the electronic properties of zigzag nanoribbons. The for- mation energy of hydrogen passivated ribbons increases with their width as shown in the inset of Fig. 8. As unsaturated case, ribbons having nitrogen atoms in the edge are more stable than ones with just carbon atoms in the edges. Band structures of three different edge profiles of un- saturated zigzag ribbons are plotted in Fig.9 for both AFM and FM configurations. All unsaturated ribbons are metal, however, the edge profile dominates their elec- tronic properties strongly. There is nearly flat band near Fermi level for AA configurations which comes from mi- nority spins in FM state. There are two bands cross- ) m o t a / V e ( E -7.05 -7.1 -7.15 -7.2 -7.25 -7.3 -6.8 -6.85 ) m o t a V e ( E / -6.9 -6.95 -7 -7.05 8AA-Z 8BB-Z 9AB-Z 10AA-Z 10BB-Z 11AB-Z 12AA-Z 12BB-Z 13AB-Z Non-magnetic unsaturated zigzag Magnetic unsaturated zigzag 8AA-Z 8BB-Z 9AB-Z 10AA-Z 10BB-Z 11AB-Z 12AA-Z 12BB-Z 13AB-Z FIG. 8. The formation energy of NAB-ZRs for magnetic and non-magnetic configurations. Inset shows the formation en- ergy of NAB-ZHRs which are non-magnetic. ing the Fermi level for each spin component in BB con- figuration. Unlike armchair ribbons, there is no chance to convert NBB-ZRs to a half-metal using a transverse electric field. Anisotropy in the edge profile of the NAB- ZRs leads to the breaking of spin degeneracy in the band structure like NAB-ARs. The band structure of consid- ered zigzag ribbons shows that the metallic property of the ribbons is strong so that one cannot convert them to a semiconductor or half-metal easily. Comparison of band structures of three different configurations indicates that the flat bands near the Fermi level come from minority spins of A type edge. Unsaturated AFM ZGNRs are di- rect semiconductors whereas FM ones are ferromagnetic metals as shown in Fig. S3. Role of hydrogen passivation for zigzag ribbons is dif- ferent from armchair ones. We observed that the edge saturation leads to a transition from metal to a semicon- ductor in armchair ribbons, but here, the behavior is dif- ferent. Our analysis shows that the zigzag ribbons which have at least one A type edge are still metal after passiva- tion as shown in Fig.10. In return, NBB-ZHRs are indi- rect semiconductors unlike graphene zigzag nanoribbons which are metal. The energy gap is equal to 628meV for 6BB − ZHR and 448meV for 14BB-ZHR. To find the origin of this effect, we calculate the density of states of the edge carbon and nitrogen atoms. Fig. 10 shows that the nitrogen atoms located at the edge of the rib- bon open a band gap around the Fermi level, whereas the DOS of carbon atoms is nonzero. Therefore, the ribbons 0.5 0 -0.5 0.5 0 -0.5 0.5 0 ) V e ( y g r e n E ) V e ( y g r e n E ) V e ( y g r e n E -0.5 G (b) (c) AFM (a) FM Y G 6 0.5 0 -0.5 0.5 0 -0.5 0.5 0 -0.5 Y FIG. 9. The band structure of (a) 8AA-ZR, (b) 8BB-ZR, and (c) 9AB-ZR in FM and AFM configurations. Spin-up is denoted by dashed lines and spin-down is shown by solid lines. Gray lines show the Fermi energy. having A type edge exhibit metallic properties and NBB- ZHRs are semiconductor. We examine the probability of half-metallicity in semiconductor zigzag nanoribbons in the presence of a transverse external electric field. Spin- polarized calculations show that the passivated ribbons are non-magnetic under external electric field so that there is no chance to convert them to a half-metal. This observation is in contrast with zigzag graphene nanorib- bons. The external electric field decreases the energy band gap of the NBB-ZHRs as shown in Fig.11. We fit- ted the change of the energy band gap versus external electric field for 8BB-ZHR with a quadratic function as EG(eV ) = a + bEext + cE2 ext and found a = 616.5eV , b = −362.86eA, and c = −3885.71eA2/V . Our investi- gations show that the quadratic dependence of the en- ergy band gap to transverse electric field is independent of the width of the ribbon. Hydrogen terminated ZGNRs are AFM in the ground state and they are semiconduc- tors with a small gap. Non-magnetic and FM states of hydrogen passivated ZGNRs are metals. In hydrogen ter- minated zigzag C3N nanoribbons ferromagnetic and an- tiferromagnetic states are degenerated and being metallic or semiconductor is dependent on the existence of nitro- gen atoms in the edge of the ribbon. This observation makes C3N nanoribbons different from GNRs. Unsatu- rated AFM ZGNRs are direct semiconductors whereas unsaturated FM ZGNRs are ferromagnetic metals as shown in Fig. S3. (a) (b) (c) 1 0.5 0 -0.5 -1 1 0.5 0 -0.5 -1 1 0.5 0 -0.5 ) V e ( y g r e n E ) V e ( y g r e n E ) V e ( y g r e n E -1 G ) V e m ( p a g y g r e n E 1000 500 0 0 0.1 0.2 Eext(V/A0) 0.3 7 Y ) V e ( y g r e n E 1 0.8 0.6 0.4 0.2 0 -0.2 -0.4 -0.6 -0.8 -1 G Y 1 2 DOS(1/eV) 3 4 5 FIG. 10. Left panels: The band structure of (a) 8AA-ZHR, (b) 8BB-ZHR, and (c) 9AB-ZHR. Right panels: Total DOS (solid line), DOS of edge carbon atoms (dashed line), and DOS of edge nitrogen atoms (dotted line). FIG. 11. The band structure of 8BB-ZHR under an external transverse electric field. Arrows show the increase of electric field strength. The band gap is reduced by increase the elec- tric field strength. The variation of the band gap versus the electric field is shown in the inset (by circle). The solid line shows the dependence of the band gap on a quadratic function of the electric field. IV. CONCLUSION We have used density functional theory to study the electronic and magnetic properties of armchair and zigzag Polyaniline (C3N ) nanoribbons which are recently synthesized experimentally. The effect of edge atoms, ribbon's width, edge passivation, and external transverse electric field was investigated in details. The existence of nitrogen atoms in the structure produces significant dif- ferences in comparison with graphene nanoribbons. Bare armchair C3N nanoribbons can be magnetic metal or half-metal dependent on their edge atoms.The armchair nanoribbons having nitrogen atoms in both edges are intrinsically half-metals in the ferromagnetic state and can be converted to half-metals in the antiferromagnetic state using an external transverse electric field. A tran- sition from metal to semiconductor is observed by the edge passivation of the armchair nanoribbons. Zigzag C3N nanoribbons are magnetic metals when their edge atoms are not passivated by hydrogen. The magnetiza- tion is disappeared when the edge atoms are passivated. However, the kind of edge atoms controls the properties of the zigzag nanoribbons so that the ribbons having just carbon atoms in one edge are metals. On the other hand, the zigzag ribbons with nitrogen atoms in both edges are semiconductors. CONFLICTS OF INTEREST There are no conflicts to declare. V. ACKNOWLEDGMENT Authors are grateful to Dr. Hanif Hadipour for helpful suggestions. 1K. S. Novoselov, A. K. Geim, S. V. Morozov, D. Jiang, Y. Zhang, S. V. Dubonos, I. V. Grigorieva and A. A. Firsov,Electric field effect in atomically thin carbon films, Science 306, 666 (2004). 2K. S. Novoselov, A. K. Geim, S. V. Morozov, D. Jiang, M. I. Kat- snelson, I. V. Grigorieva, S. V. Dubonos, and A. A. Firsov,Two- dimensional gas of massless Dirac fermions in graphene, Nature 438, 197 (2005). 3A. A. Balandin, S. Ghosh, W. Bao, I. Calizo, D. Teweldebrhan, F. Miao, and C. N. Lau,Superior Thermal Conductivity of Single- Layer Graphene, Nano Lett. 8, 902 (2008). 4S. Ghosh, I. Calizo, D. Teweldebrhan, E. P. Pokatilov, D. L. Nika1, A. A. Balandin, W. Bao, F. Miao, and C. N. Lau,Extremely high thermal conductivity of graphene: Prospects for thermal management applications in nanoelectronic circuits, Appl. Phys. Lett. 92, 151911 (2008). 5Z-S. Wu, W. Ren, L. Gao, J. Zhao, Z. Chen, B. Liu, D. Tang, B. Yu, C. Jiang, and H-M. Cheng, Synthesis of Graphene Sheets with High Electrical Conductivity and Good Thermal Stability by Hydrogen Arc Discharge Exfoliation, ACS Nano 3, 411 (2009). 6Y. Zhang, Y-W. Tan, H. L. Stormer, P. Kim, Experimental obser- vation of the quantum Hall effect and Berry's phase in graphene, Nature 438, 201 (2005). 7C. L. Kane, and E. J. Mele, Quantum spin Hall effect in graphene, Phys. Rev. Lett. 95, 226801 (2005). 8K. S. Novoselov, Z. Jiang, Y. Zhang, S. V. Morozov, H. L. Stormer, U. Zeitler, J. C. Maan, G. S. Boebinger, P. Kim, A. K. Geim,Room-temperature quantum Hall effect in graphene, Science 315, 1379 (2007). 9P. Vogt, P. De Padova, C. Quaresima, J. Avila, E. Frantzeskakis, M. C.Asensio, A. Resta, B. Ealet, and G. Le Lay, Silicene: com- pelling experimental evidence for graphenelike two-dimensional silicon, Phys. Rev. Lett. 108, 155501 (2012). 10M. E. D´avila, L. Xian, S. Cahangirov, A. Rubio, and G. Le Lay,Germanene: a novel two-dimensional germanium allotrope akin to graphene and silicene, New. J. Phys. 16, 095002 (2014). 11F-f. Zhu, W-j. Chen, Y. Xu, C-l. Gao, D-d. Guan, C-h. Liu, D. Qian, S-C. Zhang, and J-f. Jia,Epitaxial growth of two- dimensional stanene, Nat. Mater. 14, 1020 (2015). 12L.Li, Y. Yu, G. J. Ye, Q. Ge, X. Ou, H. Wu, D. Feng, X. H. Chen, and Y. Zhang, Black phosphorus field-effect transistors, Nat. Nanotech. 9, 372 (2014). 13A. J. Mannix, X.-F. Zhou, B. Kiraly, J. D. Wood, D. Alducin, B. D. Myers, X. Liu, B. L. Fisher, U. Santiago, J. R. Guest, M. J. Yacaman, A. Ponce, A. R. Oganov, M. C. Hersam, and N. P. Guisinger, Synthesis of borophenes: Anisotropic, two- dimensional boron polymorphs, Science 350, 1513 (2015). 14B. Feng, J. Zhang, Q. Zhong, W. Li, S. Li, H. Li, P. Cheng, S. Meng, L. Chen and K. Wu, Experimental realization of two- dimensional boron sheets, Nat. Chem. 2016, 8, 563 (2016). 15X. Wu, J. Dai, Y. Zhao, Z. Zhuo, J. Yang, and X. C. Zeng, Two- dimensional boron monolayer sheets, ACS Nano 6, 7443 (2012). 16X-F. Zhou, X. Dong, A. R. Oganov, Q. Zhu, Y. Tian, and H-T. Wang, Semimetallic two-dimensional boron allotrope with mass- less Dirac fermions, Phys. Rev. Lett. 112, 085502 (2014). 17J. Mahmood, E. K. Lee, M. Jung, D. Shin, H-J. Choi, J-M. Seo, S-M. Jung, D. Kim, Feng Lia, M. S. Lah, N. Park, H.-J. Shin, J. H. Oh, and J-B. Baek, Two-dimensional polyaniline (C3N) from carbonized organic single crystals in solid state, PNAS 113, 7414 (2016). 18M. Makaremi, B. Mortazavi, and C. V. Singh,Adsorption of Metallic, Metalloidic, and Nonmetallic Adatoms on Two- Dimensional C3N, J. Phys. Chem. C, 121, 18575 (2017). 8 19R. Liu, S. Fan, D. Xiao, J. Zhang, M. Liao, S. Yu, F. Meng, B. Liu, L. Gu, S. Meng, G. Zhang, W. Zheng, S. Hu, and M. Li, Free-Standing Single-Molecule Thick Crystals Consisting of Linear Long-Chain Polymers, Nano Lett. 17 , 1655 (2017). 20S. Kumar, S. Sharma, V. Babar and U. Schwingenschlogl, Ul- tralow lattice thermal conductivity in monolayer C 3 N as com- pared to graphene, J. Mater. Chem. A 5, 20407 (2017). 21Y. Gao, H. Wang, M. Sun, Y. Ding, L. Zhang, Q. Li, J. Zhang, First-principles study of phononic thermal transport in mono- layer C3N: a comparison with graphene, arXiv:1709.08821 22W. Li, X. Dai, J. Morrone, G. Zhang, and R. Zhou, Thickness dependent semiconductor-to-metal transition of two-dimensional polyaniline with unique work functions, Nanoscale 9, 12025 (2017). 23X. Li, X. Wang, L. Zhang, S. Lee, H. Dai, Chemically derived, ultrasmooth graphene nanoribbon semiconductors, Science 319, 1229 (2008). 24X.Wang, Y. Ouyang, X. Li, H. Wang, J. Guo, and H. Dai, Room- temperature all-semiconducting sub-10-nm graphene nanoribbon field-effect transistors, Phys. Rev. Lett. 100, 206803 (2008). 25Y-W. Son, M. L. Cohen, and S. G. Louie, Half-metallic graphene nanoribbons, Nature 444, 347 (2006). 26E-J. Kan, Z. Li, J. Yang, and J. G. Hou, Will zigzag graphene nanoribbon turn to half metal under electric field?, Appl. Phys. Lett. 91, 243116 (2007). 27E. Artacho, D. Sanchez-Portal, P. Ordejon, A. Garcia, J.M. Soler, Density-functional method for very large systems with LCAO basis sets, Int. J. Quantum Chem. 65, 453 (1997). 28H.J. Monkhorst, J.D. Pack, Special points for Brillouin-zone in- tegrations, Phys. Rev. B 13, 5188 (1976). 29J.P. Perdew, K. Burke, M. Ernzerhof, Generalized gradient ap- proximation made simple, Phys. Rev. Lett. 77, 3865 (1996). 30R. S. Mulliken, Electronic population analysis on LCAOMO molecular wave functions. II. Overlap populations, bond orders, and covalent bond energies, J. Chem. Phys. 23, 1833 (1955). 31S. Yang, W. Li, C. Ye, G. Wang, H. Tian, C. Zhu, P. He, G. Ding, X. Xie, Y. Liu, Y. Lifshitz, S-T. Lee, Z. Kang, and M. Jiang, C3NA 2D Crystalline, Hole-Free, Tunable, Narrow- Bandgap Semiconductor with Ferromagnetic Properties, Adv. Mater. 29 1605625 (2017). 32S. I. Vishkayi, and M. B. Tagani, Edge-Dependent Electronic and Magnetic Characteristics of Freestanding β12-Borophene Nanoribbons, Nano-micro Lett. 10, 14 (2018).
1601.08093
1
1601
2016-01-29T13:24:02
Generation of photon-plasmon quantum states in nonlinear hyperbolic metamaterials
[ "cond-mat.mes-hall", "physics.optics", "quant-ph" ]
We develop a general theoretical framework of integrated paired photon-plasmon generation through spontaneous wave mixing in nonlinear plasmonic and metamaterial nanostructures, rigorously accounting for material dispersion and losses in quantum regime through the electromagnetic Green function. We identify photon-plasmon correlations in layered metal-dielectric structures with 70% internal heralding quantum efficiency, and reveal novel mechanism of broadband generation enhancement due to topological transition in hyperbolic metamaterials.
cond-mat.mes-hall
cond-mat
Generation of photon-plasmon quantum states in nonlinear hyperbolic metamaterials Alexander N. Poddubny,1, 2, 3 Ivan V. Iorsh,1 and Andrey A. Sukhorukov3 1ITMO University, St. Petersburg 197101, Russia 2Ioffe Institute, St. Petersburg 194021, Russia 3Nonlinear Physics Centre, Research School of Physics and Engineering, Australian National University, Canberra, ACT 2601, Australia (Dated: February 1, 2016) We develop a general theoretical framework of integrated paired photon-plasmon generation through spontaneous wave mixing in nonlinear plasmonic and metamaterial nanostructures, rigor- ously accounting for material dispersion and losses in quantum regime through the electromagnetic Green function. We identify photon-plasmon correlations in layered metal-dielectric structures with 70% internal heralding quantum efficiency, and reveal novel mechanism of broadband generation enhancement due to topological transition in hyperbolic metamaterials. PACS numbers: 42.65.Lm,78.67.Pt,42.82.Fv Recent pioneering experiments demonstrated the quantum interference between individual photons in nanoscale plasmonic waveguides [1], operating up to the room temperature [2, 3]. However, the photon genera- tion relied on spontaneous wave mixing in external bulk nonlinear crystals. Further efforts are focused on the incorporation of photon sources in plasmonic and meta- material structures, which on the one hand can lead to the realization of fully integrated quantum devices, and on the other hand can open new opportunities for ma- nipulating the quantum features of emitted photons, for example through hyperbolic dispersion [4, 5]. The integrated photon-plasmon generation has been so far reported from quantum dot [6] and quantum well [7] structures suffering from inhomogeneous broadening and dephasing. A promising alternative approach to achieve coherent photon generation at room temperatures is to employ spontaneous nonlinear wave mixing processes, which are successfully used in conventional dielectric waveguide circuits [8–10]. This route is feasible since plasmonic structures and metamaterials can enhance and precisely tailor nonlinear wave mixing [11–14]. To fully unlock the potential of the nanoscale plas- monic and metamaterial circuitry for integrated quan- tum state generation through spontaneous wave mixing, it is necessary to accurately model quantum nonlinear interactions in metal-dielectric structures, providing the fundamentals for structure design and simulation of ex- perimental performance. However the majority of theo- retical techniques have been developed for conventional waveguide structures under the conditions of loss-less [15] and nondispersive elements or including just a few op- tical modes [16–23]. Such methods are not suitable for plasmonic circuits, where frequency dispersion and metal losses are significant, and multiple spatial modes should be taken into account to describe photon emission [4, 5]. In this Letter we present a rigorous approach describ- ing entangled photon-plasmon state generation through spontaneous wave mixing in metal-dielectric nanostruc- tures of arbitrarily complex geometry. We derive ready- to-use explicit formulas for the experimentally measur- able photon counts and quantum correlations. They are expressed through the classical electromagnetic Green function satisfying Maxwell equations and fully incorpo- rating material absorption and dispersion characteristics. We first demonstrate an application of our approach to a bilayer metal-dielectric structure and predict photon- plasmon generation with (cid:38) 70 % internal heralding ef- ficiency. Then we analyze a multilayer metal-dielectric hyperbolic metamaterial [4, 5], where we reveal new type of photon-pair generation enhancement due to the broad- band phase matching at the topological transition. We consider generation of a pair of signal and idler photons from a pump wave through spontaneous non- linear wave mixing inside metal-dielectric structures, as schematically illustrated in Fig. 1(a). The three rele- vant processes are the generation of the photon pairs, their (linear) propagation and possible absorption in the structure, and their detection. Importantly, spontaneous nonlinear wave mixing realizes a spatially extended co- herent source of photon pairs, which interference can lead to strong quantum entanglement even in presence of losses [19–21]. This is an important benefit compared to sets of quantum dots [6, 7] suffering from dephas- FIG. 1. (Color online) (a) Scheme of the photon pair gener- ation from a nonlinear metamaterial (b) Diagrammatic repre- sentation of the two-photon interference according to Eq. (1). 6 1 0 2 n a J 9 2 ] l l a h - s e m . t a m - d n o c [ 1 v 3 9 0 8 0 . 1 0 6 1 : v i X r a photon-pair The scribed ing. We consider the weak pumping regime neglecting the generation of multiple photon pairs. Then, in pres- ence of linear losses, the output quantum state will be composed of pure photon pairs and single photons in a mixed state [19–21]. 1/2(cid:82) dω1dω2(2π)−2d3rE† de- the Hamiltonian = † α(ω1, r)E β(ω2, r)Γαβ(r)+H.c., where E is the electric field operator, α, β = x, y, z, and Γαβ is the generation matrix. We consider two possibilites [24], spontaneous parametric down conver- sion (SPDC) due to χ(2) nonlinear susceptibility and spontaneous four-wave mixing (SFWM) governed by χ(3) nonlinearity, when [16] HNL generation by is tons is The linear Γαβ(r) = governed by propagation of (cid:82) d3r(cid:82) ∞ αβγ(r; ω1, ω2; ωp)Ep,γ(r)e−iωpt, αβγδ(r; ω1, ω2; ωp, ωp)Ep,γ(r)Ep,δ(r)e−2iωpt, χ(3) Ep is the classical pump at frequency ωp, γ, δ = x, y, z. pho- the Hamiltonian Hlin = 0 dωωf† · f , where fα(r, ω) are the canon- ical bosonic source operators for the quantum elec- dω(2π)−1E(r, ω) + H.c., E(ω) = i Here, G is the classical electromagnetic Green tensor, √(cid:82) d3r(cid:48)Gαβ(r, r(cid:48), ω)(cid:112)Im ε(ω, r(cid:48))fβ(r(cid:48), ω). (cid:17)2 tric field [25]: E(r) = (cid:20) rot rot−(cid:16) ω G(r, r(cid:48), ω) = 4π (cid:16) ω generated (cid:17)2 ∞(cid:82) ε(ω, r) (cid:21) 1δ(r−r(cid:48)). the 0 c c χ(2) of the local advantage The source quantization scheme [25] is the possibility to explicitly account for arbitrary strong Ohmic losses and mode dispersion, en- coded in the Green function. This method was pre- viously applied [26] to describe the spontaneous two- photon emission (STPE) [27] from a single atom. How- ever the current problem is quite distinct from STPE, because nonlinear spontaneous wave mixing acts as a co- herent spatially extended source. We explicitly introduce the sensors that detect the quantum electromagnetic field [28] to find the experimen- tally measurable quantities. The sensors are modelled as signal (s) and idler (i) two-level systems with the Hamil- † i,sai,s − di,s · E(ri,s), with the reso- tonians Hi,s = ωi,sa † nant energies ωs and ωi, respectively. Here, a s,i are the corresponding exciton creation and di,s = ad∗ i,s + a†d∗ are the dipole momentum operators. † The detected two-quantum state is Ψ(cid:105) = a s0(cid:105) i a† with both detectors excited by the photon pair. For- mally, the process of photon pair generation, propaga- tion, and detection can be described by the scattering matrix element Sis = (cid:104)ΨU0(cid:105), where U is the evolu- tion operator [29]. We develop direct perturbation tech- nique (see Supplementary Materials) and obtain Sis = i,s 2 −2πiδ(ωi + ωs − Nωpump)Tis, where N = 1(2) for SPDC (SWFM). By construction the two-photon transi- tion amplitude Tis has the meaning of the complex wave function fully defining the pure two-photon state: (cid:90) × Tis(ri, ωi, di; rs, ωs, ds) = αβ,σi,σs d3r0Gσiα(ri, r0, ωi)Gσsβ(rs, r0, ωs)Γαβ(r0) . (1) (cid:88) d∗ i,σi d∗ s,σs This is the central result of our study. The form of Eq. (1) clearly represents the interference between the spatially entangled photons generated in the different points of space r0 [30], as schematically illustrated in Fig. 1(b). (cid:82)(cid:82) d3r(cid:48) The coincidence rate, which defines simultaneous de- tection of two photons at different positions in space, is found as: Wis = (2π/)δ(ωi + ωs − Nωp)Tis2. The single photon states can be measured by the to- tal count rate of one detector, and for signal photons we obtain (see Supplementary Materials): Ws(rs) = 0 , ωp − ωs) 2 Γαβ(r(cid:48) 0 , ωs) . We have verified that the general expressions exactly re- duce to the previous results for waveguides [19, 20], the details are given in the Supplementary Materials. s,σs(cid:48) Im Gββ(cid:48)(r(cid:48) 0, ωs) G∗ σs,σs(cid:48) α(cid:48)β(cid:48)(r(cid:48)(cid:48) 0 ) Gσs,α(rs, r(cid:48) 0, r(cid:48)(cid:48) σs(cid:48) ,α(cid:48)(rs, r(cid:48)(cid:48) ds,σsd∗ 0) Γ∗ 0d3r(cid:48)(cid:48) (cid:80) 0 We now apply the general theory to layered metal- dielectric plasmonic structures. First, we analyze the de- generate spontaneous four-wave mixing for the metallic layer on top of the nonlinear dielectric, see Figs. 2(a)–(c). Due to the translational symmetry, the total in-plane mo- mentum k of the photons and plasmons is conserved, i.e. ki,α+ks,α = 2kp,α for α = x, y. The most interesting situ- ation is realized for oblique pump incidence, giving rise to four different regimes when (a) both signal and idler, (b) only idler, (c) neither signal nor idler and (d) only signal in-plane wave vectors lie outside the corresponding light cone boundaries ωi,s/c. The first three situations are schematically shown in Figs. 2(a)–(c). Two-photon gen- eration occurs in case (c), while (b) and (d) correspond to plasmon generation heralded by the far field photon. considering isotropic dielectric with electronic χ(3) nonlinearity ten- sor as [24]: χαβγδ = χ0(δαβδγδ + δαδδβδ + δαγδβδ). We two- photon for zi = zs = 100 nm above the structure, defined as T (ki) = (cid:82) dxdy exp(−ikxx − ikyy)T (x, y), which char- the Tis(ks, zi, zs)2 perform numerical transform of the Fourier simulations amplitude detection plot We acterizes the signal-idler generation efficiency in all different regimes. The relevant Fourier transforms of the Green functions were evaluated analytically following Ref. [32]. The overall map of the correlations resembles that for the generation of the polarization-entangled photons from a bulk nonlinear uniaxial crystal [33]: it shows strong maxima at the intersections of the signal and idler light cone boundaries. However, contrary 3 FIG. 3. (a) Schematic illustration of two-photon gener- ation from a nonlinear hyperbolic metamaterial. (b) Top view of Tis(ki,−ks)2 for z = −100 nm. (c) Side view of Re Tis(ks,x, zi). (d) Side view of Tis(kx, kz)2. Calculated for TM polarizations of signal and idler detectors, normal pump incidence polarized along x, ωs = 3.46 eV, ωp = 3.6 eV, dsilver = 7.5 nm, ddiel = 15 nm. the Supplementary Information and the result reads (cid:88) (cid:88) c cos θi 2πωi Tis(ks, zs, zi, di)2 Ws(ks) , (2) QE = zi=−L,L where cos θi =(cid:112)1 − (cki/ωi)2. The summation over zi di= x, y, z in Eq. (2) accounts for the total idler photon flux through the surfaces zi = ±L above and below the nonlinear structure. The calculated values of the signal herald- ing, shown in Fig. 2(e), are remarkably high. They reach almost 100% in the case when both signal and idler photon are in the far field, see the bright spot at ks,x − kp,x ≈ −5 µm−1. In the case of signal plasmons the heralding efficiency is uniform and about 70%. We note, that the results in Fig. 2(e) correspond to the in- ternal heralding efficiency, calculated for the plane pump wave. The external quantum heralding efficiency has to account also for the plasmonic losses due to the propaga- tion from the pump spot to the near field detector, which can be optimized in the actual experimental setup. Next, we turn to the multilayered metal-dielectric hyperbolic metamaterial This is a strongly anisotropic artificial uniaxial medium, where the effec- tive dielectric constant εxx = εyy and εzz can be of opposite signs, rendering the hyperbolic dispersion law k2 x/εzz + k2 z/εxx = (ω/c)2 for the TM polarized waves. [4, 5]. FIG. 2. (Color online) (a)-(c) Scheme of SFWM generation of a pair of (a) entangled plasmons, (b) entangled photons and (c) photon entangled with plasmon in the gold/nonlinear dielectric structure. (d) Color map of the two-photon de- tection probability T (ki, ks)2 in the reciprocal space vs. in TM po- the in-plane wave vector components (arb.un.) larization (di,s ∝ k × z) at zi = zs = 100 nm. The signal (solid) and idler (dashed) light lines are plotted in white color. The letters a–d mark the near- and far-field signal and idler generation regimes. (e) Efficiency of signal heralding by far field idler photons, Eq. (2). For all plots ωi ≈ ωs ≈ ωp ≈ 3 eV, εdiel = 2, dsilver = 20 nm, pump is TM polarized, kp,x = 0.5ωp/c, and silver permittivity accord- ing to Ref. [31]. to the bulk situation, the calculated map reflects the two-quantum correlations of both photons and plas- mons. In the region (c) the shown signal can be directly measured from the far field photon-photon correlations. The near-field signal in the regions (a),(b),(d) can be recovered by using the grating to outcouple the plas- mons to the far field [34] or with the near field scanning optical microscopy setup [35]. The optimization of the measurement scheme for the specific sample can be handled by the presented general formalism, but it is out of the scope of the current study. The bright spot in the map Fig. 2(d) for ks,x − kp,x ≈ 10 µm−1 reveals the resonantly enhanced plasmonic emis- sion heralded by the normally propagating idler photons. The heralding efficiency can be estimated from the com- parison of the signal-photon counts Ws and the two- photon counts Wis ∝ Tis2. The details are given in 4 and dashed white lines show the isofrequency contours at signal and idler frequencies. The maximum of the two- photon response is pinned to the area between the elliptic signal dispersion and the hyperbolic idler dispersion. To better understand the origin of the enhancement we analyze in Fig. 4(a) the phase matching conditions in the hyperbolic metamaterial by plotting the map of the momentum mismatch Re(ki,z + ks,z − 2kpump,z) vs. the signal energy and in-plane wave-vector. The map is symmetric with respect to the pump energy ωp = 3.6 eV (white horizontal line), corresponding to degenerate SFWM. The phase mismatch exhibits dra- matic changes when either signal or idler undergoes topo- logical transition [38] from the elliptic regime to the hy- perbolic one (black lines). The intermediate area for 3.4 eV (cid:46) ωs (cid:46) 3.8 eV corresponds to the phase match- ing realized in the broad band of in-plane wave vectors kx and frequencies kz. The origin of the broad band phase matching is that the curvatures of the isofrequency contours d2kz/dk2 z are of opposite signs at the differ- ent sides of the topological transition where εzz changes sign. As a result, the contributions of signal and idler waves to the phase mismatch cancel each other. Fig. 4(d) shows the spectrum of the integrated two-photon re- sponse [Fig. 3(c)] over the in-plane wave vector as func- tion of the signal photon frequency. We observe a broad- band increase in the spectral range 3.4 eV . . . 3.8 eV when the phase matching is realized. Finally, we note that our general result Eq. (1) reveals an important quantum-classical correspondence for ar- bitrary structures with quadratic nonlinearity between the photon-pair generation through SPDC and sum- frequency generation (SFG) with classical signal and idler waves, which propagate in the opposite direction to the emulated signal and idler photons. Namely, the far-field sum frequency signal ENL,γ(kN L, ωi + ωs) is linked to the incident plane waves Eseiksr−iωst, Eieikir−iωit as ENL,γ = Es,αEi,βT (α,−ki; β,−ks), where T (α,−ki; β,−ks) is the Fourier component of Eq. (1) evaluated for di = eα, ds = eβ and Ep = eγe−ikN Lr−i(ωi+ωs)t. The direction-reversal was not con- sidered previously, as only homogeneous lossy waveguides were analyzed [21]. Due to the Lorentz reciprocity, the correspondence can be generalized to arbitrary reciprocal waves. This result will be reported in detail separately. In conclusion, we developed a general theory for gen- eration of photon and plasmon quantum pairs through spontaneous nonlinear wave mixing, applicable to any structure geometry and accounting for material dis- persion and losses through the electromagnetic Green function. We further predicted high internal heralding quantum efficiency and revealed topologically-enhanced phase-matching in layered metal-dielectric structures. This indicates the experimental feasibility in presence of metallic losses, and suggests even higher performance for all-dielectric nonlinear metamaterials and metasur- FIG. 4. (a) Phase matching map vs. the signal energy and in-plane wave vector. The color corresponds to Re(ks,z + ki,z − 2kpump,z)(d1 + d2). Horizonal black lines show the boundaries between the spectral regions with topologically different dispersion of signal and idler photons (shown in the insets). (b) Photon pair spectrum in the TM polarization for signal and idler, integrated over the wave vectors inside the signal light cone. Calculated for ωpump = 3.6 eV (indicated by a horizontal line) and the same other parameters as Fig. 3. We focus on the non-degenerate SFWM. Enhanced non- linear processes such as Compton scattering [36] and sec- ond harmonic generation [13, 14] have been recently pre- dicted in the hyperbolic regime. The photon pair gener- ation problem is quite different and remains open. We consider the pump normally incident upon the metamaterial, see Fig. 3(a). Generally, the enhanced lo- cal density of states in the hyperbolic metamaterials can not be harnessed without the special outcoupling of the near field [37]. Here we avoid this obstacle by consid- ering the non-degenerate spontaneous four-wave mixing when the signal is in the elliptic regime and the idler is in the hyperbolic regime. This allows the signal photons to escape the structure while simultaneously making use of the enhanced density of states due to the hyperbolic plasmons at the idler frequency. We present in Fig. 3(b) the two-photon correlations Tis(ki, ks)2 in the reciprocal space in the xy plane cal- culated for both signal and idler at zi = zs = −100 nm. The signal is concentrated in the far field region inside the light cone. Figure 3(c) shows the side view of the function Re Tis(kx, z) obtained for signal at zs = −100 nm above the structure vs. the in-plane wave vector kx and idler de- tection coordinate zs. We observe the spatial oscillations of the pattern along the z propagation direction for the hyperbolic idler plasmons within the metamaterial. In order to get an insight of the plasmon propagation, we show in Fig. 3(d) the Fourier transform Tis(kx, ki,z)2 as function of the idler photon wave vector. The solid 10-410-210001020303.03.23.43.63.84.0(b)ks, x (mm-1)Signal energy (eV)0.00.10.2pump Pair intensity (arb.un.)(a)pumpsssiikzkxkzkxkzkxi faces [39–41]. Moreover, our results can extend to other fields, including spontaneous four-wave-mixing in Bose- Einstein condensates loaded in tailored potentials [42]. We acknowledge stimulating discussions with A. V. Poshakinskiy, E. L. Ivchenko, S. Saravi, M. M. Glazov, A. S. Solntsev, M. Steel. This work was supported by the Australian Research Council (Discovery Project DP160100619), Russian Foundation for Basic Research and the “Dynasty” foundation. [1] R. W. Heeres, L. P. Kouwenhoven, and V. Zwiller, “Quantum interference in plasmonic circuits,” Nat. Nan- otechnol. 8, 719–722 (2013). [2] J. S. Fakonas, H. Lee, Y. A. Kelaita, and H. A. Atwater, “Two-plasmon quantum interference,” Nature Photonics 8, 317–320 (2014). [3] J. S. Fakonas, A. Mitskovets, and H. A. Atwater, “Path entanglement of surface plasmons,” New J. Phys. 17, 023002–7 (2015). [4] A. Poddubny, I. Iorsh, P. Belov, and Y. Kivshar, “Hy- perbolic metamaterials,” Nature Photonics 7, 948–957 (2013). [5] L. Ferrari, C. H. Wu, D. Lepage, X. Zhang, and Z. W. Liu, “Hyperbolic metamaterials and their applications,” Prog. Quantum Electron. 40, 1–40 (2015). [6] A. Dousse, J. Suffczynski, A. Beveratos, O. Krebs, A. Lemaitre, I. Sagnes, J. Bloch, P. Voisin, and P. Senel- lart, “Ultrabright source of entangled photon pairs,” Na- ture 466, 217–220 (2010). [7] A. Nevet, N. Berkovitch, A. Hayat, P. Ginzburg, S. Gin- zach, O. Sorias, and M. Orenstein, “Plasmonic nanoan- tennas for broad-band enhancement of two-photon emis- sion from semiconductors,” Nano Lett. 10, 1848–1852 (2010). [8] J. W. Silverstone, D. Bonneau, K. Ohira, N. Suzuki, H. Yoshida, N. Iizuka, M. Ezaki, C. M. Natarajan, M. G. Tanner, R. H. Hadfield, V. Zwiller, G. D. Marshall, J. G. Rarity, J. L. O’Brien, and M. G. Thompson, “On- chip quantum interference between silicon photon-pair sources,” Nature Photonics 8, 104–108 (2014). [9] H. Jin, F. M. Liu, P. Xu, J. L. Xia, M. L. Zhong, Y. Yuan, J. W. Zhou, Y. X. Gong, W. Wang, and S. N. Zhu, “On- chip generation and manipulation of entangled photons based on reconfigurable lithium-niobate waveguide cir- cuits,” Phys. Rev. Lett. 113, 103601–5 (2014). [10] A. S. Solntsev, F. Setzpfandt, A. S. Clark, C. W. Wu, M. J. Collins, C. L. Xiong, A. Schreiber, F. Katzschmann, F. Eilenberger, R. Schiek, W. Sohler, A. Mitchell, C. Sil- berhorn, B. J. Eggleton, T. Pertsch, A. A. Sukhorukov, D. N. Neshev, and Yu. S. Kivshar, “Generation of nonclassical biphoton states through cascaded quantum walks on a nonlinear chip,” Phys. Rev. X 4, 031007–13 (2014). [11] A. K. Popov and V. M. Shalaev, “Negative-index meta- materials: second-harmonic generation, manley-rowe re- lations and parametric amplification,” Appl. Phys. B 84, 131–137 (2006). [12] H. Suchowski, K. O’Brien, Z. J. Wong, A. Salandrino, X. B. Yin, and X. Zhang, “Phase mismatch-free nonlin- 5 ear propagation in optical zero-index materials,” Science 342, 1223–1226 (2013). [13] D. de Ceglia, M. A. Vincenti, S. Campione, F. Capolino, J. W. Haus, and M. Scalora, “Second-harmonic double- resonance cones in dispersive hyperbolic metamaterials,” Phys. Rev. B 89, 075123–7 (2014). [14] C. Duncan, L. Perret, S. Palomba, M. Lapine, B. T. Kuhlmey, and C. M. de Sterke, “New avenues for phase matching in nonlinear hyperbolic metamaterials,” Sci. Rep. 5, 8983–6 (2015). [15] Z. S. Yang, M. Liscidini, and J. E. Sipe, “Spontaneous parametric down-conversion in waveguides: A backward heisenberg picture approach,” Phys. Rev. A 77, 033808– 13 (2008). [16] P. D. Drummond and M. S. Hillery, The Quantum The- ory of Nonlinear Optics (Cambridge University Press, Cambridge, 2013). [17] J. A. Crosse and S. Scheel, “Coincident count rates in absorbing dielectric media,” Phys. Rev. A 83, 023815–9 (2011). [18] M. K. Dezfouli, M. M. Dignam, M. J. Steel, and J. E. Sipe, “Heisenberg treatment of pair generation in lossy coupled-cavity systems,” Phys. Rev. A 90, 043832–12 (2014). [19] D. A. Antonosyan, A. S. Solntsev, and A. A. Sukho- rukov, “Effect of loss on photon-pair generation in non- linear waveguide arrays,” Phys. Rev. A 90, 043845–10 (2014). [20] L. G. Helt, M. J. Steel, and J. E. Sipe, “Spontaneous parametric downconversion in waveguides: what’s loss got to do with it?” New J. Phys. 17, 013055–17 (2015). [21] L. G. Helt and M. J. Steel, “Effect of scattering loss on connections between classical and quantum processes in second-order nonlinear waveguides,” Opt. Lett. 40, 1460– 1463 (2015). [22] T. Onodera, M. Liscidini, J. E. Sipe, and L. G. Helt, “Co- herence in parametric fluorescence,” arXiv 1509.03180 (2015). [23] M.K. Dezfouli and M.M. Dignam, “Photon pair gener- ation in leaky coupled-resonator optical waveguides via spontaneous four-wave mixing,” in CLEO: Applications and Technology (Optical Society of America, 2015) p. JTu5A.12. [24] R. W. Boyd, Nonlinear Optics, 3rd ed. (Academic Press, San Diego, 2008). [25] W. Vogel and D.-G. Welsch, Quantum Optics, 3rd ed. (Wiley, Weinheim, 2006). [26] A. N. Poddubny, P. Ginzburg, P. A. Belov, A. V. Zay- ats, and Yu. S. Kivshar, “Tailoring and enhancing spon- taneous two-photon emission using resonant plasmonic nanostructures,” Phys. Rev. A 86, 033826–5 (2012). [27] A. Hayat, P. Ginzburg, and M. Orenstein, “Observa- tion of two-photon emission from semiconductors,” Na- ture Photonics 2, 238–241 (2008). [28] E. del Valle, A. Gonzalez-Tudela, F. P. Laussy, C. Teje- dor, and M. J. Hartmann, “Theory of frequency-filtered and time-resolved n-photon correlations,” Phys. Rev. Lett. 109, 183601–5 (2012). [29] C. Cohen-Tannoudji, J. Dupont-Roc, and G. Grynberg, Atom-photon interactions: basic processes and applica- tions (Wiley-VCH, New York, 1998). [30] R. Ghosh and L. Mandel, “Observation of nonclassical effects in the interference of 2 photons,” Phys. Rev. Lett. 59, 1903–1905 (1987). [31] P. B. Johnson and R. W. Christy, “Optical constants of noble metals,” Phys. Rev. B 6, 4370–4379 (1972). [32] M. S. Tomas, “Green-function for multilayers - light- scattering in planar cavities,” Phys. Rev. A 51, 2545– 2559 (1995). [33] P. G. Kwiat, K. Mattle, H. Weinfurter, A. Zeilinger, A. V. Sergienko, and Y. H. Shih, “New high-intensity source of polarization-entangled photon pairs,” Phys. Rev. Lett. 75, 4337–4341 (1995). [34] G. Di Martino, Y. Sonnefraud, S. Kena-Cohen, M. Tame, S. K. Ozdemir, M. S. Kim, and S. A. Maier, “Quantum statistics of surface plasmon polaritons in metallic stripe waveguides,” Nano Lett. 12, 2504–2508 (2012). [35] B. le Feber, N. Rotenberg, D. M. Beggs, and L. Kuipers, “Simultaneous measurement of nanoscale electric and magnetic optical fields,” Nature Photonics 8, 43–46 (2014). [36] I. V. Iorsh, A. N. Poddubny, P. Ginzburg, P. A. Belov, and Yu. S. Kivshar, “Compton-like polariton scatter- ing in hyperbolic metamaterials,” Phys. Rev. Lett. 114, 185501–5 (2015). [37] D. Lu, J. J. Kan, E. E. Fullerton, and Z. W. Liu, “Enhancing spontaneous emission rates of molecules us- 6 ing nanopatterned multilayer hyperbolic metamaterials,” Nat. Nanotechnol. 9, 48–53 (2014). [38] H. N. S. Krishnamoorthy, Z. Jacob, E. Narimanov, I. Kretzschmar, and V. M. Menon, “Topological tran- sitions in metamaterials,” Science 336, 205–209 (2012). [39] M. R. Shcherbakov, D. N. Neshev, B. Hopkins, A. S. Shorokhov, I. Staude, E. V. Melik-Gaykazyan, M. Decker, A. A. Ezhov, A. E. Miroshnichenko, I. Brener, A. A. Fedyanin, and Yu. S. Kivshar, “Enhanced third- harmonic generation in silicon nanoparticles driven by magnetic response,” Nano Lett. 14, 6488–6492 (2014). [40] S. Makarov, S. Kudryashov, I. Mukhin, A. Mozharov, V. Milichko, A. Krasnok, and P. Belov, “Tuning of mag- netic optical response in a dielectric nanoparticle by ul- trafast photoexcitation of dense electron-hole plasma,” Nano Lett. 15, 6187–6192 (2015). [41] S. Jahani and Z. Jacob, “All-dielectric metamaterials,” Nat. Nanotechnol. 11, 23–36 (2016). [42] R. J. Lewis-Swan and K. V. Kheruntsyan, “Proposal for demonstrating the Hong-Ou-Mandel effect with matter waves,” Nat. Commun. 5, 3752–7 (2014).
1204.3326
2
1204
2012-05-30T21:03:54
Majorana qubit decoherence by quasiparticle poisoning
[ "cond-mat.mes-hall", "cond-mat.supr-con", "quant-ph" ]
We consider the problem of quasiparticle poisoning in a nanowire-based realization of a Majorana qubit, where a spin-orbit-coupled semiconducting wire is placed on top of a (bulk) superconductor. By making use of recent experimental data exhibiting evidence of a low-temperature residual non-equilibrium quasiparticle population in superconductors, we show by means of analytical and numerical calculations that the dephasing time due to the tunneling of quasiparticles into the nanowire may be problematically short to allow for qubit manipulation.
cond-mat.mes-hall
cond-mat
Majorana qubit decoherence by quasiparticle poisoning Department of Physics, University of Basel, Klingelbergstrasse 82, 4056 Basel, Switzerland Diego Rainis and Daniel Loss We consider the problem of quasiparticle poisoning in a nanowire-based realization of a Majorana qubit, where a spin-orbit-coupled semiconducting wire is placed on top of a (bulk) superconductor. By making use of recent experimental data exhibiting evidence of a low-temperature residual non- equilibrium quasiparticle population in superconductors, we show by means of analytical and nu- merical calculations that the dephasing time due to the tunneling of quasiparticles into the nanowire may be problematically short to allow for qubit manipulation. Devising a physical system where to experimentally ob- serve for the first time the presence of Majorana fermions has become in the last years a serious and stirring chal- lenge in the condensed-matter physics community. Apart from the importance per se of observing the signature a Majorana fermion, the strong hope is to realize a Majorana-based qubit, which would offer an intrinsically improved protection against decoherence due to the pe- culiar delocalized structure of the Majorana state itself. in the setup we consider,1–4 a topolog- For example, ical superconducting state (TSC) in a semiconducting † nanowire is created, with a mid-gap mode d end at en- ergy εend (cid:39) 0, whose wavefunction is strongly localized at the two ends of the nanowire. Such topological state can be induced through the combined effect of s−wave pairing, spin-orbit coupling and magnetic field2–4. The superconducting pairing is inherited most typically via proximity effect from a bulk superconductor placed be- low the wire (around the wire in some proposals). Even without restricting ourselves to this specific setup, su- perconductivity is a key ingredient needed in essentially all the proposals to produce observable Majorana excita- tions in condensed-matter systems. The considerations we make in this paper are thus qualitatively valid and rel- evant for a wide range of configurations, while the quan- titative results are specific to the proximized nanowire setup. of the type (cid:0) 0(cid:105) + eiφ1(cid:105) The zero-energy many-body excitation dend in the TSC can be exploited to store information in an ideally dephasing-free qubit. Defining the 0(cid:105) state as the many- body state where the dend is empty, and correspondingly † 1(cid:105) ≡ d end0(cid:105), the subspace spanned by 0(cid:105) and 1(cid:105) is a degenerate ground-state subspace, which offers intrinsic protection against dephasing. However, coherent states (cid:1) cannot be prepared, because there is no physical coupling which could create such su- perposition. Strictly speaking, then, a system where the states 0(cid:105) and 1(cid:105) differ by fermion parity (occupation of a single BCS-like mode) cannot be used as a qubit. To obtain a proper quantum bit, one needs at least two of these zero-energy states, that is, four Majorana fermions. In such case, there are four degenerate states: 00(cid:105) ≡ 0(cid:105)end,1 ⊗ 0(cid:105)end,2 , 10(cid:105) ≡ d 01(cid:105) ≡ d 11(cid:105) ≡ d † end,100(cid:105) , † end,200(cid:105) , † † end,1d end,200(cid:105) . The states 00(cid:105) and 11(cid:105) (possible choice for the qubit) share now the same fermion parity, and if we choose them as qubit computational states, coherent superpositions are possible thanks to the superconducting pairing which induces fluctuations in the number of electrons, in jumps of two, due to the hopping in and out of Cooper pairs. If the superconductor can only exchange Cooper pairs and not single, unpaired electrons with the wire, then the fermion parity (i.e. the number of electrons modulo two) is conserved. This is at the base of the protection these systems benefit5,6. If instead single electrons could enter the nanowire, then the system would be driven out of the topological subspace {00(cid:105),11(cid:105)}, populating 10(cid:105), 01(cid:105) or some higher-energy states. In the case of a single TSC segment, with only one zero-energy mode, the presence of unpaired electrons would instead cause σx errors, causing transitions 0(cid:105) → 1(cid:105) and viceversa. There have already been a couple of works7,8 point- ing out that Majorana-based qubits are prone to stan- dard decoherence mechanisms when one allows for single- electron tunneling from a generic external (noisy) envi- ronment. The specific phenomenon of the possible dis- turbing presence of unpaired electrons in the supercon- ductor, dubbed “quasiparticle poisoning”, constitutes a similar but more subtle problem, since the tunneling elec- trons are coming from the superconductor itself, which is an indispensable ingredient in these type of propos- als. The issue has already been taken into consider- ation in the Majorana-fermion community9–11 but, to the best of our knowledge, no explicit estimation has been made for a specific setup. Rather, some estimates for quasiparticle tunneling rate from the superconductor into the wire have been borrowed from some recent ex- periments dealing with equilibrium superconductivity12. In such experiments, like in several other investigations before13–16, low-temperature quasiparticle poisoning has been observed, and evidence has been provided that the quasiparticle density does not drop to zero at the small- est temperatures, as predicted by theory, but rather sat- urates to a constant value. A clear and widely accepted explanation for this phenomenon is still lacking, but the observation of excess quasiparticles has been repeatedly confirmed. For instance, a very recent experimental in- vestigation on quasiparticle kinetics inducing energy re- laxation in a transmon qubit has measured poisoning times below µs17. In an ideal superconductor at zero temperature all the electrons are forming Cooper pairs and out of the conden- sate no quasiparticles exist18. At finite but small tem- perature, the average density of quasiparticles in a super- conductor at equilibrium follows the activation behavior (kB = 1) neq qp = 2νn S 2πT ∆S exp(−∆S/T ) , valid at T < ∆S, with νn S the normal-state, single-spin density of states at the Fermi level, T the temperature and ∆S the energy gap of the superconductor. The corre- sponding average quasiparticle recombination time (life- time) is evaluated as19: (1) (cid:112) 2(cid:114) (cid:19) 5 (cid:18) Tc 2∆S τr = τ0√π Tc T e∆S/T ∝ 1 nqp , (2) where Tc is the critical temperature of the superconduc- tor and τ0 a material dependent, characteristic electron- phonon interaction time. Eqs. (1) and (2) predict a very low quasiparticle density and correspondingly a very long quasiparticle lifetime at temperatures T (cid:28) Tc. As an- ticipated above, experimental data show agreement to the exponential behavior Eq. (2) only for not-too-low temperatures. For instance, the work Ref. [12] obtains a zero-temperature saturation τr ∼ 2 ms in the quasi- particle lifetime for temperatures below 160 mK, and a corresponding saturating quasiparticle density nqp ∼ 25− 55 µm−3. These large quaisparticles lifetimes of the order of ms have been sometimes used in the literature as an estimate for the tunneling rate of quasiparticles into the TSC nanowire, i.e. an estimate for the qubit lifetime, leading to the conclusion that quasiparticle poisoning is not a serious issue. Such experiments12, however, analyze the quasiparticle lifetime τr in an isolated superconducting system (two- dimensional in the case of Ref. [12]), and not in a hybrid structure where two subsystems are put into contact, and a subsystem can be poisoned by the other one. Here we would like to stress that the rate of tunneling into the qubit subsystem (the nanowire), and thus the av- erage dephasing time of our Majorana qubit, is not given by the quasiparticles lifetime as measured in an isolated superconductor. Indeed, for example in the case of our SC/TSC junction, quasiparticles in the superconducting reservoir can well tunnel into the TSC wire and tunnel out again, many times before a recombination event may take place after the typical time τr. Since even a single de- tour of the quasiparticle into the qubit part of the system could destroy the coherence of the qubit itself, it is impor- tant to calculate or measure explicitly the tunneling rate of quasiparticles Γqp into the nanowire. To this end, we 2 adopt a well-established formalism, already employed in earlier calculations for Γqp which were performed for the case of Josephson qubits, adapting them to the present case of a SC interfaced to a TSC nanowire. We demon- strate that, depending on the parameters, the tunneling rate of quasiparticles can vary in the range 0.1−100 MHz, imposing therefore a much more serious constraint on the feasibility of error-free qubit manipulation. This becomes particularly clear in the last section of this paper, where we produce quantitative estimates for the poisoning rate in typical configurations, and we compare them with the time scales required for adiabatic computations. I. CALCULATIONS The system we consider is constituted by a bulk s−wave superconductor in tunnel-contact with a TSC nanowire. Gap magnitudes ∆S and ∆T in the SC and in the TSC need not be the same. Rather, the topolog- ical gap ∆T is always smaller than ∆S, for two reasons: (i) the proximity-induced gap is in general smaller than the parental gap ∆S, depending on the transparency of the interface SC/TSC20; (ii) the topological p−wave gap ∆T is only a fraction of the induced s−wave amplitude, and strongly depends on the values of magnetic field, spin-orbit coupling4, and even on the electron-electron interaction21. The bulk superconductor is described by a standard BCS Hamiltonian HSC, whose eigen-excitations are S = bogolons βkσ with energy ES(k) = (cid:112)ξ2 (cid:113) (cid:88) (2k2/2m − µ)2 + ∆2 S: k + ∆2 HSC = ES(k)β † kσβkσ . (3) kσ As mentioned above, the nanowire can be driven into a topological superconducting phase by means of the com- bined effect of spin-orbit coupling, Zeeman interaction and superconducting pairing4. The topological phase is reached by tuning the chemical potential within the gap opened by the Zeeman interaction between the two chi- rality bands, and singling out in this way a single pair of Fermi points. In terms of this single effective de- gree of freedom, the original s−wave superconducting interaction becomes of p−type. The low-energy effec- tive Hamiltonian for such spinless p−wave superconduc- tor then reads4,22: † kdk + sgn(k) † † −k + ∆∗ kd ∆Td (cid:88) Td−kdk (cid:17)(cid:105) HNW = (cid:16) (cid:104) εkd , k (4) where the dk’s describe the lower-band electrons originat- ing from the combined effect of spin-orbit and Zeeman in- teraction, with dispersion εk. After diagonalization, the low-energy Hamiltonian of the nanowire is also written in terms of bogolons ηk, HNW → HTSC = ET(k)η † kηk , (5) (cid:88) k with dispersion ET(k) = (cid:112)ε2 T. Finally, the two subsystems are coupled by tunneling, described by the Hamiltonian HT, k + ∆2 (cid:88) (cid:88) k,p,σ (cid:16) (cid:16) HT = = (cid:17) k,σap,σ + t(0)∗ † kp a† t(0) kp c † kap,σ + t∗ kpσa† tkpσd p,σck,σ (cid:17) p,σdk . (6) (7) k,p,σ The operators ap,σ annihilate an electron in the state p, σ(cid:105) in the SC reservoir, while the ck,σ’s are bare- electron operators in the nanowire. Switching to the di- agonal basis of Zeeman and Rashba in the nanowire leads to the final expression (7) written in terms of the effec- tive spinless lower-band electron operators dk introduced above. Here the tunneling amplitudes tkpσ differ from the bare-electron tunneling amplitudes t(0) kp , since they describe the hopping into the effective spinless modes dk, and incorporate the spin-dependent factors which de- scribe the mixing of degrees of freedom due to spin-orbit and Zeeman interaction. II. ESTIMATION WITHOUT ENVIRONMENTAL P (E) THEORY We first start with the case where the only relevant degrees of freedom are those related to the electronic quasiparticle tunneling through the SC/TSC junction. In a more refined theory the event of a quasiparticle tun- neling through the junction is influenced by the charge dynamics in the environment around the junction itself. This approach, the so-called “environmental P (E) the- ory”23, will be considered separately below. In order to estimate the rate Γqp of tunnel events from the superconductor to the nanowire, we start with a Fermi’s golden rule approach, along the lines of Refs. [24– 27], and evaluate Γqp by averaging the matrix elements of the tunnel Hamiltonian over initial and final configu- rations with the appropriate thermal occupation factors: i,f Γqp = (cid:104)fHTi(cid:105)2δ (Ef − Ei) f (Ei)[1 − f (Ef )] . (8) The initial and final states i(cid:105) and f(cid:105) are eigenstates of H0 = HSC + HTSC. The TSC state in the nanowire is induced by proximity effect, microscopically described by the same Hamiltonian HT that we are considering now. There is, however, no inconsistency, since the first order contribution Eq. (8) does not take into account the Cooper-pair hopping, which is assumed to be already implicitly included in HTSC. We are interested in calculating matrix elements of the type (cid:104)fHTi(cid:105) = (cid:104)nk = 1, np = 0HTnk = 0, np = 1(cid:105) , (9) (cid:88) 2π  3 where we have indicated by nk = 0, np = 1(cid:105) the prod- uct state with the TSC in its ground state (zero quasi- particles) and with one excess quasiparticle in the state p(cid:105) in the bulk superconductor. Correspondingly, nk = 1, np = 0(cid:105) describes the state where the bulk SC is in its ground state, and one quasiparticle k(cid:105) is now present in the nanowire. The matrix elements of the above equation can be evaluated by using the Bogoliubov transformation which diagonalizes the BCS Hamiltonian, a† p,σ = upβ† ap,σ = upβp,σ + σvpβ p,σ + σvpβ−p,¯σ † −p,¯σ . (10) Here u and v are the usual particle-like and hole-like co- herence factors, and ¯σ = −σ. An analogous transforma- tion can be applied to the dk operators in the nanowire, with corresponding u/v coefficients38. The explicit ex- pression for the coherence factors is (we now denote them by uS,T and vS,T in order to make clear to which subsys- tem they refer to) (cid:34) (cid:35) (cid:112)E2 − ∆2 S E u2 S(E), v2 S(E) = 1 2 1 ± , (11) and similarly for uT(E) and vT(E). After this step the Hamiltonian HT formally describes tunneling of quasi- particles βp,σ and ηk across the junction. The insertion of the Bogoliubov transformation into the Fermi’s golden rule produces the formula (cid:88) tkpσ2(cid:2)u(Ep)u(Ek) − v(Ep)v(Ek)(cid:3)2 (cid:88) × f neq(Ep)[1 − f (Ek)] δ (Ek − Ep) k,p,σ C(Ek, Ep)f neq(Ep) δ (Ek − Ep) . (12) 2πt2  (cid:39) k,p Γqp = 2π  Note that we have added a superscript to the Fermi oc- cupancy factor in the bulk superconductor, to emphasize that its quasiparticles follow a non-equilibrium distribu- tion, corresponding to the observed excess quasiparticle density. Nevertheless, f neq(E) is still assumed to ex- hibit a sharp jump at E = ∆S. Further considerations about f neq are developed in the following sections. As- suming a weak energy- and momentum-dependence of the tunneling amplitude for energies close to the Fermi energy, we have extracted tk,p out of the summation and replaced it with an average squared tunneling amplitude t2. Further, we have made use of the low-temperature assumption to discard the term f neq(Ep)f (Ek), since, as we will recall later in the paper, ∆T is typically only a fraction of ∆S, and T (cid:28) (∆S − ∆T). Finally, the func- tion C(E, E(cid:48)) ≡ has been introduced for brevity. (cid:2)uS(E)uT(E(cid:48))− vS(E)vT(E(cid:48))(cid:3)2 Converting the sum into integral and using the delta- Γqp (cid:39) function constrain gives us (cid:90) ∞ (cid:90) ∞ ∆S πt2  gT h (cid:39) dE ∆S dE 1 − ∆S∆T (cid:20) (cid:21) (cid:0)E2 − ∆S∆T (cid:112)(E2 − ∆2 (cid:1) S) (E2 − ∆2 T) E2 2νS(E)2νT(E)f neq(E) f neq(E) . (13) The superconducting density of states ν(E) in the two subsystems is related to the normal-state density of states νn(E) through the expression (j = S, T) νj(E) νn j (E) = E(cid:113) E2 − ∆2 j . (14) = 4πe2(cid:88) k,p  RT The dimensionless tunneling conductance gT is defined as h/(e2RT) = RQ/RT, with RQ the quantum of resis- tance and RT the normal-state resistance of the tunnel junction, determined by the formula tk,p2δ(ξk)δ(ξp) (cid:39) 4πe2t2νn S (0)νn T(0) . (15) We would like to connect at this point the final expression for Γqp to the non-equilibrium density of quasiparticles, and use the experimentally measured values as an input for the calculation. Using the assumption that f neq(E) is exponentially peaked at E = ∆S, we can approximate all the well-behaved factors in the integrand of Eq. (13) by their value at E = ∆S. Then, recalling the connection between the non-equilibrium quasiparticle density in the superconductor and the non-equilibrium Fermi distribu- tion (from now on we will simply write nneq qp = nneq), (cid:90) nneq = 2 dE νS(E)f neq(E) , (16) III. ESTIMATION WITH ENVIRONMENTAL P (E) THEORY 4 We now take into account the fact that the tunneling probability for a quasiparticle is influenced by the cou- pling with the surrounding environment, by making use of the environmental P (E) theory23. This amounts to starting with the modified tunneling Hamiltonian HT = tkpσd † kap,σ e−iϕ + H.c. , (18) (cid:88) (cid:16) k,p,σ (cid:17) where the charge displacement operators e±iϕ act on the electrical circuit degrees of freedom (environment), and describe the transfer of a ±e charge through the SC/TSC junction in a tunneling event. Here, ϕ is the conjugate coordinate to the charge q, with commutation relation [q, ϕ] = ie, and gives a charge displacement operator ac- cording to the relation e+iϕqe−iϕ = q − e. Rewriting HT in term of Bogoliubov operators, we obtain several terms, among which the ones describing the transfer of a quasiparticle have the form33 (cid:16) uSuTe−iϕ − vSvTeiϕ(cid:17) † kβp,σ . η (19) The evaluation of the modified tunnel rate (cid:104)f HTi(cid:105)2δ (Ef − Ei) f neq(Ei)[1 − f (Ef )] (20) (cid:88) i,f now involves also averages over environment degrees of freedom, and it requires the calculation of the equilibrium correlation function (cid:68) eiϕ(t)e−iϕ(0)(cid:69) = e(cid:104)[ϕ(t)−ϕ(0)]ϕ(0)(cid:105) ≡ eJ(t) , (21) we can extract a factor nneq from the integral Eq. (13), and relate Γqp directly to the observed excess quasipar- ticle density15,24,25: which in the case of Bogoliubov-quasiparticle tunneling must be properly corrected, as explained by Martinis et al.33, and becomes . (17) e J(t) = (u2 + v2)e(cid:104)ϕ(t)ϕ(0)(cid:105) (cid:114) ∆S − ∆T ∆S + ∆T hΓqp (cid:39) gT nneq 2νn S The square root factor is of order unity for typical values of ∆T. Plugging in at this point the experimental values for nneq ∼ 10/µm3 (Ref. [15]), normal-state density of S ∼ 106/(µm3 · K), and typical values for gT in states νn phase-qubit experiments (RT ∼ 102 Ω ↔ gT ∼ 102 in Refs. [28,29]), we obtain an estimation for Γqp of the order of ∼ 10 MHz. If instead one has higher tunnel resistances (gT ∼ 1 in Ref. [30] and gT ∼ 10 in Refs. [31,32]), then the rate can be largely suppressed. Simply increasing the tunnel resistance however does not constitute a valid strategy in our situation, because also the tunneling of Cooper pairs would be reduced in that case, lowering the topological gap in the nanowire. For a more detailed discussion of this point, we refer the reader to the final section of the paper. (cid:20) × e−(cid:104)ϕ(0)ϕ(0)(cid:105) . − 2uve−(cid:104)ϕ(t)ϕ(0)(cid:105)(cid:21) (22) Here for sake of brevity we wrote u = uSuT and v = vSvT. The fluctuation-dissipation theorem provides us with a relation between the correlation function J(t) and the dissipation in the environment, indirectly described by its impedance23: (cid:90) ∞ −∞ J(t) = (cid:104)[ϕ(t) − ϕ(0)] ϕ(0)(cid:105) (cid:60)eZt(ω) = 2 dω ω RQ (e−iωt − 1) , (23) where Zt(ω) is the total environmental impedance, RQ = h/e2 is the quantum of resistance for single-electron charge transfer, and we have assumed T = 0 (while it is still necessary to use a finite value of T in the tunnel rate calculations). This description in terms of circuitry elements, where the tunnel junction is characterize by its capacitance C and tunnel resistance RT, and the en- vironment properties are encoded in its impedance, is summarized in Fig. 1. Due to the presence of the delta function in the summations of Eqs. (8) and (20), what we finally need is the Fourier transform of J(t) (and J(t) respectively), usually named P (E): ≡ P (E) . (24) In terms of such function, the tunneling rate in the case of electron-environment coupling is expressed as (cid:90) ∞ −∞ dt 2π eJ(t)eiEt/ (cid:90) ∞ (cid:90) ∞ Γqp = dE dE(cid:48) 4πt2  νS(E)νT(E(cid:48))f neq(E)[1 − f (E(cid:48))]P (E − E(cid:48)) , × ∆S ∆T (25) ity of a tunnel event which involves an energy exchange where now P (E−E(cid:48)) may be interpreted as the probabil- (E − E(cid:48)) between quasiparticle and environmental de- grees of freedom (to be precise, the energy E − E(cid:48) is the energy transferred from the tunneling particle to the environment). Going back now to the modified correlation function J(t), few comments are in order. The first term of the rhs of Eq. (22) equals (u2 + v2)eJ(t), and the same steps described above lead to contribution (u2 + v2)P (E) ≡ C+(E)P (E). The correlator (cid:104)ϕ(t)ϕ(0)(cid:105) is not well de- fined, due to an infrared divergence, for impedances whose real part does not vanish at ω = 0, see Eq. (23). This does not constitute a problem for the physical quan- tity J(t), since there the diverging static correlation ϕ2 is subtracted off. The same does not happen with the sec- ond term, which instead involves the factor e−(cid:104)ϕ(t)ϕ(0)(cid:105), not compensated by e−(cid:104)ϕ(0)ϕ(0)(cid:105). Since (cid:104)ϕ(t)ϕ(0)(cid:105) is pos- itively diverging, however, this second term in Eq. (22) vanishes. In Ref. [33] this issue is not present, since they consider a model where (cid:60)eZt(0) = 0, and the divergence is absent. A. Single-mode environment One can get the simplest model for the environment by studying the coupling of the tunnel junction to one single environmental mode, which could come from a resonance in the lead impedance Z(ω) of from bound states in the barrier. Such coupling can be implemented by putting an inductor with inductance L into the external circuit. Seen from the junction, the impedance Z(ω) = iωL is in parallel with the capacitance C of the junction itself, and the total impedance reads23 Zt(ω) = 1 iωC + Z−1(ω) = 1 C iω [ω2 R − (ω − i)2] , (26) 5 circuit Schematic representation of FIG. 1: the Superconductor/Topological-nanowire system. The interface which separates the two subystems acts as a tunnel junction, with tunnel resistance RT and capacitance C. The inter- nal impedance ZS and ZNW of the superconductor and the nanowire are combined in the text in a single global environ- mental impedance Z(ω). An external voltage bias between the two sides of the junction can be present. with environmental resonance frequency ωR = 1/√LC. The infinitesimal imaginary part  is necessary in or- der to obtain the correct result for the real part of the impedance. By taking the limit  → 0 one gets23,28 (cid:60)e [Zt(ω)] = π 2C [δ(ω + ωR) + δ(ω − ωR)] . (27) This expression is essentially saying that the resonator can both absorb or emit photons (mode quanta) at fre- quency ωR. In our case, if we identify the environmental mode with the only available low-energy excitation in the nanowire-superconductor system, i.e. the Majorana mode, we obtain a resonance energy ωR (cid:39) 0 (or energy much smaller than all other energy scales). This situation is sketched in Fig. 2. FIG. 2: Equivalent circuit for the SC/TSC system in the case of a single-mode environment. The environment is modeled with a single inductance L with impedance Z(ω) = iωL, corresponding to a total impedance (cid:60)e [Zt(ω)] ∼ [δ(ω + ωR) + δ(ω − ωR)] (plotted in the inset) . Before inserting this form of the total impedance in the mercoledì 8 febbraio 2012<eZt(!)!R!R0 formula Eq. (24) for P (E), let us define the parameter23 π ωR ζ ≡ 1 RQC = EC ωR (28) which compares the single-electron charging energy with the environmental mode excitation energy. This param- eter determines the size of charge fluctuations23: (cid:18)ωR (cid:19) 2T e2 4ζ coth (cid:104)Q2(cid:105) = (cid:90) ∞ Using the definition of ζ, the expression for P (E) in the single-mode limit becomes P (E) = dt 2π eiEt/ −∞ exp(cid:2)ζ(cid:0)e−iωrt − 1(cid:1)(cid:3) . In typical superconducting charge-qubit experiments one has28 that EC (cid:28) ωR, that is ζ (cid:28) 1, and then the ex- ternal exponential in Eq. (30) can be expanded around zero. In our case instead, since ωR ∼ 0, such simplifica- tion is not possible, and we rather expand the internal exponential for t (cid:28) /ωR. The result is: (cid:0)it + ωRt2(cid:1) (31) J(t) (cid:39) ζ(cid:0) Rt2(cid:1) = − (cid:105) EC  (cid:104) −iωRt − ω2 − (E−EC)2 4ECωR √4πECωR −−−−−−→ωR→0 exp (32) δ (E − EC) . P (E) (cid:39) That is, in first approximation the energy exchange be- tween quasiparticles and environment occurs with unit probability and is peaked at the charging energy EC = e2/(2C). In the opposite limit ζ (cid:28) 1 valid for typical su- perconducting qubits15,28, one would get instead that the energy exchange is peaked at the resonator energy ωR, and that the probability ∼ ζ for such exchange is very small (the most probable event being the tunneling of a quasiparticle without energy flow to the environment). Plugging now into Eq. (25) the form of P (E) just ob- tained, we get (cid:90) ∞ hΓqp = gT dE ∆S (cid:113)(cid:2) (E + EC)2 − ∆2 [E (E + EC) − ∆S∆T] (cid:3) [E2 − ∆2 T S] f neq(E) . (33) This is essentially identical to the previous result Eq. (13), with the simple substitution Ep → (Ep + EC), and leads to the low-temperature result P (E) = (cid:114) ∆S − ∆T + EC ∆S + ∆T + EC hΓqp ≈ gT nneq 2νn S . (34) Compared to equation (17), the presence of EC produces a negligible modification to the quantitative estimate Here γ is the Euler constant and Γ the gamma-function. The behavior of P (E) for intermediate energies has to be evaluated numerically. Since we are mostly interested 6 for Γqp in the case of typical values for C (∼ 1 pF) and EC ∼ 0.1 µeV (cid:28) ∆S, ∆T. Thus, even in this case the typical tunneling rate turns out to be Γqp ∼ 100 kHz−10 MHz, depending on the transparency of the tunnel barrier. (29) B. Ohmic environment We consider now the more realistic case of an Ohmic environment, with external impedance Z(ω) = R and total impedance (cid:20) (cid:21) (30) (cid:60)e [Zt(ω)] RQ = 1 RQ(cid:60)e 1 iωC + 1/R = 1 g (cid:2)1 + (ω/ωC)2(cid:3) , 1 where we have introduced the dimensionless environmen- tal conductance g ≡ RQ/R and the frequency ωC ≡ 1 RC = g π EC  , (35) which represents an effective cutoff for the total impedance, due to the junction capacitance: at ener- gies small compared to ωC the real part of the total impedance is essentially given by R, while for higher energies Zt(ω) decreases. Such behavior is shown in Fig. 3. The P (E) corresponding to this case cannot be FIG. 3: Same as in Fig. (2), but with a different environmen- tal impedance. Here the environment is modeled by Z = R, and the resulting Lorentzian total impedance is shown in the inset, where ωC = 1/(RC) = gEC/π. calculated analytically, but the low-energy and the high- energy asymptotic behaviors can be obtained as23:  (cid:19)2/g (cid:18) π g E EC e−2γ/g Γ(2/g) 1 E 2g π2 E2 C E3 for E (cid:28) EC , (36) for E (cid:29) EC . in energy exchanges between the superconductor and the topological nanowire of the order of δ∆ ≡ (∆S − ∆T) ∼ O(∆S) ∼ meV, and since most typically EC (cid:28) ∆S, we are not justified to use the small-energy expansion of P (E), and we must rather determine P (E) numerically. By taking the derivative of Eq. (23) and performing a FIG. 4: Behavior of the probability function P (E) as a func- tion of the energy exchange measured in units of EC. For large environment resistances (small g) the junction releases a typical energy amount of the order of the charging energy. For small resistances (large g) the energy which is exchanged shrinks to zero, and one recovers a situation with independent quasiparticles and junction degrees of freedom. The curves have been obtained through numerical integration. Fourier transform, one finds that P (E) obeys to the in- tegral equation (cid:90) E 0 (cid:20) (cid:19)(cid:21) (cid:18) E − E(cid:48)  EP (E) = 2 RQ dE(cid:48) Zt (cid:60)e P (E(cid:48)) , (37) which can be solved for example by iteration. A collec- tion of solutions for different values of the parameter g is shown in Fig. 4. Qualitatively different behaviors are observed in the highly resistive and low-resistive limits. Inserting the obtained solution P (E) into Equation (25) we can get the desired estimation for Γqp in this case. However, due to the finite energy exchange allowed by P (E), the singularities of the two density of states dis- tributions can overlap in the integral, and caution must be exercised. In particular, one cannot always make the simplification adopted to attain Eq. (17), which allowed us to single out a factor nneq. In the case EC (cid:28) δ∆ the same approximation can still be safely employed, since the probability distribu- tion P (E) is appreciably different from zero only in a support ∼ [0 : EC], for all values of g [see Fig. (4)], and the two singularities in the densities of states νS and νT, located at ∆S and ∆T respectively, overlap only through the high-energy tail of P (E), without significant contri- 7 (cid:90) (cid:90) (cid:90) ∆S ∆S butions to the integral for Γqp. We then get dEdE(cid:48) νS(E)f neq(E)νT(E(cid:48)) P (E, E(cid:48)) ≈ dE(cid:48)νT(E(cid:48)) P (∆S, E(cid:48)) ∆T (cid:90) (cid:90) dE νS(E)f neq(E) · ∝ nneq ∆T dE(cid:48)νT(E(cid:48)) P (∆S, E(cid:48)) , (38) ∆T where we used the notation P (E, E(cid:48)) = C(E, E(cid:48))P (E − E(cid:48)). The resulting Γqp(g, ∆S, ∆T) is shown as a func- tion of g for some specific choices of ∆S and ∆T in Fig. 5. We choose to plot the dimensionless quantity ¯Γqp ≡ hΓqp/(gT∆S), meaning that the quasiparticle tun- nel rate is measured in units of ∆S/h, and has been di- vided by gT. A superconducting gap of 2 K corresponds to a frequency of 40 GHz, and for gT = 102 the values shown in the figure indicate then Γqp (cid:39) 10 MHz. FIG. 5: Dimensionless quasiparticle tunneling rate as a func- tion of environmental dimensionless conductance g. The red curve refers to the case ∆S = 10EC, ∆T = 5EC, and no ob- servable dependence on g is noticed at this scale. The cyan curve has been obtained for ∆S = 100EC, ∆T = 50EC, and the corresponding values of Γqp are slightly lower in this case. In the more interesting case EC (cid:38) δ∆ (realized for ex- ample for ∆S (cid:39) 100 µeV and C (cid:39) 1fF) the environment can couple energy regions where the singularities in the density of states of the two subsystems occur. Now the approximations adopted above are not justified anymore, especially for small values of g, and one needs in principle to solve the full two-dimensional integral in Eq. 25. The problem then is, without the decoupling of the integrals we cannot extract anymore a factor nneq. We then need an explicit estimate for the unknown term f neq . This can be done by assuming that the quasiparticles, while still being in thermal equilibrium at temperature T , are out of electro-chemical equilibrium, and the excess quasipar- ticle density nneq can be accounted for by an effective chemical potential shift µ: S (cid:90) ∆S nneq = dE νS(E) (39) (cid:2)e(E−µ)/T + 1(cid:3) . 1 0.00.51.01.52.02.5E/EC0.00.51.01.52.02.53.03.54.0P(E)g=0,02g=0,2g=1g=2g=200246810g0.00.51.01.52.02.53.03.54.04.5¯Γqp×10−6 To lowest order in temperature, we can connect µ directly to nneq as15 (cid:18) nneq (cid:19) µ (cid:39) T ln neq . (40) FIG. 6: Same as in Fig. 5, but with different parameter values. The cyan curve corresponds to ∆S = 2EC, ∆T = EC and the red curve refers to the case ∆S = EC, ∆T = 0.5EC. In the first case, (∆S−∆T) equals EC and leads to an unbounded increase in Γqp for g → 0. In the second case (and in general for EC > δ∆) one observes Γqp(g → 0) → 0 because the energy exchange EC provided by the environment is too large to be absorbed by δ∆. Inserting the calculated µ in the formula for Γqp and performing the double integration, one can get numeri- cal estimations for any value of the parameters ∆S/EC and ∆T/EC. In Fig. 6 we report (cyan curve) the re- sults for the “worst” case (∆S − ∆T) = EC. One can see that in the limit g → 0 the quasiparticle poisoning rate is strongly enhanced, due to the perfect coupling of the two singularities in the density of states. However, this regime is difficult to attain, and the strong increase in Γqp is localized at g (cid:39) 0 which requires unrealistic envi- ronmental resistances R (cid:29) RQ. In conclusion then, this issue should not represent a problem. In the regime (∆S − ∆T) < EC (red curve), the envi- ronment provides for g (cid:46) 2 (see Fig. 4) a typical energy larger than the “energy distance” between the two sub- systems, and since νT(E < ∆T) = 0, smaller values for Γqp are obtained for decreasing g. In the limit g → 0 we have P (E) ∝ δ(E − EC) and the result of integra- tion is suppressed to zero. Note that for g → ∞ the two curves of Fig. 6 approach each other, because in that limit P (E) is peaked in E = 0 and the exact position of ∆T with respect to ∆S becomes irrelevant. IV. QUANTITATIVE CONSIDERATIONS The final estimations strongly depend on the value of the tunneling resistance RT which enters the expression 8 for the poisoning rate. As anticipated above, such val- ues are different for different experiments, ranging from ∼ 10 Ω to ∼ 104 Ω. By looking at the expression for the quasiparticle tunnel rate, Eqs. (17) and (34) , one could conclude that large tunnel resistances (low gT) are desirable so that Γqp is reduced. But as we already com- mented, by the same token also Cooper-pair tunneling would be suppressed, and hence the proximity-induced gap would get reduced. Analytical calculations20 have shown that the pairing potential amplitude ∆pr induced in the proximized system, in terms of the parental pair- ing amplitude ∆S and of the microscopic tunneling rate Γ0, is given by ∆pr = Γ0 Γ0 + ∆S ∆S . (41) The tunneling rate for bare electrons is evaluated as Γ0 = πt2νn S (0) , (42) so that, using the definition Eq. (15) for RT, one can relate Γ0 and RT as: Γ0 = RQ 8πRT 1 νn T(0) . (43) In the low transparency limit, Γ0 (cid:28) ∆S, the proximity gap is set by Γ0, see Eq. (41), and is therefore rather small. On top of that, the topological gap is further reduced due to the Rashba and Zeeman interaction: (cid:112)V 2 αkF Z + (αkF)2 1(cid:112)1 + χ2 ∆T = ∆pr = ∆pr , (44) with χ ≡ VZ/(αkF) quantifying the ratio between Zee- man splitting and typical spin-orbit interaction. Note that one always has ∆T ≤ ∆pr ≤ ∆S. Then, assuming the most favorable situation αkF (cid:29) VZ (not so easy to achieve experimentally yet34) and thus ∆T (cid:39) ∆pr, the requirement of a minimum topological gap of 100 mK translates into the condition Γ0 (cid:39) ∆pr (cid:39) 100 mK. As a final step, we need to estimate the normal-state density of states νn T(0) in the topological wire. To do so, we use the fact that the desired chemical potential has to lie in-between the gap opened by the Zeeman interac- tion added to the Rashba helical bands (at least in the simplest, ideal one-channel model). Using the dispersion relation ε±(k) = 2k2/2m ± V 2 Z + α2k2 − µ (45) and requiring that the chemical potential lies in the mid- dle of the Zeeman gap, for instance halfway between ε−(0) and ε+(0) (as shown with red points in Fig. 7), one gets the simple condition µ = 0. The 1D density of states per unit volume at this energy is (cid:113) ε=0 ¯νn T(ε = 0) = = 2 (cid:18) 2k dε−(k)/dk 2 α2k√V 2 m − Z +α2k2 (cid:19) k=k0 , (46) 0246810g0.00.51.01.52.02.53.03.54.04.5¯Γqp×10−6 9 which for the aforementioned reasonable estimate of min- imum gap ∆T = 100 mK takes the value τad (cid:39) 1 ns. The requirement of adiabatic computation is then satisfied if operations are performed on a time scale τcomp much longer than τad. In turn, quasiparticle poisoning events must be rare events during the time of computation: τad (cid:28) τcomp (cid:28) τqp , (51) where we have introduced for convenience the quasipar- ticle poisoning time τqp ≡ 1/Γqp. Assuming an order of magnitude difference between successive time scales, the above condition Eq. (51) sets the upper limit for Γqp to 10 MHz, which is in the range of values we found in our calculations for an average situation. This shows again that the phenomenon of quasiparticle poisoning is not at all marginal, and its relevance should be assessed case by case. For example, for the only experimental results avail- able so far (Ref. [34]), the proximity effect is not very effective and the observed proximity gap is about one tenth of the bulk superconducting gap (which is however large in this case). On top of that, the spin-orbit energy is much smaller than the Zeeman energy in the topolog- ical phase, reducing the topological gap by an additional factor (approximately a factor 5 at the onset of the topo- logical transition). Note that τad is set by the value of ∆T, whereas τqp is ultimately determined by ∆pr (via Γ0 and RT) and does not depend on the physical properties of the topological nanowire (except for the density of states contained in RT). Hence, the parameter regime αkF (cid:28) VZ is less favorable, not only due to the fact alone that one gets smaller values of the topological gap, but also because the adiabatic time scale is increased while the poisoning time remains constant. Working in the multi-channel regime would even be less favorable, since the density of states ¯νn T in the wire would be noticeably increased, and to maintain the same Γ0 the tunnel resistance RT should be further decreased. A larger value of α would instead help in this direction, since it lowers ¯νn T (beyond increasing the topological gap). Also in the opposite limit of a transparent interface, Γ0 (cid:29) ∆S, where the proximity gap is essentially given by ∆S, decreasing the quasiparticle tunnel rate is difficult. Equation (43) tells us again that for Γ0 (cid:38) ∆S ∼ 1 meV, in order to suppress the factor RQ/RT one would need unrealistically low values of the wire density. A possible improvement could be provided by the finite charging energy of the nanowire, which raises the energy of all the states and lifts the huge degeneracy of quasi- particle states close to ∆T. For a single pair of Majorana states, the charging energy also introduces an undesired splitting between the filled and unfilled zero-energy state. FIG. 7: Dispersion relation in a one-dimensional wire in the presence of Rashba spin-orbit and Zeeman interaction. The gap at k = 0 is entirely due to the Zeeman energy VZ. For αkF (cid:29) VZ the position of the two minima ε = ε0 is ap- proximately given by ±kso ≡ αm/2. The topological regime requires having the chemical potential lying inside the gap, as shown here; k0 denotes the point at which the dispersion crosses the representative mid-gap level ε = 0. where k0 satisfies ε−(k0) = 0, see Fig. 7. Insertion of the expression for k0 in Eq. (46) leads to (cid:113) 1 +(cid:112)1 + χ2 (cid:112)1 + χ2 ¯νn T(ε = 0) = √2 α , (47) with χ defined above. In the considered limit αkF (cid:29) VZ and thus χ (cid:28) 1 the density of states per unit volume is approximately given by ¯νn T(ε = 0) (cid:39) 2 α . (48) The spin-orbit interaction strength α ranges from 0.00075 eV·A in GaAs quantum wells35 to 0.1 eV·A in In- GaAs quantum wells36, or even more in heavier-element- wires such as InSb34. We can therefore conclude that ¯νn T(0) in the simple one-channel case varies between 10 and 103 (µm·K)−1. We choose the average value of ∼ 102 (µm·K)−1 and a typical wire length of 1 µm34. By imposing the constrain Γ0 ∼ 100 mK derived above, we obtain via Eq. (43) the final estimate for the tunnel resistance RT (cid:39) 100 Ω . (49) As calculated in the former sections this value corre- sponds to a quasiparticle tunnel rate of Γqp ∼ 1−10 MHz, i.e. poisoning times of the order of µs or less, which has to be compared with the typical time required for adia- batic qubit manipulation. The natural time scale which identifies the adiabatic regime is provided by the inverse topological gap τad =  ∆T , (50) ε=0ε0VZ−VZε−(k)ε+(k)kkso−ksok0 But one can then work with two wires and four Majorana states, two of which remain degenerate even in the pres- ence of a charging energy37. V. CONCLUSIONS In summary, we have calculated the tunnel rate (“poi- soning”) of quasiparticles from a bulk superconducting reservoir to a semiconducting nanowire, which becomes also superconducting due to proximity effect. Under ap- propriate conditions, the nanowire is in a topological su- perconducting state, hosting a Majorana state at each of its ends, which could be used for topological compu- tation. Using quantitative results from recent experi- ments on the density of excess quasiparticle in supercon- ductors, we have shown that the poisoning of the wire 10 could represent a serious problem, with Majorana-qubit lifetimes which range from 10 ns to 0.1 ms, depending on many physical parameters. Since some of these pa- rameters cannot simply be adjusted independently, find- ing a suitable configuration which minimizes the poison- ing phenomenon requires a fine-tuning of the coupled nanowire-superconducting system more delicate than one could have expected. VI. ACKNOWLEDGMENTS We thank Luka Trifunovic for useful help with the nu- merical calculations. This work has been supported by the Swiss SNF, NCCR Nanoscience, NCCR QSIT, and the EU project SOLID. 1 A.Y. Kitaev, Phys. Usp. 44, 131 (2001). 2 M. Sato, Y. Takahashi, and S. Fujimoto, Phys. Rev. Lett. 103, 020401 (2009); M. Sato, Y. Takahashi, and S. Fuji- moto, Phys. Rev. B 82, 134521 (2010). 3 R. M. Lutchyn, J. D. Sau, and S. Das Sarma, Phys. Rev. Lett. 105, 077001 (2010); Y. Oreg, G. Refael, and F. von Oppen, Phys. Rev. Lett. 105, 177002 (2010). 4 J. Alicea, Phys. Rev. B 81, 125318 (2010). 5 L. Fu and C.L. Kane, Phys. Rev. Lett. 100, 096407 (2008). 6 A.R. Akhmerov, Phys. Rev. B 82, 020509(R) (2010). 7 G. Goldstein and C. Chamon, Phys. Rev. B 84, 205109 (2011). 8 J.C. Budich, S. Walter, and B. Trauzettel, Phys. Rev. B 85, 121405(R) (2012). 9 B. van Heck, F. Hassler, A.R. Akhmerov, and C.W.J. Beenakker, Phys. Rev. B 84, 180502(R) (2011). 10 L. Fu and C.L. Kane, Phys. Rev. B 79, 161408(R) (2009). 11 F. Hassler, A.R. Akhmerov and C.W.J. Beenakker, New J. Phys. 13, 095004 (2011). 12 P.J. de Visser, J.J.A. Baselmans, P. Diener, S.J.C. Yates, A. Endo, and T.M. Klapwijk, Phys. Rev. Lett. 106, 167004 (2011). 13 J. Aumentado, M.W. Keller, J.M. Martinis, and M.H. De- voret, Phys. Rev. Lett. 92, 066802 (2004). 14 A.J. Ferguson, N.A. Court, F.E. Hudson, and R.G. Clark, Phys. Rev. Lett. 97, 106603 (2006). 15 M.D. Shaw, R.M. Lutchyn, P. Delsing, and P.M. Echter- nach, Phys. Rev. B 78, 024503 (2008). 16 M. Zgirski, L. Bretheau, Q. Le Masne, H. Pothier, D. Es- teve, and C. Urbina, Phys. Rev. Lett. 106, 257003 (2011). 17 L. Sun, L. DiCarlo, M.D. Reed, G. Catelani, L.S. Bishop, D.I. Schuster, B.R. Johnson, G.A. Yang, L. Frun- zio, L.I. Glazman, M.H. Devoret, and R.J. Schoelkopf, arXiv:1112.2621. 18 M. Tinkham, Introduction to Superconductivity (McGraw- Hill, New York, 1996), 2nd ed. 19 S.B. Kaplan, C.C. Chi, D.N. Langenberg, J.J. Chang, S. Jafarey, and D.J. Scalapino, Phys. Rev. B 14, 4854 (1976). 20 J.D. Sau, R.M. Lutchyn, S. Tewari, and S. Das Sarma, Phys. Rev. B 82, 094522 (2010); J.D. Sau, S. Tewari, R.M. Lutchyn, T.D. Stanescu, and S. Das Sarma, Phys. Rev. B 82, 214509. (2010); J.D. Sau, S. Tewari, and S. Das Sarma, Phys. Rev. B 85, 064512 (2012); T.D. Stanescu, R.M. Lutchyn, and S. Das Sarma, Phys. Rev. B 84, 144522 (2011). 21 S. Gangadharaiah, B. Braunecker, P. Simon, and D. Loss, Phys. Rev. Lett. 107, 036801(2011); E.M. Stoudenmire, J. Alicea, O.A. Starykh, and M.P.A. Fisher, Phys. Rev. B 84, 014503 (2011). 22 J. Alicea, Y. Oreg, G. Refael, F. von Oppen, and M.P.A. Fisher, Nat. Phys. 7, 412 (2011). 23 G.-L. Ingold and Yu.V. Nazarov, Single Charge Tunneling, edited by H. Grabert and M.H. Devoret, NATO ASI Series B Vol. 294 (Plenum, New York, 1992), p. 21. 24 R. M. Lutchyn, Ph.D. thesis, Yale Univ. 25 R. Lutchyn, L. Glazman, and A. Larkin, Phys. Rev. B 72, 014517 (2005). 26 G. Catelani, J. Koch, L. Frunzio, R.J. Schoelkopf, M.H. Devoret, and L.I. Glazman, Phys. Rev. Lett. 106, 077002 (2011). 27 G. Catelani, R.J. Schoelkopf, M.H. Devoret, and L.I. Glaz- man, Phys. Rev. B 84, 064517 (2011). 28 J.M. Martinis, M. Ansmann, and J. Aumentado, Phys. Rev. Lett. 103, 097002 (2009). 29 M. Hofheinz, E.M. Weig, M. Ansmann, R.C. Bialczak, E. Lucero, M. Neeley, A.D. O’Connell, H. Wang, J.M. Marti- nis, and A.N. Cleland, Nature (London) 454, 310 (2008). 30 O. Naaman and J. Aumentado, Phys. Rev. B 73, 172504 (2006). 31 J.T. Peltonen, J.T. Muhonen, M. Meschke, N.B. Kopnin, and J.P. Pekola, Phys. Rev. B 84, 220502(R) (2011). 32 A.D. Corcoles, J.M. Chow, J.M. Gambetta, C. Rigetti, J.R. Rozen, G.A. Keefe, M.B. Rothwell, M.B. Ketchen, and M. Steffen, Appl. Phys. Lett. 99, 181906 (2011). 33 J.M. Martinis, M. Ansmann, and J. Aumentado, EPAPS Document No. E-PRLTAO-103-051936. 34 V. Mourik, K. Zuo, S.M. Frolov, S.R. Plissard, E.P.A.M. Bakkers, and L.P. Kouwenhoven, Science 336, 1003 (2012). 35 L. Meier, G. Salis, I. Shorubalko, E. Gini, S. Schon, and K. Ensslin, Nat. Phys. 3, 650 (2007). 36 J. Nitta, T. Akazaki, H. Takayanagi, and T. Enoki, Phys. Rev. Lett. 78, 1335 (1997). 37 J.D. Sau, B.I. Halperin, K. Flensberg, and S. Das Sarma, 38 For p−wave pairing the u and v amplitudes become 2×2 Phys. Rev. B 84, 144509 (2011). matrices, but in our effective spinless case we assume we can still use scalar s−wave-like coefficients. 11
1812.09925
2
1812
2019-12-13T06:00:22
Contribution of electron-phonon coupling to the luminescence spectra of single colloidal quantum dots
[ "cond-mat.mes-hall" ]
Luminescence spectroscopy experiments were realized for single colloidal quantum dots CdSe/ZnS in a broad temperature range above room temperature in a nitrogen atmosphere. Broadening and shifts of spectra due to the temperature change as well as due to spectral diffusion processes were detected and analyzed. A linear correlation between the positions of maxima and the squared linewidths of the spectra was found. This dependence was explained by a model which takes into account the slow variation of the electron-phonon coupling strength.
cond-mat.mes-hall
cond-mat
Contribution of electron-phonon coupling to the luminescence spectra of single colloidal quantum dots Eduard A. Podshivaylov,1 Maria A. Kniazeva,1 Aleksei A. Gorshelev,2 Ivan Yu. Eremchev,2, ∗ Andrei V. Naumov,2, 3 and Pavel A. Frantsuzov1, 4, † 1Lomonosov Moscow State University, 119991 Moscow, Russia 2Institute of Spectroscopy RAS, 108840 Moscow, Russia 3Moscow State Pedagogical University, 119991 Moscow, Russia 4Voevodsky Institute of Chemical Kinetics and Combustion SB RAS, 630090 Novosibirsk, Russia (Dated: December 18, 2019) Luminescence spectroscopy experiments were realized for single colloidal quantum dots CdSe/ZnS in a broad temperature range above room temperature in a nitrogen atmosphere. Broadening and shifts of spectra due to the temperature change as well as due to spectral diffusion processes were detected and analyzed. A linear correlation between the positions of maxima and the squared linewidths of the spectra was found. This dependence was explained by a model which takes into account the slow variation of the electron-phonon coupling strength. 9 1 0 2 c e D 3 1 ] l l a h - s e m . t a m - d n o c [ 2 v 5 2 9 9 0 . 2 1 8 1 : v i X r a ∗ [email protected][email protected] I. INTRODUCTION 2 Colloidal semiconductor quantum dots (QDs) are very interesting objects because of their unique optical properties such as a wide absorption spectrum, a narrow emission line, a size-tunable emission wavelength, high photostability and high fluorescence quantum yield. The very first spectroscopic measurements of single CdSe quantum dots photo- luminescence revealed interesting phenomena such as long-term fluctuations of the emission intensity (blinking) [1] and very slow spectral diffusion (SD) [2 -- 4] with characteristic time scales of up to hundreds of seconds. It was shown that at cryogenic temperatures the observed emission spectrum linewidth of single QDs depends on the signal accumulation time due to spectral shifts [2, 5], while the linewidths and the peak positions of the spectra are correlated [6]. Spectral diffusion at higher temperatures was observed by Muller et al. [7, 8] in single CdSe QDs capped by a CdS rod-like shell. The linewidth and the peak position of the emission spectrum is found to be correlated at 5 K, 50 K and room temperature. These correlations at all temperatures were explained [7, 8] by the motion of the net surface charge which induces a Stark shift of the emission energy depending on the distance to the CdSe core while the spatial jitter of the charge density causes spectral line broadening. Gomez et al.[9] noted that this hypothesis does not apply to the spherically symmetric QDs. Besides it should lead to variations of the linewidth with a change in the dielectric properties of the medium. A series of spectroscopic experiments were performed on single spherical QDs spin-coated on top of thin films of various polymer matrices at room temperature. It was shown [9] that there is a correlation between the linewidth and the peak position of the emission spectrum in these particles without a significant dependence on the dielectric permittivity of the matrix. Based on this, it was concluded in Ref.[9] that the mechanism responsible for the correlated broadening and the peak position shift of the emission spectra in the PL has to be intrinsic to the QD core. Note that the broadenings of a single QD emission spectra at 5 K and at room temperature are different in nature. At 5 K the zero-phonon line is observed and its width is much smaller than the longitudinal optical (LO) phonon energy [10]. The linewidth at room temperature becomes greater than the energy of the LO phonons, which means that the multi-phonon nature of the broadening should be taken into account [11, 12]. While the electron-phonon coupling and spectral diffusion contributions to the spectra of chromophore molecules in solid matrices have been studied in detail [13 -- 15], the same contributions in QDs are still of much interest. Here we present an in-depth experimental and theoretical study of the discussed spectral characteristics of single colloidal semiconductor QDs CdSe/ZnS in the context of their feasible relation to electron-phonon coupling. II. EXPERIMENT We performed a set of spectroscopic experiments with single QDs, including measurements with slow heating and cooling of a sample. Fluorescence images and spectra of single quantum dots were recorded using a home-built fluorescence microscope equipped with a prism spectrometer [13, 16]. Two optical schemes - a wide-field scheme and a scanning confocal one (see Fig. 1) - were combined in the microscope in order to simplify the procedure of single quantum dot preliminary searching (by using fluorescence image processing and antibunching identification) and to perform sequential mea- surements of the fluorescence spectra of the selected QD. Quantum dots (CdSe/ZnS from Sigma Aldrich with the fluorescence peak at 620 nm) were dispersed in a toluene solution of polyisobutylene of low concentration and then spincoated onto a cover glass. The thickness of the polymer films with single quantum dots varied within the range of several tens of nanometers. The sample was placed onto the piezo-driven stage (NanoScanTechnology), which allowed one to move the selected QD to the laser spot position with high (nanometer) precision. Between the sample and the piezo-driven stage a thermo-insulating (fluoroplastic) substrate a few millimeters thick was placed, with a hole in the center allowing the microscope objective to approach the plane of the sample at the required distance. The thermo-insulating substrate contained a temperature sensor that had good thermal contact with the sample. On top of the sample, a three-stage thermoelectric module was pressed, which was used to heat or cool the sample. This optical scheme (including the piezo-driven stage with the sample, the microscope objective, and the thermoelectric module) was mounted inside a special home-built chamber, allowing measurements both in a vacuum or in a gas ni- trogen/helium atmosphere. In this particular case, the measurements were performed in a nitrogen atmosphere. The sample temperature was controlled by a LakeShore temperature controller. A tunable dye laser (Coherent CR599) or solid state laser Coherent Verdi were used to excite quantum dots at the wavelength of 580 nm (near the quantum dot absorption band edge) or at 532 nm correspondingly. The excitation laser intensity (∼ 100 W/cm2 in a focused spot) was attenuated by neutral spectral density filters (Standa) and controlled by a Newport power meter. A set of interference filters (Semrock and Thorlabs) was used for the separation of the QD fluorescence signal from the scattered laser radiation. Two highly sensitive cooled electron multiplying charge-coupled device (EMCCD) cameras were utilized to record single quantum dot images (Andor Luca) and spectra (Andor Ixon Ultra). The Hanbury 3 Figure 1. A schematic picture of the experimental setup Brown and Twiss scheme with broadband 50 % splitter (Thorlabs) and two identical single-photon avalanche diode (SPAD) detectors (EG&G SPCM-200PQ, time resolution 1.3 ns, dead time 200 ns, QE 65 %) was used to measure the autocorrelation function for QD fluorescence intensity. Each fluorescence spectrum from a single quantum dot was measured with an exposure time of 200 ms and a spectral resolution of 0.7 nm, which was sufficient to achieve a good signal-to-noise ratio. III. RESULTS In the experiments at room temperature for each studied single QD we registered 2500- 3000 emission spectra with 200 ms accumulation time. The presence of both blinking and spectral diffusion processes can be clearly seen. Spectral traces for two QDs are shown in Fig. 2. Each spectrum was fitted with a Gaussian function G(ǫ) = G0√2πσ exp(cid:26)− (ǫ − ǫ0)2 2σ2 (cid:27) + b whose four parameters were: peak emission photon energy ǫ0, linewidth σ, amplitude G0 and background level b. An example of a typical spectrum fitting is shown in Fig. 3. The correlation between the peak energy and the linewidth was found for all studied QDs. As seen on Fig. 4 the peak energy dependence of the linewidth squared can be fitted by the linear function σ2 = αkT (E0 − ǫ0) (1) where T is the absolute temperature, k is the Bolzmann constant, E0 is the energy gap for particular QD, and the parameter α is the linear dependence coefficient between the squared line width and peak energy in the units of kT. The values of α are found to be in the range from 0.48 to 0.63 for varied QDs at room temperature. In order to characterize the shift of the spectra we found a squared peak energy displacement of a typical single QD emission spectrum [17]. As can be seen on Fig. 5, the averaged spectral shift squared D2 is as a function of time τ following Ref. less than the σ2 of a typical single QD spectrum for all delay times. But more importantly it is much smaller than D2(τ ) =(cid:10)(ǫ0(t) − ǫ0(t + τ ))2(cid:11) 4 (a) (b) Figure 2. Spectral traces (left panel) where the peak position is shown by read line, time dependencies of the normalized PL intensity (central panel) and the linewidth (right panel) for two different single CdSe/ZnS quantum dots (a) and (b) measured at room temperature 5 1 0.8 0.6 0.4 0.2 ] . u . a [ y t i s n e t n I 0 1.85 1.9 1.95 2.05 2 Energy [eV] 2.1 2.15 2.2 Figure 3. parameters of the fit are ǫ0 = 2.029 eV, σ = 18.3 meV The emission spectrum of a single CdSe/ZnS QD (blue line) and its fit with a Gaussian (dashed line). The linewidth squared when τ is equal to the signal accumulation time τ = 200 ms. Thus, we can conclude that the observed linewidth is not related to the spectral shifts during this time period. IV. THEORY AND DISCUSSION Such a large value of the linewidth can be explained by multi-phonon excitation [11, 12]. Let's consider the following Hamiltonian of the QD electronic system interacting with N phonon modes where H = H0 + A N Xi=1 qi(aieihe + bigihg) H0 = N Xi=1 p2 i 2 + ω2 i 2 q2 i + E0eihe (2) (3) E0 is the energy gap, gi and ei are the ground state and excited electronic state of the QD, respectively. The parameter A characterizes the electron-phonon interaction strength. qi and pi are the coordinate and momentum operators of i-th phonon mode, characterized by the frequency ωi. Both the excited state and the ground state are connected with the photon modes in the model. The interaction of the excited state and the ground state with the i-th phonon is described by the dimensionless coefficients ai and bi, correspondingly. (a) 1500 ] 2 1000 α=0.63 (b) 500 ] 2 6 α=0.56 V e m [ 2 σ V e m [ 2 σ 500 0 1.98 2 ε 2.02 0 [eV] 2.04 2.06 0 2 2.01 ε 0 [eV] 2.02 2.03 (c) 1000 ] 2 V e m [ 2 σ 500 0 2 2.02 ε 0 [eV] 2.04 α=0.58 (d) 1500 1000 ] 2 V e m [ 2 σ 500 0 α=0.48 1.96 1.98 ε 2 0 [eV] 2.02 2.04 Figure 4. The peak energy versus the linewidth squared for different single QDs (a) - (d) at room temperature (black points) and the linear fit Eq. (1) with T = 300 K (red lines). The statistical error in the value of alpha in each fit is less then 0.014. The emission spectrum at a given value of A has a Gaussian form with the following parameters (see details of the derivation in the Appendix): ǫ0 = E0 − A2 ai(ai − bi) ω2 i S Xi=1 σ2 = kT A2 (ai − bi)2 ω2 i S Xi=1 (4) (5) Fluctuations of the linewidth within the model are explained by a slow variation of the parameter A. Such variations of the electron-phonon interaction were observed experimentally in single colloidal QDs [6, 8] as well as in single chromoprotein molecules [18, 19]. Variations of the parameter A with time lead to shifts in the position of the maximum (spectral diffusion) correlated with the linewidth. Eqs. (4-5) give the linear dependence Eq. (1) where α =" N Xi=1 ai(ai − bi) ω2 i #−1 N Xi=1 (ai − bi)2 ω2 i 7 103 102 101 ] 2 V e m [ 2 D 100 101 τ [s] 102 Figure 5. Time dependence of D2 function for a single QD at room temperature (black diamonds). The red line is ∼ τ β. The value of β is 0.603 As seen on Fig. 4 the parameter α vary from one QD to another, as well as E0. The model predictions are consistent with the experimental results of Gomez et al. [9], since the electron-phonon interaction in QDs is not related to the dielectric properties of the environment. In order to check the theory at various temperatures the spectroscopic experiment on one quantum dot was per- formed with heating and cooling of the sample. Twenty spectra were measured sequentially at each selected temper- ature in the range from 305.5 K to 353.6 K. It was found that all the data can be well fitted by Eq.(1) provided the energy E0 gap depends on temperature and α keeps constant as seen in Fig. 6. The E0 value proves to decrease with temperature rise. The changes in the effective band gap presumably occur due to thermal expansion. Importantly, this result doesn't depend on the process which led the system to that temperature (heating or cooling). Note that this dependence of the "pure" energy gap E0 on temperature is not due to the electron-phonon interaction as is usually considered [20 -- 22]. Therefore, the suggested model explains the fluctuations of the linewidth of a single QD emission at temperatures 300 K and above. But at the same time it predicts the spectral shifts correlated with the linewidth. What is the mechanism of the variations in the magnitude of the electron-phonon interaction? The estimate shows that at a given excitation intensity of 100 W/cm2, the average time between the absorption of photons of one QD is about one microsecond. Thus, the effect of multi-exciton states can be excluded from consideration. An increase in the temperature of a single QD after absorption of photons does not exceed 2 K, as estimated by Kuno et al. [23], while thermal relaxation is of the order of 10 ps. This means that local heating can also be excluded from the possible causes of the phenomenon. Plakhotnik et al. [17] showed that the squared energy displacement of a single 8 305.5K 307.6K 309.6K 311.8K 315K 317.8K 320.7K 325.7K 329.3K 333.5K 334K 338.7K 341.8K 345.5K 346.8K 349K 353.6K 305.5K 315K 341.8K 353.6K 1.98 1.99 2 2.02 2.03 2.04 2.05 2.01 ε 0 [eV] ] 2 V e m [ 2 σ 1000 750 500 250 0 Figure 6. The peak energy versus the linewidth squared for a single QD at various temperatures (points). The solid lines indicate the theoretical prediction at four different temperatures. Parameter α = 0.63. QD emission at cryogenic temperatures has an anomalous (sublinear) behavior at short times D2 ∼ τ β , where β < 1. It was explained by introducing a number of stochastic two-level systems (TLS) having a wide distribution of flipping rates. The squared energy displacement calculated with the use of our experimental data at room temperature also shows a similar sublinear time dependence (Fig.5). That means that the TLS based model can be used to describe the fluctuations of the electron-phonon interaction value at high temperatures as well. A possible microscopic origin of the conformation change in the TLS could be due to the jumps of the surface or interface atom between two quasistable positions [24]. Note the general interest regarding the microscopic nature of the SD processes which were observed for most single quantum emitters: single organic dye molecules [25 -- 27], single light harvesting complexes and proteins [28], color centers in diamonds [29], single rare-earth ions in crystals [30]. In many cases SD has been attributed to the tunneling processes in an emitter and/or its local surroundings. At the same time the relation between SD and phonon-assisted optical dephasing was always under discussion. Empedocles and Bawendi [6] observed changes of the electron-phonon interaction parameter upon application of an external electric field. It can be assumed that the shift of the peak of the spectrum in an external electric field is partially determined by a change in the electron - phonon interaction. To verify this assumption, additional experiments could be performed. Single QD blinking also can be explained within a TLS based model of [31]. The Multiple Recombination Center (MRC) model suggested by Frantsuzov et al. [31] reproduces the key properties of single QD blinking, such as the ON and OFF time distribution functions [31], the power spectral density [32], and the long-term correlations between subsequent blinking times [33]. The similarity in temporal fluctuations of the spectrum and the emission intensity of a single QD allows one to make the assumption that both phenomena can be explained by a unified mechanism [34]. In conclusion, our experiments show a linear correlation between the position of the maximum and the linewidth squared of a single QD emission spectrum at room temperature and above. In order to explain the experimental 9 results, we consider a model of QD emission spectrum linewidth fluctuations based on a slow variation of the electron- phonon interaction. The model was tested using the data of a unique single QD spectroscopy experiment under heating and cooling conditions. ACKNOWLEDGEMENTS The study was supported by the Russian Foundation for Basic Research, project 16-02-00713. The measurements were carried out under the State Contract of the Institute of Spectroscopy RAS. Luminescence Microscopy Technique with detection of Single Quantum Dots with Nanometer Spatial Resolution is developed under support of Russian Science Foundation (project 17-72-20266, head I.Yu Eremchev). APPENDIX: DERIVATION OF EQUATIONS (4) AND (5) Potential energy of the the excited electronic state is given by the following formula: Ue(q) = N Xi=1(cid:18) ω2 i 2 q2 i + Aqiai(cid:19) In the classical limit ωi ≪ kT the probability distribution function of the coordinates is given by the Boltzmann distribution: P (q) = 1 Z exp(− 1 kT where Z is the partition function N Xi=1(cid:18) ω2 i 2 q2 i + Aqiai(cid:19)) (6) Z =Z dq1Z dq2 ···Z dqN exp(− 1 kT N Xi=1(cid:18) ω2 i 2 q2 i + Aqiai(cid:19)) It is assumed that the thermal relaxation is much faster than the variations of the parameter A. The energy of the emitted photon at given values of the phonon coordinates is equal to the difference between the energies of the excited and ground states Eq.(6) is a multi-dimension Gaussian distribution, Eq.(7) is a linear function of the coordinates qi. It follows that the distribution of ǫ is also Gaussian ǫ = E0 + A N Xi=1 (ai − bi)qi (7) where the parameters ǫ0 and σ2 can be found by averaging over the distribution (6) p(ǫ) = 1 √2πσ exp(cid:26)− (ǫ − ǫ0)2 2σ2 (cid:27) ǫ0 = ¯ǫ = E0 + A N Xi=1 (ai − bi)hqii σ2 = h(ǫ − ¯ǫ)2i = A2 N Xi=1 (ai − bi)2D(qi − ¯qi)2E (8) (9) The mean values for the coordinates can be easily found by integration over distribution (6) ¯qi = −A ai ω2 i Substituting these expressions into the Eqs. (8-9) gives Eqs. (4-5). D(qi − ¯qi)2E = kT ω2 i 10 [1] M. Nirmal, B. O. Dabbousi, B. M. G., J. J. Macklin, J. K. Trautman, T. D. Harris, and L. E. Brus, Nature 383, 802 (1996). [2] S. A. Empedocles, D. J. Norris, and M. G. Bawendi, Phys. Rev. Lett. 77, 3873 (1996). [3] S. A. Blanton, M. A. Hines, and P. Guyot-Sionnest, App. Phys. Lett. 69, 3905 (1996). [4] A. P. Beyler, L. F. Marshall, J. Cui, X. Brokmann, and M. G. Bawendi, Phys. Rev. Lett. 111, 177401 (2013). [5] S. A. Empedocles and M. G. Bawendi, J. Phys. Chem. B 103, 1826 (1999). [6] S. A. Empedocles and M. G. Bawendi, Science 278, 2114 (1997). [7] J. Muller, J. M. Lupton, A. L. Rogach, J. Feldmann, D. V. Talapin, and H.Weller, Phys. Rev. Lett. 93, 167402 (2004). [8] J. Muller, J. M. Lupton, A. L. Rogach, J. Feldmann, D. V. Talapin, and H.Weller, Phys. Rev. B 72, 205339 (2005). [9] D. E. Gomez, J. van Embden, and P. Mulvaney, Appl. Phys. Lett. 88, 154106 (2006). [10] V. M. Dzhagan, Y. M. Azhniuk, G. M. A, and D. R. T. Zahn, J. Phys. D 51, 503001 (2018). [11] K. Huang and A. Rhys, Proc. Royal Soc. Lond. A, Math. Phys. 204, 406 (1950). [12] R. Kubo and Y. Toyozawa, Prog. Theor. Phys. 13, 160 (1955). [13] I. Y. Eremchev, M. Y. Eremchev, and A. V. Naumov, Phys. Usp. 62, 294 (2019). [14] I. Y. Eremchev, A. V. Naumov, Y. G. Vainer, and L. Kador, J. Chem. Phys. 130, 184507 (2009). [15] K. R. Karimullin and A. V. Naumov, J. Lumin. 152, 15 (2014). [16] I. Y. Eremchev, N. A. Lozing, A. A. Baev, A. O. Tarasevich, M. G. Gladush, A. A. Rozhentsov, and A. Naumov, JETP Letters 108, 30 (2018). [17] T. Plakhotnik, M. J. Fernee, B. Littleton, H. Rubinsztein-Dunlop, C. Potzner, and P. Mulvaney, Phys. Rev. Lett. 105, 167402 (2010). [18] R. Kunz, K. Timpmann, J. Southall, R. J. Cogdell, A. Freiberg, and J. Kohler, J. Phys. Chem. B 116, 11017 (2012). [19] R. Kunz, K. Timpmann, J. Southall, R. J. Cogdell, A. Freiberg, and J. Kohler, Angew. Chem. Int. Ed. 52, 8726 (2013). [20] K. P. O'Donnell and X. Chen, Appl. Phys. Lett. 58, 2924 (1991). [21] X. Wen, A. Sitt, P. Yu, Y.-R. Toha, and J. Tang, Phys. Chem. Chem. Phys. 14, 3505 (2012). [22] K. A. Magaryan, K. R. Karimullin, I. A. Vasil'eva, and A. V. Naumov, Opt. Spectr. 126, 41 (2019). [23] M. Kuno, D. P. Fromm, H. F. Hammann, A. Gallagher, and D. J. Nesbitt, J. Chem. Phys. 115, 1028 (2001). [24] O. Voznyy and E. H. Sargent, Phys. Rev. Lett. 112, 157401 (2014). [25] W. E. Moerner and M. Orrit, Science 283, 1670 (1999). [26] E. Barkai, A. V. Naumov, Y. G. Vainer, M. Bauer, and L. Kador, Phys. Rev. Lett. 91, 075502 (2003). [27] P. D. Reilly and J. L. Skinner, Phys. Rev. Lett. 71, 4257 (1993). [28] C. Hofmann, H. Michel, M. van Heel, and J. Kohler, Phys. Rev. Lett. 94, 195501 (2005). [29] J. Wolters, N. Sadzak, A. W. Schell, T. Schroder, and O. Benson, Phys. Rev. Lett. 110, 027401 (2013). [30] E. Eichhammer, T. Utikal, S. Gotzinger, and V. Sandoghdar, New J. Phys. 17, 083018 (2015). [31] P. A. Frantsuzov, S. Volk´an-Kacs´o, and B. Jank´o, Phys. Rev. Lett. 103, 207402 (2009). [32] P. A. Frantsuzov, S. Volk´an-Kacs´o, and B. Jank´o, Nano Lett. 13, 402 (2013). [33] S. Volk´an-Kacs´o, P. A. Frantsuzov, and B. Jank´o, Nano Lett. 10, 2761 (2010). [34] V. K. Busov and P. A. Frantsuzov, Opt. Spectr. 126, 70 (2019).
1812.02545
2
1812
2019-05-17T12:33:23
Multistep Bloch-line-mediated Walker breakdown in ferromagnetic strips
[ "cond-mat.mes-hall", "cond-mat.mtrl-sci", "physics.comp-ph" ]
A well-known feature of magnetic field driven dynamics of domain walls in ferromagnets is the existence of a threshold driving force at which the internal magnetization of the domain wall starts to precess -- a phenomenon known as the Walker breakdown -- resulting in an abrupt drop of the domain wall propagation velocity. Here, we report on micromagnetic simulations of magnetic field driven domain wall dynamics in thin ferromagnetic strips with perpendicular magnetic anisotropy which demonstrate that in wide enough strips Walker breakdown is a multistep process: It consists of several distinct velocity drops separated by short linear parts of the velocity vs field curve. These features originate from the repeated nucleation, propagation and annihilation of an increasing number of Bloch lines within the domain wall as the driving field magnitude is increased. This mechanism arises due to magnetostatic effects breaking the symmetry between the two ends of the domain wall.
cond-mat.mes-hall
cond-mat
a Multistep Bloch-line-mediated Walker breakdown in ferromagnetic strips Johanna Hutner1,2, Touko Herranen1, and Lasse Laurson1,3∗ 1Helsinki Institute of Physics and Department of Applied Physics, Aalto University, P.O.Box 11100, FI-00076 Aalto, Espoo, Finland 2Aalto Science Institute, Aalto University, P.O.Box 11100, FI-00076 Aalto, Espoo, Finland and 3Computational Physics Laboratory, Tampere University, P.O. Box 692, FI-33014 Tampere, Finland (Dated: May 20, 2019) A well-known feature of magnetic field driven dynamics of domain walls in ferromagnets is the existence of a threshold driving force at which the internal magnetization of the domain wall starts to precess -- a phenomenon known as the Walker breakdown -- resulting in an abrupt drop of the domain wall propagation velocity. Here, we report on micromagnetic simulations of magnetic field driven domain wall dynamics in thin ferromagnetic strips with perpendicular magnetic anisotropy which demonstrate that in wide enough strips Walker breakdown is a multistep process: It consists of several distinct velocity drops separated by short linear parts of the velocity vs field curve. These features originate from the repeated nucleation, propagation and annihilation of an increasing number of Bloch lines within the domain wall as the driving field magnitude is increased. This mechanism arises due to magnetostatic effects breaking the symmetry between the two ends of the domain wall. I. INTRODUCTION Domain wall (DW) dynamics driven by applied mag- netic fields [1 -- 3] or spin-polarized electric currents [4 -- 6] is an active field of research catalyzed by both fundamen- tal physics interests as well as promising applications in technology. One of the most striking features of DW dynamics is that one typically observes a non-monotonic driving force dependence of the DW propagation velocity vDW. Considering field-driven DW dynamics, for small applied fields Bext, vDW first increases with Bext, fol- lowed by a sudden drop of vDW. The latter originates from an instability known as the Walker breakdown [3], where the internal DW magnetization starts precessing at Bext = BW, with BW known as the Walker field. This leads to a reduced vDW for Bext > BW as part of the en- ergy of the driving field is dissipated by the precessional magnetization dynamics within the DW. The widely used one-dimensional (1d) models [7] de- scribe this precession by a single angular variable, and have been demonstrated to successfully capture the DW dynamics in nanowire geometries [8]. However, this sim- ple description fails in wide enough strips. In such sys- tems an instability analogous to the Walker breakdown in nanowires is known to proceed in a spatially non-uniform fashion via repeated nucleation and propagation of Bloch lines (BLs) within the DW [9 -- 11]. BLs are topologically stable magnetization textures corresponding to localized transition regions separating different chiralities of the Bloch DW. In the case of thin strips considered here, BLs are lines threading the strip in the thickness direc- tion, and are hence referred to as vertical Bloch lines (VBLs) [11, 12]. Even if the study of BLs especially in the context of bubble materials has a long history dat- ing back to the 1970's [13, 14], the various BL excitation ∗ [email protected] modes responsible for the velocity drop in strips of dif- ferent geometries remain to be understood. Hence, we perform here extensive micromagnetic simulations of field-driven DW dynamics considering thin CoPtCr strips with strong perpendicular magnetic anisotropy as example systems (see Fig. 1). We study in detail the dependence of the DW propagation veloc- ity vDW on the applied field Bext, as well as the onset of precessional dynamics at Bext = BW for a wide range of strip widths Ly. Remarkably, by carefully inspecting the "fine structure" of the Walker breakdown, we find that for wide enough strips the large velocity drop in the vDW(Bext) curve observed previously [9] actually consists of several distinct, smaller velocity drops, separated by short linearly increasing parts of vDW(Bext). Our analy- sis of the corresponding VBL dynamics within the DW shows that this behaviour arises due to a sequence of dis- tinct excitations of the DW magnetization. Thereby, the number of VBLs present within the DW increases with Bext in discrete steps at specific Bext-values. We show that these features are a consequence of DW tilting due to magnetostatic effects, breaking the symmetry between the two ends of the DW. The paper is organized as follows: In Sec. II we go through the details of our micromagnetic simulations, while in Sec. III we present our results, focusing on the multistep nature of the Walker breakdown in wide strips. Sec. IV finishes the paper with conclusions. II. SIMULATIONS Our micromagnetic simulations are performed using the GPU-accelerated micromagnetic simulation program MuMax3 [15]. It solves the space and time-dependent re- duced magnetization m(r, t) = M(r, t)/Ms [with M(r, t) and Ms the magnetization and saturation magnetization, respectively] from the Landau-Lifshitz-Gilbert (LLG) 2 FIG. 2. a) vDW as a function of Bext considering a repre- sentative subset of different Ly's. Note the "smooth" veloc- ity drop for narrow strips that changes first to a single large drop (Ly = 600 nm) and then develops two or even three distinct velocity drops separated by short linear parts of the vDW(Bext) curve upon increasing Ly. b) All the simulated vDW(Bext) data visualized as a contour plot, highlighting the non-monotonic dependence of BW on Ly. At this point we note a crucial feature of field-driven DW dynamics in the strip geometry, illustrated in Fig. 1: A Bext smaller than the Walker field BW tends to rotate the DW magnetization counterclockwise away from the positive y-direction (i.e., away from a pure Bloch wall configuration), such that the moving steady state DW acquires a N´eel component (a finite x-component of the DW magnetization). This results in magnetic charges on the DW surfaces, with an associated cost in demagneti- zation energy. To minimize this energy, the DW tends to tilt in an attempt to align itself with the DW magneti- zation. A balance between the DW energy (proportional to the DW length) and the magnetostatic energy leads to a finite steady state DW tilt angle (see Fig. 1). This mechanism will be crucial for understanding the proper- ties of the Walker breakdown in the case of wide strips, FIG. 1. Schematic representation of the simulated system. Two out-of-plane polarized domains are separated by a DW, which in equilibrium is a pure Bloch wall. As illustrated in the figure, upon application of an out-of-plane magnetic field Bext < BW, the magnetization of the moving DW finds a steady state orientation corresponding to a partial N´eel wall structure (arrows), producing magnetic charges on the DW surfaces. To minimize the resulting magnetostatic energy, the DW tries to orient itself with the DW magnetization, leading to DW tilting. equation, ∂m ∂t = − γ 1 + α2 [m × Beff + α(m × (m × Beff))] , (1) In Eq. using a finite-difference discretization. (1), γ is the gyromagnetic ratio, α the dimensionless damp- ing parameter and Beff the effective field having con- tributions from the externally applied field Bext, mag- netostatic field, Heisenberg exchange field as well as the anisotropy field. As a test system, we consider CoPtCr strips of thickness Lz = 12 nm and widths Ly ranging from 90 nm to 1800 nm. The length of the moving sim- ulation window centered around the DW (implying that the dipolar fields due to the two domains cancel at the domain wall) is Lx = 3072 nm. The system is discretized using cubic discretization cells with a side length of 3 nm. The typical material parameters of CoPtCr [9, 16] used here are uniaxial magnetic anisotropy Ku = 2×105 J/m3, exchange constant Aex = 10−11 J/m, damping parame- ter α = 0.2, and saturation magnetization Ms = 3 × 105 A/m, corresponding to the stray field energy constant s /2 = 5.65 × 104 J/m3, where µ0 is the of Kd = µ0M 2 vacuum permeability. These values result in the Bloch wall width parameter ∆ = (cid:112)Aex/Ku ≈ 7.1 nm and Λ =(cid:112)Aex/Kd ≈ 13.3 nm. the Bloch line width parameter (or the exchange length) The system is initialized in a configuration with two antiparallel out-of-plane (±z) domains separated by a straight Bloch DW with the DW internal magnetiza- tion in the positive y-direction. The DW spans the strip width along the y-direction and is located in the middle of the sample. Upon sharp application of an external mag- netic field Bext along the positive z-direction, the DW is displaced in the positive x-direction. The steady state time-averaged DW velocities are then estimated from the slopes of the DW position vs time graphs, averag- ing over several cycles of the precessional DW dynamics for Bext > BW and excluding any initial transients. ++++----+-+BextxyzLy0246810Bext[mT]0102030405060vDW[m/s]a)Ly=90nmLy=120nmLy=150nmLy=600nmLy=1050nmLy=1500nmLy=1800nm0246810Bext[mT]902904906908901090129014901690Ly[nm]b)05101520253035404550vDW[m/s] discussed later in this paper. III. RESULTS We start by considering the relation between DW prop- agation velocity vDW and Bext for strips of different widths. Fig. 2a shows examples of vDW(Bext) curves, illustrating the key aspects of the observed DW dynam- ics. For all strip widths the usual linear dependence of vDW on Bext for small Bext is terminated at an Ly- dependent Walker field BW. This is also depicted in the contour plot shown in Fig. 2b. BW first increases rapidly with Ly, reaches a maximum for Ly ≈ 350 nm, after which BW slowly decreases, possibly reaching a plateau for the largest Ly-values considered. This non-monotonic Ly-dependence is reminiscent of our recent results on thickness-dependent Walker breakdown in garnet strips [10], and will be analyzed further below. The shape of the vDW(Bext) curve displaying the ve- locity drop crucially depends on Ly. For small Ly, corre- sponding to the regime where BW(Ly) increases with Ly (Fig 2b), vDW decreases smoothly and gradually with in- creasing Bext (Figs. 2a and 3a). Figs. 3b and 3c display space-time maps of the DW internal in-plane magnetiza- tion during the dynamics; for each y-coordinate along the DW the magnetization shown is that of the mid-point of the DW where mz changes sign when moving along the x-direction. These maps show that above BW the inter- nal dynamics within the DW display the typical periodic switching of the DW magnetization [17], with the fre- quency of the switching events increasing with Bext. No- tably, for the rather narrow system with Ly = 90 nm (i.e., not much wider than the BL width πΛ ≈ 42 nm) stud- ied in Fig. 3, these switching events are to a very good approximation spatially uniform, such that the magne- tization of the entire DW rotates synchronously, and no VBLs are observed. This is in strong contrast to the behaviour in wider strips [Ly (cid:29) πΛ and beyond the maximum of BW(Ly)]: First, when increasing Ly, a single, quite steep velocity drop is observed; an example is given by the Ly = 600 nm curve in Fig. 2a. For even wider strips, a remarkable feature is observed: Our simulations where we consider a finer sampling of the Bext values than previous studies [9] reveal that the Walker breakdown actually consists of multiple distinct velocity drops, separated by short linear parts of the vDW(Bext) curve. First, for Ly = 1050 nm (Fig. 2a), we observe two velocity drops, and further increasing Ly to 1500 nm leads to the appearence of three of these steps. All velocity drops take place within a rather narrow field range of less than 1 mT (they all occur between 6.9 and 7.9 mT). Thus, they were not clearly observed in previous work [9], where the sampling of the Bext-values was much more coarse. To account for these distinct velocity drops, it is again instructive to consider the details of the underlying DW magnetization dynamics. Fig. 4a shows an example of a 3 FIG. 3. a) An example of a typical vDW(Bext) curve of nar- row strips (here, the Ly = 90 nm case is shown), exhibiting a "smooth" velocity drop for Bext > BW. b) and c) display space-time maps of the internal DW magnetization (with the colorwheel indicating the mapping from colors to magneti- zation) for two Bext values (5 and 6 mT, respectively) as indicated in a) with the two symbols. These describe the time-evolution of the internal in-plane magnetization of the domain wall for different y-coordinates along the domain wall. The in-plane DW magnetization exhibits coherent (spatially uniform) periodic switching events, with the frequency of the events increasing with Bext. vDW(Bext) curve exhibiting three velocity drops, followed by a more irregular structure for larger Bext (Ly = 1500 nm). Subsequent to the first velocity drop (for Bext ≈ 6.9 mT), as illustrated in the space-time map of DW internal magnetization in Fig. 4b, a single VBL nucleates from the bottom edge of the strip, propagates along the DW across the strip width, exits the strip, after which another VBL of opposite x-magnetization (shown in red instead of blue in Fig. 4b) enters the strip/DW and propagates to the opposite strip edge, before the process repeats. Upon increasing Bext to Bext ≈ 7.4 mT, a second velocity drop occurs. Fig. 4c shows that this second drop is due to more complex VBL dynamics within the DW: After an initial transient, the system finds a steady state where another VBL is nucleated from the top strip edge before the VBL nucleated from the bottom edge reaches the top edge. Subsequently, the two VBLs annihilate within the strip, and a new pair of VBLs is created in the same DW segment. These two VBLs then propagate towards the bottom and top edges of the strip, respectively, and exit the strip. Thereafter, the process is repeated. A third velocity drop is observed for Bext ≈ 7.9 mT, with the corresponding DW magnetization dynamics shown 246810Bext[mT]0102030vDW[m/s]a)b)c)020406080100t[ns]050y[nm]b)020406080100t[ns]050y[nm]c) 4 FIG. 4. A closer look at the DW dynamics corresponding to a multistep Walker breakdown for a strip of width Ly = 1500 nm. The vDW(Bext) curve shown in a) exhibits three distinct velocity drops, with the corresponding VBL dynamics illustrated by means of space-time maps of the DW internal in-plane magnetization (with the colorwheel in the middle showing the mapping from colors to magnetization direction) in b), c) and d). b) shows a single VBL first nucleating from the bottom edge (i.e., the leading end of the DW just before the onset of Walker breakdown), and then travelling back and forth along the DW. These dynamics are responsible for the first velocity drop seen in a). c) displays the VBL dynamics corresponding to the second velocity drop, where after an initial transient a VBL is first nucleated from the bottom edge, and shortly afterwards a second VBL is nucleated from the top edge. Their annihilation is followed by an almost immediate formation of another pair of VBLs that propagate to the edges, after which the process repeats. d) shows the dynamics corresponding to the third velocity drop, involving the simultaneous presence of three VBLs within the DW. Movies illustrating the dynamics shown in b), c) and d) are included as Supplemental Material [18]. in Fig. 4d: In this case, three VBLs are present within the DW for most of the time. Upon further increasing Bext, the VBL dynamics become increasingly complex (not shown) and no further clear, distinct velocity drops can be resolved (Fig. 4a). Movies illustrating the DW dynamics shown in Fig. 4b, c and d are included as Supplemental Material [18]. Notice that while Figs. 4 b, c and d describe the VBL dynamics along the DWs, the movies show in addition that DWs containing VBLs are not straight lines but tend to exhibit significant curvature especially at the locations of the VBLs. The described dynamics of VBLs responsible for the distinct velocity drops crucially depend on a broken sym- metry between the two ends of the DW (bottom vs top strip edges). As illustrated in Fig. 1, for Bext < BW, the driving field rotates the magnetization of the mov- ing DW away from a pure Bloch wall configuration to a steady DW structure with a finite N´eel component. The N´eel nature of the DW gives rise to magnetic charges at the DW surfaces (Fig. 1). To reduce the resulting en- ergy, the DW develops a tilt as it attempts to minimize the charges by aligning with its internal magnetization. Thus, the leading end of the DW effectively experiences a larger driving force (sum of Bext and the demagnetizing fields due to the DW surface charges) than the trailing one. Hence, when incresing Bext over the Walker thresh- old, the leading end of the DW experiences the break- down first, i.e., at a lower Bext, while the trailing end is still below its (local) Walker breakdown field. This means that the first VBL is always nucleated from the leading end of the DW (bottom edge in Figs. 4b-d), and that the first velocity drop corresponds to a single VBL moving back and forth along the DW (Fig. 4b). When Bext is increased to reach the second velocity drop, also the trailing end of the DW exceeds its local Walker threshold, and VBLs are nucleated from both ends of the DW. The leading end of the DW still ex- periences a larger effective driving force, and hence, the first VBL is nucleated from this edge. However, before it reaches the other end of the DW, a second VBL is nu- cleated from the trailing end, and subsequently the two Bloch lines annihilate inside the strip, followed by cre- ation of a new pair of VBLs in the same location (Fig. 4c). Increasing Bext even more to reach the third velocity drop leads to nucleation of a third VBL, while the two first ones are still inside the strip, resulting in the simul- taneous presence of three VBLs along the DW (Fig. 4d). We note that all creation and annihilation reactions in Fig. 4 respect the conservation of the magnetic charge Q = ±1 and chirality C = ±1/2 of the four-fold degen- erate VBLs [19]. Finally, we address the non-monotonic dependence of the Walker field BW (defined as the Bext value where the first velocity drop takes place) on Ly (see Fig. 2). As found by Mougin et al. [8], in confined geometries with uniform magnetization along the DW BW ∝ Nx − Ny, 567891011Bext[mT]2530354045vDW[m/s]a)b)c)d)020406080100t[ns]050010001500y[nm]b)020406080100t[ns]050010001500y[nm]c)020406080100t[ns]050010001500y[nm]d) where Nx and Ny denote the demagnetizing factors of the DW along x and y, respectively. Employing the el- liptic approximation leads to Nx ≈ Lz/(Lz + π∆) and Ny ≈ Lz/(Lz + Ly) [8, 20]. Notice that the DW width π∆ ≈ 22.3 nm used above can be obtained by inte- grating the Bloch wall profile my = 1/ cosh(x/∆) [21], and the approximate expressions for Nx and Ny uti- lized are valid for Lz (cid:28) π∆ and Lz (cid:28) Ly, respec- tively. Thus, we obtain the approximate result that BW ∝ Lz/(Lz+π∆)−Lz/(Lz+Ly), suggesting that BW increases with Ly, in agreement with our observations for narrow strips (small Ly), where the magnetization of the entire DW precesses in phase above the breakdown (see Fig. 3). However, the above expression also predicts a saturation of BW in the limit Ly (cid:29) Lz, at odds with our observation in Fig. 2 where, after reaching a maximum, BW is slowly decreasing with Ly. Indeed, the calcula- tion in [8] is valid for uniform DW magnetization only. In particular, it does not take into account the possibil- ity of nucleation of VBLs within the DW which is the mechanism underlying the Walker breakdown for large Ly. The energy barrier for VBL nucleation should de- pend on Ly, such that it is lower for longer DWs (larger Ly). However, for the very largest strip widths Ly con- sidered (1500 and 1800 nm), BW appears to saturate to a value of BW ≈ 6.7 mT. IV. CONCLUSIONS Thus, we have established that precessional DW dy- namics in PMA strips undergo a transition from spa- tially homogeneous precession of the DW magnetization to a VBL-dominated regime as the strip width Ly is in- 5 creased. The latter regime is characterized by multiple distinct velocity drops in the vDW(Bext) curve, originat- ing from asymmetric nucleation of VBLs from the strip edges due to DW tilting. This closer look at the well- studied phenomenon of Walker breakdown thus reveals its multistep nature for DWs with lengths well above the VBL width. These features should lend themselves to experimental verification in future studies. It would also be of interest to extend our study to systems with structural disorder or inhomogeneities interacting with the DW [22, 23], to consider the possible effects of a small tilt of the applied field, as well as to investigate other materials characterized by different micromagnetic parameters; considering such details numerically would be helpful in better understanding the experimental con- ditions where the mechanism reported here could be ob- served. We would expect that the multi-step nature of Walker breakdown should be experimentally observable whenever the disorder-induced depinning field is well be- low the Walker field. Another future avenue of research of considerable current interest would be to address the effect of a finite Dzyaloshinskii-Moriya interaction (DMI) [24], resulting in a scenario where the degeneracy of the different VBL configurations is lifted due to DMI-induced splitting of the energy levels [19]. ACKNOWLEDGMENTS This work has been supported by the Academy of Finland through an Academy Research Fellowship (LL, project no. 268302). We acknowledge the computational resources provided by the Aalto University School of Sci- ence "Science-IT" project, as well as those provided by CSC (Finland). [1] G. S. Beach, C. Nistor, C. Knutson, M. Tsoi, and J. L. [10] T. Herranen and L. Laurson, Phys. Rev. B 96, 144422 Erskine, Nat. Mater. 4, 741 (2005). (2017). [2] P. Metaxas, J. Jamet, A. Mougin, M. Cormier, J. Ferr´e, V. Baltz, B. Rodmacq, B. Dieny, and R. Stamps, Phys. Rev. Lett. 99, 217208 (2007). [11] A. Thiaville and J. Miltat, in Topology in Magnetism (Springer, 2018) pp. 41 -- 73. [12] D. A. Garanin, E. M. Chudnovsky, and X. Zhang, EPL [3] N. L. Schryer and L. R. Walker, J. Appl. Phys. 45, 5406 120, 17005 (2017). (1974). [4] S. S. Parkin, M. Hayashi, and L. Thomas, Science 320, 190 (2008). [5] A. Thiaville, Y. Nakatani, J. Miltat, and Y. Suzuki, EPL 69, 990 (2005). [6] T. A. Moore, I. Miron, G. Gaudin, G. Serret, S. Auf- fret, B. Rodmacq, A. Schuhl, S. Pizzini, J. Vogel, and M. Bonfim, Appl. Phys. Lett. 93, 262504 (2008). [7] A. Thiaville and Y. Nakatani, in Spin dynamics in con- fined magnetic structures III (Springer, 2006) pp. 161 -- 205. [13] A. Malozemoff and J. Slonczewski, Magnetic Domain Walls in Bubble Materials: Advances in Materials and Device Research, Vol. 1 (Academic press, 1979). [14] S. Konishi, IEEE Trans. Magn. 19, 1838 (1983). [15] A. Vansteenkiste, J. Leliaert, M. Dvornik, M. Helsen, F. Garcia-Sanchez, and B. Van Waeyenberge, AIP Adv. 4, 107133 (2014). [16] D. Weller, A. Moser, L. Folks, M. E. Best, W. Lee, M. F. Toney, M. Schwickert, J.-U. Thiele, and M. F. Doerner, IEEE Trans. Magn. 36, 10 (2000). [17] E. Martinez, J. Phys. Condens. Matter 24, 024206 [8] A. Mougin, M. Cormier, J. Adam, P. Metaxas, and (2011). J. Ferr´e, EPL 78, 57007 (2007). [9] T. Herranen and L. Laurson, Phys. Rev. B 92, 100405 (2015). [18] See Supplemental Material at [URL will be inserted by the publisher] for movies illustrating the DW dynamics responsible for the three different velocity drops. [19] Y. Yoshimura, K.-J. Kim, T. Taniguchi, T. Tono, K. Ueda, R. Hiramatsu, T. Moriyama, K. Yamada, Y. Nakatani, and T. Ono, Nat. Phys. 12, 157 (2016). [20] O. Boulle, G. Malinowski, and M. Klaui, Mater. Sci. Eng. R Rep. 72, 159 (2011). [21] A. Hubert and R. Schafer, Magnetic domains: the analy- sis of magnetic microstructures (Springer Science & Busi- ness Media, 2008). [22] J. Leliaert, B. Van de Wiele, A. Vansteenkiste, L. Laur- son, G. Durin, L. Dupr´e, and B. Van Waeyenberge, J. 6 Appl. Phys. 115, 17D102 (2014). [23] J. Leliaert, B. Van de Wiele, A. Vansteenkiste, L. Laur- son, G. Durin, L. Dupr´e, and B. Van Waeyenberge, J. Appl. Phys. 115, 233903 (2014). [24] A. Thiaville, S. Rohart, ´E. Ju´e, V. Cros, and A. Fert, EPL 100, 57002 (2012).
1301.5352
2
1301
2013-07-29T18:07:42
Universal Features of Spin Transport and Breaking of Unitary Symmetries
[ "cond-mat.mes-hall" ]
When time-reversal symmetry is broken, quantum coherent systems with and without spin rotational symmetry exhibit the same universal behavior in their electric transport properties. We show that spin transport discriminates between these two cases. In systems with large charge conductance, spin transport is essentially insensitive to the breaking of time-reversal symmetry. However, in the opposite limit of a single exit channel, spin currents vanish identically in the presence of time-reversal symmetry, but are turned on by breaking it with an orbital magnetic field.
cond-mat.mes-hall
cond-mat
Universal Features of Spin Transport and Breaking of Unitary Symmetries 1Physics Department, University of Arizona, 1118 E. 4th Street, Tucson, AZ 85721, USA Ph. Jacquod1 and I. Adagideli2 2Faculty of Engineering and Natural Sciences, Sabanci University, Orhanli-Tuzla, Istanbul, Turkey (Dated: October 8, 2018) When time-reversal symmetry is broken, quantum coherent systems with and without spin rota- tional symmetry exhibit the same universal behavior in their electric transport properties. We show that spin transport discriminates between these two cases. In systems with large charge conduc- tance, spin transport is essentially insensitive to the breaking of time-reversal symmetry. However, in the opposite limit of a single exit channel, spin currents vanish identically in the presence of time-reversal symmetry, but are turned on by breaking it with an orbital magnetic field. PACS numbers: 72.25.Dc,73.23.-b,75.76.+j Introduction. Fifty years ago, Dyson showed that ensembles of unitary matrices that are invariant under general symmetry groups reduce to the direct product of three irreducible ensembles [1]. These three circular ensembles are labelled by an index β = 1, 2, 4 and are respectively invariant under the transformations S → U T SU , orthogonal ensemble, β = 1, S → U SV , unitary ensemble, β = 2, S → W RSW , symplectic ensemble, β = 4, (1a) (1b) (1c) where S is an element of the ensemble, U and V are ar- bitrary unitary matrices, W is a quaternion [2] unitary matrix, U T is the transpose of U and W R = σ(y)W T σ(y) is the dual of W [3]. Here and below, σ(µ), µ = x, y, z is a Pauli matrix. This classification carries over to electronic quantum transport [4], where the three classes are defined by time-reversal symmetry (TRS), an antiunitary sym- metry. Systems without TRS have a scattering matrix in the β = 2 ensemble, while systems with TRS are dif- ferentiated by whether the TRS operator squares to +1 (β = 1) or −1 (β = 4). When TRS is preserved, break- ing spin rotational symmetry (SRS) induces a crossover β = 1 → 4, however when TRS is broken, breaking SRS only doubles the size of the scattering matrix as a Kramers degeneracy gets removed. This does not gen- erate a new ensemble [1, 4, 5]. Quantum corrections to electric transport depend on the symmetry index β, but are independent of the size N of the scattering matrix (giving the total number of transport channels from and to the scatterer) for large N [4]. According to the above classification, universality in charge transport is therefore mostly determined by the antiunitary TRS. Recent investigations of spin transport showed that the magnetoelectric spin conductance T(µ) ij = Tr[S† ij σ(µ)Sij] , (2) constructed from the transmission block Sij of the scat- tering matrix connecting terminals i and j, also ex- hibits a character of universality [6 -- 10] in that var T(µ) ij = 4Ni(Ni − 1)Nj/N (2N − 1)(2N − 3) for β = 4. Here, Ni,j gives the number of transport channels between the sys- tem and terminals i, j, and N =Pi Ni. The spin conduc- tance fluctuates about zero average, hT(µ) ij i = 0 and the resulting, typically nonzero spin current is generated by the presence of a SRS breaking field. In the β = 4 ensem- ble one usually takes the latter field as spin-orbit interac- tion (SOI). In the absence of SOI, one has T(µ) ij ≡ 0. This is the case for β = 1 and, if Dyson's three-fold way ap- plies to spin transport, for β = 2. In this manuscript we demonstrate that spin transport discriminates between systems with and without SRS even when TRS is bro- ken. Accordingly, a novel kind of universality emerges in systems with broken SRS and TRS, with charge trans- port properties given by those of the β = 2 ensemble, but with specific spin transport properties. The latter are similar to those of the β = 4 ensemble at large N , a finding already reported in Ref. [11] for specific four- terminal setups, but deviate from it at small N . Our finding does not invalidate Dyson's classification -- the lat- ter gives a complete classification of unitary scattering matrices and unless one introduces chiral or particle-hole symmetries [12, 13], there is no new ensemble to be found. Instead our point is that spin-dependent observables de- fine two sub-ensembles of the β = 2 ensemble, depending on whether they commute or not with the scattering ma- trix. In other words, we find that while universality in charge transport is affected only by the antiunitary TRS universality in spin transport depends on both antiuni- tary (TRS) and unitary (SRS) symmetries. The model. We consider a mesoscopic conductor connected to any number of external electron reservoirs. There is no ferromagnetic exchange anywhere in the sys- tem, nor is there spin accumulation in the reservoirs, thus injected currents are not polarized. We neglect spin re- laxation in the terminals. The magnetoelectrically gen- erated spin current due to the presence of SOI inside the cavity is determined by the spin-dependent transmission coefficients of Eq. (2). For instance, in the simple case of a two-terminal setup, the generated spin current in the right lead along the polarization axis µ = x, y, z is given by R = (e2V /h)T(µ) I (µ) RL , (3) with the voltage bias V applied across the sample. Semiclassical calculation. We first calculate the av- erage and mesoscopic fluctuations of the spin transmis- sion coefficients using the semiclassical theory of trans- port [14, 15], extended to take spin transport into ac- count [16, 17]. We write (See Supplemental Material [18]) a) L     L    b) L     2    R    R    R    R    R    R c) L    d) L     L    e) R    AγA∗ γ ′ei(Sγ −Sγ ′ )Tr[Uγσ(µ)U † γ ′] . L    L       R T(µ) ij =Zi dyZj dy0Xγ,γ ′ (4) The sums run over all trajectories starting at y0 on a cross-section of the injection lead j and ending at y on the exit lead i. Trajectories have a stability given by Aγ, which includes a prefactor (2πi)−1/2 as well as a Maslov index [19], and Sγ gives the classical action ac- cumulated on γ, in units of . SOI is incorporated in the matrices Uγ. The average spin conductance has been calculated semiclassically in Ref. [17]. In the absence of SOI, spins do not rotate, Uγ = σ(0) is the identity matrix, and one trivially obtains T(µ) ij ≡ 0. The leading-order ap- proximation is to consider Uγ ∈ SU(2), where SOI rotate the spin of the electron along unperturbed classical tra- jectories [16, 20]. In this manuscript, we will use this approximation because, even though it neglects the geo- metric correlations reported in Ref. [17], it is appropriate for our search of universality. At that level, the average ij isemicl = 0 [17], which agrees with the random matrix theory (RMT) result of Ref. [6]. spin conductance vanishes, hT(µ) Having established that the average spin conductance vanishes regardless of the presence or absence of TRS and SRS, we next calculate spin conductance fluctuations. The leading-order diagrams contributing to var[Tµ0 RL]semicl are shown in Fig. 1. They are the same as those con- tributing to the (charge) transmission fluctuations [sub- stituting σ(µ) → σ(0) in Eq. (2)]. In this case, Ref. [15] found that the sum of contributions c), d) and e) cancel out, furthermore, contribution b) vanishes upon break- ing of TRS. This can be achieved via a magnetic flux piercing the diagram's loop. From Fig. 1, we see that contribution b) is the only one that is flux-sensitive, because the blue (dark) and the red (light) trajecto- ries accumulate the same flux-phase. From a semi- classical point of view, this is the origin of the halv- ing of the universal conductance fluctuations upon TRS breaking [4]. Extending this calculation to var[Tµ ij ]semicl, we obtain that contributions a), b) and c) are multi- γ3 σ(µ)Uγ3 Uγ2] × plied by a spin-dependent term Tr[U † γ6σ(µ)Uγ6Uγ5], while contributions d) and e) are Tr[U † γ5σ(µ)Uγ2]2 (See Supplemental Infor- multiplied by Tr[U † mation for the labelling of trajectory segments [18]). All these terms vanish in the absence of SOI. In the presence γ5U † γ2U † Figure 1: (Color online) Semiclassical diagrams determining the conductance and spin conductance fluctuations to leading order in the number N ≫ 1 of transport channels. Blue (dark) and red (light) trajectories travel in opposite direction in diagram b), which consequently vanishes in the presence of a large magnetic flux piercing the loop. All other diagrams are insensitive to the breaking of time-reversal symmetry. of SOI, we evaluate them by averaging over a uniform distribution of all Uγ's over the SU(2) group, correspond- ing to totally broken SRS. Following the standard pro- cedure of performing orbital averages and spin averages separately, we obtain that, when SRS is totally broken, contributions a), b) and c) acquire a prefactor (h...iSU(2) indicates an homogeneous average over the SU(2) group) γ5U † γ3σ(µ)Uγ3Uγ2] Tr[U † γ6σ(µ)Uγ6Uγ5]iSU(2) = 0 , hTr[U † (5) and thus vanish identically, while contributions d) and e) are multiplied by γ2 U † hTr[U † γ5σ(µ)Uγ2]2iSU(2) = 1 . (6) We conclude that the semiclassical contributions to the spin conductance fluctuations are those with a correlated encounter at the exit terminal, which in particular has the consequence that they are not sensitive to the break- ing of TRS. We obtain the variance of the spin conductance coeffi- cients as the sum of contributions d) and e), i.e. j )(cid:14)N 3 . (7) var[T (µ) ij ]semicl = (NiNjN − NiN 2 The key point is that this result holds both in the ab- sence and in the presence of TRS, because both relevant contributions d) and e) are sensitive neither to magnetic fluxes piercing their loops, nor to orbital magnetic field effects that do not alter the ergodicity of the classical trajectories. Thus, Eq. (7) gives the leading-order semi- classical expression for the conductance variance, for sys- tems without SRS (with SOI) in both cases of conserved or broken TRS, as well as in the intermediate regime of partially broken TRS. Therefore, to leading order in the number N ≫ 1 of transport chanels, spin conductance fluctuations are insensitive to the breaking of TRS. In the next section, this result is confirmed using RMT. Random matrix theory calculation. We next use the method of Ref. [21] to calculate the RMT average and fluctuations of the spin conductance. We write [6] j S†], ην , m ∈ i ij = Tr [Q(µ) T(µ) i SQ(0) ]mη,nν =(δmn σ(µ) ]mη,nν =(δmn σ(µ) 0, 0, ην , m ∈ j, otherwise , otherwise , [Q(µ) i [Q(µ) j (8a) (8b) (8c) where m and n are channel indices, η and ν are spin indices and σ(0) is the 2× 2 identity matrix. The trace in Eq. (8a) is taken over both sets of indices. We find that the average of the spin transmission vanishes in all cases, 3 µ G δ ] µ G [ r a v 0.25 0.2 0.15 0.1 0.05 0 0.14 0.12 0.1 0.08 0.06 0.04 0.02 hT(µ) ij iRMT = 0 . (9) 0 0 1 For the β = 4 ensemble, this result was first obtained in Ref. [6]. We further obtain 3 2 θ/θ c 4 5 var[T(µ) ij ]β=2;SRS = 0 , var[T(µ) ij ]β=2;✟ ❍SRS = 4 ✟❍ var[T(µ) ij ]β=4 = 4 j , NiNjN − NiN 2 N (4N 2 − 1) NiNj(N − 1) − NiN 2 N (2N − 1)(2N − 3) j (10a) (10b) . (10c) Eq. (10c) first appeared in Ref. [6], and expressions sim- ilar to Eq. (10b) appeared in Refs. [10, 11] for two- terminal geometries. We see that Eqs. (7), (10b) and (10c) all agree in the limit Ni,j ≫ 1, however, while the semiclassical expression Eq. (7) is valid only in that limit, Eqs. (10) are exact for any number of channels. Most interestingly, for a two-terminal setup with Ni = 1, (µ) ij ]β=4 = 0. Together with Eq. (9) Eq. (10c) gives var[T this gives an identically vanishing spin conductance, in agreement with Ref. [22]. This restriction no longer ap- plies once TRS is broken, as reflected in Eq. (10b) -- breaking TRS can turn spin currents in two-terminal ge- ometries, when the exit terminal carries a single trans- port channel. Numerical simulations. We numerically confirm our findings using the quantum mechanical spin kicked rotator model [23]. It is represented by a 2M × 2M Flo- quet matrix [23 -- 25] (See Supplemental Material [18]) l, l′ = 0, 1, . . . , M − 1, Fll′ = (ΠU XU †Π)ll′ , Πll′ = δll′ e−iπ(l+l0)2/M σ0, Ull′ = M −1/2e−i2πll′/M σ0, Xll′ = δll′ e−i(M/4π)V (2πl/M) . (11a) (11b) (11c) (11d) The matrix Π represents free ballistic motion, pe- riodically interrupted by spin-independent and spin- Figure 2: (Color online) Weak localization corrections to (top), and variance of (bottom) the charge (empty symbols) and spin (full symbols) conductance for the two- terminal quantum kicked rotator of Eqs. (11). Parameters are τD = 10, 20, K = 40, 60, 80, 90, Kso = 120 Ksoc and M = 128, 256, 512. The dashed lines indicate the RMT pre- dicted crossover from β = 4 to β = 2 [23]. Our semiclassical prediction of Eq. (7) is illustrated by the straight black line in the bottom panel. For all data, N > 10. dependent kicks given by the matrix X, and correspond- ing to scattering at the boundaries of the quantum dot, as well as SOI. We choose V (p) = K cos(p + θ) σ0 + Kso(σx sin 2p + σz sin p) . (12) The corresponding classical map is chaotic for kicking strength K & 7.5, accordingly in nour search for univer- sal behavior, we restrict ourselves to that regime. The SO coupling strength Kso is related to the SO rotation time τso (in units of the stroboscopic period) through τso = 32π2/K 2 soM 2 [23]. From (11), we construct the quasienergy-dependent scattering matrix as S(ε) = P [e−iε − F(1 − P T P )]−1FP T , (13) with P a 2N × 2M projection matrix Pkα,k′β =(δαβ 0 if k′ = l(k), otherwise. (14) The l(k) (k = 1, 2, . . . , 2N , labels the modes) give the position in phase space of the attached leads. The mean dwell time τD is given by τD = M/N . The parameter Kso breaks SRS over a scale Ksoc = 4π√2/M τ 1/2 D corre- sponding to τso = τD, and θ breaks time-reversal symme- try over a scale θc = 4π/KM τ 1/2 D when l0 is finite [23]. 0.15 0.1 0.05 ] µ G [ r a v 0 0 0.2 0.6 0.8 0.4 θ/θ c Figure 3: Spin conductance fluctuations for the quantum kicked rotator with SOI defined in Eq. (11) vs. the rescaled TRS breaking parameter θ/θc for NR = NL = 1. For θ = 0, one is in the β = 4 ensemble and TRS forces the spin conductance to vanish [22]. Breaking TRS results in a finite variance of the spin conductance. Dashed line: RMT prediction var[Gµ] = 4/30 for NR = NL = 1 [see Eq. (10b)]. Data correspond to K = 45, Kso = 120 Ksoc, with M = 128 (red circles), 256 (blue triangles) and 512 (black diamonds). The curves do not lie on top of one another, because the rescaling of the horizontal axis with θc assumes NR,L ≫ 1 [23]. In our numerics we fix l0 = 0.14. When K ≫ 1 and θ/θc ≫ 1, the charge conductance properties are those of the β = 2 ensemble, while for θ = 0 and Kso/Ksoc ≫ 1 they are those of the β = 4 ensemble [23]. In our numer- ics, we fix Kso/Ksoc = 120 and vary θ to gradually break TRS, starting from θ = 0. For simplicity, we specify to two-terminal setups and accordingly calculate the dimen- sionless spin conductance defined by Eq. (3) as Gµ = T(µ) RL for µ = z. We checked, but do not show, that numerical results remain the same if instead we consider µ = x, y. Fig. 2 first shows data for quantum corrections to the charge and spin conductance, as TRS is gradually broken. The top panel shows that weak localization corrections to the charge conductance are damped by a Lorentzian ∼ [1 + (θ/θc)2]−1 as predicted by RMT [4] and semiclas- sics [14]. There is no weak localization correction to the average spin conductance, both with and without TRS, in agreement with Ref. [6]. The bottom panel shows that charge conductance fluctuations are halved upon TRS breaking and their behavior agrees well with theoreti- cal predictions. The situation is entirely different, how- ever, for the spin conductance fluctuations, which are essentially insensitive to the breaking of TRS. This is in agreement with our predictions, Eqs. (7) and (10) for the large number of channels N > 10 considered in all data in Fig. 2. The new universal behavior corresponding to bro- ken SRS and TRS emerges at larger θ, where the charge conductance corresponds to the β = 2 Dyson ensemble, while the spin conductance is essentially the same as that of the β = 4 ensemble. Fig. 3 best illustrates the new universal behavior. When the exit lead carries a single transport channel, 4 TRS SRS Charge transport Spin transport Yes Yes Yes No No Yes No No β = 1 β = 4 β = 2 β = 2 β = 1; Gµ ≡ 0 β = 4; Eqs. (9) and (10c) Gµ ≡ 0 Eqs. (9) and (10b) Table I: Universality behavior of charge and spin transport properties in the four possible cases of broken or unbroken SRS and TRS. When both symmetries are broken, the spin transport properties correspond to those of the β = 4 Dyson ensemble in the limit NR, NL ≫ 1. Deviations from β = 4 are given in Eq. (10) for the spin conductance variance. They are largest for small number of channels. TRS requires that the spin conductance vanishes [22], re- gardless of the presence or absence of SRS. Fig. 3 shows that, when SRS is broken, breaking TRS turns spin cur- rents on, whose variance is given by Eq (10b) once TRS is totally broken. Note that the magnitude of the field necessary to break TRS for NR,L = 1 becomes smaller and smaller in the semiclassical limit, M → ∞ as the dwell time grows in that limit, τD ∼ M . Conclusions. By direct calculation we have shown that the spin conductance is an observable that is sensi- tive to the presence or absence of SRS even when TRS is broken. Breaking of SRS is necessary to magnetoelectri- cally generate a spin current, thus to acquire a finite spin conductance, but the latter is affected by TRS only when there are very few transport channels. Accordingly, we conclude that the β = 2 universality class splits into two different subsets for spin transport. In both cases, charge transport properties correspond to the β = 2 class, how- ever, the spin conductance vanishes identically when SRS is preserved, but exhibits a universal behavior when it is broken, see Eq. (10b). Spin and charge transport uni- versality classes are related to TRS and SRS in Table. I. Examples of systems with broken SRS and TRS include spin-orbit coupled systems under not too strong external magnetic fields, systems with spin textures and even spin valves with non-aligned magnetizations. Breaking TRS without breaking SRS is possible in systems with orbital magnetic field effects stronger than Zeeman effects, such as few-channel n-doped GaAs quantum dots in fields of the order of few tens of milliTeslas [4]. We thank M. Buttiker for several interesting discus- sions at various stages of this project. [1] F.J. Dyson, J. Math. Phys. 3, 1199 (1962). [2] Quaternions are numbers that extend the complex num- bers. A quaternion Q can be represented as a linear com- bination of the Pauli and the 2 × 2 identity matrices, Q = a0I + iaxσ(x) + iayσ(y) + iazσ(z). A quaternion ma- trix is a matrix whose matrix elements are quaternions. See e.g. Ref. [3]. [3] M.L. Mehta, Random Matrices, Academic Press (1991). [4] C.W.J. Beenakker, Rev. Mod. Phys. 69, 731 (1997). [5] I.L. Aleiner and V.I. Fal'ko, Phys. Rev. Lett. 87, 256801 (2001). [6] J.H. Bardarson, I. Adagideli, and Ph. Jacquod, Phys. Rev. Lett. 98, 196601 (2007). [7] Y.V. Nazarov, New J. Phys. 9, 352 (2007). [8] J.J. Krich and B.I. Halperin, Phys. Rev. B 78, 035338 (2008). [9] I. Adagideli, J. Bardarson, and Ph. Jacquod, J. Phys: Condens. Matter 21, 155503 (2009). [10] J.J. Krich, Phys. Rev. B 80, 245313 (2009). [11] J.G.G.S. Ramos, A.L.R. Barbosa, D. Bazeia, M.S. Hus- sein, and C.H. Lewenkopf, Phys. Rev. B 86, 235112 (2012). [12] E.V. Shuryak and J.J.M. Verbaarschot, Nucl. Phys. A560, 306 (1993). [13] A. Altland and M.R. Zirnbauer, Phys. Rev. B 55, 1142 (1997). [14] H.U. Baranger, R.A. Jalabert, and A.D. Stone, Phys. Rev. Lett. 70, 3876 (1993); K. Richter and M. Sieber, Phys. Rev. Lett. 89, 206801 (2002); I. Adagideli, Phys. Rev. B 68, 233308 (2003); S. Heusler, S. Muller, P. Braun, and F. Haake, Phys. Rev. Lett. 96, 066804 (2006); Ph. Jacquod and R.S. Whitney, Phys. Rev. B 73, 195115 (2006). [15] P.W. Brouwer and S. Rahav, Phys. Rev. B 74, 075322 (2006). [16] O. Zaitsev, D. Frustaglia, and K. Richter, Phys. Rev. B 5 72, 155325 (2005); J. Bolte and D. Waltner, Phys. Rev. B 76, 075330 (2007). [17] I. Adagideli, Ph. Jacquod, M. Scheid, M. Duckheim, D. Loss, and K. Richter, Phys. Rev. Lett. 105, 246807 (2010). [18] See Supplemental Material at http://link.aps.org/sup- plemental/10.1103/PhysRevB.88.041305 for more details on the semiclassical calculation of spin conductance and spin conductance fluctuations, as well as on the kicked rotator model of quantum transport. [19] F. Haake, Quantum Signatures of Chaos, 2nd Ed., Springer (Berlin, 2001). [20] H. Mathur and A.D. Stone, Phys. Rev. Lett. 68, 2964 (1992). [21] P.W. Brouwer and C.W.J. Benakker, J. Math. Phys. 37, 4904 (1996). [22] A.A. Kiselev and K.W. Kim, Phys. Rev. B 71, 153315 (2005); F. Zhai and H.Q. Xu, Phys. Rev. Lett. 94, 246601 (2005). [23] J.H. Bardarson, J. Tworzydlo, and C.W.J. Beenakker, Phys. Rev. B 72, 235305 (2005). [24] J. Tworzydlo, A. Tajic, H. Schomerus, and C. W. J. Beenakker, Phys. Rev. B 68, 115313 (2003); Ph. Jacquod and E.V. Sukhorukov, Phys. Rev. Lett. 92, 116801 (2004). [25] F. M. Izrailev, Phys. Rep. 196, 299 (1990). Supplemental Material 6 Semiclassical approach to spin transport. The short-wavelength semiclassical approach to transport has been pioneered by Stone and collaborators, and further developed to include quantum corrections by Richter and Sieber [14]. It is based on the scattering approach to transport, where transmission amplitudes are replaced with their semiclassical expression tij =Zi dyZj dy0Xγ Aγ exp[iSγ] . (S1) The sums run over all trajectories starting at point y0 located at the cross-section of the injection lead j and ending at point y at the cross-section of the exit lead i. The stability of the trajectory γ is given by Aγ, which includes a prefactor (2πi)−1/2 as well as a Maslov index [19], and Sγ is the classical action accumulated on γ, in units of . Charge conductances in units of twice the conductance quantum 2e2/h are given by the transmission probability tij2 which contains a double sum over trajectories and four spatial integrals. In the semiclassical, short-wavelength limit, these integrals reduce to two integrals [14], and one has Tij = tij2 =Zi dyZj dy0Xγ,γ ′ AγAγ ′ exp[i(Sγ − Sγ ′)] . (S2) Noting that the stability is much less energy-dependent than Sγ, the integrals in the above expression for hTiji (averaged over a small, but finite energy interval) are evaluated via a stationary phase approximation which results in specific pairings of the trajectories γ and γ′ [14]. For the conductance fluctuations, one obtains 2 + − hTiji2 . (S3) varTij =* Zi dyZj dy0Xγ,γ ′ AγAγ ′ exp[i(Sγ − Sγ ′)]  After a stationary phase approximation, this expression requires the pairing of four trajectories. The terms corre- sponding to disconnected pairings are cancelled by −hTiji2. One is left with the five contributions shown in Fig.1 of the main text. They were calculated in Ref. [15], which furthermore showed that the sum of contributions c), d) and e) vanish. Thus only contributions a) and b) matter for the charge conductance. Contribution b) vanishes when time-reversal symmetry is broken, thus the variance of the conductance is divided by two. The presence of spin-orbit interaction forces one to include spin rotation into the semiclassical propagator of Eq. (S1). In the weak spin-orbit coupling limit one usually makes the approximation in which the sole effect of the spin-orbit field is to rotate the spin along the unchanged classical trajectories. Mathur and Stone therefore replaced Eq. (S1) by tiσ,jσ′ =Zi dyZj dy0Xγ Aγ exp[iSγ](Uγ)σ,σ′ , (S4) with Uγ ∈ SU (2) encoding the spin rotation. The average charge conductance, this time in units of the conductance quantum e2/h, is given by, Xσ,σ′ tiσ,jσ′2 =Zi dyZj dy0Xγ,γ ′ AγAγ ′ exp[i(Sγ − Sγ ′)]Tr[U † γ ′Uγ] , (S5) and its average is usually calculated by performing the average separately over orbital and spin degrees of freedom. Thus, in order to account for the spin-orbit effects, one multiplies the right-hand side of Eq. (S2) by hTr[U † γ ′Uγ]iSU(2). The leading-order contribution to the charge conductance is given by the diagonal approximation, γ = γ′, with hTr[U † γUγ]iSU(2) = Tr[I2×2] = 2 for spin 1/2 particles. The weak localization correction corresponds to the diagram shown in Fig. S1, which is multiplied by hTr[U 2 loop]iSU(2) = −1 [16]. If there is no spin rotation (in the absence of spin-orbit interaction), one instead obtains 2. This explains the magnitude and sign reversal of magnetoresistance with/without spin-orbit interaction. There are different ways to calculate such averages over SU(2). For instance one may write Uloop = α −β∗ α∗ ! , β 7 L    1 loop R    2 Figure S1: (Color online) Semiclassical diagram determining the weak localization contribution to the conductance and spin conductance, to leading order in the number N ≫ 1 of transport channels. Blue (dark) and red (light) trajectories travel in opposite direction along the loop, thus the contribution vanishes in the presence of a large magnetic flux piercing the loop, giving rise to magnetoresistance. For spin transport, on the other hand, the additional factor to calculate becomes hTr[U † with α2 +β2 = 1, so that real and imaginary parts of α and β correspond to coordinates on a 3-sphere. The average can then be calculated via an integral over the surface of that sphere. γ ′σ(µ)Uγ]iSU(2). This average vanishes for both the diagonal and the weak localization contributions to the conductance [17]. For spin conductance fluctuations, the factors are obtained by labeling different trajectory segments. This is done explicitly in Fig. S2. The spin-dependent prefactor is then straighforward to obtain, for the spin conductance fluctuations it is hTr[U † 6 σ(µ)U6U5]i. The calculation of this average as an integral over the surface of the 3−sphere does not present any technical difficulty, and one finds that it vanishes. Similar labelling of the other contributions in Fig.1 of the main text lead to the expression giving there, with only contributions d) and e) giving finite values as they are multiplied by a different spin-prefactor. 6 σ(µ)U6U5U4]i = hTr[U † 3 σ(µ)U3U2U1] × Tr[U † 1 U † 5 U † 4 U † 2 U † 5 U † 3 σ(µ)U3U2] × Tr[U † 2 U † The kicked rotator model for transport. Our numerics are based on the spin kicked rotator model. The kicked rotator was introduced in the context of quantum chaos by Casati, Chirikov, Izrailev and Ford (for a review of the kicked rotator in quantum chaos see Ref. [25]). It is a generic model of dynamical systems. It has been extended to study open condensed matter systems [14, 24], where it has in particular been found that all properties expected of ballistic quantum dots can be reproduced (weak localization, universal conductance fluctuations, shot-noise and so forth). It has recently been extended to account for the presence and the influence of spin-orbit interaction on charge transport in Ref. [23], again reproducing expected reversal of magnetoresistance when the spin-orbit interaction is cranked up, the reduction in conductance fluctuations and so forth. Ref. [6] applied the spin kicked rotator to spin transport, and it was found that the model reproduces random matrix theory predictions in a wide range of parameters. The Hamiltonian for the kicked rotator is H = (k + l0)2 2 + K cos(p + θ)Xn δ(t − nτ0) , (S6) which represents a free particle with kinetic energy (k + l0)2/2 periodically perturbed by kicks of strength K and period τ0. The latter time scale just serves as a unit of time from now on and we accordingly set it equal to one. The parameters l0 and θ are necessary to break time-reversal symmetry [25]. Because of the system's additional symmetries two, and not one (e.g. magnetic field) parameters are necessary to break time-reversal symmetry. The Hamiltonian is quantized on a torus by discretizing momenta, k → kl = 2πl/M , l = 1, 2, ...M , and positions p → pn = 2πn/M . The model is usually represented by its Floquet, unitary time-evolution operator from the middle of a free evolution period to the middle of the next one. In this way the Floquet operator is symmetrized. Momentum and position are L     L    1 4 2 5 3 6    R    R Figure S2: (Color online) Contribution a) of Fig.1 of the main text to the conductance fluctuations. Different trajectory segments are explicitly labelled.
1607.01041
2
1607
2016-11-15T15:21:45
Heterodyne Hall Effect in a Two Dimensional Electron Gas
[ "cond-mat.mes-hall" ]
We study the hitherto un-addressed phenomenon of Quantum Hall Effect with a magnetic and electric fields oscillating in time with resonant frequencies. This phenomenon realizes an example of heterodyne device with the magnetic field acting as a driving and is analyzed in detail in its classical and quantum versions using Floquet theory. A bulk current flowing perpendicularly to the applied electric field is found, with a frequency shifted by integer multiples of the driving frequency. When the ratio of the cyclotron and driving frequency takes special values, the electron's classical trajectory forms a loop and the effective mass diverges, while in the quantum case we find an analogue of the Landau quantization. Possible realization using metamaterial plasmonics is discussed.
cond-mat.mes-hall
cond-mat
a Heterodyne Hall Effect in a Two Dimensional Electron Gas Takashi Oka1, 2 and Leda Bucciantini1, 2 1Max Planck Institute for the Physics of Complex Systems, Nothnitzer Str. 38, D-01187, Dresden, Germany 2Max Planck Institute for Chemical Physics of Solids, Nothnitzer Str. 40, D-01187, Dresden, Germany (Dated: November 16, 2016) We study the hitherto un-addressed phenomenon of Quantum Hall Effect with a magnetic and electric field oscillating in time with resonant frequencies. This phenomenon realizes an example of heterodyne device with the magnetic field acting as a driving and is analyzed in detail in its classical and quantum versions using Floquet theory. A bulk current flowing perpendicularly to the applied electric field is found, with a frequency shifted by integer multiples of the driving frequency. When the ratio of the cyclotron and driving frequency takes special values, the electron's classical trajectory forms a loop and the effective mass diverges, while in the quantum case we find an analogue of the Landau quantization. Possible realization using metamaterial plasmonics is discussed. I. INTRODUCTION FIG. 1. (Color online) (a) A heterodyne mixes the frequency ω of the input signal with its driving frequency Ω. The output is a superposition of signals with frequencies ω + lΩ, l ∈ Z. (b) Model under study: an input electric field directed along y and a magnetic field oscillating in time along z, acting on a two dimensional electron gas (2DEG): this yields an electric current along x, with a frequency different from the one of the input electric field. In this example, the input is a sin- gle mode with an envelope function, and the output is the zero frequency envelope, which are related by the coefficient σ−1 xy (Ω). Kohmoto, Nightingale and den Nijs2. The process is dis- sipationless because the current is perpendicular to the field and no Joule heating takes place. Here, we report an extension of this concept to the physically interesting case when the magnetic and elec- tric fields are time dependent with resonant frequencies. This realizes an example of heterodyne response, which is an ubiquitous technique in today's electronics with var- ious usages such as high precision optical detection3–5. Heterodyne (frequency mixer) is an electronic device that mixes frequencies of oscillating signals through a nonlin- ear process [Fig. 1(a)]. It is periodically driven by a "local oscillator" with frequency Ω, and integer multi- ples of Ω are added or subtracted to the frequency ω of the input signal. Here we will be interested in studying a heterodyne system where the driving oscillator is the magnetic field while the input signal is an electric field [Fig. 1(b)]. An important example of periodically driven systems is the zero resistance state that occurs in a 2DEG driven by microwaves in a semiconductor heterostructure in weak magnetic fields6 (reviewed in ref.7). More recently, peri- odically driven lattice systems are attracting interest8–11 as a way to realize a topological Chern insulator12, which was recently confirmed experimentally13,14. However, we stress that these examples focused on the response of the system to a static electric field, and the heterodyne re- sponse still waits for detailed investigation. In this paper, we set out to fill this gap and develop a theory for hetero- dyne response by studying the conductivity of a 2DEG confined in the xy-plane subject to a z-directed magnetic field Quantum Hall Effect (QHE) is one of the deepest phe- nomena in condensed matter physics. When a static elec- tric field is applied to a quantum Hall state, a current perpendicular to the field is induced, and their linear relation jx = σH Ey is given by the Hall conductivity σH = e2 In Integer Quantum Hall Effect (IQHE), the factor ν is strictly an integer and was related to a topological index, the 1st Chern number, by Thouless, h ν1. Bz(t) = B cos Ωt, (1) with an oscillating electric field [see Fig. 1(b)]. We will focus on the strong nonlinear effects introduced when the frequencies of the driving and of the electric field are resonant, i.e. when ω = nΩ, n ∈ N. The paper is organized as follows. In Sec. II and III we develop a theory for this system at the classical and ΩPeriodic drivinginput signal Heterodyne(Floquet state)output signalωω + l Ω(a)(b)B(t) Oscillatingmagnetic field2DEGjxEyjxEy quantum level respectively, while in Sec. IV we summa- rize our results and discuss open problems. II. CLASSICAL CASE ab In this section we study the response of a classical 2DEG to a time oscillating weak electric field in the pres- ence of an oscillating magnetic field and compute the con- ductivity tensor, that we call heterodyne conductivity. The heterodyne conductivity σm,n , introduced here for both classical and quantum cases, is a four index tensor implicitly defined by the linear relation that holds be- tween the electric current density ja(mΩ) of the output signal with frequency mΩ, flowing along the a-direction (a, b = x, y, z) and the (weak) electric field En b along the b-direction with frequency nΩ. Given an electric signal Eb(t) = Eb(ω)e−iωt along a di- rection b with frequency ω, the output current generated from the heterodyne along a direction a can be expanded in modes with frequencies ω + lΩ, with l a generic inte- l ja(ω + lΩ)e−i(ω+lΩ)t. Then the linear ger, as ja(t) =(cid:80) relation ja(ω + lΩ) = σl ab(ω)Eb(ω) (2) holds as long as the field is weak and defines the con- ab(ω). When ω = nΩ, with n ∈ N, defining ductivity σl Eb(nΩ) ≡ En b and l + n = m, (2) can be rewritten as ja(mΩ) = σm−n ab (nΩ)En b . (3) ab (nΩ) ≡ σm,n Defining σm−n , so that the upper left index labels the component of the outgoing current while the upper right index the component of input electric field, then (3) gives ab ja(mΩ) = σm,n ab En b . More explicitly, the heterodyne conductivity σm,n tained inverse Fourier transforming (4) ab (4) is ob- (cid:88) b (cid:88) b (cid:88) ∞(cid:88) n=−∞ b 1 t0En b 0 σm,n ab = lim t0→∞ with ja(t) = eneva(t). t0 dt eimΩtja(t) (5) The current density ja(t) is related to the electron's velocity va(t) by the relation ja(t) = neeva(t), with e < 0 the electron's charge and ne the electron's density; the velocity va(t) can be derived from the solution of the classical equation of motion (cid:19) (cid:18) d dt (cid:18) me + η v(t) = e E + v(t) × B(t) , (6) 1 c (cid:19) where me is the electron's mass while η is a small phe- nomenological scattering parameter necessary for the 2 convergence of the particle's trajectory in electric fields; B(t) = Bz(t)z is the oscillating magnetic field (1), E is the (infinitesimal) applied electric field. We note that we have neglected the electric field emerging from the time dependent magnetic field, which will be recovered in the quantum case. Given the rotational invariance of the system, we arbitrarily fix the direction of the electric field as the y-direction and restrict our analy- sis to {n, m} = 0, 1, with Ey(t) = E0 y (n = 0) and Ey(t) = E1 y cos(Ωt) (n = 1). The behavior of the par- ticle strongly depends on the ratio r = ωc Ω , (7) with ωc = eB/mec the cyclotron frequency. The formulas for the heterodyne conductivities can be derived as follows. Defining v(t) = vx(t) + ivy(t), (8) the equation of motion (6) for E = E0 y y becomes (cid:18) (cid:19) v(t) = i eE0 y me + v(t) −i eBz(t) mec − η , (9) whose solution is v(t) = i eE0 y me e−ηt+ir sin(Ωt) 0 t ds eηs−ir sin(Ωs). (10) The results for the conductivities are thus σ0,0 xy + iσ0,0 yy = i σ1,0 xy + iσ1,0 yy = i e2ne me e2ne me , Jn(r)2 η − iΩn Jn(r)J1−n(r)(−1)n η + iΩ(1 − n) , ∞(cid:88) ∞(cid:88) n=−∞ n=−∞ where Jn(r) is the n-th Bessel function of the first kind. From the former of these equations we derive σ0,0 xy = 0, σ0,0 yy = e2ne meη J0(r)2. (11) When applying an oscillating electric field along the y- direction with E(t) = E1 y cos(Ωt)y, the solution for v(t) is t ds eηs−ir sin(Ωs) cos(Ωs), v(t) = i eE1 y me e−ηt+ir sin(Ωt) and, as a result, we get σ0,1 xy + iσ0,1 yy = i e2ne me 0 ∞(cid:88) Jn(x)Jn−1(x) n=−∞ η + iΩn . (12) Fig. 2(a) shows the results for the static diagonal and transverse conductivity (all in absolute values) σ0,0 yy , σ0,0 xy and the inverse heterodyne Hall conductivity 1/σ1,0 xy , 1/σ0,1 xy as a function of r. The diagonal conductivity σ0,0 yy 3 III. QUANTUM CASE Let us now consider a quantum version of the hetero- dyne Hall effect in a one-particle system. This is obtained with the minimal substitution starting from free electrons (pµ → pµ − e/cAµ, with µ = 1, 2); in the Landau Gauge the vector potential is Ax(t) = 0; Ay(t) = Bz(t)x, which generates the electro-magnetic field Bz(t) = B cos Ωt, Ey(t) = − ∂Bz(t) ∂t x. (13) The quantum Hamiltonian is H(t) = 2k2 y 2me + H0(x, t) − F (t)x (14) 2 + me(ω(t))2 where H0(x, t) = p2 x2 is the Hamiltonian x 2me of a quantum harmonic oscillator (HO) with an oscillat- ing frequency ω(t) = ωc cos Ωt. F (t) is a driving term which contains the (infinitesimal) input electric field, which we choose as Ex(t) = E1 x cos Ωt, and has the form F (t) = ω(t)ky − eEx(t). We emphasize that transla- tional invariance in the y-direction still holds. Using the time periodicity of the Hamiltonian H(t + T ) = H(t) for T = 2π/Ω, we seek for a solution of the time dependent Schrodinger equation in the Floquet form18–21 Ψn(x, t) = e− i En(ky)tΦn(x, t), (15) where Φn(x, t) is a periodic function in time and En(ky) is the Floquet quasi-energy. To be more precise, being (15) a Floquet solution, it will be labeled by a combined index α = (n, m) where n is the HO energy level and m = 0,±1,±2, . . . represents replica states ("photon-absorbed state"). Using the transformation by T. Taniuti and K. Φn(x, t) = Husimi22, the Floquet state23 is given by ϕn(x − X(t), t) eikyy(cid:112)Ly (cid:20) i (cid:21) {me X(t)(x − X(t)) + (cid:2)H0(x, t) − i ∂ (cid:3) ϕn(x, t) = εnϕn(x, t), with energy εn; Here, ϕn(x, t) is the solution of the eigenvalue problem dt(cid:48)L(t(cid:48)) − L0t} × exp t 0 (16) . ∂t The wavepacket center X(t) is the solution of the equa- tion of motion for a classical HO with a driving term F (t): me X(t) + meω(t)2X(t) = F (t); L(t) and L0 are respectively the Lagrangian and its time average for this ´ driven HO, given by L(t) = 1 2 meω(t)2X 2(t)+ 0 L(t(cid:48))dt(cid:48). The expression for X(t)F (t) and L0 = 1 T En(ky) in (15) is related to εn by 2 me X 2(t)− 1 T En(ky) = n − L0 + 2k2 y 2me . (17) FIG. 2. (Color online) (a) Static and heterodyne conduc- tivities of a classical particle in an oscillating magnetic field. The dashed line represents the classical result for the resis- tivity in a static magnetic field. The parameter η is 0.05, ne = me = e = 1. r = rcl α are identified as the zeroes of the Bessel function J0(r), explicitly rcl = {2.40, 5.52, 8.67, . . .}. (b) Trajectories of the charged particle for different values of r, in zero electric field. (0) For general values, the particle makes open detours. (i-iii) For rcl 2 = 5.52 (ii) and rcl 3 = 8.67 (iii), the trajectory forms a closed periodic orbit, whose winding number per half cycle T /2 = π/Ω is an integer α = 1, 2, 3, respectively. 1 = 2.40 (i), rcl α (α = 1, 2, 3, . . .). cl by me/m∗ first decreases when enlarging B and vanishes at a dis- crete set of points, labeled as r = rcl This behavior can be understood from the dynamics of the particles in zero electric field [Fig. 2(b)], which is no longer the cyclotron motion in an oscillating magnetic field. In static but spatially inhomogenous fields, par- ticles make detours and their paths were called "snake states"15. This generally also takes place in temporary oscillating magnetic fields16 and the detour makes the particles "heavy". We can relate the diagonal conductiv- ity with the particle's effective mass m∗ cl = yy /σ0, with σ0 = e2ne/(ηme) being the zero field σ0,0 expression17. When the diagonal conductivity σ0,0 yy van- ishes at r = rcl α , the particle's trajectory in zero external field forms closed loops and the index α used to iden- tify them has a topological meaning of winding number per half period. Indeed to have a closed trajectory, no dissipative process should be present, which implies a vanishing diagonal conductivity. The static transverse conductivity is expected to vanish due to time reversal invariance of the system on time scales multiples of a pe- riod. The system also shows a nontrivial heterodyne Hall re- sponse. The Hall conductivity σ0,1 xy , which coincides with xy , takes values close to the classical result (neec)/B σ1,0 when the field is strong enough [Fig. 2(a)]. In particular, they coincide when the effective mass diverges at r = rcl α ; we note that this feature is present also in the quantum case. (a)(iii)(ii)(i)(0)(b)(iii)(ii)(i)(0) 1/σxy0,1 σyy0,0 1/σxy1,0α=1α=3α=2σxy0,0cω Ω 4 α rq α Q 1 2 3 4 1.89 5.07 8.22 11.37 0.221 0.153 0.124 0.106 TABLE I. The values for the constant Q are reported for the first four r = rq α. the Floquet-Magnus Using 2m , V (x)], V (x)] = − 1 [[ p2 effective Hamiltonian, up to order Ω−2, is ∂x m expansion24,25 and , the high frequency (cid:0) ∂V (cid:1)2 [[H 2,0, H 00], H 2,0] Heff = H 0,0 + (2Ω)2 = ωeff (Ω)(n + 1/2) (cid:113) (cid:0) ωc (cid:1)2 (22) 1 + 1 16 with ωeff (Ω) = ωc√ . Therefore, in the large Ω limit, the energy eigenvalues reduce to those of a static quantum HO with a renormalized frequency that depends on the driving Ω Ω 2 n = ωeff (Ω)(n + 1/2). (23) In Fig. 3(b) we present the full Floquet spectrum n as a function of Ω (solid lines), obtained diagonalizing (21). For large Ω values, it agrees well with the high frequency effective spectrum (23) (dashed lines). In this limit, the wave function ϕn in (16) is the usual HO eigenstate, i.e. e−x2/2l2 Hn(x/l) with l = (me/ωeff )1/2, while the orbital mixing increases as Ω becomes smaller. The Floquet quasi-energy En(ky) can be further cal- culated from (17) resulting in 2k2 y 2me − 2 En(ky) = n + 2me where the effective mass m∗ e is given by e 1 − me m∗ (cid:18) 2π (cid:19)(cid:18) ky − eE1 xωc (cid:19)2 , (24) me m∗ e = 1 + r2 2π dτ cos τ ξ(τ ) (25) 0 e diverges at certain ratios r = rq plotted in Fig. 4. We can compare this plot with that yy ∼ me/m∗ of the classical longitudinal conductivity σ0,0 cl shown in Fig. 2(a). First, we see that the effective mass m∗ α collected in Table I. When this happens, the ky-dependence in (24) drops out in the absence of E1 x and a macroscopic number of states become degenerate, an analog of Landau quanti- zation now realized by the oscillating magnetic/electric fields (13). Around r = rq α, the effective mass changes sign from negative (hole like) to positive (electron like). Mathematically, the condition for divergent (r = rq α) and zero effective mass, i.e. m∗ e → ∞, 0, coincides with the periodic solution condition of the Mathieu equation with- out the source term. The quantum version of the heterodyne Hall effect oc- curs when we turn on the x-direction ac electric field E1 x (Color online) (a) Plot of ξ(τ ) defined in (18) for FIG. 3. r = 1.5, 2, 3. (b) The intricate Floquet spectrum (solid lines) reduces, for large enough Ω, to equispaced energy levels of a quantum HO (dashed lines) with frequency ωeff . (cid:19) (cid:18) To extract the solution for X(t) it is convenient to introduce a dimensionless variable ξ(τ ) , (18) ky − eE1 xωc X(t) = −(lBr)2ξ(τ ) where τ = Ωt, lB =(cid:112)c/eB is the magnetic length and obtained by writing ξ(τ ) =(cid:80) ξ(τ ) is the solution for Mathieu's equation with a source term ξ(cid:48)(cid:48)(τ ) + 2a cos2 τ ξ(τ ) = − cos τ , with a = r2/2. The variable ξ inherits the periodicity ξ(τ +2π) = ξ(τ ) from X and oscillates around x = 0 as shown in Fig. 3(a). This is m ξme−imτ and solving the linear relation ξm(−m2+ r2 4 (ξm−2+ξm+2)+ 1 2 δm2,1 = 0. 2 )+ r2 To derive the Floquet spectrum n and the wave func- tion ϕn(x, t), we have to compute the Floquet Hamilto- nian H(x, t) = H0(x, t)− i ∂ ∂t , whose matrix elements in the Floquet basis m(t)(cid:105) = e−imt are given, as usual, by dtei(m−m(cid:48))ΩtH0(x, t) + mδm,m(cid:48)Ω. (19) After conveniently rewriting H0(x, t) as me ¯ω2 2 x2 + 1 4 me ¯ω2x2(e2iΩt + e−2iΩt), (20) 2, (19) yields (cid:19) + me ¯ω2 2 x2 + mΩ δm,m(cid:48) + me ¯ω2x2(δm,m(cid:48)+2 + δm,m(cid:48)−2). (21) + (cid:18) p2 x 2me 1 4 Hm,m(cid:48) = 1 T H0(x, t) = p2 x 2me √ with ¯ω = ωc/ Hm,m(cid:48) = 2π4π0τξr =1.5 r =2r =3(b)εnΩ(a) 5 FIG. 5. (Color online) (a) Schematic representation of the particle's dynamics. The wavefunction is a plane wave with momentum ky along the y-direction (represented as a com- pactified dimension, assuming Ly finite) and a localized wave packet oscillating along the x-direction. (b) When the Lan- dau quantization condition r = rq α is met, the Floquet quasi- energy becomes flat (linearly tilted) in the absence (presence) of Ex(t) = E1 x cos(Ωt). The many particle state is achieved by filling the states with electrons with a spin, denoted as circles with an arrow, respecting the Pauli principle. (c) The motion of the wave packet center for the many particle state in (b). The initial position X(0) depends on ky; wavepackets with different ky evolve independently and oscillate around x = 0 according to (18). interesting effects. The electron wave functions overlap simultaneously around x = 0 during the time evolution [Fig. 5(c)]. This makes the interaction between states with different ky to be enhanced and long-ranged. If the system can be stabilized and cooled, ordering such as ferromagnetism, Wigner crystal, and even an analogue of the fractional QHE state might be induced. How- ever, it is also likely that the accumulation of macro- scopic number of electrons will make the system unstable and even destroy the sample along the line x = 0. (ii) Is the heterodyne Hall conductivity σ0,1 yx a topological quan- tity? Similar to the traditional IQHE1,17, the current ex- pression (27) is proportional to ν and is thus quantized. The renormalized coefficient e2 h Q is fixed as long as the magnetic field B is changed simultaneously with the fre- quency Ω respecting the quantization condition r = rq α. In order to answer this question, an edge calculation and an extension of the TKNN formula2 is important, which may reveal a bulk-boundary correspondence26 in heterodynes. (iii) Physical realization is an important problem. The driving field (13) can be realized by plac- ing two anti-parallel wires with currents oscillating as ±I cos(Ωt). The 2DEG is to be placed between the wires. This setup may be realized using THz plasmonics, with which it is already possible to generate magnetic fields with strength above 1 Tesla oscillating in the terahertz (Color online) The inverse effective mass me m∗ FIG. 4. function of r. The effective mass diverges at r = rq in the Landau quantization. as a α resulting e leading to a dc current flowing in the y direction. We can compute the dc-current Jy(ky) = e ∂En∂ky for a state with ky as the momentum derivative of the dispersion relation (24) as in the static case. The total current den- sity for a system of dimensions Lx × Ly is defined as jy = 1 fn(ky)Jy(ky) and given that the distri- bution fn(ky) is even in ky due to the invariance under time reversal, we obtain a linear relation (cid:80) LxLy ky jy = σ0,1 yx E1 x, where the heterodyne Hall coefficient is given by σ0,1 yx = e2 h Qν. (26) (27) The Landau level filling ν = Ne/NΦ is defined as the ratio of the electron density Ne and the level degeneracy NΦ = LxLy Br2max ξ 2πl2 ; (28) NΦ is obtained by imposing the wave packet center (18) to be within the strip, i.e. X(t) ∈ [−Lx/2, Lx/2] for E1 x = 0, where max ξ is the maximum of ξ during time evolution. The factor Q = nonmonotonous function of r, while its value at r = rq α presented in Table I monotonously decreases. /(cid:0)2r2maxξ(cid:1) is a 1 − me m∗ (cid:16) (cid:17) e IV. CONCLUSIONS To summarize, we have computed the heterodyne con- ductivities in a 2DEG subject to a time oscillating mag- netic field, both for the classical and quantum case. We schematically illustrate our findings in Fig. 5 and discuss several problems we would like to investigate in the future. (i) The many particle state is realized by fill- ing the states with Ne = νNΦ electrons as indicated in Fig. 5(b). Since the system is heated by the external driving, it is likely to have states with mixed Landau or- bitals n. The effect of Coulomb interaction may lead to me/m*ecω /Ωr=r1qr=r2qr=r3qyxX(t)0ykEn=0n=2n=1time02π/Ωx(a)(b)(c)E =0x1X(t)n0 domain27,28. This is the strength and frequency neces- sary to realize the quantization condition and to be in the quantum limit, i.e. small ν. 6 V. ACKNOWLEDGMENTS We thank Masaaki Nakamura and Yu Mukai for illu- minating discussions. TO acknowledges Stefan Kaiser, Thomas Weiss, Koichiro Tanaka and Andre Eckardt for fruitfull discussions. This work is partially supported by KAKENHI (Grant No. 23740260) and from the ImPact project (No. 2015-PM12-05-01) from JST. 1 K. v. Klitzing, G. Dorda, and M. Pepper, Phys. Rev. Lett. 45, 494 (1980). 2 D. J. Thouless, M. Kohmoto, M. P. Nightingale, and M. den Nijs, Phys. Rev. Lett. 49, 405 (1982). 3 A. Maznev, K. Nelson, and J. Rogers, Optics letters 23, 27 T. J. Yen, W. J. Padilla, N. Fang, D. C. Vier, D. R. Smith, J. B. Pendry, D. N. Basov, and X. Zhang1, Science 303, 1494 (2004). 28 Y. Mukai, H. Hirori, T. Yamamoto, H. Kageyama, and K. Tanaka, New Journal of Physics 18, 013045 (2016). 1319 (1998). 4 R. W. P. Drever, J. L. Hall, F. V. Kowalski, J. Hough, G. M. Ford, A. J. Munley, and H. Ward, Applied Physics B 31, 97 (1983). 5 W. Lenth, Opt. Lett. 8, 575 (1983). 6 R. G. Mani, J. H. Smet, K. von Klitzing, V. Narayana- murti, W. B. Johnson, and V. Umansky, Nature 420, 646 (2002). 7 I. A. Dmitriev, A. D. Mirlin, D. G. Polyakov, and M. A. Zudov, Rev. Mod. Phys. 84, 1709 (2012). 8 T. Oka and H. Aoki, Phys. Rev. B 79, 081406 (2009). 9 T. Kitagawa, T. Oka, A. Brataas, L. Fu, and E. Demler, Phys. Rev. B 84 (2011). 10 N. H. Lindner, G. Refael, and V. Galitski, Nat. Phys. 7, 490 (2011). 11 M. S. Rudner, N. H. Lindner, E. Berg, and M. Levin, Phys. Rev. X 3, 031005 (2013). 12 F. D. M. Haldane, Phys. Rev. Lett. 61, 2015 (1988). 13 M. C. Rechtsman, J. M. Zeuner, Y. Plotnik, Y. Lumer, D. Podolsky, F. Dreisow, S. Nolte, M. Segev, and A. Sza- meit, Nature 496, 196 (2013). 14 G. Jotzu, M. Messer, R. Desbuquois, M. Lebrat, T. Uehlinger, D. Greif, and T. Esslinger, Nature 515, 237 (2014). 15 J. E. Muller, Phys. Rev. Lett. 68, 385 (1992). 16 D. Irawan, S. Viridi, S. N. Khotimah, F. D. E. Latief, and Novitrian, in American Institute of Physics Conference Series (2015), vol. 1656 of American Institute of Physics Conference Series, p. 060009, 1504.03595. 17 S. M. Girvin, in A. Comtet, T. Jolicoeur, S. Ouvry, and F. David, eds., Topological Aspects of Low Dimensional Systems (1999), p. 53, cond-mat/9907002. 18 H. Sambe, Phys. Rev. A 7, 2203 (1973). 19 J. H. Shirley, Phys. Rev. 138, B979 (1965). 20 M. Grifoni and P. Hanggi, Physics Reports 304, 229 (1998). 21 A. G. Fainshtein, N. L. Manakov, and L. P. Rapoport, Journal of Physics B: Atomic and Molecular Physics 11, 2561 (1978). 22 K. Husimi, Prog. Theo. Phys. 9, 381 (1953). 23 L. S. Brown, Phys. Rev. Lett. 66, 527 (1991). 24 S. Blanes, F. Casas, J. Oteo, and J. Ros, Physics Reports 470, 151 (2009). 25 E. S. Mananga and T. Charpentier, The Journal of chem- ical physics 135, 044109 (2011). 26 Y. Hatsugai, Phys. Rev. Lett. 71, 3697 (1993).
1701.01553
3
1701
2018-11-03T01:28:13
Universality and stability of the edge states of chiral-symmetric topological semimetals and surface states of the Luttinger semimetal
[ "cond-mat.mes-hall" ]
We theoretically demonstrate that the chiral structure of the nodes of nodal semimetals is responsible for the existence and universal local properties of the edge states in the vicinity of the nodes. We perform a general analysis of the edge states for an isolated node of a 2D semimetal, protected by {\em chiral symmetry} and characterized by the topological winding number $N$. We derive the asymptotic chiral-symmetric boundary conditions and find that there are $N+1$ universal classes of them. The class determines the numbers of flat-band edge states on either side off the node in the 1D spectrum and the winding number $N$ gives the {\em total} number of edge states. We then show that the edge states of chiral nodal semimetals are {\em robust}: they persist in a finite-size {\em stability region} of parameters of chiral-asymmetric terms. This significantly extends the notion of 2D and 3D topological nodal semimetals. We demonstrate that the Luttinger model with a quadratic node for $j=\frac32$ electrons is a 3D topological semimetal in this new sense and predict that $\alpha$-Sn, HgTe, possibly Pr$_2$Ir$_2$O$_7$, and many other semimetals described by it are topological and exhibit surface states.
cond-mat.mes-hall
cond-mat
Universality and stability of the edge states of chiral-symmetric topological semimetals and surface states of the Luttinger semimetal Maxim Kharitonov, Julian-Benedikt Mayer, and Ewelina M. Hankiewicz Institute for Theoretical Physics and Astrophysics, University of Wurzburg, 97074 Wurzburg, Germany We theoretically demonstrate that the chiral structure of the nodes of nodal semimetals is re- sponsible for the existence and universal local properties of the edge states in the vicinity of the nodes. We perform a general analysis of the edge states for an isolated node of a 2D semimetal, protected by chiral symmetry and characterized by the topological winding number N . We derive the asymptotic chiral-symmetric boundary conditions and find that there are N + 1 universal classes of them. The class determines the numbers of flat-band edge states on either side off the node in the 1D spectrum and the winding number N gives the total number of edge states. We then show that the edge states of chiral nodal semimetals are robust: they persist in a finite-size stability region of parameters of chiral-asymmetric terms. This significantly extends the notion of 2D and 3D topolog- ical nodal semimetals. We demonstrate that the Luttinger model with a quadratic node for j = 3 2 electrons is a 3D topological semimetal in this new sense and predict that α-Sn, HgTe, possibly Pr2Ir2O7, and many other semimetals described by it are topological and exhibit surface states. Introduction. Edge states in 2D nodal semimetals have been demonstrated in numerous theoretical calcula- tions [1 -- 16], mainly for models describing monolayer and bilayer graphene. Their existence is attributed [15, 16] to the topological invariants characterizing the nodes, the winding numbers N , which are well-defined in the pres- ence of chiral symmetry. Still, up to now, the general structure of the edge states of chiral-symmetric 2D nodal semimetals and its relation to the winding numbers have not yet been explicitly established for arbitrary N . In this Letter, we carry out this task locally in the Bril- louin zone (BZ), by performing a general analytical anal- ysis of the edge states in the vicinity of an isolated node. As the main advancement, we derive the most general form of the asymptotic boundary conditions (BCs) that respect chiral symmetry. We find that there are N + 1 discrete universal classes of them. These classes describe all possible universal structures of the edge states (Fig. 1) and establish their connection to the winding number N . We then address the stability properties of the edge FIG. 1: Universal local structure of the edge states of 2D chiral-symmetric nodal semimetals, with the Hamiltonian HN [Eq. (1)] and boundary conditions (9) of classes (Na, Nb), il- lustrated for (a) N = 1 and (b) N = 2. There are Na,b flat edge-state bands (red) on the two sides off the node and their total number Na+Nb = N is equal to the winding number; for (2, 0) and (0, 2), the degenerate bands are split for visibility. states and show that they are robust under the effects of chiral symmetry breaking. This allows for a significant extension of the notion of a topological nodal semimetal in both 2D and 3D. As an important application of the developed framework, we demonstrate that the Luttinger model [17] with a quadratic node for j = 3 2 electrons, de- scribing materials like α-Sn [18 -- 20], HgTe [18 -- 20], and Pr2Ir2O7 [21], exhibits surface states and is a 3D topo- logical semimetal in this new more general sense. 2D chiral-symmetric nodal semimetal. First, we con- sider an isolated chiral node of a 2D semimetal arising at some point in the BZ from a degeneracy of two elec- tron levels, to be denoted a and b. We assume that the Hamiltonian for the two-component wave function ψ = (ψa, ψb)T has the form (1) HN (px, py) =(cid:18) 0 pN + 0 (cid:19) , p± = px ± ipy, − pN to the leading order in momentum (px, py) in the vicinity of the node; N is a positive integer. The Hamiltonian has chiral symmetry [16]: under the transformation ψ → τz ψ, τz = diag(1,−1), (2) it changes its sign, τz HN (px, py)τ†z = − HN (px, py). Due to chiral symmetry, HN is characterized by a well-defined topological invariant, the winding number N , [16] related to the Berry phase πN . We stress that the winding number N is a local topolog- ical characteristic of the node in the BZ. The properties of the edge states that we study are also local, and we do not address the reasons for the existence of the node. We assume that the node is isolated from possible other nodes at different points in the BZ, also in the presence of the edge [22]. Universal asymptotic chiral-symmetric boundary con- ditions. We first derive the most general form of the BCs arXiv:1701.01553v3 [cond-mat.mes-hall] 3 Nov 2018 for the Hamiltonian HN that satisfy chiral symmetry. This derivation is free of any microscopic assumptions and, beside chiral symmetry, invokes only two natural re- quirements: long-wavelength limit and vanishing of the probability current perpendicular to the boundary. We assume the sample occupies the half-plane y > 0. Since the Schrodinger equation HN (px, py) ψ =  ψ (px,y = −i∂x,y) is a differential equation of order N in each component ψa,b, BCs at the boundary y = 0 are a set of N linear homogeneous (meaning that the lin- ear combinations are equated to zero) relations for the derivatives ∂n y ψa,b(x, y = 0), n = 0, . . . , N − 1 (∂0 yψa,b = ψa,b being the components themselves); we drop the arguments of the functions in the BCs formulas for brevity. y ψa,b ≡ ∂n The long-wavelength limit means the following. Any linear relation involving derivatives of different order nec- essarily contains spatial scales. Consider, for example, a relation ∂yψa+l∂2 yψa = 0, characterized by a spatial scale l. In the long-wavelength limit, at spatial scales larger than l, the second term l∂2 yψa becomes negligible and the relation reduces to ∂yψa = 0. Thus, in the BCs satisfy- ing the requirement of the long-wavelength limit, to be referred to as asymptotic BCs, only the derivatives of the same order can be present in one relation. Therefore, for a given order n, there is either no BCs, or one BC can∂n y ψa + cbn∂n y ψb = 0 with dimensionless coefficients can,bn, or two BCs ∂n y ψa = 0 and ∂n y ψb = 0 (3) (4) with both derivatives vanishing individually. Demanding chiral symmetry, we find that BC (3) re- mains invariant under the transformation (2) only if one of the coefficients can,bn is zero, so that BC (3) reduces to either ∂n y ψa = 0 or ∂n y ψb = 0. Combined with the possibility (4), we find that under chiral symmetry the most general form of the asymptotic BCs is when some N out of 2N derivatives are individu- ally nullified: ∂n y ψλ = 0, (λ, n) ∈ Λ. (5) Here, λ = a, b and n = 0, . . . , N − 1, and Λ is a subset of size N of 2N indices (λ, n) labelling the said derivatives. 2N types of BCs can be sorted into N + 1 These C N groups (Na, Nb) with Na,b = 0, ..., N , such that Na + Nb = N, (6) according to the numbers Na,b of constraints imposed on the derivatives ∂n y ψa,b of a given component. 2 Finally, the hermiticity of the full Hamiltonian de- mands that the probability current perpendicular to the boundary must vanish at the boundary jy(x, y = 0) = 0. (7) The expressions for the probability current for HN [Eq. (1)] read [see Supplemental Material (SM) [23]] jy = −i(j+ − j∗+), j+ = (pN−1−n + N−1Xn=0 ψa)∗ pn −ψb. (8) The bilinear form (7) must vanish identically for any ψ. Inspecting Eq. (8) [23], we find that, for a given group (Na, Nb), only one BC among (5) is allowed, the one with the lowest-order derivatives nullified: ψa, . . . , ∂Na−1 y ψa, ψb, . . . , ∂Nb−1 y ψb = 0. (9) These are all possible asymptotic chiral-symmetric current-conserving BCs for the chiral-symmetric Hamil- tonian HN [Eq. (1)]. There are N + 1 classes (Na, Nb) of them. This is the first key result of this work. For N = 1, 2, all BC classes (Na, Nb) are shown in Fig. 1. Edge states and the winding number N . Further, the edge states for the Hamiltonian HN [Eq. (1)] and BCs (9) can be found explicitly [23]. Taking the plane-wave form ψ(x, y) = ψ(px, y)eipxx with momentum px along the edge, we find Nb edge-state solutions ψn(px > 0, y) = (1, 0)Tyne−pxy, n = Na, . . . , N − 1, at px > 0 and Na edge-state solutions ψn(px < 0, y) = (0, 1)Tyne+pxy, n = Nb, . . . , N − 1, at px < 0. All solutions have zero energy  = 0 and thus represent flat bands. Thus, we have shown that for a 2D chiral-symmetric nodal semimetal with both the bulk Hamiltonian [Eq. (1)] and BCs [Eq. (9)] obeying chiral symmetry, a set of flat-band edge states always exists asymptotically in the vicinity of an isolated node. The sum of the num- bers Na,b of the edge-state bands on both sides px ≷ 0 off the node in the 1D edge spectrum is equal to the winding number N , Eq. (6) and Fig. 1. This is the second key result of this work [27]. And so, the total number of the edge-state bands is determined solely by the local in the BZ bulk character- istic of the node, the winding number N , irrespective of the chiral BC class (Na, Nb), which determines the num- bers of the edge-state bands on either side off the node. The specific class (Na, Nb) is in general determined by the bulk Hamiltonian also away from the node, as well as by the orientation and microscopic structure of the edge. Still, (Na, Nb) are also topological numbers, since they cannot be changed by continuously changing the 3 For β2 regime we will focus on, with particle and hole bands. z , the system is in the nodal semimetal 0 < β⊥2 +β2 We calculate the edge states for chiral-symmetric BCs ψa, ψb = 0 (11) of class (1, 1), Fig. 1(b). We obtain [23] the edge-state dispersion relations E±(px) = 2β⊥ β0β⊥ ± βzpβ⊥2 + β2 β⊥2 + β2 z z − β2 0 p2 x, (12) at px ≷ 0, respectively, Fig. 2(a),(b),(c). In the plane (βz, β0) of chiral-asymmetry parameters, Fig. 2(a), the semimetal region β0 < pβ⊥2 + β2 z consists of three subregions 2,1,0, labelled according to the numbers of the edge-state bands: stability region 2 (green), which contains the point of chiral symmetry β0,z = 0, and in which both bands E±(px) at px ≷ 0, originating from the chiral-symmetric edge states of the BC class (1, 1) [Fig. 1(b)], persist, Fig. 2(b); stability region 1 (orange), where only one of the bands E±(px) on one side off px = 0 exists, Fig. 2(c); and region 0 (magenta), where the edge states are absent. Regions 2 and 1 and regions 1 and 0 are , respectively. separated by the curves β0 = ± β⊥2−β2 √β⊥2+β2 We illustrate the effect of chiral asymmetry of the BCs z z for the linear node (N = 1) in SM [23]. Extended notion of 2D and 3D topological nodal semimetals. The above findings offer a significant exten- sion of the notion of a 2D topological nodal semimetal: one may regard a 2D nodal semimetal as topological if it belongs to the stability region of some chiral-symmetric 2D nodal semimetal. The edge states of the latter are en- sured by a well-defined topological invariant, the winding number N . Yet, exact chiral symmetry is not required, and the edge states will persist in the former as long as chiral-symmetric terms are dominant. The above exam- ple, Eqs. (10) and (11), Fig. 2, is a 2D topological nodal semimetal in this sense. This definition is then readily extended to 3D: one may regard a 3D nodal semimetal as topological, if its 2D reductions to at least some planes in momentum space passing through the node(s) are 2D topological nodal semimetals in the above sense. In this case, the 3D nodal semimetal will exhibit surface states of topological origin [28]. This viewpoint has wide-reaching implications, since chiral terms are ubiquitous in the Hamiltonians of 2D and 3D nodal semimetals, though exact chiral symme- try is not necessarily present. It allows one to prove the existence and topological origin of the edge or sur- face states in semimetal systems by relating them to 2D chiral-symmetric models, even in cases when a precise topological invariant may be hard or impossible to de- fine. Luttinger model for j = 3 2 electrons as a 3D topological semimetal. One important example of a 3D semimetal (a),(b),(c) Edge states of the chiral-asymmetric FIG. 2: quadratic Hamiltonian H β 2 [Eq. (10)] with chiral-symmetric BCs (11) of class (1, 1): (a) Edge-state stability phase diagram in the parameter plane (βz, β0); dashed line βz/β⊥ = 1/√3 corresponds to the O(3)-symmetric Luttinger model; (b), (c) Edge states (12) (red) for (βz, β0)/β⊥ = 1√3 (1, 0) and 1 (1, 1.7) in the stability regions 2 and 1 with two [E±(px)] √3 and one [E−(px)] bands, respectively. (d) Surface states (red) of the Luttinger model H L(p) [Eq. (13)] with BCs (14) for y > 0 sample at pz = 0 for the parameters of HgTe with neglected inversion asymmetry. system parameters or surface orientation while preserving the chiral symmetry. Changes in the numbers (Na, Nb) of the edge-state bands can occur by changing the sur- face orientation only when projections of different nodes onto the surface collapse, which necessarily requires the presence of more than one node in the BZ. The BC class (N/2, N/2), however, possible for even N , could be real- ized for just a single node in the whole BZ; the BC class (1, 1) will be relevant below. Stability of chiral-symmetric edge states under breaking of chiral symmetry. The found edge states of a 2D chiral- symmetric nodal semimetal [Eqs. (1) and (9)] are stable under the effects of chiral-symmetry breaking. Both the bulk Hamiltonian and BCs may contain terms that break chiral symmetry, making them deviate from their chiral- symmetric forms (1) and (9). As chiral-asymmetric terms are introduced, edge states can disappear only by merg- ing with particle or hole continua of bulk states. Since for preserved chiral symmetry the flat edge-state bands are positioned at  = 0, it takes finite strength of chiral- asymmetric terms to force edge states merge with bulk states. We thus introduce the notion of the stability re- gion of chiral-symmetric edge states: it is a finite-size re- gion in the parameter space of chiral-asymmetric terms around the point of chiral symmetry, within which edge states persist. This is the third key result of this work. We illustrate the effect of the chiral asymmetry of the bulk Hamiltonian for N = 2 by considering the model H β 2 (px, py) =(cid:18) (β0 + βz)p+p− β⊥p2 + (β0 − βz)p+p−(cid:19) (10) β⊥p2 − with complex β⊥ and real β0,z. The terms due to β0,z break chiral symmetry; at β0,z = 0, H β 2 reduces to the chiral-symmetric form H2 [Eq. (1)]. The bulk spectrum of H β x + p2 y. 2 is ε±(p⊥) = (β0 ±pβ⊥2 + β2 ⊥ = p2 ⊥, p2 z )p2 0 4 E L,O(3) ∓ state bands of the LM are obtained. (px, pz = 0) at px ≷ 0, respectively, full surface- Since for spherical symmetry the same holds for any other orientation of the momentum plane, we conclude that the O(3)-symmetric 3D LM exhibits 2D surface states in the whole nodal semimetal regime α0 < 2αz, two bands for α0 < αz and one band for αz < α0 < 2αz, for any orientation of the surface and any direc- tion of 2D momentum along the surface. According to the above stability arguments, this result also holds upon including the cubic-anisotropy term α(cid:3) M(cid:3)(p), as long as α(cid:3) is small enough. We have thus proven that the Oh- symmetric LM H L(p) [Eq. (13)] with the BCs (14) is a 3D topological nodal semimetal in the sense of this work. This is the fourth key result of this work. Our results on the LM are relevant to a multitude of real materials either with exact cubic symmetry Oh or in which deviations from it are small. Among materi- als with Oh that exhibit a quadratic node is α-Sn [18 -- 20]; a prime example of a material with weakly bro- ken Oh is HgTe [18 -- 20] with a tetrahedral point group Td. The LM parameters for α-Sn and HgTe with ne- glected inversion asymmetry, extracted from Ref. 20, are (α0, αz) = (9.31, 5.94)/me and (α0, αz, α(cid:3)) = (7.28, 4.29,−0.44)/me, respectively, where me is the elec- tron mass. For O(3) symmetry, they both belong to re- gion 1, as indicated in Fig. 2(a), and thus exhibit one band of 2D surface states. Fig. 2(d) shows the surface states for y > 0 sample at pz = 0 for the parameters of HgTe including the cubic anisotropy α(cid:3). Recently, a quadratic node was predicted and likely observed in Pr2Ir2O7 [21]; according to our findings, one or two bands of surface states can be anticipated for this material, al- though a separate analysis would be desirable. We thus predict that α-Sn, HgTe, and many other semimetal ma- terials described by the LM are topological in the sense of this work. Deviations from cubic symmetry Oh due to breaking of inversion, rotational (strain, confinement), or time- reversal (magnetism) symmetries modify the low-energy band structure, causing the quadratic node of the LM to gap out or split into linear nodes. A variety of re- sulting topological phases, such as a topological insula- tor [30 -- 32], Weyl semimetal [33], and quantum anoma- lous Hall insulator [34], has been predicted or observed. According to our findings, the Oh-symmetric quadratic nodal semimetal of the LM [Eqs. (13) and (14)] can be re- garded as the parent, highest-symmetry topological phase for these phases, with its own surface states of topological origin. Future directions. Relations between the topological properties of these phases is an interesting future direc- tion. Among other possible applications and extensions of this work are: relation of the local in the BZ properties of the edge and surface states established here to their global properties, such as those of 3D Weyl semimet- with a quadratic node that may be regarded as topolog- ical in this new sense is the 4-band Luttinger model [17] (LM) for electrons with j = 3 2 angular momentum (Lut- tinger semimetal): H L(p) = (α0 + 5 2 αz)p214−2αz(J·p)2 +α(cid:3) M(cid:3)(p). (13) Here, 14 is the unit matrix of order 4, and J = ( Jx, Jy, Jz) are the angular-momentum matrices. 2 2 It describes the local electron band structure around the Γ point of a material with full cubic point group Oh with inversion and time-reversal symmetry. It is the most general form up to quadratic order in momentum p = (px, py, pz) allowed by these symmetries. All four 2 states are degenerate at p = 0 due to Oh sym- j = 3 metry. Odd-p terms are prohibited by inversion. The terms p214 and (J· p)2 are invariants of the full spherical symmetry group O(3) with inversion. Their linear com- bination H L(p)α(cid:3)=0, characterized by two parameters α0,z, is the O(3)-symmetric LM; its bulk spectrum has two double-degenerate bands εL,O(3) (p) = (α0± 2αz)p2, p = p; α0 < 2αz is the nodal semimetal regime. The 5 (J·p)2− y + J 2 additional term M(cid:3)(p) = J 2 y p2 J2p2 with parameter α(cid:3) is a cubic anisotropy term, which arises from lowering the symmetry from spherical to cubic, O(3) → Oh. The LM Hamiltonian H L(p) must be supplemented by proper physical BCs. We find [23] that the asymptotic BCs for the wave function ψL = (ψL )T + 3 2 (subscripts indicate jz) of the LM following from the 6- band Kane model with hard-wall BCs, describing an in- terface with vacuum, have the form ± x + J 2 , ψL − 3 , ψL − 1 , ψL + 1 2 z− 2 xp2 z p2 15 ψL = 0. (14) 2 2 The Kane model describes materials like α-Sn and HgTe (see below). , ψL − 3 , ψL − 1 ) and (ψL + 1 2 At pz = 0, H L(px, py, 0) is block-diagonal: the pairs (ψL ) of states decouple; the BCs + 3 2 (14) lead to the chiral-symmetric BCs (11) of class (1, 1) for each pair. For O(3) symmetry, the respec- tive 2 × 2 blocks of H L(px, py, 0)α(cid:3)=0 are of the form H β 2 (px, py) [Eq. (10)] of opposite chiralities, with pa- rameters β0,z = α0,z and β⊥ = −√3αz. The LM is thus on the line βz/β⊥ = 1/√3 in the parameter plane (β0, βz) of H β 2 , Fig. 2(a), and always belongs to the stability regions 2 or 1, as determined by the ra- tio α0/αz; α0 = αz is the transition point between regions 2 and 1. For y > 0 sample, the surface-state ) are E L,O(3) dispersion relations for (ψL (px, pz = + 3 2 x at px ≷ 0, respec- 0)p2 0) = tively [Eq. (12)], shown in Fig. 2(b) and Fig. 2(c) for α0 = 0 and the parameters α0/αz = 1.7 of HgTe (see below) belonging to regions 2 and 1, respectively. When combined with the dispersion relations for (ψL ), + 1 2 2 (√3α0 ± sgn αzp4α2 , ψL − 1 z − α2 √3 ± 2 , ψL − 3 2 als [16]; addressing the edge states in graphene and simi- lar 2D systems [1 -- 16] within this framework [27]; the role of electron interactions for the edge and surface states in quadratic nodal semimetals in 2D (bilayer graphene) and 3D (LM), where interactions are predicted [35 -- 42] to re- sult in interesting physics in the bulk. Acknowledgements. We appreciate discussions with B. Trauzettel and S. Juergens. We acknowledge finan- cial support from the DFG via SFB 1170 "ToCoTronics" and the ENB Graduate School on Topological Insulators. [1] M. Fujita, K. Wakabayashi, K. Nakada, and K. Kusak- abe, J. Phys. Soc. Jpn. 65 , 1920 (1996). [2] K. Nakada, M. Fujita, G. Dresselhaus, and M. S. Dres- selhaus, Phys. Rev. B 54, 17954 (1996). [3] L. Brey and H.A. Fertig, Phys. Rev. B 73, 235411 (2006). [4] A. R. Akhmerov and C. W. J. Beenakker, Phys. Rev. B 77, 085423 (2008); M. Wimmer, A. R. Akhmerov, and F. Guinea, Phys. Rev. B 82, 045409 (2010); J. A. M. van Ostaay, A. R. Akhmerov, C. W. J. Beenakker, and M. Wimmer, Phys. Rev. B 84, 195434 (2011). [5] E. V. Castro, N. M. R. Peres, J. M. B. Lopes dos Santos, A. H. Castro Neto, and F. Guinea, Phys. Rev. Lett. 100, 026802 (2008); E. V. Castro, N. M. R. Peres, and J. M. B. Lopes dos Santos, Europhys. Lett. 84, 17001 (2008). [6] M. Arikawa, Y. Hatsugai, and H. Aoki, Phys. Rev. B 78, 205401 (2008). [7] I. Martin and Ya. M. Blanter, Phys. Rev. B 79, 235132 (2009). [8] H. Xu, T. Heinzel, I. V. Zozoulenko, Phys. Rev. B 80, 045308 (2009). [9] W. Li and R. Tao Journal of the Physical Society of Japan 81, 024704 (2012). [10] J. Kunstmann, C. Ozdogan, A. Quandt, and H. Fehske, Phys. Rev. B 83, 045414 (2011). [11] D. A. Bahamon, A. L. C. Pereira, and P. A. Schulz, Phys. Rev. B 83, 155436 (2011) [12] W. Jaskolski, A. Ayuela, M. Pelc, H. Santos, and L. Chico, Phys. Rev. B 83, 235424 (2011). [13] F. Zhang, J. Jung, G. A. Fiete, Q. Niu, and A. H. Mac- Donald, Phys. Rev. Lett. 106, 156801 (2011); J. Jung, F. Zhang, Z. Qiao, and A. H. MacDonald, Phys. Rev. B 84, 075418 (2011); F. Zhang, A. H. MacDonald, and E. J. Mele, Proc. Natl. Acad. Sci. USA 110, 10546 (2013). [14] H. Deshpande and R. Winkler, arXiv:1603.04329 (2016). [15] C.-K. Chiu and A. P. Schnyder, Phys. Rev. B 90, 205136 (2014). 5 Nakashima, Y. Ishida, R. Yoshida, H. Yamamoto, M. Matsunami, S. Kimura, N. Inami, K. Ono, H. Kumi- gashira, S. Nakatsuji, L. Balents, S. Shin, Nature Com- munications 6, 10042 (2015). [22] Coupling between the nodes becomes possible if they project onto the same 1D momentum. This happens, for example, for armchair graphene edge. This could also happen for other graphene edge orientations for edges "decorated" with certain periodic structures that par- tially lower translational symmetry, so that the momenta of the nodes fold onto the same 1D momentum. Our for- malism allows for straightforward generalization to the cases of multiple coupled nodes. [23] See Supplemetal Material at [URL], which includes Refs. 18 -- 20, 24 -- 26, for the derivations of the boundary conditions, edge states, and Luttinger model. [24] L. D. Landau and E. M. Lifshitz, "Quantum Mechanics: Non-Relativistic Theory", Vol. 3, Pergamon Press (1977). [25] J. A. M. van Ostaay, A. R. Akhmerov, C. W. J. Beenakker, and M. Wimmer, Phys. Rev. B 84, 195434 (2011). [26] J.-W. Rhim, J. Behrends, and J. H. Bardarson, Phys. Rev. B 95, 035421 (2017). [27] We expect that all possible chiral-symmetric BCs (9) and the corresponding edge-state structures can be realized in N -layer graphene for various types of boundary termina- tion, in particular, for zigzag orientation and different terminations of the layers, M. Kharitonov and E. Han- kiewicz, unpublished. [28] For systems with strong enough spatial anisotropy, one can envision a regime, where the edge/surface states exist only in a finite range of edge orientations in 2D and of surface orientations and momentum directions along the surface in 3D. The edge/surface states would disappear by merging with the bulk states upon changing these parameters. [29] B. A. Bernevig, T. L. Hughes, and S. C. Zhang, Science 314, 1757 (2006). [30] C. Brune, C. X. Liu, E. G. Novik, E. M. Hankiewicz, H. Buhmann, Y. L. Chen, X. L. Qi, Z. X. Shen, S. C. Zhang, and L. W. Molenkamp, Phys. Rev. Lett. 106, 126803 (2011). [31] Y. Baum, J. Bottcher, C. Brune, C. Thienel, L. W. Molenkamp, A. Stern, and E. M. Hankiewicz, Phys. Rev. B 89, 245136 (2014). [32] C. Brune, C. Thienel, M. Stuiber, J. Bottcher, H. Buh- mann, E. G. Novik, C.-X. Liu, E. M. Hankiewicz, and L. W. Molenkamp Phys. Rev. X 4, 041045 (2014). [33] J. Ruan, S.-K. Jian, H. Yao, H. Zhang, S.-C. Zhang, and D. Xing, Nature Communications 7, 11136 (2016). [34] C.-X. Liu, X.-L. Qi, X. Dai, Z. Fang, and S.-C. Zhang, Phys. Rev. Lett. 101, 146802 (2008). [16] C.-K. Chiu, J.C.Y. Teo, A. P. Schnyder, and S. Ryu, Rev. [35] K. Sun, H. Yao, E. Fradkin, and S. A. Kivelson, Phys. Mod. Phys. 88, 035005 (2016). Rev. Lett. 103, 046811 (2009). [17] J. M. Luttinger, Phys. Rev. 102 , 1030 (1956). [18] G. L. Bir and G. E. Pikus, "Symmetry and Strain-induced Effects in Semiconductors", Wiley (1974). [19] R. Winkler, "Spin-orbit Coupling Effects in Two- Dimensional Electron and Hole Systems", Springer- Verlag Berlin Heidelberg (2003). [20] O. Madelung, "Semiconductors: Data Handbook, Springer-Verlag Berlin Heidelberg (2004). [21] T. Kondo, M. Nakayama, R. Chen, J.J. Ishikawa, E.-G. Moon, T. Yamamoto, Y. Ota, W. Malaeb, H. Kanai, Y. [36] Y. Lemonik, I. L. Aleiner, C. Toke, and V. I. Fal'ko, Phys. Rev. B 82, 201408(R) (2010); Y. Lemonik, I. L. Aleiner, and V. I. Fal'ko, Phys. Rev. B 85, 245451 (2012). [37] V. Cvetkovic, R. E. Throckmorton, and O. Vafek, Phys. Rev. B 86, 075467 (2012). [38] J. M. Murray and O. Vafek, Phys. Rev. B 89, 201110 (2014). [39] A. A. Abrikosov, Sov. Phys. JETP 39, 709 (1974). [40] E.-G. Moon, C. Xu, Y. B. Kim, and L. Balents, Phys. Rev. Lett. 111, 206401 (2013); L. Savary, E.-G. Moon, and L. Balents, Phys. Rev. X 4, 041027 (2014); J. M. Murray, O. Vafek, and L. Balents, Phys. Rev. B 92, 035137 (2015). [41] I. F. Herbut and L. Janssen, Phys. Rev. Lett. 113, 106401 (2014); L. Janssen and I. F. Herbut, Phys. Rev. B 92, 045117 (2015); L. Janssen and I. F. Herbut, Phys. Rev. B 93, 165109 (2016); I. Boettcher and I. F. Herbut, Phys. Rev. B 93, 205138 (2016); I. Boettcher and I. F. Herbut, arXiv:1611.05904 (2016). [42] P. Goswami, B. Roy, and S. Das Sarma, Phys. Rev. B 95, 085120 (2017). 6 Supplemental Material: Universality and stability of the edge states of chiral-symmetric topological semimetals and surface states of the Luttinger semimetal Maxim Kharitonov, Julian-Benedikt Mayer, and Ewelina M. Hankiewicz Institute for Theoretical Physics and Astrophysics, University of Wurzburg, 97074 Wurzburg, Germany I. PROBABILITY CURRENT Here, we derive the probability current j = (jx, jy) for the chiral-symmetric Hamiltonian HN [Eq. (1)]. The procedure is standard and analogous to the one for the conventional quadratic Hamiltonian1. The probability density of a wavefunction ψ = (ψa, ψb)T satisfying the time-dependent Schrodinger equation ρ = ψ† ψ = ψ∗aψa + ψ∗b ψb i∂t ψ = HN ψ ⇔(cid:26) i∂tψa = pN i∂tψb = pN − ψb, + ψa must satisfy the continuity equation In the integral form ∂tρ + ∇ · j = 0, ∇ = (∂x, ∂y). ZV dr ∂tρ +Z∂V ds j = 0, (S1) (S2) (S3) the rate of change of the probability in a 2D space region V must be compensated by the current flow through the dr ∂tρ in parts sufficient number of times, we arrive at boundary ∂V of the region. Substituting the expressions (S2) for ∂tψa,b into ∂tρ and integrating RV with j+ given in Eq. (8). In particular, jx = j+ + j−, jy = −i(j+ − j−), j− = j∗+, N = 1 : j+ = ψ∗aψb, N = 2 : j+ = ψ∗a p−ψb + (p+ψa)∗ψb. (S4) (S5) The formulas (8) and (S4) can naturally be understood as follows. For a plane-wave function ψ ∼ ei(pxx+pyy) the current is given by the derivatives of the Hamiltonian over momentum, j+ = ∂ HN,ab ∂p− = N pN−1 − , j− = ∂ HN,ba ∂p+ = N pN−1 + , and Eqs. (8) and (S4) represent the properly symmetrized operator version of this. arXiv:1701.01553v3 [cond-mat.mes-hall] 3 Nov 2018 II. BOUNDARY CONDITIONS, CURRENT CONSERVATION CONSTRAINTS Here, we prove in more detail how the current conservation constraint (7) restricts the allowed asymptotic chiral- symmetric BCs from the most general form (5) to the final form (9). Only such form of BCs is allowed that, for any wave function ψ = (ψa, ψb)T satisfying them, the current component jy(x, 0) = 0 [Eq. (7)] perpendicular to the edge vanishes identically at the edge y = 0. The current component j+(x, 0) in jy [Eq. (8)] is a sum of the terms 2 ∂nax x ∂nay y ψ∗a(x, 0) ∂nbx x ∂nby y ψb(x, 0) (S6) with nonnegative integers nax,ay,bx,by = 0, . . . , N − 1 such that nax + nay + nbx + nby = N − 1 and j−(x, 0) = j∗+(x, 0) is the sum of the corresponding conjugate terms. Since for chiral symmetry the only allowed forms (5) of BCs are when some individual derivatives ∂n y ψa,b(x, 0) vanish (while linear relations (3) between different components are prohibited), the current jy(x, 0) can be nullified only if all the terms (S6) vanish individually. The terms (S6) involve derivatives both perpendicular to (∂y) and along (∂x) the edge. Since these terms must vanish at any point (x, 0) along the edge, this is equivalent to vanishing individually of the terms y ψ∗a(x, 0) ∂nby ∂nay y ψb(x, 0) (S7) identically for all x for all nay,by = 0, . . . , N − 1 such that nay + nby ≤ N − 1. This is possible for chiral-symmetric BCs (5) only if, for given (Na, Nb), the lowest-order derivatives are nullified at the edge y = 0, as expressed in Eq. (9). III. EDGE STATES FOR CHIRAL SYMMETRY, DETAILS Here, we provide details of the derivation of the edge states for chiral-symmetric Hamiltonian (1) and BCs (9). Taking the plane-wave form ψ(x, y) = ψ(px, y)eipxx with momentum px along the edge, we first look for the general solution to the Schodinger equation HN (px, py) ψ(px, y) =  ψ(px, y). We find the edge states at energy  = 0 and have checked that there are no other edge states at  6= 0. At  = 0, the components are decoupled and we get the equations There are N independent solutions for each component: (px + ∂y)N ψa(px, y) = 0, (px − ∂y)N ψb(px, y) = 0. ψan(px, y) = yne−pxy, ψbn(px, y) = yne+pxy, n = 0, . . . , N − 1. At px > 0, ψan,bn(px, y) decay and grow into the bulk, y → +∞, respectively, and so, only the solutions with finite ψa(px, y) and vanishing ψb(px, y) ≡ 0 components are allowed. Applying the chiral BCs (9), we get that Na boundary conditions for ψa(px, y) yield N − Na = Nb independent edge-state solutions ψn(px > 0, y), n = Na, . . . , N − 1, with  = 0, provided in the Main Text. Similarly, at px < 0, we find N − Nb = Na edge-state solutions ψn(px < 0, y), n = Nb, . . . , N − 1, with  = 0, provided in the Main Text. IV. EDGE STATES FOR CHIRAL-ASYMMETRIC HAMILTONIAN H β 2 (px, py), DETAILS 3 Here, we provide details of the derivation of the edge states for chiral-asymmetric quadratic Hamiltonian H β 2 (px, py) [Eq. (10)] and chiral-symmetric BCs (11). Taking the plane-wave form ψ(x, y) = ψ(px, y)eipxx with momentum px along the edge, we first look for the general solution to the Schodinger equation H β 2 (px, py) ψ(px, y) =  ψ(px, y). (S8) Its characteristic equation has four momentum solutions det[ H β 2 (px, py) − 12] = 0 py = ±irp2  β± x − , z are the curvatures of the electron and hole bulk bands ε±(p⊥) = β±p2 ⊥; we consider the nodal semimetal regime, where β+ > 0 and β− < 0, and  such that p2 where β± = β0 ±pβ⊥2 + β2 x >  β± The partial solutions to Eq. (S11) corresponding to the pair py = iqp2 x −  β± + 2pxqp2 x −  β± (1 − β0+βz  β± β⊥ , ψ±(px, ) = 2p2 ψ±(px, )e−qp2 x−  β± y . x −  β± ) ! . of momentum solutions are For the sample at y > 0, these solutions decay into the bulk and are admitted, while the partial solutions with py = −iqp2 x −  β± grow into the bulk and are prohibited. Applying the BCs (11) to the linear combination ψ(px, y) = C+ ψ+(px, )e−qp2 x−  β+ y + C− ψ−(px, )e−qp2 x−  β− y of the decaying solutions, we find that a nontrivial solution with nonzero C± exists when ψ+a(px, )ψ−b(px, ) − ψ+b(px, )ψ−a(px, ) = 0. Solving this equation with respect to , we obtain the edge-state dispersion relations E±(px) [Eq. (12)] at px ≷ 0, respectively, and find the phase diagram in the plane (β0, βz) of chiral-asymmetry parameters, presented in Fig. 2(a). V. EDGE STATES FOR CHIRAL-ASYMMETRIC BOUNDARY CONDITION Here, we illustrate the effect of chiral asymmetry of BCs for the linear-node chiral-symmetric Hamiltonian H1(px, py) [N = 1, Eq. (1)]. We derive the most general form of the BC for this Hamiltonian2. Mathematically, such BC is a single linear homogeneous relation between the two components of the wave function; without the loss of generality, it can be written as ei φ 2 sin θ 2 ψa − e−i φ 2 cos θ 2 ψb = 0, (S9) parameterized by two real angles θ and φ. For a terminated system, the BC must only satisfy the fundamental constraint that the current perpendicular to the boundary vanishes. This means that, for y = 0 edge, jy = −i(ψ∗aψb − ψ∗b ψa) = 0 must vanish identically for any wave function satisfying Eq. (S9). This is possible only if φ = 0. And so, the most general form of the BC for the linear-node chiral-symmetric Hamiltonian H1(px, py) [N = 1, Eq. (1)] reads sin θ 2 ψa − cos θ 2 ψb = 0. (S10) 4 (a),(b),(c) Edge states E(px) [Eq. (S13)] (red) for the linear-node chiral-symmetric Hamiltonian H1(px, py) [N = 1, FIG. S1: Eq. (1)] and chiral-asymmetric BC (S10); compare to the case of chiral-symmetric BCs in Fig. 1(a). (d) The circle of the angle parameter θ of the most general, chiral-asymmetric BC (S10) for H1(px, py). All unique forms of the BC are parameterized by the angle θ covering the full circle, Fig. S1(d). It is then straightforward to find2 the edge states for H1(px, py) [N = 1, Eq. (1)] with the BC (S10). Taking the plane-wave form ψ(x, y) = ψ(px, y)eipxx with momentum px along the edge, we first look for the general solution to the Schodinger equation H1(px, py) ψ(px, y) =  ψ(px, y). (S11) Its characteristic equation has two momentum solutions det[ H1(px, py) − 12] = 0 py = ±ipp2 x − 2,  < px. ψ(px, y) =(cid:18) px +pp2  x − 2 (cid:19) e−√p2 x−2y For y > 0 sample, only py = ipp2 x − 2 corresponds to the decaying wave function (S12) and is admitted. Applying the BC (S10) to Eq. (S12), we get the equation Solving it with respect to , we find one branch of edge states on one side off the node, at px > 0 for θ ∈ Θa = (− π and at px < 0 for θ ∈ Θb = ( π 2 ), Fig. S1(a) and (b). In both cases, the edge-state dispersion relation reads 2 , 3π 2 , π 2 ) sin θ 2 (px +pp2 x − 2) − cos θ 2  = 0. The sectors Θa = (− π 2 ) contain the points of chiral symmetry θ = 0 [BC class (0, 1): ψb = 0, red dot in Fig. S1(d)] and θ = π [BC class (1, 0): ψa = 0, blue dot in Fig. S1(d)], respectively, see Fig. 1(a), and 2 ) and Θb = ( π 2 , 3π 2 , π E(px) = px sin θ. (S13) 5 thus represent the stability regions, as defined in the Main Text, in which the respective chiral-symmetric edge states persist. As θ deviates from one of the chiral-symmetric points θ = 0, π, the edge states deviate from  = 0 acquiring a finite velocity ∂pxE(px) = sin θ. The edge states disappear by merging with the bulk bands only upon reaching the points θ = ± π 2 [purple dots in Fig. S1(d)]. The stability regions Θa,b are thus separated only by two points θ = ± π and the edge states persist even for significant deviations of the BC from chiral symmetry. The chiral-asymmetric BC (S10) with general θ and the corresponding edge states (S13) apply3, for instance, to a realistic model of graphene for the zigzag edge in the vicinity of the nodes (valleys). Its chiral-symmetric limits ψb = 0 or ψa = 0 with flat edge states, Fig 1(a), apply to the chiral-symmetric lattice model under the microscopic assumption of nearest-neighbor hopping only. Upon including next-nearest-neighbor hopping, these BCs transform to the chiral-asymmetric form (S10), and the edge-state dispersion relation acquires finite slope, Eq. (S13). 2 A. The case of absent edge states The edge states thus exist for all values of θ in the BC (S10) except θ = ± π 2 , when the BC has one of the forms ψa ∓ ψb = 0, (S14) respectively. We point out that even though these cases are realized only at points in the 1D parameter space (circle of θ) of possible chiral-asymmetric BCs, it does not necessarily mean that such cases are negligibly rare in the space of possible models. These cases can be dictated by the microscopic structure of the model. Below we present two such examples. 1. Infinite mass model As the first example, suppose that the region y > 0 is described by the gapless Hamiltonian H1(px, py) [Eq. (1)] and the region y < 0 is described by the Hamiltonian H1∆(px, py) = H1(px, py) + ∆τz (S15) with an additional gap term ∆τz with ∆ > 0. At energies  < ∆, the wave functions decay into the region y < 0. At small energies  (cid:28) ∆, the decay spatial scale 1/∆ [note that the velocity is set to unity in H1(px, py)] is much shorter than the typical scale 1/ of variation of the wave function in the region y > 0, and the model may be substituted by an equivalent one, in which the wave function is nonvanishing only in the gapless region y > 0 and satisfies an effective BC at the interface y = 0. To derive the BC, to the leading order in /∆ (cid:28) 1, it is sufficient to find the general solution at  = 0 that decays into the region y < 0. In the gapless region y > 0, the general solution is an arbitrary coordinate-indepedent spinor in the gapped region y < 0, it is a decaying function ψ(x, y > 0) =(cid:18) ψa ψb (cid:19) ; 1(cid:19) e∆y. ψ(x, y < 0) = C(cid:18) 1 Connecting these functions continuously at y = 0 and excluding the coefficient C, we get the relation ψa − ψb = 0 between the wave-function components in the gapless region y > 0. For a wave function ψ(x, y) varying at scales larger than 1/∆, this relation becomes the BC at y = 0. This is the BC of the type (S14) with θ = π 2 , for which the edge states are absent, Fig. S1(c). Regarding symmetry, the term ∆τz in Eq. (S15) breaks chiral symmetry, and since the gap ∆ is infinite relative to the energy  of interest (for this reason, this BC is often called the "infinite mass" BC), chiral symmetry is broken so strongly that the edge states are completely absent. 6 FIG. S2: The lattice model studied in Sec. V B of Ref. 4. (a),(b) Lattice structure with two cases of the edge along the x direction. (c) On-site energy levels. (d) Electron spectrum ε±± = ε±±(kx, ky = π . The shaded light-blue region is the continuum of the bulk states as all ky are spanned. ) [Eq. (S17)] at ky = π ay ay 2. Lattice model with chiral symmetry broken by the edge Another example is the lattice model studied in Sec. V B of Ref. 4, see Fig. S2. The model consists of two sublattices, A and B, and two orbitals, 1 and 2, at each site, Fig. S2(a) and (b); the lattice wave function is a four-component spinor where Ψ(r) = ΨA1(r) ΨA2(r) ΨB1(r) ΨB2(r)    , r = axnx + ayny is the discrete radius vector on the primitive rectangular lattice, with periods ax = (ax, 0) and ay = (0, ay) and nx,y taking integer values. The tight-binding Hamiltonian in the momentum space reads H(kx, ky) = 0Tz0 + 2tx cos(kxax)T0x + 2ty cos( kyay 2 )Txz. (S16) α ⊗ τ 12 Here, Tαβ = τ AB β , α, β = 0, x, y, z, are the basis matrices in the direct product of the sublattice (AB) and orbital (12) spaces (τ0,x,y,z denote the unity and Pauli matrices in the respective spaces); ±0 are the energies of both orbitals 1 and 2 at A and B sites, respectively, Fig. S2(c); tx is the nearest-neighbor hopping amplitude in the x direction (hopping between 1 and 2 orbitals of the same sublattice); ty is the nearest-neighbor hopping amplitude in the y direction (hopping between the same orbitals of different sublattices, with different signs of the amplitude). We consider a slightly more general model than in Ref. 4, with unrelated tx,y and ax,y for x and y directions. The spectrum of the Hamiltonian (S16) consists of four bands ε(kx, ky) = ±q[0 ± 2tx cos(kxax)]2 + [2ty cos( kyay 2 )]2. At ky = π ay , there is partial decoupling of the basis states; the spectrum takes the form Fig. S2(d), and the eigenstates at every kx, labeled respectively, are ε±±(kx, ky = π ay ) = ±0 ± 2tx cos(kxax), 7 (S17) 0 0 + 1 −1    . 2  2   , Ψ−− = arccos(cid:16)± 0 2tx(cid:17). 2tx(cid:17), originating from the band crossing 0 0 + 1 +1 1√ There are four linear nodes at  = 0 on the line ky = π ay We consider the edge along the x direction, with two options of the last row being either the B [Fig. S2(a)] or A [Fig. S2(b)] sublattice, and derive the effective low-energy Hamiltonian and BC for one of the nodes, performing the k · p expansion. We consider the node at k0 = (kx0, π of Ψ++ and Ψ−− states. arccos(cid:16)− 0 In the vicinity of the node, the low-energy degrees of freedom are described by the two-component spinor , at kx = ± 1 ), kx0 = 1 ax ax ay 1√    , Ψ−+ = +1 −1 0 0 2 1√    , Ψ+− = +1 +1 0 0 2 1√ Ψ++ = ψ(r) =(cid:18) ψa(r) ψb(r)(cid:19) , slowly varying at the lattice scale ax,y, in the lattice wave function of the form We obtain the linearized Hamiltonian Ψ(r) = [ ψa(r) Ψ++ + ψb(r) Ψ−−]eik0r. H(px, py) = vxpxτz + vypyτx, for ψ(r), where (px, py) is the deviation from the node momentum k0 and (vx, vy) = −(2txax sin kx0, tyay). The lattice BCs for the edge along the x direction read ΨA1(nx, ny = −1) = ΨA2(nx, ny = −1) = 0 for Fig. S2(a), and ΨB1(nx, ny = −1) = ΨB2(nx, ny = −1) = 0 for Fig. S2(b). Plugging the wave function (S19) into them, we obtain the BCs and ψa(x, y = 0) = 0 ψb(x, y = 0) = 0, (S18) (S19) (S20) (S21) (S22) respectively, for the wave function (S18) of the low-energy model. It can be shown that the linearized Hamiltonian (S20) with either (S21) or (S22) BC has no edge states. In Ref. 4, it was found that the edge states are absent for the edge along the x direction for the initial lattice model (S16) at all momenta kx. This behavior is in full agreement with our findings. Upon the change of basis H(px, py) = U† H(px, py)U = −vxpxτx + vypyτy 2(cid:18) ei π 4 (cid:19) , 4 −ei π e−i π e−i π 4 4 1√ ψ = U ψ, U = the Hamiltonian 8 for ψ = (ψa, ψb)T takes the form of H1(px, py) and the BCs (S21) and (S22) take the form of Eq. (S14), which are indeed the only cases (θ = ± π 2 ) of the chiral-asymmetric BC (S10), for which the edge states are absent. Regarding symmetry, we notice that the bulk lattice Hamiltonian (S16) possesses chiral symmetry: for the chiral-symmetry operator S H(kx, ky) S† = − H(kx, ky) S = Txy or Tyz, or their arbitrary unitary linear combination. The linear nodes at ky = π ay numbers N = 1 (of both signs), with the chiral symmetry operator τz in the ψ basis. therefore have well-defined winding Under such chiral-symmetry operation, the sublattices A and B are interchanged. This interchange becomes impossible if the lattice has an edge along the x direction, Fig. S2(a) and (b). And so, chiral symmetry is broken by the edge in this model, even though it is preserved in the bulk. This results in the chiral-asymmetric BCs in both the lattice and low-energy models and is the ultimate reason for the absence of the edge states. VI. LUTTINGER MODEL FROM KANE MODEL A. 6-band Kane model For studying the surface states of the 4-band LM for j = 3 2 states, its bulk Hamiltonian H L(p) [Eq. (13)] must be supplemented by proper physical BCs. In this paper, we derive the asymptotic BCs for the LM that follow from a more general Kane model5,6 (KM) with "hard-wall" BCs. The 6-band KM includes, in addition to j = 3 2 quartet, a 2 doublet of opposite inversion parity and describes a large family of semiconductor materials5 -- 7, in which j = 3 j = 1 2 states originate from a p orbital in the presence of spin-orbit interactions and j = 1 2 states originate from an s orbital. Considering the KM is instructive from a more general standpoint, for the purpose of demonstrating a systematic "folding" procedure, where the high-energy j = 1 2 states of a larger Hilbert space of the KM are consistently eliminated to generate the effective bulk Hamiltonian and BCs of the LM with the smaller Hilbert space that contains only the low-energy j = 3 2 states. So, the Hamiltonian and the wave function of the KM have the general block structure (S23) (S24) (p) (p)! , p = (px, py, pz),     , 2 (cid:19) , Ψ 3 = 2 ,+ 3 2 ,+ 1 2 ,− 1 2 ,− 3 Ψ 3 Ψ 3 Ψ 3 Ψ 3 2 2 2 2 2 2 2 ,+ 1 2 ,− 1 32 32 (p) H 1 (p) H 3 2 2 Ψ 1 =(cid:18) Ψ 1 2 ,± 3 2 12 12 2 H 3 2 H K(p) = H 1 Ψ = Ψ 1 2 ! , Ψ 1 2 states; here, jz = ± 1 2 Ψ 3 in the space of j = 1 2 denote the angular momentum projections on the z axis. Like the LM, the KM describes the local electron band structure around the Γ point p = 0. For full cubic symmetry 2 and j = 3 Oh with inversion and time reversal symmetry, the most general form up to quadratic order in p reads z −√32p−pz p+p− − 2p2 −√32p+pz −p+p− + 2p2 −√3p2 −√3p2 0 0 + + z − −√3p2 −p+p− + 2p2 √32p+pz 0 0 −√3p2 z √32p−pz − p+p− − 2p2 z     , (p), 32 (p) = H†1 2 12 0 1 √2 p−   , H 3 2 p+ q 2 3 pz − 1√6 p− 3 pz 1 √6 p+ q 2 (p) = γ0p214 + γz M (p) + γ(cid:3) M(cid:3)(p), p2)12, (p) = (∆ + γ 1 2 12 H 1 2 2 32 0 H 3 (p) = v − 1√2 p214 − 2(J · p)2 = 32 2 H 1 5 2 M (p) = 9     , J2 = 15 4 14. (S25) (S26) (S27) H O(3) jz= 1 2   . 3 pz (γ0 + 2γz)p2 z 2 vq 2 p2 z 3 pz ∆ + γ 1 vq 2 (0, 0, pz) = + γ0 + 2γz)p2 ±r[−∆ + (−γ 1 2 v2p2) . 8 3 + γ0 + 2γz)p2]2 + (∆ + (γ 1 2 1 2 εK,O(3) a,b (p) = Due to O(3) symmetry, the spectrum is isotropic; so, diagonalizing Eq. (S25) and replacing p2 we get two double-degenerate bands z → p2 ≡ p2 = p2 x+p2 y+p2 z, We see that, indeed, due to hybridization, the spectrum is modified compared to the respective band (γ0 + 2γz)p2 of the H 3 (p)γ(cid:3)=0 block with bare parameters γ0,z. 32 2 )p2 + O(p4) v2 ∆ 2 3 εK,O(3) a (p) = (γ0 + 2γz − At small momenta, the band originating from the j = 3 2 level  = 0 at p = 0 has the form J2p2, 1 5 (J · p)2 − 2 5 M(cid:3)(p) = J 2 xp2 x + J 2 y p2 y + J 2 z p2 z − 2 2 2 32 32 32 (p) and H 3 2 and j = 1 2 and j = 1 2 states, the cross-product block H 1 (p) contain only even powers of p. The cross-product block H 1 This form follows from the method of invariants5,6 (k · p method). The j = 3 2 states form a four- and a two-dimensional (projective) irreducible representation of Oh, respectively. They correspond to four- and two-fold- degenerate levels at p = 0, which we take to be at energies  = 0 and  = ∆, respectively. Due to opposite inversion parities of the j = 3 (p) contains only odd powers of p, while the self-product blocks H 1 (p) contains one linear-in-p invariant, with the velocity coefficient v, which is real due to time-reversal symmetry. Within the j = 1 2 states, the block H 1 (p) contains three invariants p214, (J · p)2, M(cid:3)(p) of Oh and time-reversal symmetry quadratic in p. Understandably, the block H 3 (p) = H L(p)α0,z,(cid:3)=γ0,z,(cid:3) has the structure of the LM (13), since this is the most general form allowed by symmetry. In fact, all the above invariants of Oh, except for M(cid:3)(p), are also invariants of the full spherical symmetry group O(3) with inversion. Therefore, the KM H K(p)γ(cid:3)=0 without the M(cid:3)(p) term is the most general form allowed by O(3) and time-reversal symmetries. The term M(cid:3)(p) thus represents cubic anisotropy, which arises from lowering the symmetry O(3) → Oh; it transforms as a linear combination of the states of angular momentum 4. (p) contains one invariant 12p2 quadratic in p. Within the j = 3 2 states, the block H 3 32 12 32 12 2 2 2 2 J = ( Jx, Jy, Jz), J± = Jx ± i Jy, J+ = 0 √3 0 0 0 2 0 0 √3 0 0 0 0 0 0 0  , J− = J†+, Jz =    + 3 0 2 0 + 1 2 0 0 0 0 0 − 1 0 0 0 0 0 − 3 2 2 Here and below, 1n denotes the unit matrix of order n. B. Effect of hybridization between j = 3 2 and j = 1 2 states 2 32 Our main interest is the behavior of j = 3 2 states at energies  (cid:28) ∆ close to the j = 3 p = 0, the j = 3 2 and j = 1 affects the properties of j = 3 KM (S23) and considering the block H 3 for j = 3 2 states are decoupled. However, even at small momenta hybridization to j = 1 2 states. Therefore, simply neglecting the hybridization H 1 2 level  = 0. Exactly at 2 states 2 states in the (p) = H L(p)α0,z,(cid:3)=γ0,z,(cid:3) with "bare" parameters γ0,z,(cid:3) as the Hamiltonian The effect of hybridization is best illustrated by considering momenta p = (0, 0, pz). For clarity, we also consider the case of full spherical symmetry O(3) with inversion, putting γ(cid:3) = 0; the corresponding quantities will be labeled with O(3) superscript. The O(3)-symmetric Kane Hamiltonian H K,O(3)(0, 0, pz) ≡ H K(0, 0, pz)γ(cid:3)=0 at a given pz 6= 0 possesses axial symmetry with respect to rotations about the z axis and the states with different jz are decoupled. 2 and there is hybridization between them. For both pairs 2 states would be incorrect. 2 and j = 1 (p) to j = 1 32 2 The jz = ± 1 2 ,± 1 2 ,± 1 , Ψ 3 2 states are present for both j = 3 ), the 2 × 2 Hamiltonian has the form 2 2 (Ψ 1 10 On the other hand, the jz = ± 3 For both Ψ 3 , the scalar Hamiltonian reads 2 ,± 3 2 2 states are present only for j = 3 2 and thus they do not hybridize to j = 1 2 states. (S28) (S29) It gives one double-degenerate band H O(3) jz= 3 2 (0, 0, pz) = (γ0 − 2γz)p2 z. εK,O(3) c (p) = (γ0 − 2γz)p2, (p)γ(cid:3)=0 block. 32 exactly equal to that of the H 3 2 C. Folding procedure, effective Hamiltonian for the Luttinger model for j = 3 2 states To account for the effect of hybridization, a systematic "folding" procedure6 must be performed for both the bulk 2 states are consistently eliminated from the Hilbert space, while Hamiltonian and BCs, where the high-energy j = 1 the effect of virtual transitions to them is taken into account. For the bulk Hamiltonian, the procedure is as follows. Excluding Ψ 1 [Eq. (S24)] from the Schrodinger equation H K(p) Ψ =  Ψ, we obtain the equation 2 (S34) )p2, v2 ∆ 2 3 εL,O(3) + (p) = (α0 + 2αz)p2 = (γ0 + 2γz − (S30) (p)! Ψ 3 2 =  Ψ 3 2 32 H 1 2 (p) 12 1 12 − H 1 2 (p) 12 (p) + H 3 2 32 H 3 2 for Ψ 3 left-hand side. After that, Eq. (S30) becomes an effective Schrodinger equation p2 (cid:28) ∆, the energy  and momentum p should be set to zero in the denominator in the . At  (cid:28) ∆ and γ 1 2 2 for j = 3 2 states only with the 4-component wave function H L(p) ψL =  ψL (S31) (S32) (S33) ψL = ψL + 3 2 ψL + 1 2 ψL − 1 ψL − 3 2 2 ,      α0 = γ0 − v2 3∆ , αz = γz − v2 6∆ , α(cid:3) = γ(cid:3). (p) 32 H 1 2 (0) 12 1 012 − H 1 2 (p) 12 (p) + H 3 2 32 H L(p) = H 3 2 has the form (13) of the LM with parameters for which needs to be substituted. Expectedly, the effective Hamiltonian 2 → ψL Ψ 3 The parameters α0,z of the O(3)-symmetric part of the LM are modified, while the cubic anisotropy parameter α(cid:3) is not, since the terms involved in the hybridization have O(3) symmetry. The spectrum of the O(3)-symmetric LM H L,O(3)(p) ≡ H L(p)γ(cid:3)=0 for j = 3 of two double-degenerate bands 2 states following from the KM consists εL,O(3) − (p) = (α0 − 2αz)p2 = (γ0 − 2γz)p2. 11 (S35) They agree with the bands (S27) and (S29), respectively, of the O(3)-symmetric KM at small momenta, where the former band is affected by hybridization with j = 1 2 states and the latter is not. For many semiconductor materials, the bare parameters are such that γ 1 2 states (v = 0), both bands (γ0 ± 2γz)p2 < 0 of j = 3 2 level  = ∆ is above the j = 3 neglecting hybridization to j = 1 the noninverted regime of the KM, ∆ > 0 and the j = 1 system is an insulator and the band εL,O(3) regime of the KM, ∆ < 0 and the j = 1 pulled up by hybridization. For weaker hybridization, γ0 + 2γz + 2 3 there is also a Fermi surface at  = 0. For stronger hybridization, such that γ0 + 2γz + 2 (p) is 3 electron-like, and the system is a nodal semimetal. This regime is realized in α-Sn, HgTe and many similar materials. > 0, γ0,z < 0, γ0 − 2γz < 0, and, 2 states would be hole-like. In 2 level  = 0. In this case, the (p) [Eq. (S34)] is pushed further down by hybridization. In the inverted (p) is (p) is still hole-like, and 2 level  = −∆ is below the j = 3 2 level  = 0, and the band εL,O(3) + < 0, the band εL,O(3) > 0, the band εL,O(3) + v2 ∆ v2 ∆ + + 2 D. Folding procedure, effective boundary conditions for the Luttinger model for j = 3 2 states Considering the more general KM allows us to derive asymptotic BCs for the LM wave function ψL(x, y, z) [Eq. (S31)]. We derive the BCs explicitly for O(3) and argue below that they holds for cubic symmetry Oh as well. Due to O(3) symmetry, it is sufficient to consider any surface orientation; we choose the z = 0 surface and assume the sample occupies the z > 0 half-plane. We consider the so-called "hard-wall" BCs for the KM, Ψ(x, y, z = 0) = 0, (S36) for which the wave function vanishes at the surface. Such BCs represent an interface with vacuum, which can be described by the KM (S23) in the noninverted regime (trivial insulator) with infinite gap ∆ → +∞. As for the effective bulk Hamiltonian, a "folding procedure" must be carried for BCs. The general idea (also applicable to other similar situations) of deriving the asymptotic BCs for the low-energy LM from a more general KM with a larger Hilbert space is as follows. We look for the general solution to the Scrodinger equation H K,O(3)(0, 0, pz) Ψ(z) = 0 (S37) (pz = −i∂z is the momentum operator) for the KM exactly at energy  = 0 of the j = 3 function Ψ(z) depends only on z in this case. 2 states at p = 0. The wave Partial solutions to Eq. (S37) are described by the momentum solutions pz to its characteristic equation det H K,O(3)(0, 0, pz) = 0. Since the energy  = 0 taken is right at the node, either pz = 0 or pz contain imagi- nary parts. For pz = 0, the wave function contains linear polynomials, Ψ(z) ← 1, z. These represent the low-energy part of the solution, which should be identified with the LM wave function (S31) by matching with the first two terms of its Taylor expansion ψL(x, y, z) = ψL(x, y, 0) + ∂z ψL(x, y, 0)z + O(z2) (S38) at the surface. For pz with imaginary parts, partial solutions are exponentials, Ψ(z) ← eipzz, that decay or grow into the bulk. Imposing the BCs (S36) on the The growing exponentials must be discarded, while the decaying ones retained. solution Ψ(z) that is a linear combination of the low-energy part with pz = 0 and exponentially decaying solutions and eliminating the latter, one arrives at the BCs for the LM wave function ψL. Below we carry out this procedure explicitly. The convenience of considering the z = 0 surface and O(3) symmetry is that, as explained above, due to axial symmetry, the Hamiltonian H K,O(3)(0, 0, pz) is decoupled into the blocks (S25) and (S28) for states with given jz. For the pairs (Ψ 1 2 ,± 1 2 (z), Ψ 3 (z)), the characteristic equation reads 2 2 ,± 1 det H O(3) jz= 1 2 (0, 0, pz) = p2 z[(γ0 + 2γz)(∆ + γ 1 p2 z) − 2 3 v2] = 0. 2 There is a doubly-degenerate solution pz = 0. The corresponding partial solutions to H O(3) jz= 1 2 (0, 0, pz)(cid:18) Ψ 1 Ψ 3 2 2 ,± 1 2 ,± 1 2 (z) (z)(cid:19) = 0 (S39) (S40) 12 (S41) α0 + 2αz γ0 + 2γz , z ! . v∆ 3 1(cid:19) , iq 2 (cid:18) 0 pz = ±iκ, κ =s ∆ γ 1 2 are The other two solutions ! e−κz 3 vκ 2 (cid:19) e−κz = γ0 + 2γz iq 2 (cid:18) ¯Ψ 1 ¯ Ψ 3 2 2 ,± 1 2 ,± 1 to Eq. (S40) with pz = iκ decays into the bulk and is admitted, while the partial solution with pz = −iκ grows into the bulk and is prohibited. (S42) 2 2 (cid:19) e−κz 2 ,± 1 2 ,± 1 2 iq 2 z ! + C(cid:18) ¯Ψ 1 ¯ Ψ 3 v∆ 3 (cid:18) Ψ 1 Ψ 3 2 2 ,± 1 2 ,± 1 2 (z) (z)(cid:19) = ψL ± 1 2(cid:18) 0 1(cid:19) + ∂zψL ± 1 Altogether, the general solution to Eq. (S40), not growing exponentially into the bulk, is the linear combination to Eq. (S39) are purely imaginary. The partial solution with three constant coefficients ψL ± 1 2 , ∂zψL ± 1 2 , and C. The identification of these coefficients with the LM wave function ψL(x, y, z) [Eq. (S31)] is performed as follows. On the one hand, at distances κz (cid:29) 1, the exponential partial solution in Eq. (S42) has decayed and Ψ 3 2 ,± 1 2 (z) = ψL ± 1 2 + ∂zψL ± 1 2 z + O(e−κz). (S43) One the other hand, ψL(x, y, z) varies over spatial scales much larger than 1/κ, which is set by ∆ [Eq. (S41)]. At intermediate spatial scales, both approximate forms (S38) and (S43) are valid, and, according to the correspondence (S32), the constants 2 → ψL ψL ± 1 ± 1 ∂zψL 2 → ∂zψL ± 1 ± 1 2 2 (x, y, 0), (x, y, 0) need to be identified with the components of the LM wave function (S31) at the surface and their first derivatives. Imposing the hard-wall BCs (S36) on Eq. (S42) gives 2 ,+ 1 2 = 0, + C ¯Ψ 1 = 0. 2 ,+ 1 2 v∆ iq 2 ∂zψL ± 1 3 + C ¯Ψ 3 ψL ± 1 2 2 ψL ± 1 2 + l∆∂zψL ± 1 2 = 0, v2 ∆κ(γ0 + 2γz) 2 3 l∆ =    Excluding C, we arrive at the constraint where is a spatial scale set by ∆. Since ψL(x, y, z) varies over larger scales, the second term with the derivative must be neglected (keeping it would be exceeding the accuracy; this explicitly illustrates the point about long-wavelength limit and asymptotic BCs made in the Main Text.) and we arrive at the asymptotic BCs ψL ± 1 2 (x, y, 0) = 0. (z) components, not coupled to j = 1 2 states, the analogous procedure is trivial. The momentum 13 For the Ψ 3 2 ,± 3 2 solution pz = 0 to the characteristic equation is double-degenerate and the corresponding solution to det H O(3) jz= 3 2 (0, 0, pz) = (γ0 − 2γz)p2 z = 0 reads H O(3) jz= 3 2 (0, 0, pz)Ψ 3 2 ,± 3 2 (z) = 0 Imposing the hard-wall BCs (S36), upon the identification Ψ 3 2 ,± 3 2 (z) = ψL ± 3 2 + ∂zψL ± 3 2 z. ψL 2 → ψL ± 3 ± 3 2 → ∂zψL ∂zψL ± 3 ± 3 2 2 (x, y, 0), (x, y, 0), we obtain the asymptotic BCs ψL ± 3 2 (x, y, 0) = 0. And so, the asymptotic BCs for the LM wave function ψL [Eq. (S31)] corresponding to the hard-wall BCs (S36) for the KM wave function Ψ [Eq. (S24)] are given by Eq. (14), with all components vanishing at the boundary. Clearly, for O(3) symmetry, the BCs (14) are valid for any orientation of the surface (one can use the angular- momentum basis with the quantization axis perpendicular to that surface). Moreover, these BCs also hold when the symmetry is lowered to cubic Oh, since this form of BCs with all four wave-function components vanishing cannot be continuously transformed to any other possible form of asymptotic BCs, which would necessarily also involve first-order derivatives. Regarding the physical meaning, we caution from interpreting the BCs (14) as the "hard-wall" BCs, since such interpretation implies an infinite potential barrier, which is not meaningful in the semimetal regime of the LM. 1 L. D. Landau and E. M. Lifshitz, "Quantum Mechanics: Non-Relativistic Theory", Vol. 3, Pergamon Press (1977). 2 A mathematically equivalent result for a 1D system was obtained earlier in M. T. Ahari, G. Ortiz, and B. Seradjeh, Am. J. Phys. 84, 858 (2016). 3 J. A. M. van Ostaay, A. R. Akhmerov, C. W. J. Beenakker, and M. Wimmer, Phys. Rev. B 84, 195434 (2011). 4 J.-W. Rhim, J. Behrends, and J. H. Bardarson, Phys. Rev. B 95, 035421 (2017). 5 G. L. Bir and G. E. Pikus, "Symmetry and Strain-induced Effects in Semiconductors", Wiley (1974). 6 R. Winkler, "Spin-orbit Coupling Effects in Two-Dimensional Electron and Hole Systems", Springer-Verlag Berlin Heidelberg (2003). 7 O. Madelung, "Semiconductors: Data Handbook", Springer-Verlag Berlin Heidelberg (2004).
1205.0653
1
1205
2012-05-03T09:03:25
Current-induced torques in textured Rashba ferromagnets
[ "cond-mat.mes-hall" ]
In systems with small spin-orbit coupling, current-induced torques on the magnetization require inhomogeneous magnetization textures. For large spin-orbit coupling, such torques exist even without gradients in the magnetization direction. Here, we consider current-induced torques in ferromagnetic metals with both Rashba spin-orbit coupling and inhomogeneous magnetization. We first phenomenologically construct all torques that are allowed by the symmetries of the system, to first order in magnetization-direction gradients and electric field. Second, we use a Boltzmann approach to calculate the spin torques that arise to second order in the spin-orbit coupling. We apply our results to current-driven domain walls and find that the domain-wall mobility is strongly affected by torques that result from the interplay between spin-orbit coupling and inhomogeneity of the magnetization texture.
cond-mat.mes-hall
cond-mat
Current-induced torques in textured Rashba ferromagnets E. van der Bijl∗ and R.A. Duine Institute for Theoretical Physics, Utrecht University, Leuvenlaan 4, 3584 CE Utrecht, The Netherlands (Dated: February 7, 2018) In systems with small spin-orbit coupling, current-induced torques on the magnetization require inhomogeneous magnetization textures. For large spin-orbit coupling, such torques exist even with- out gradients in the magnetization direction. Here, we consider current-induced torques in ferro- magnetic metals with both Rashba spin-orbit coupling and inhomogeneous magnetization. We first phenomenologically construct all torques that are allowed by the symmetries of the system, to first order in magnetization-direction gradients and electric field. Second, we use a Boltzmann approach to calculate the spin torques that arise to second order in the spin-orbit coupling. We apply our results to current-driven domain walls and find that the domain-wall mobility is strongly affected by torques that result from the interplay between spin-orbit coupling and inhomogeneity of the magnetization texture. I. INTRODUCTION Current-induced torques on the magnetization in con- ducting ferromagnets are one of the main topics of re- search in spintronics. In addition to being fundamen- tally interesting, these torques are also key to develop- ments in memory technology.1 Current-induced torques can be used to move domain walls through a ferromag- netic wire. When a domain wall is present the direction of the magnetization depends on the position in the wire. This spatial dependence of the magnetization gives rise to a mismatch between the electron spin polarization and local magnetization resulting in the adiabatic reactive2,3 and dissipative (also known as non-adiabatic) spin trans- fer torques (STTs).4–9 The occurence of these two spin torques is well estabished but their relative magnitude, parametrized by the dimensionless parameter β which describes the relative strength of the dissipative torque with respect to the reactive one, is hard to measure10 and calculate.11 That there exist other current-induced torques related to spin-orbit (SO) coupling of the cariers has been pro- posed recently.12–15 In these works systems with SO coupling and homogeneous magnetization are consid- ered. Recent experiments can be interpreted using these current-induced torques originating from the SO cou- pling of the carriers16–20 that, unlike the adiabtic STT mentioned above, do not require magnetization gradi- ents. (Note, however, that these observations can also be described via the Spin-Hall effect in Pt as argued in Ref. [17].) For Rashba SO couping two current-induced torques have been found. In the experimental works a do- main wall is present. This implies that the description in terms of a homogeneous magnetization is incomplete and a more systematic description including both SO cou- pling and an inhomogeneous magnetization is called for. It is the purpose of this work to give such an inclu- sive description that incorporates both SO coupling and inhomogenous magnetization textures. For definiteness, we focus on the Rashba SO coupling. II we consider all current-induced torques which are allowed In Sec. by the symmetries of the system. As the number of al- lowed torques is considerable, and because the symme- try considerations do not yield their relative magnitudes, we investigate these within a semi-classical Boltzmann description. In Sec. VI the results for the torques are used to calculate their effect on domain-wall dynamics. We find that the current-induced domain-wall velocity depends strongly on wall geometry. Furthermore, the domain-wall mobility depends strongly on the inclusion of torques that result from the interplay of SO coupling and gradients in the magnetization. II. SYMMETRY CONSIDERATIONS In this section we use symmetry considerations to ob- tain all allowed current-induced torques. To illustrate our method we begin with the adiabatic spin torques in the absence of SO coupling. Subsequently we investigate the situation with SO coupling. We use the s − d model since this is a convenient model to get the qualitative de- scription of current-induced torques. In this model the magnetization resides on the d-orbitals and transport is due to the mobile s-electrons. We investigate the system well below the Curie temperature, which means the mag- netization is represented using a unit-vector field since fluctuations in its magnitude are negligible. A. Absence of Spin-Orbit Coupling Within the s − d model the Hamiltonian is given by m · s, Hsd = H0(x, p) − ∆ 2 (1) where H0 is the Hamiltonian that descibes the motion of the itinerant electrons and depends on electron momen- tum p and postion x. We have an exchange coupling between the magnetic texture m(x, t) and the electron spin s(t) specified by the exchange splitting ∆. The to- tal Hamiltonian Hsd is invariant under two independent rotations of the spin and physical space, parameterized by the rotation matrices Rij S and Rij respectively. (We neglect the coupling between the magnetization and the orbit of the electrons that occurs via the Lorentz force. We neglect this effect for the moment because the mag- netic field induced by the magnetization is very small.) Moreover, in this description we neglect the ionic lattice. We explicitly have for the rotations si = Rij S sj, xi = Rijxj, mi = Rij S mj; pi = Rijpj. (2) (3) Note that we use the summation convention of summing over repeated indices. The invariance of the Hamiltonian implies Hsd( p, x, s, m) = Hsd(p, x, s, m). This means that these symmetries should be respected at the level of the equations of motion. We are interested in the (linear-response-) current-induced torques, hence our ex- pressions for the torques should be linearly dependent on the applied electric field E. The possible torques that are first order in the electric field E, which transforms under the action of R, should involve an inner-product with an- other vector that transforms under the same rotation and in this way creates an invariant scalar. The only other vector that transforms in this way for this system is the gradient ∇ that acts on the magnetization. These con- straints lead to the two possible current-induced torques (cid:12)(cid:12)(cid:12)(cid:12)ST ∂m ∂t ∝ (E · ∇)m + βm × (E · ∇)m. (4) For a treatment of spin transfer torques that incorporates the symmetries of the lattice see Ref. [21]. These terms are frequently written in terms of the current but we choose to put in the electric field here as the external perturbation, to be consistent with the rest of this paper. Note the parameter β which is defined as the ratio of the dissipative and reactive spin transfer torques. The two torques in Eq. (4) are mutually perpendicular. Moreover they transform differently under time reversal, since they differ by a factor m which is odd under time- reversal. This difference in behaviour under time-reversal symmetry implies the torques form a pair where one is reactive and the other is dissipative. B. Spin-Orbit Coupling ?? In the presence of SO coupling the Hamiltonian for the spin of the s-electron couples the spin and the momentum of the electron. We represent SO coupling for spin- 1 2 carriers via the Hamiltonian HSO = −Ω(x, p) · s, (5) where Ω contains both the exchange interaction of Eq. (1) and SO coupling, and can be seen as a position and momentum dependent effective exchange splitting. For definiteness, and motivated by experiments,16,17 we study the simplest form of SO coupling described by 2 the Rashba Hamiltonian22 HR = −λR(p × ez) · s. The Rashba coupling together with the exchange interaction results in Ω(x, p) = m(x) + λRp × ez. ∆ 2 (6) Rashba SO coupling occurs in two-dimensional electon systems with inversion asymmetry along the direction perpendicular to the two-dimensional electron gas (which we choose as our z-axis). The SO coupling breaks the in- variance of the Hamiltonian under separate rotations of the spin and orbital parts of the motion. Total angu- lar momentum is still conserved due to the invariance of the Hamiltonian under combined rotations of spin and physical space, parameterized by Rij The linear-response matrix Lcit(m, ez,∇m) that de- S = Rij. scribes the current-induced torques is defined by mi = Lij cit(m, ez,∇m)Ej, (7) where E is the electric field in the plane and ez is a unit vector in the z-direction. The linear-response ma- trix depends on this direction since inversion symmetry is broken along this direction. The Hamiltonian is invariant under parity transformations which implies that the lin- ear response matrix should obey −Lcit(m,−ez,−∇m) = Lcit(m, ez,∇m). This shows that there can be torques on the magnetization without a gradient in the magne- tization. These torques τSTi = Lij(m, ez)Ej have been found before12 and are given by ST ∝ m × (E × ez); τ (1) τ (1⊥) ST ∝ m × (m × (E × ez)). (8) (9) The spin torques are perpendicular to m because it is a unit vector field. Since the magnetization is embedded in three-dimensional space there is a two-dimensional plane perpendicular to it. This means that any spin torque τ (i) ST allowed by the symmetry of the system immediately de- fines another torque via τ (i⊥) ST. These pairs dif- fer a factor m which changes its sign under time-reversal, hence the two torques form a reactive-dissipative pair, like the STTs in Eq. (4). In the following we will show only one of the pair. All terms to first order in the gradi- ent of the magnetization that do not involve ez, are given by ST = m×τ (i) ST ∝ (E · ∇)m; τ (2) ST ∝ ((m × E) · ∇)m; τ (3) ST ∝ (m · E)(m · ∇)m; τ (4) ST ∝ Ea(m × ∇)ma; τ (5) ST ∝ (m × E)a(m × ∇)ma; τ (6) ST ∝ m × E(∇ · m); τ (7) ST ∝ (m × E)m · (∇ × m), τ (8) (10) (11) (12) (13) (14) (15) (16) In the first line the familiar STT2,3 describing the current-induced torque in systems with inhomogeneous magnetization is obtained. Together with the dissipative STT4–9 that is associated with it (τ 2⊥ ST ) those torques de- scribe the weak SO coupling situation. In the second line we find a STT due to a Hall current. The other torques do not have a straightforward physical interpretation. Up to this point we have explicitly given the torques to first order in either ez or ∇. There are more torques that involve an even number of ez's and are first order in ∇. We will not list them because the list will be to long to be illuminating. We proceed by actually calcu- lating the torques in the next section. The reason we do this is twofold. First, having demonstrated the exis- tence of many spin torques due to the combined effects of SO coupling and magnetization gradients, we now explic- itly calculate which torques occur within a semi-classical approach to the Rashba model. The second reason is to give an estimate of the relative magnitude of the vari- ous current-induced torques which cannot be found using symmetry arguments. III. SEMI-CLASSICAL FRAMEWORK In order to investigate microscopically which current- induced torques appear for the textured Rashba ferro- magnet we use a semi-classical approach. This approach has proved its merit in the description of the anomalous Hall effect.23 We describe the system by the Hamiltonian H = p2 2me − Ω(x, p) · s + EMM [m] , (17) where Ω(x, p) is the effective Zeeman field, given in Eq. (6), that incorporates the Rashba SO coupling and the exchange coupling, and EMM [m] is the micromagnetic energy functional for the magnetization. Furthermore, me is the effective mass of the electron. The equation of motion for the spin degree of freedom is written as ds dt = 1  s × Ω − α  s × (s × Ω), where we introduced a damping term proportional to α that describes relaxation of the spin into the direction of the effective Zeeman field. The spin dynamics is much faster than the motion of the electrons such that we can solve the above equation of motion up to first order in time derivatives of Ω. We obtain the following solutions ss = s Ω + s d Ω dt × Ω − α√ Ω · Ω d Ω dt , (18) √ Ω · Ω ±1 s = describe the majority(s where = +)/minority(s = −) electrons, and Ω = Ω/Ω. The first term describes the adiabatic following of the effective magnetization texture by the electron-spins. The other terms describe the slight mismatch of the spins with the effective magnetization. We find the dynamics of the itinerant electrons by inserting the first 3 (cid:33) dt (cid:32) ∂s order solutions of the spin degree of freedom, given in Eq. (18), into the Hamilton equations of motion for the electrons. We obtain ∂ Ω ∂ Ω xi s = s = − ∂s pi · Ω + α ∂ Ω (cid:33) ∂pi − s ∂xi + s ∂pi · d Ω ∂xi · d Ω ∂pi × d Ω (cid:32) ∂xi × d Ω dt where s = p2/2me − sΩ is the dispersion for the majority(s = +)/minority(s = −) electrons. Note that we added an electric field to induce a transport current. The total time derivatives on Ω should be understood as · Ω − α ∂ Ω − eE, ; dt dt d Ω dt = xi s ∂ Ω ∂xi + pi s ∂ Ω ∂pi . Now that we have this semi-classical description of the single particle dynamics we calculate the spin-torques us- ing the Boltzmann equation for the distribution function fs(x, p, t) of the particles, which, in the relaxation-time (τr) approximation, is given by, fs(x, p, t) = − fs(x, p, t) − f FD(s) τr d dt , (19) where f FD() = (1 + eβ)−1 is the Fermi-Dirac distri- bution function. The relaxation-time approximation is the simplest description of the Boltzmann collision in- tegral. We make the relaxation-time approximation here for convenience. A detailed study of the collision-integral in the presence of strong SO coupling is beyond the scope of this work. We refer to the work by Pesin and Mac- Donald in Ref. [19] for more details on the situation of homogeneous magnetization. The left-hand side in Eq. (19) should be read as dfs dt = ∂fs(x, p, t) ∂m · m+ ∂fs(x, p, t) ∂p · ps + ∂fs(x, p, t) ∂x · xs. The equation of motion for the magnetization is the Landau-Lifshitz-Gilbert (LLG) equation ∂m ∂t = −γm × Heff + αGm × ∂m ∂t + τsd, (20) where γ is the gyromagnetic ratio and the torques due to the s − d coupling τsd = ∆/(2)m × (cid:104)s(cid:105) contain the spin torques of interest and a renormalization of the pa- rameters in the LLG4 equation which we discuss in this section. The current-induced torques are proportional to the electric field and will be given in Sec. V. The renor- malized LLG equation we obtain is given by (1 − η) ∂m ∂t = −γm × H(cid:48) eff + α(cid:48) Gm × ∂m ∂t + τST, (21) where τST contains all terms of τsd proportional to the electric field and H(cid:48) eff is defined as the effective magnetic 4 where G0 = 2e2/h is the quantum of conductance. The second contribution (cid:32) (cid:33) field acting on the magnetization which acquires an ad- ditional term from the coupling to the electrons H(cid:48) eff = δEMM δm + α m2 ea2λ2 R 2γπ2 (1 + 42 F ∆2 )( m · ez)ez, (22) σij AH G0 = 2meλ2 ∆ mz+λτr(m·(∇×m)−α (∇·m)) 2F ∆ ijz, and the renormalized quantities in Eq. (21) are given by (cid:18) ∆ 2 η = mea2 π2 − 4 meλ2F ∆ m2 z (cid:19) ; where a is the lattice constant. The additional term in Eq. (22) is an anisotropic damping term which for the typical parameters (see Table II) we use in the calculation of the domain wall dynamics is negligible, moreover these parameters also imply η (cid:28) 1. Furthermore we obtain that the observed Gilbert damping constant is given by G = αG − α α(cid:48) a2me π2 (1 − 42 F ∆2 (1 + 4m2 z)) (cid:18) F − meλ2 2 (cid:19) . (26) which is the anomalous Hall response generalized to inho- mogeneous magnetization. The last contribution to the conductivity is (cid:18) (cid:19) σij AMR G0 = meλ2 α 4F ∆2 − τr  iazjbzmamb, (27) which depends on the relative orientation of the electric field and the magnetization and hence corresponds to anisotropic magnetoresistance. We also define the cur- rent polarization via Pjc ≡ −e(cid:88) (cid:90) s=± d2p (2π)2 f (s)s xs, (23) Note that αG phenomenologically describes the damping of the magnetzation due to interactions other than the s− d coupling, such as relaxation due to magnon-phonon interactions. Before we calculate the spin torques within this semi-classical framework we determine the current as a function of electric field within this simple model. We need this later on to express the spin torques in terms of the current. for later reference. V. CURRENT-INDUCED TORQUES In this section we give the current-induced torques for the Rashba model, introduced in Sec. III. The current- induced torques can be calculated from the current- induced spin density. They are given by IV. CONDUCTIVITY In this section we give the conductivity for the Rashba system. Note that the conductivity we find here is only correct within this simple s − d description. We need the conductivity in order to interpret the current-induced torques in the next section. The conductivity σij is de- c = σijEi, where jc is the charge-current den- fined as ji sity. We calculate the conductivity up to first order in the gradient of the magnetization and up to second or- der in the SO coupling strength. The expression for the charge-current density is given by jc = −e(cid:88) (cid:90) s=± d2p (2π)2 fs(x, p, t) xs. (24) τST = = ∆ 2 m × (cid:104)s(cid:105) 2 m ×(cid:88) ∆a2 s=± (cid:90) d2p (2π)2 fs(x, p, t)ss, (28) where τST is the sum of all the separate spin torques we list below. We evaluate the integral in Eq. (28) up to first order in the damping parameter α and gradient of the magnetization and up to second order in the spin-orbit coupling strength λ. Note that we only include terms linear in the electric field, and that we take ∂m/∂t = 0. Taking into account this time-dependence gives rise to renormalization of damping and gyromagnetic ratio that we already discussed in the previous section. In agreement with our phenomenlogical arguments [see Eqs. (8,refeq:tst1p)], we obtain two spin torques that are zeroth order in the gradient of the magnetization which are given by (cid:18) ∆τr 2 − α 2F ∆ (cid:19) (E × ez) × m; (29) ((E × ez) × m) × m. (30) Using the relaxation-time approximation described in the previous section we find that the conductivity has three contributions σ = σ0 + σAH + σAMR corresponding to the diagonal, anomalous Hall effect and anisotropic magne- toresistance, respectively. The diagonal conductivity is given by τ (1) = τ (1⊥) = emeλa2 emeλa2 π2 π2 (cid:18) F τr σij 0 G0 =  + α 4meλ2F ∆2 (cid:19) δij, (25) These homogeneous SO induced spin torques where de- rived before.12,18 In case α = 0, we agree with Man- chon and Zhang12 and with Kim et.al.18 about the ratio between the reactive and dissipative torques. In addi- tion, for α (cid:54)= 0, we find another contribution to these torques coming from spin relaxation. Note that the two torques given above form a perpendicular pair, one dis- sipative one reactive. In what follows we will group the torques into these pairs when both reactive and dissipa- tive torques emerge to second order in SO coupling. All other torques are first order in the gradient of the magnetization. The first two torques we find are given by (cid:18) ∆ (cid:18) 2 τ STT = −eτra2 π2 (E · ∇)m; eατra2 τ STT⊥ = π2 F + m × (E · ∇)m, (cid:19) (cid:0)3 + 4m2 z αmeλ2 + 2 − 12meλ2 F ∆ τr∆ (cid:18) meλ2 2 7 + 42 F ∆2 (31) (cid:1)(cid:19)(cid:19) (32) which are the well known STTs that also occur in sys- tems with negligible SO coupling, see Eq. (4), and are due to the spin-polarized current in the direction of the electric field. The ratio of these two torques defines the β parameter. We find that (cid:18) β = − 2α ∆ F + mλ2 R 5 In the previous section we showed that the current can be decomposed into three components. Several of the torques we find can be interpreted as the ordinary spin transfer torques [Eq. (4)] with current response modified due to the SO coupling. First, we have the torques − 4emeF λ2a2 π∆2 2emeαλ2a2 (m · ez)((E × ez) · ∇)m; (m · ez)m × ((E × ez) · ∇)m, π∆ which are due to the anomalous Hall current, ji σij AHEj, and are given to first order in gradients as AH ≡ τ AH = P(jAH · ∇)m; τ AH⊥ = P α∆ 2F m × (jAH · ∇)m. (34) (35) Two torques can be interpreted to be a generalization of the STTs coming from the anisotropic magnetoresistance response given by Eq.(27). These torques are 2 + (cid:18) 7 2 ∆2 (30 + 8m2 F z) (cid:19)(cid:19) (cid:16) τ AMR = − 2emeλ2a2 τ AMR⊥ = −emeλ2ατra2 π∆ π2 . (33) (cid:17) F τr  2 ∆2 )((E × ez) · m)m × ((m × ez) · ∇)m. F ((E × ez) · m)((m × ez) · ∇)m; α − 24 (5 + 16 (36) (37) The next torque, given by τ Hall = −emeλ2ατra2 2π2 (1 + 4 2 F ∆2 )((E × m)·∇)m, (38) has the symmetry of a STT due to a normal Hall resonse. This is not the normal Hall response because it is quadratic in the SO coupling parameter. In our de- scription we did not include the normal Hall response of the system, due to the smallness of the effect. The torques obtained up to this point could be inter- preted as the known SO coupling induced spin torques for Eqs. (29, 30) and the STTs [in Eqs. (31)–(38)] with a current response that is modified due to SO coupling. Now we will list the torques that cannot be interpreted as known current-induced torques. We have the pairs (cid:17) τ a = π∆ 2emeλ2a2 (m × (E × ez))am × (ez × ∇)ma; (cid:16) F τr  − α τ a⊥ = − 4emeF λ2a2 (m × (E × ez))am × (m × (ez × ∇))ma, and αemeλ2a2 (cid:16) τ b = −2  (E × ez)am × (ez × ∇)ma; τr α − F τr  (E × ez)am × (m × (ez × ∇))ma. π 2emeλ2a2 τ b⊥ = π∆2 (cid:17) π∆ (39) (40) (41) (42) We also have four torques that do not form reactive- dissipative pairs we list them below τ c = 4 τ d = −4 emeF λ2τra2 emeF λ2τra2 π∆2 π∆2 (m · ∇m · ez)m × (E × ez); (E × ez)a(m · ∇)ma(m × ez); (43) (44) τ e = τ f = emeλ2ατra2 3emeλ2ατra2 π2 2π2 (1 + 4 (1 + 4 2 F ∆2 )Ea(m × ∇)ma; 2 ∆2 )ea F (45) z (m × ez)(E · ∇)ma. (46) Note that the above torques are of second order in ez, and have therefore not been explicitly written down in Sec. ??. The current-induced spin torques in this section are the central result of this paper. From the list of torques we presented here it is clear that the interplay of SO coupling and an inhomogeneous magnetization gives rise to many spin torques. In the next section we consider their effect on current-induced domain-wall motion. VI. DOMAIN-WALL MOTION In this section we investigate the effect the spin torques have on current-induced domain-wall dynamics. We study the domain-wall dynamics by employing the one- dimensional rigid domain-wall model. Within this model the dynamics is captured by the collective coordinates of the wall which are its position rdw and central an- gle ϕdw. We study three different realizations of domain walls summarized in Table I. Due to the SO coupling the current-driven motion of the three walls differs. In order to arrive at the equations of motion for the col- lective coordinates we describe the direction of the mag- netization m = (cos ϕdw sin θdw, sin ϕdw sin θdw, cos θdw) using two angles θdw and ϕdw. We use θdw(x, t) = 2 arctan [exp(x − rdw)/λdw] and ϕdw(t), where λdw = (cid:112)J/K is the domain-wall width in terms of the exchange stiffness J and the easy axis anisotropy K. The direc- tion of the electric field is specified by the angle φE with the x-axis in the x-y plane. The known13,24 equations of motion for the collective coordinates rdw and ϕdw are augmented by terms obtained from the current-induced torques of the previous section. In the calculations we make use of the parameter values as given in Table II. These parameters ar typical for metallic ferromagnets, and the value of the spin-orbit coupling is taken from Ref.[ 16 ]. Furthermore, we give the results as a function of the critical field Ec and velocity vc for the case without SO coupling, which are defined as24 vc = Ec = K⊥  λdw; vc , v0 s (47) (48) 6 TABLE I. Magnetic anisotropy configuration and the corre- sponding domain wall structures. Easy Axis (K) Hard Axis (K⊥) Bloch(z) N´eel(x) Bloch(y) z x y y z x TABLE II. Parameters used in domain wall motion calcula- tions. F = 1 eV ∆ = 0.1 eV mλ2 = 9 meV α(cid:48) G = 0.05 = 0.05 α τ = 30 fs λdw = 10 nm a = 0.3 nm where the spin velocity in absence of SO coupling is de- fined as s = −eτr∆a2 2π2 v0 , which is the zero S) coupling (λ → 0) limit of Eq. (31). In Eq. (21) we introduced the renormalized Gilbert damp- ing parameter α(cid:48) G which is the Gilbert damping para- mater that will be measured in experiments. We expect that the Gilbert damping αG for the magnetization and the damping α for the itinerant spins are of the same or- der of magnitude. In the Appendix we give the equations of motion for the N´eel(x) and Bloch(y) wall configura- tions. Here we explicitly address the Bloch(z) wall. The equations of motion for the collective coordi- nates are obtained by inserting the Bloch(z) domain-wall ansatz, as given above, into the equation of motion for the magnetization, see Eq. (21). To get the equations of mo- tion we take the inner-product with δmBloch(z)/δrdw, for one equation of motion and similar for δmBloch(z)/δϕdw. Subsequently we integrate those two equations over all space. The two equations of motion we obtain in this way are given below rdw λdw − α(cid:48) G ϕdw − K⊥  sin 2ϕdw = (cid:18) π + 6τ a + 4τ c τ (1) + 2 6λdw 2τ b⊥ − 4τ AMR (cid:19) E cos(φE − ϕdw) cos ϕdw − τ e 3λdw sin ϕdw E sin(φE − ϕdw) sin ϕdw − τ STT λdw 6λdw E cos φE; (49) ϕdw + α(cid:48) G rdw λdw = (cid:18) π + τ (1⊥) − τ a⊥ 2 λdw 4τ AMR⊥ + 2τ b 3λdw (cid:19) E cos(φE − ϕdw) 7 cos ϕdw E sin(φE − ϕdw) sin ϕdw + 2τ f + 3τ STT⊥ 3λdw E cos φE, (50) FIG. 1. Average velocity of a Bloch(z) wall as a function of the applied field. The dashed (blue) line is the situation without spin-orbit coupling, the dotted (black) line shows the results with only the homogeneous SO torques, i.e. τ (1) and τ (1⊥) added. The solid line (red) shows the result of the solution of the equations of motion including all spin torques. The parameters used to obtain these results are given in Table II . FIG. 2. Average velocity of a N´eel(x)-wall as a function of applied electrix field in the x-direction. Lines are as in Fig.1. The equations of motion can be found in the Appendix. The scalars τ (i) are defined as the prefactors in front of the vector quantities of the torques in section V. In Fig. 1 we show the average Bloch(z)-wall velocity as a func- tion of the applied electric field in the x-direction. The boundary conditions for the current through the ferro- magnet are such that only a current in the x-direction is present. In the figures we took φE = 0, since the off-diagonal contributions in the conductivity give rise to FIG. 3. Average velocity of a Bloch(y)-wall as a function of applied electrix field in the x-direction. Lines are as in Fig.1. The equations of motion can be found in the Appendix. a small (< 1% of the external field for the parameters used) voltage gradient in the y-direction. The average domain-wall velocity is defined as vdw = (cid:104) rdw(cid:105), where the brackets denote a long-time average. From the results in Figs. 1–3 we see that the inclusion of spin torques due to the combined effect of do cou- pling and magnetization changes the domain-wall mo- bility µdw = dvdw/dE completely as compared to the situation without these torques. In Figs. 2, 3 we show the results for the N´eel(x) and Bloch(y) walls respectively. It is clear that also in this case the additional torques induce qualitatively different behaviour of the domain wall motion compared to the situation with only the torques induced by SO coupling for homogeneous magnetization. VII. DISCUSSION In this paper we considered current-induced torques in systems that have SO coupling and a textured mag- netization. The effects of these torques on domain-wall motion have been investigated. We have shown that the effects of the interplay between the SO coupling and the gradients in the magnetization are qualitatively impor- tant for domain-wall dynamics. In particular, we showed that the inclusions of all torques typically changes the domain-wall mobility as compared to including only the spin transfer torques that occur at weak spin-orbit cou- pling and/or the homogeneous spin torques due to SO coupling. The results of this work may be used to dis- 0.0.020.04(cid:45)101(cid:45)EEcvdwvc0.0.020.04(cid:45)101(cid:45)EEcvdwvc0.0.020.04(cid:45)101(cid:45)EEcvdwvc criminate between Rashba SO coupling and injection of a spin current via the spin Hall effect, because the latter will only show the homogeneous current-induced torques. In this paper we considered Rashba SO coupling. Our results can be generalized straightforwardly to linear Dresselhaus SO coupling,25 which is linear in momentum too. For linear Dresselhaus coupling the dispersion of the carriers is the same as for Rashba coupling. The effective magnetization for the Dresselhaus SO coupling is given by ΩD(x, p) = ∆m/2 + λD(−px, py, 0)T . This means p × ez → (−px, py, 0)T when we go from the Rashba to the Dresselhaus coupling. The current-induced torques we found in Sec. V involve factors v × ez, where v is a vector. For clarity we consider τ (1) ∝ (E×ez)×m (given in Eq. (29)), for the Dresselhaus system the torque would be in the direction (E × ez) × m → (−Ex, Ey, 0) × m. In this way we obtain the results for the textured Dres- selhaus ferromagnet. The results for combined Rashba- Dresselhaus SO coupling are less straightforward to ob- tain since the dispersion of the carriers changes. Another obvious place to look for the appearance of addiational torques due to SO coupling would be in di- lute magnetic semiconductor systems, where the effective Hamiltonian for the carriers also has strong SO coupling. In Ref. [26] spin torques for the dilute limit are calculated for this system. In that work one of the current-induced torques is interpreted as an anisotropic dissipative STT. This anisotropic torque can as well be interpreted as the torque given by Eq. (15). It would be very interesting to see which other torques would appear in those systems because the allowed spin torques would be listable since there is no symmetry breaking in the z-direction. The reciprocal physical mechanism associated with current-induced torques are currents driven by non- equilibrium magnetization dynamics, often referred to as spin-motive forces. We obtain these using the Onsager reciprocal relations.27 We do this via the linear response matrix (cid:33) (cid:32) (cid:32) (cid:32) (cid:33) mi ji c = 8 (cid:33) , mkijk cit(m, ez,∇m) Lij smf (m, ez∇m) σij(m, ez,∇m) Lij · H j eff Ej cit(m, ez,∇m) is the (3 × 3) matrix that gives where Lij the current induced torques as defined in Eq. (7) and smf (m, ez,∇m) gives the spin motive forces. These two Lij matrices are related via Onsager reciprocity which yields cit(m, ez,∇m) = Lji Lij smf (−m, ez,−∇m). In future work we intend to explore these spin motive forces in more detail. Another interesting direction for future research is the inclusion of thermal gradients and heat currents. ACKNOWLEDGMENTS It is a pleasure to thank Arne Brataas and Dima Pesin for useful remarks. This work was supported by the Stichting voor Fundamenteel Onderzoek der Materie (FOM), the Netherlands Organization for Scientifc Re- search (NWO), and by the European Research Council (ERC). ∗ Electronic address: [email protected] 1 S. S. P. Parkin, M. Hayashi, and L. Thomas, Science 320, 190 (2008). 2 J. Slonczewski, J. Magn. Magn. Mater. 159 (1996). 3 L. Berger, Phys. Rev. B 54, 9353 (1996). 4 S. Zhang and Z. Li, Phys. Rev. Lett. 93, 127204 (2004). 5 S. E. Barnes and S. Maekawa, Phys. Rev. Lett. 95, 107204 (2005). 6 Y. Tserkovnyak, H. J. Skadsem, A. Brataas, and G. E. W. Bauer, Phys. Rev. B 74, 144405 (2006). 7 H. Kohno, G. Tatara, and J. Shibata, Journal of the Phys- ical Society of Japan 75, 113706 (2006). B. Van Waeyenberge, K. W. Chou, A. Puzic, and H. Stoll, Phys. Rev. Lett. 100, 176601 (2008); L. Heyne, J. Rhen- sius, D. Ilgaz, A. Bisig, U. Rudiger, M. Klaui, L. Joly, F. Nolting, L. J. Heyderman, J. U. Thiele, and F. Kro- nast, Phys. Rev. Lett. 105, 187203 (2010). 11 M. E. Lucassen, C. H. Wong, R. A. Duine, and Y. Tserkovnyak, Applied Physics Letters 99, 262506 (2011). 12 A. Manchon and S. Zhang, Phys. Rev. B 78, 212405 (2008); Phys. Rev. B 79, 094422 (2009). 13 K. Obata and G. Tatara, Phys. Rev. B 77, 214429 (2008). 14 I. Garate and A. H. MacDonald, Phys. Rev. B 80, 134403 8 F. Pi´echon and A. Thiaville, Phys. Rev. B 75, 174414 (2009). (2007). 9 R. A. Duine, A. S. N´unez, J. Sinova, and A. H. MacDon- ald, Phys. Rev. B 75, 214420 (2007); R. A. Duine, Phys. Rev. B 79, 014407 (2009). 10 L. Thomas, M. Hayashi, X. Jiang, R. Moriya, C. Ret- tner, and S. Parkin, Nature 443, 197 (2006); L. Heyne, M. Klaui, D. Backes, T. A. Moore, S. Krzyk, U. Rudiger, L. J. Heyderman, A. F. Rodr´ıguez, F. Nolting, T. O. Mentes, M. A. Nino, A. Locatelli, K. Kirsch, and R. Mattheis, Phys. Rev. Lett. 100, 066603 (2008); M. Bolte, G. Meier, B. Kruger, A. Drews, R. Eiselt, L. Bocklage, S. Bohlens, T. Tyliszczak, A. Vansteenkiste, 15 K. M. D. Hals, A. K. Nguyen, and A. Brataas, Phys. Rev. Lett. 102, 256601 (2009). 16 I. Miron, K. Garello, G. Gaudin, P.-J. Zermatten, M. Costache, S. Auffret, S. Bandiera, B. Rodmacq, A. Schuhl, and P. Gambardella, Nature 476, 189 (2011); I. Miron, T. Moore, H. Szambolics, L. Buda-Prejbeanu, S. Auffret, B. Rodmacq, S. Pizzini, J. Vogel, M. Bon- fim, A. Schuhl, and G. Gaudin, Nature Materials 10, 419 (2011). 17 L. Liu, O. J. Lee, T. J. Gudmundsen, D. C. Ralph, and R. A. Buhrman, "Magnetic switching by spin torque from the spin hall effect," (2011), arXiv:1110.6846v2. 18 W.-W. Kim, S.-M. Seo, J. Ryu, K.-J. Lee, and H.- W. Lee, "Magnetization dynamics induced by in-plane currents in ultrathin magnetic nanostructures," (2012), arXiv:1111.3422v2. 19 D. Pesin and A. MacDonald, "Quantum kinetic theory of current-induced torques in rashba ferromagnets," (2012), arXiv:1201.0990v1. 20 J. Ryu, S.-M. Seo, K.-J. Lee, and H.-W. Lee, J. Magn. Magn. Mater. 324, 1449 (2012). 21 K. M. D. Hals and A. Brataas, (2012), private communi- cation. 22 E. I. Rashba, Fiz. Tverd. Tela (Leningrad) 2, 1224 (1960). 23 N. A. Sinitsyn, J. Phys.: Condens. Matter 20, 023201 (2008); T. Jungwirth, Q. Niu, and A. H. MacDonald, Phys. Rev. Lett. 88, 207208 (2002); E. van der Bijl and R. A. Duine, Phys. Rev. Lett. 107, 195302 (2011). 24 G. Tatara and H. Kohno, Phys. Rev. Lett. 92, 086601 (2004); Phys. Rev. Lett. 96, 189702 (2006). 25 G. Dresselhaus, Phys. Rev. 100, 580 (1955). 26 D. Culcer, M. E. Lucassen, R. A. Duine, and R. Winkler, Phys. Rev. B 79, 155208 (2009). 9 27 C. H. Wong and Y. Tserkovnyak, Phys. Rev. B 80, 184411 (2009). Appendix A: Different domain-wall configurations In this appendix we give the equations of motion for the N´eel(x) and Bloch(y) domain-wall configurations. The magnetic anisotropy configuration corresponding to these different walls is given in Table I. 1. N´eel(x) Wall The N´eel(x) wall is parameterized as m = (cos(θ(x, t)), cos φ(x, t) sin(θ(x, t)), sin φ(x, t) sin(θ(x, t)))T . The equations of motion are obtained as explained in Sec. VI of the main text. The equations of motion for the collective coordinates are given by rdw λdw − α(cid:48) G ϕdw = − (cid:18) π K⊥  sin 2ϕdw − 1 τ (1) + 2 3λdw ϕdw + α(cid:48) G rdw λdw = + 1 λdw − 1 3 (cid:18) 1 π 4λdw 3λdw τ (1⊥) + τ e cos2 ϕdw + (cid:18) (cid:0)−3τ AMR + τ b⊥ + τ d(cid:1) cos2 ϕdw − 1 (cid:32) (cid:18) 1 (cid:0)(cid:0)2τ AMR + τ b(cid:1) cos2 ϕdw − (−3τ a⊥ + τ f ) sin2 ϕdw (cid:19) (cid:0)4τ AH⊥ − 4τ b⊥ − τ c − τ d(cid:1) sin ϕdw τ e − λdwτ (1⊥) sin ϕdw (cid:19) 3λdw π 2 π 2 τ (1) + 48λdw 2. Bloch(y) Wall (cid:0)τ AH − τ b + τ Hall(cid:1) sin ϕdw (cid:19) (cid:19) E sin φE (3τ a + τ c) sin2 ϕdw E cos φE, (cid:1) + τ STT⊥ (cid:33) E cos φE E sin φE. For the Bloch wall the magnetization is parameterized as m = (cos φ(x, t) sin(θ(x, t)), cos(θ(x, t)), sin φ(x, t) sin(θ(x, t)))T . The equations of motion are G ϕdw − K⊥ − α(cid:48) rdw λdw (cid:18) 2  sin 2ϕdw = − 1 3λdw − 3λdw 4λdw (cid:16) τ AMR + 2τ b − 3τ STT⊥ − τ f cos2 ϕdw + 3λdwτ (1)(cid:17) (cid:19) τ AH − τ a⊥ + τ Hall + 2λdwτ (1)(cid:17) (cid:16) π + E cos φE cos ϕdw E sin φE, ϕdw + α(cid:48) G rdw λdw (cid:16) (cid:16) = − 1 3λdw π + 32λdw τ AMR⊥ + 2τ b + 3λdwτ (1) − 3τ STT⊥ − τ f cos2 ϕdw E cos φE 8τ a + 8τ AH⊥ + τ c + τ d − 16λdwτ (1⊥) − (τ c + τ d) cos 2ϕdw (cid:17) cos ϕdwE sin φE. (cid:17)
1002.0545
1
1002
2010-02-02T17:19:09
Finite Element Modeling of Charge and Spin-currents in Magnetoresistive Pillars with Current Crowding Effects
[ "cond-mat.mes-hall" ]
The charge and spin diffusion equations taking into account spin-flip and spin-transfer torque were numerically solved using a finite element method in complex non-collinear geometry. This approach was used to study the spin-dependent transport in giant magnetoresistance metallic pillars sandwiched between extended electrodes as in magnetoresistive heads for hard disk drives. The charge current crowding around the boundaries between the electrodes and the pillar has a quite significant influence on the spin current.
cond-mat.mes-hall
cond-mat
Finite Element Modeling of Charge and Spin-currents in Magnetoresistive Pillars with Current Crowding Effects N. Strelkov1,2, A. Vedyayev1,2, D. Gusakova1,3,,a), L. D. Buda-Prejbeanu1, M. Chshiev1, S. Amara1, A. Vaysset1, B. Dieny1 1SPINTEC, UMR-8191, CEA-INAC/ CNRS/UJF-Grenoble 1/Grenoble-INP, 17 rue des martyrs, 38054 GRENOBLE cedex 9 2Lomonosov University, Faculty of Physics, Department of Magnetism, Moscow, Russia 3CEA-LETI, MINATEC, DRT/LETI/DIHS, 38054 Grenoble, France The charge and spin diffusion equations taking into account spin-flip and spin-transfer torque were numerically solved using a finite element method in complex non-collinear geometry. This approach was used to study the spin-dependent transport in giant magnetoresistance metallic pillars sandwiched between extended electrodes as in magnetoresistive heads for hard disk drives. The charge current crowding around the boundaries between the electrodes and the pillar has a quite significant influence on the spin current. Spin electronics was born in 1988 with the discovery of Giant Magnetoresistance (GMR)1,2. Since then, it has been expanding thanks to a strong synergy between fundamental research and industrial developments particularly concerning magnetoresistive heads for hard disk drives3,4, Magnetic Random Access Memories (MRAM)5, logic devices6 and RF oscillators7. Most of these spintronic devices under research and development involve inhomogeneous current flows. This is the case in metallic pillars or low resistance tunnel junctions implying current crowding effects8, in point contact RF oscillators9, in GMR current-perpendicular-to-plane (CPP) magnetoresistive heads and especially in current confined path (CCP) structures10,11. To quantitatively interpret experimental data in these complex geometries or to design spintronic devices with non-uniform current flow, it is therefore important to develop a theoretical tool which is able to describe the spin-dependent transport (charge and spin-currents) as well as spin-transfer torque in systems of arbitrary shape and magnetic configuration. The purpose of the present study was to develop such a tool in the case of diffusive transport. In this letter, we present our approach to accomplish this goal and apply it to the calculation of the transport properties in CPP-GMR pillars sandwiched between extended a) Corresponding author: [email protected] 1 electrodes. We show that the current crowding effect which takes place in such structure gives rise to quite peculiar spin transport phenomena. The general formalism that we used in the diffusion limit is derived based on the extension of the Valet and Fert theory12,13. Each material constituting the system of arbitrary shape and composition is described by local transport parameters (C0−conductivity, β−spin asymmetry of C0 , D0−diffusion constant, β′−spin asymmetry of D0 , N0−density of states at Fermi level). In this study we assume β=β′ in the following. All transport properties are then described by four local variables: the scalar electrostatic potential ϕ~ and the 3 components of mmm . The local charge current vector is then given spin accumulation in spin-space , ( , ) x y z by: , = j e ∇ u ( βϕ C C 2 2~ −∇ 0 0 e Ne 2 0 where Mu is a unit vector parallel to the local magnetization.e is the electron charge. The spin current is described by a tensor (3 coordinates in spin space, 3 coordinates in real space) and expressed as: (1), m ) M 2 = j m β C 0 e ~ −∇ ϕ u M C 2 0 Ne 2 ∇ m (2). 0 The four variables are then calculated everywhere in space in steady state by numerically solving the two fundamental equations of spin-dependent diffuse transport (4 scalar equations): e m = + S M ) 0 + = where )3( )4( × um ( j div j div 0 ⎧ ⎪ VMJ m ⎨ sd μ τ ⎪⎩ (cid:61) B sf sfτ represent the s-d exchange interaction constant, the saturation SM , V and sdJ , Bμ are the magnetization, the volume and the spin relaxation time, respectively; (cid:61) and Planck constant and the Bohr magneton. The first equation expresses the conservation of charge. The second one states that the spin polarization of the current is not conserved. It can vary either because of spin relaxation or because of the local spin-transfer torque which induces a precession of the spin accumulation around the local magnetization due to s-d exchange interaction. The spin-transfer torque is = μ × VMJ um T itself given by . ( ) sd B M S 2 l sf where gets reoriented along the local magnetization and sdJ and τsf are related to two characteristic lengths by =λ 0(cid:61) JD / The constants 2 J sd Jλ is the spin-reorientation length, i.e. the distance over which the spin polarization = τβ 0 1(2 − where τsf is the spin- D 2 ) sf flip relaxation time. In addition, at outer boundaries, we impose no perpendicular component of charge and spin current except at the boundaries where a potential is applied. Using this general formalism adapted for the finite element solver, the spatial distribution of the charge and spin currents as well as the spin transfer torque was calculated in two dimensional magnetoresistive nanopillars sandwiched between two non-magnetic extended metallic electrodes as shown in Fig.1(a). The nanopillar consists of two 3nm thick magnetic layers (reference and free layers) separated by a 2nm thick non-magnetic metallic spacer. We assume that the relative orientation of the magnetizations in the two magnetic layers can be varied in the plane perpendicular to x-axis. Voltages of respectively ( ) are uniformly applied between the top surfaces of the two electrodes. In 50=ϕ 0=ϕ V mV in out this model study, we only considered bulk spin-dependent scattering and bulk spin relaxation. The bulk parameters that we used for the various materials are representative for the case of Co and Cu14. Under these assumptions, the resistance of the stack in parallel (antiparallel) to be RP=401Ω (RAP=403Ω), yielding a magnetoresistance configuration is found ΔR/RP=0.5%. Fig.1(b) shows the charge current flow through the device (arrows) and the charge current amplitude (color map) throughout the structure in parallel magnetic configuration. Because the charge current is trying to follow the shortest path throughout the structure, it has a pronounced vertical gradient within the magnetoresistive pillar. The current amplitude is actually ten times larger in the upper part of the pillar than in its bottom part. Hot spots are visible around the upper corners of the pillar. Fig. 2 shows the spin-current distribution (arrows) of the spin component parallel to the y-axis, i.e. to the magnetization of the reference layer, for two magnetic configurations: parallel (Fig.2(a)) and antiparallel (Fig.2(b)). The color map represents the y-component of the spin accumulation. In the parallel case (Fig.2(a)) the electrons are initially unpolarized in the Cu electrodes and get more and more polarized as they approach the magnetic pillar. Since the charge current is much more intense in the upper part of the pillar compared to that in the lower part, the y-component of spin current is also much more intense in the upper part of the 3 pillar than in the lower part. Correlatively, a large excess of electron spin aligned with negative y-axis arises in the Cu electrode on the left-hand side of the pillar. Symmetrically, an excess of electron spins aligned with positive y-axis appears in the Cu electrode on the right- hand side of the pillar. These excess densities of polarized electrons are largest on both sides of the upper part of the pillar as indicated in Fig.2(a) and are minimal around the bottom part of the structure. As a result of this distribution of spin accumulation, vortex of diffusion spin current is formed as represented by the white arrows in Fig.2(a). Unexpectedly, this implies that the y-component of spin current has opposite directions in the upper and lower parts of the magnetoresistive pillar. The situation in antiparallel configuration is quite different (Fig.2(b)). The y- component of spin accumulation now has maximum in the non-magnetic spacer layer but is much larger in the upper part of the pillar than in its lower part because of the vertical current density gradient. The resulting gradient of y-component of spin accumulation gives rise to an intense in-plane y-component of spin current flowing in the spacer layer as well as two symmetric vortices of y-component of spin current flowing in the Cu electrodes on both sides of the pillar (as indicated by white arrows in Fig.2(b)). [ ] [ ])0( − = θ π r R R R R Fig. 3 shows the reduced resistance versus the angle ( )( /)0( ) between the magnetizations of the two magnetic layers in two situations: i) the present nanopillar sandwiched between two extended electrodes and ii) the same nanopillar sandwiched between two electrodes extending along the x direction and having the same diameter as the pillar so that the charge current is uniform throughout the stack (1- dimensional model with charge current flowing along x-axis). Clearly, the angular variation of CPP-GMR does not follow a simple cosine variation which is in agreement with theoretical expectation15. Furthermore, it follows from Fig. 3 that the system geometry influences the angular variation. This further emphasizes the need to take into account the influence of spatial current non uniformities in the design of spintronic devices. As a further step, we show in Fig. 4(a) and Fig. 4(b) the in-plane (Slonczewski’s term16) and perpendicular-to-plane (field-like term13) components of spin transfer torque (STT) in 90° magnetic configuration. The black arrows represent the y-component of spin current whereas the color map is related to the STT amplitude. As already pointed out for metallic pillars13, the perpendicular component of the torque is much smaller (by more than 1 order of magnitude) than the in-plane component. Furthermore, since the charge current density is much higher in the upper part of the pillar than in its bottom part, the STT − 4 amplitude is also much larger in the upper part of the pillar. From the viewpoint of magnetization dynamics, this implies that magnetic excitations due to STT are likely to be first generated in the upper part of the pillar as the current density is increased above the STT excitation threshold. In conclusion, a numerical tool has been developed to compute the charge and spin- current in magnetic structures of arbitrary shape and composition. This tool has been used to investigate the spin-dependent transport properties through magnetoresistive nanopillars sandwiched between extended electrodes. It was shown that the current crowding effect gives rise to strong in-plane inhomogeneities in spin accumulation yielding large in-plane components of spin-current. This type of tool should be quite helpful in the design of functional spintronic devices as well as for the quantitative interpretation of experimental data in devices with non uniform or non-local currents. As a next step, the computation of the micromagnetic dynamics will be self-consistently coupled to these calculations of transport properties. This project has been supported in parts by the European RTN “Spinswitch” MRTN- CT-2006-035327 and partially by Chair of Excellence Program of the Nanosciences Foundation (Grenoble, France). D.G. acknowledges the French National Research Agency (ANR) (CARNOT program). REFERENCES 1M. N. Baibich, J. M. Broto, A. Fert, F. Nguyen Van Dau, and F. Petroff, Phys.Rev.Lett. 61, 2472 (1988). 2G. Binasch, P. Grünberg, F. Saurenbach, and W. Zinn, Phys. Rev. B 39, 4828 (1989). 3B. Dieny, V. S. Speriosu, S. S. P. Parkin, B. A. Gurney, D. R. Wilhoit, and D. Mauri, Phys. Rev. B 43, 1297 (1991); 4A. Vedyayev, M. Chshiev, N. Ryzhanova, B. Dieny, C. Cowache, and F. Brouers, JMMM 172, 53 (1997). 5M. Durlam, P. J. Naji, A. Omair, M. DeHerrera, J. Calder, J. M. Slaughter, B. N. Engel, N. D. Rizzo, G. Grynkewich, B. Butcher, C. Tracy, K. Smith, K. W. Kyler, J. J. Ren, J. A. Molla, W. A. Feil, R. G. Williams, and S. Tehrani, IEEE Journ. Solid State Circuits 38, 769 (2003). 5 6S. Matsunaga, J. Hayakawa, S. Ikeda, K. Miura, H. Hasegawa, T. Endoh, H. Ohno, and T. Hanyu, Appl. Phys. Express 1, 091301 (2008). 7D. Houssameddine, U. Ebels, B. Delaët, B. Rodmacq, I. Firastrau, F. Ponthenier, M. Brunet, C. Thirion, J.-P. Michel, L. Prejbeanu-Buda, M.-C. Cyrille, O. Redon, and B. Dieny, Nature Mater. 6, 447 (2007). 8J. Chen, Y. Li, J. Nowak, and J. Fernandez de Castro, J. Appl. Phys. 91, 8783 (2002). 9S. Kaka, M. R. Pufall, W. H. Rippard, T. J. Silva, S. E. Russek, and J. A. Katine, Nature (London) 437, 389 (2005). 10K. Nagasaka, Y. Seyama, L. Varga, Y. Shimizu, and A. Tanaka, J. Appl. Phys. 89, 6943 (2001). 11H. Fukuzawa, H. Yuasa, S. Hashimoto, K. Koi, H. Iwasaki, M. Takagishi, Y. Tanaka, and M. Sahashi, IEEE Trans. Mag. 40, 2236 (2004). 12T. Valet and A.Fert, Phys. Rev. B 48, 7099 (1993). 13S. Zhang, P. M. Levy, A. Fert, Phys. Rev. Lett. 88, 236601 (2002). 14A. Fert, L. Piraux, J. Magn. Magn. Mater. 200, 338 (1999). 15J. C. Slonczewski, Journ. Magn. Magn. Mater. 247, 324 (2002). 16J. C. Slonczewski, J. Magn. Magn. Mater. 159, 1 (1996). 6 FIGURES CAPTIONS FIG. 1. (color online) (a) Scheme of studied magnetoresistive nanopillar sandwiched between two extended electrodes. The pillar composition is representative of Co3nm/Cu2nm/Co3nm. (b) Zoom on the magnetoresistive nanopillar showing the charge current flow (arrows) and charge current amplitude (color map). FIG. 2. (color online) Zoom around the magnetoresistive pillar showing the y-component of spin current flow throughout the system in (a) parallel magnetic configuration, (b) antiparallel configuration. The normalized arrows indicate the spin current flow whereas the color map represents the y-component of the spin accumulation. FIG. 3. Comparison of angular variation of CPP-GMR in the present nanopillar sandwiched between extended electrode (black squares) and throughout the same nanopillar assuming uniform current flow in x direction -1D model (grey circles). FIG. 4. (a) In-plane and (b) perpendicular-to-plane components of spin transfer torque in 90° magnetic configuration. The black arrows represent the y-component of spin current whereas the color map is associated with the STT amplitude. 7 FIG. 1. N. Strelkov et al 8 FIG. 2. N. Strelkov et al 9 FIG. 3. N. Strelkov et al 10 FIG. 4. N. Strelkov et al 11
1511.00232
2
1511
2016-09-04T08:19:23
RKKY-like contributions to the magnetic anisotropy energy: 3d adatoms on Pt(111) surface
[ "cond-mat.mes-hall" ]
The magnetic anisotropy energy defines the energy barrier that stabilizes a magnetic moment. Utilizing density functional theory based simulations and analytical formulations, we establish that this barrier is strongly modified by long-range contributions very similar to Frieden oscillations and Rudermann-Kittel-Kasuya-Yosida interactions. Thus, oscillations are expected and observed, with different decaying factors and highly anisotropic in realistic materials, which can switch non-trivially the sign of the magnetic anisotropy energy. This behavior is general and for illustration we address transition metals adatoms, Cr, Mn, Fe and Co deposited on Pt(111) surface. We explain in particular the mechanisms leading to the strong site-dependence of the magnetic anisotropy energy observed for Fe adatoms on Pt(111) surface as revealed previously via first-principles based simulations and inelastic scanning tunneling spectroscopy (A. A. Khajetoorians et al. Phys. Rev. Lett. 111, 157204 (2013)). The same mechanisms are probably active for the site-dependence of the magnetic anisotropy energy obtained for Fe adatoms on Pd or Rh(111) surfaces and for Co adatoms on Rh(111) surface (P. Blonski et al. Phys. Rev. B 81, 104426 (2010)).
cond-mat.mes-hall
cond-mat
RKKY-like contributions to the magnetic anisotropy energy: 3d adatoms on Pt(111) surface Mohammmed Bouhassoune,∗ Manuel dos Santos Dias, Bernd Zimmermann, Peter H. Dederichs, and Samir Lounis† Peter Grunberg Institut & Institute for Advanced Simulation, Forschungszentrum Julich & JARA, D-52425 Julich, Germany The magnetic anisotropy energy defines the energy barrier that stabilizes a magnetic moment. Utilizing density functional theory based simulations and analytical formulations, we establish that this barrier is strongly modified by long-range contributions very similar to Friedel oscillations and Rudermann-Kittel-Kasuya-Yosida interactions. Thus, oscillations are expected and observed, with different decaying factors and highly anisotropic in realistic materials, which can switch non-trivially the sign of the magnetic anisotropy energy. This behavior is general and for illustration we address transition metals adatoms, Cr, Mn, Fe and Co deposited on Pt(111) surface. We explain in particular the mechanisms leading to the strong site-dependence of the magnetic anisotropy energy observed for Fe adatoms on Pt(111) surface as revealed previously via first-principles based simulations and inelastic scanning tunneling spectroscopy (A. A. Khajetoorians et al. Phys. Rev. Lett. 111, 157204 (2013)). The same mechanisms are probably active for the site-dependence of the magnetic anisotropy energy obtained for Fe adatoms on Pd or Rh(111) surfaces and for Co adatoms on Rh(111) surface (P. Blonski et al. Phys. Rev. B 81, 104426 (2010)). I. INTRODUCTION As magnetic devices shrink toward atomic dimensions with the ultimate goal of encoding information in the smallest possible magnetic entity, the understanding of magnetic stability down to the single atomic limit be- comes crucial. Here, a critical ingredient is the mag- netic anisotropy energy (MAE) that provides directional- ity and stability to magnetization. The larger the MAE, the more protected is the magnetic bit against, for exam- ple, thermal fluctuations. Thus the search for nanosys- tems with enhanced MAE is a very active field giving the perspective of stabilizing and simultaneously reduc- ing the size of magnetic bits. Recently, it was demonstrated that nanostructures with only a few atomic spins, ranging from single atoms, clusters on metal surfaces (see for example Refs.1 -- 10 to molecular magnets (e.g. Refs.11 -- 14) can exhibit MAEs that are large enough to maintain in principle a stable spin orientation at low temperatures. A celebrated ex- ample is the giant MAE (∼ 9 meV) discovered by Gam- bardella et al.1 for a single Co adatom on Pt(111) sur- face. There the right ingredients for a large MAE are satisfied: a large magnetic moment carried by the 3d transition element, Co, being at the vicinity of heavy substrate atoms characterized by a large spin-orbit in- teraction (SOI). Naturally, here details of the electronic structure and hybridization effects are decisive. Thus exchanging the Co adatom by an Fe adatom leads to an extremely small MAE as demonstrated recently by inelastic scanning tunneling spectroscopy and ab-initio simulations based on density functional theory (DFT)15. Most intriguing in the latter work is the dramatic change of the MAE magnitude and sign once the Fe adatom was moved from an fcc -- stacking site, where the moment points out-of-plane, to an hcp -- stacking site, where the moment lies in-plane. This was assigned to the proximity effect leading to a large spin polarization cloud induced by Fe in the Pt substrate, which is notorious for its high magnetic polarizability16,17 as seen also for Pd18 -- 22. A similar site-dependent MAE for Fe adatoms on the (111) surfaces of Pd and Rh and for Co on Rh(111) was no- ticed with ab-initio simulations23. The physical mecha- nism behind such a behavior has not been, to our knowl- edge, identified convincingly. Even on surfaces with low polarizability, such as gold, the MAE follows an oscillat- ing behavior depending on the distance to the surface of buried magnetic nanostructures24 -- 26. Thus the polariz- ability is probably not the only ingredient modifying the strength of the MAE since Au is much less polarizable than Pt. One has to keep in mind that the polarizability of the substrate atoms is determined by the Stoner prod- uct I · NF with the exchange integral I and the number of states at the Fermi level NF (I · NF = 0.29 for Ir, 0.59 for Pt and 0.05 for Au)27. The goal of our work is to demonstrate with a for- mal proof that a strong contribution to the MAE can be highly non-local and long-ranged and may endow up to ±50% of the total MAE. Strong similarities can be foreseen with respect to Friedel28 and Rudermann-Kittel- Kasuya-Yosida (RKKY)29 oscillations in terms of the im- pact of the nature of the mediating electronic states, their localization in real-space and their shape in reciprocal- space (e.g. Fermi surface) on the decay of the oscilla- tions and their focusing ( see e.g. Refs.30 -- 39). A partic- ularity of this long-range contribution to the MAE is, as expected, its dependence on the strength of SOI. Tak- ing as an illustration 3d adatoms (Cr, Mn, Fe and Co) deposited on Pt(111) surface, we demonstrate that the contribution of the substrate Pt atoms to the total MAE oscillates and decays with their distance to the adatom. II. METHOD The MAE can be determined from the magnetic force theorem40,41 taking the energy difference, ǫ⊥ − ǫ, be- tween the band energies of the two configurations: out- of-plane (⊥) and in-plane () orientations of the mag- netic moment. A reference magnetic configuration is chosen, here the out-of-plane orientation, where the self- consistent calculations are performed and the related band energy is obtained. Then the magnetic moment is rotated in-plane and one iteration is done in order to ex- tract the band energy. With such a traditional technique, one reduces the error made by taking differences between the total energies, which are large numbers. A positive sign of the MAE indicates an in-plane preferable orien- tation of the adatom's magnetic moment. We utilize the full potential relativistic Korringa-Kohn-Rostoker Green function method (FP-KKR-GF)42,43. The local Spin Density Approximation as parametrized by Vosko, Wilk and Nusair was used44. First, the electronic structure of a 22 layers Pt slab with two additional vacuum re- gions (8 layers) is calculated. The experimental lattice parameter (3.92 A) was considered without surface re- laxations which are negligible45. Then, each adatom is embedded on the surface of this slab, in real space, to- gether with its neighboring sites, defining a cluster of atoms, where the charge is allowed to be updated during self-consistency. We note that the cluster still interacts with the rest of the host surface via the Coulomb inter- action. The adatoms are allowed to relax towards the surface, and we found qualitatively a similar behavior for the magnetic moments and the MAE in the range of relaxation from 15 to 25% towards the surface. As indicated in Ref.15, Fe was found to relax by 20% to- wards the surface. The same relaxed geometry was found for Co adatoms46. Thus for the sake of comparison, the four investigated adatoms were assumed at the same re- laxed position 20% towards the surface. The MAE is ex- tracted for clusters of different sizes, for which the Green functions of the impurity-free surface are generated with 200 × 200 k-points in the two-dimensional Brillouin zone and a maximum angular quantum number l = 3. To pro- vide an idea of the convergence of the MAE versus the number of k-points we address the case of the Fe adatom in contact with the Pt substrate where 221 Pt atoms are allowed to be perturbed by the impurity. The MAE is found to change by about 0.002% with respect to one ob- tained for 200×200 k-points when the number of k-points is decreased to 180 × 180 or 150 × 150 k-points. III. RESULTS AND DISCUSSIONS A. Fe adatoms, fcc versus hcp stacking sites Fig. 1 displays the MAE obtained with the band en- ergy differences of an Fe adatom sitting on an fcc- or an hcp-site on Pt(111) surface versus the number of Pt 2 1 0 -1 -2 -3 ) V e m ( E A M Fe 2 ~~ hcp ~~ fcc -4 -5 0 10 20 30 40 50 60 70 80 220 Number of Pt atoms ~~ FIG. 1. MAE of Fe impurity adsorbed on an fcc (square) or an hcp (circle) site on top of Pt(111) surface versus the num- ber of Pt atoms in the cluster. A positive MAE corresponds to an in-plane orientation of the magnetic moment and a neg- ative MAE corresponds to an out-of-plane magnetic moment. Readapted from Fig.3 of the Supplement of Ref.15. atoms included in the real-space calculations. This figure is part of the Supplement of Ref.15. If only the nearest neighbors (NN) Pt atoms to the Fe impurity are consid- ered, in this case 3 Pt atoms, the MAE yields an out- of-plane easy axis with the same value of -2.8 meV for both binding sites. However, considering more Pt atoms, the neighborhoods of the two stacking sites differ, and therefore the MAE becomes strongly dependent on the binding site and even changes sign for the hcp-site. The latter occurs when including in the surrounding cluster 16 Pt atoms in addition to the NN atoms. The MAE first decreases from -2.8 meV to -3.3 meV by adding the 10 closest Pt neighboring atoms and then surprisingly jumps to +0.1 meV by adding the further distant 6 Pt atoms (colored in blue in Fig. 2a-b). The latter means that these 6 Pt atoms, with their positive contribution (+3.4 meV) to the MAE, play a key-role in switching the preferable orientation of the adatom's magnetic moment. These switcher atoms are equivalent, belong to the subsurface- layer and are equidistant (∼ 0.5 nm) from the adatom. Interestingly, the switcher atoms occur also for the fcc binding site, and are located similarly to the hcp bind- ing site at the subsurface-layer equidistantly from the adatom. However, their number is lower than in the hcp stacking site: 3 instead of 6 (see Fig. 2c-d). Therefore, their contribution to the MAE (+1.5 meV) is about half their contribution for the hcp binding site. This is not sufficient to compete against the preferable orientation of the adatom and its NN (MAE = -2.8 meV). Indeed, once the switching atoms included the MAE jumps from -2.2 meV, obtained with a cluster containing 15 Pt atoms, to -0.7 meV. After adding more substrate atoms, reaching a cluster of ∼ 221 atoms, the MAE tends to +0.5 meV and -2.9. meV for the hcp and fcc binding sites, respectively. The latter values are rather converged since smaller clus- ters with a number of atoms (not shown in Fig. 1) close to the largest one show a stable MAE. (a) hcp (b) hcp z y x (c) fcc z !" x y (d) fcc FIG. 2. Atomic structures of Fe impurity adsorbed on an hcp a (side view) and b (top view) or an fcc site c (side view) and d (top view) of Pt(111) surface. The Pt atoms with blue color are the switching atoms that have a large contribution to the MAE. We have also examined the effect of the Pt polarization cloud on the total spin and orbital magnetic moments. Interestingly, the impact on the total moment is less im- pressive than on the MAE as summarized in the Table 1 for the case of the Fe adatom with a magnetic moment pointing out-of-plane. When only the NN Pt atoms are included the total spin moment reaches a value of ∼ 4µB while the total orbital moment is around 0.2µB. Inclu- sion of a larger number of neighboring Pt atoms increases the total spin moment by a maximum of ∼ 0.4µB while the total orbital moment reached saturation already with the NN atoms. This observation can be extracted from Fig.3 where the induced Pt total z-components of the spin and orbital moments are plotted for the case the impurity sits at the hcp stacking-site. The z-direction is perpendicular to the substrate. As a summary, one realizes that the contributions of the different Pt shells to the total MAE is not uniform and oscillates with the distance and is certainly not corre- lating perfectly with the change of the total spin moment or total orbital moment. The latter quantities describe the polarization of the Pt cloud. At first sight, one could ask whether Fig. 1 is the result of numerical artifacts re- lated to the KKR embedding scheme. In principle, when- 3 Fe hcp Fe fcc Number of Pt atoms ms morb ms morb 0.23 0.22 0.216 4.427 0.227 0.21 4.42 0.212 4.11 4.57 4.59 3 53 221 4.03 TABLE I. Total magnetic spin and orbital moments of the Fe adatom including different sets of neighboring Pt atoms. The total spin moment converges after considering 53 Pt atoms, while the total orbital moment is already saturated with the NN Pt atoms. ) Β µ ( t n e m o m n i p s l a t o t t P 1.1 1 0.9 0.8 0.7 0 0 20 20 40 40 0 ) Β -0.05 µ ( t n e m o m -0.1 l a t i b r o l a t o t t -0.15 60 60 80 100 120 140 160 180 200 220 80 100 120 140 160 180 200 220 Number of Pt atoms P -0.2 FIG. 3. Convergence of the total z-component of the spin moment and orbital moment induced in the Pt atoms of dif- ferent cluster sizes. The case of an Fe adatom sitting on the hcp binding site is considered and the z-direction is perpen- dicular. ever a cluster is considered, the atoms sitting at the edge of the cluster would feel the boundary conditions more strongly than the atoms close to the Fe impurity. As il- lustrated in Fig. 4, the edge atoms are not that affected by the boundary conditions. The spread of the plotted values gives an idea on the impact of the cluster size on the individual Pt magnetic moments. As an example, the spin moment of the edge atom, located at ∼ 0.65 nm, in the cluster containing 34 atoms is on top of the spin mo- ment of the same Pt atom when the boundary conditions have been improved by extending the size of the cluster to 220 Pt atom. The same conclusion can be drawn for the orbital moment, although here the values are much smaller than the spin moments. In general, the boundary conditions will affect slightly the values obtained for the magnetic properties including the MAE. However, the general oscillatory behavior observed in Fig. 1 seems to go beyond the numerical conditions needed to extract it. The main reason is that the Pt spin moment, for instance, has two contributions: either induced by the magnetic adatom or by the surrounding magnetic Pt atoms. The former has in general a much stronger contribution than the latter. Also, within the KKR embedding scheme the atoms at the edge feel the Coulomb interaction of the neighboring atoms beyond the cluster. In the following the origin of the oscillatory behavior of the MAE will be discussed by realizing that the band EF energies, ǫ, can be evaluated from − dE N (E), i.e. R−∞ an integration up to the Fermi energy, EF , of the in- tegrated density of states (IDOS), N (E), which in turn can be extracted from the celebrated Lloyd's formula47. Indeed, if a system described by a Green function, G, is perturbed by a potential V , the change in the IDOS, δN (E), is given simply by − 1 π ℑTr ln(1 − V G(E)), where the trace is taken over the site index, orbital and spin angular momentum quantum numbers. This permits the aforementioned decomposition of the MAE into local and non-local contributions by evaluating wisely the change in the IDOS. B. Long-range contributions to the MAE: Formalism and results First, we note that once the adatom is deposited on the substrate, it perturbs strongly the potentials of the NN Pt atoms, which are 3 Pt atoms in total. If we consider solely the adatom and its NN Pt atoms, the correspond- ing Green function, G1, can be obtained from the Dyson equation G1(E) = G0(E) + G0(E)V1G1(E), (1) where G0 is the Green function of the ideal surface of Pt without SOI while V1 is the perturbing potential limited to the region of the adatom and its NN and is induced by the presence of the impurity and the SOI. Instead of the potential V1, one can use the scattering matrix T1: G1(E) = G0(E) + G0(E)T1(E)G0(E). (2) Out of the previous Dyson equation, the local elec- tronic and magnetic properties of the adatom can be reasonably described. For instance, it leads to a MAE of -2.8 meV for the Fe adatom. To grasp the effect of the rest of Pt atoms, i.e. the hundreds outer Pt atoms, on the MAE, we solve a second Dyson equation to obtain the new Green function, G2: G2(E) = G1(E) + G1(E)V2G2(E). (3) where the perturbing potential, V2, describes simultane- ously the change induced by the adatom on the addi- tionally incorporated 217 Pt outer atoms (V 2 ) and their SOI (V so 2 = ξ(E)L.S, with ξ(E) being In fact, V so 2 ). ′ 4 the strength of SOI. Thus, V2 = Xj ′ (V 2j + V so 2j ) where the sum runs over all outer Pt atoms. In contrast to T1, V2 is limited to the rest of Pt atoms and is expected to be relatively small since the perturbation decays with the distance from the adatom, which permits the use of Taylor expansions when solving Eq. 3. The change in the IDOS, δN (E), due to the coupling of the adatom and its NN to the rest of the Pt substrate atoms is then given as: − 1 π ℑTr ln(1 − V2G1(E)), which for small V2 can be expanded up to second order: δN (E) = 1 2π ℑTr[2V2G1(E) + V2G1(E)V2G1(E)]. (4) We express G1 in terms of G0 as given in Eq. 2, drop terms leading to third and fourth-order processes (these are expected to be much smaller than the second order- processes) and find: δN = 1 2π ℑTr[2V2G0 + 2V2G0T1G0 + V2G0V2G0], (5) where the energy argument, E, was taken out for the sake of simplicity. Since V2 is written in terms of non- SOI- and SOI-dependent terms, this allows to disentangle the previous expression: δN = 1 π ℑTrXj ′ {V 2jG0 + V so 2j G0 (6) +T1G0V ′ 2jG0 + T1G0V so 2j G0 + 1 2 Xj ′ ′ (V 2j + V so 2j )G0(V 2j ′ + V so 2j ′ )G0}. ′ In view of our interest in the band energies that depend on the rotation of the magnetic moment, i.e. contribut- ing to the MAE, not all terms in Eq. 6 are relevant. For instance the term of first order in V2 or G0 contain either no spin orbit coupling or only the linear SOI term. There- fore they vanish when one evaluates the MAE. From the last term, only the contribution from the scattering at V 2 and at V so is finite. Since these atoms are only weakly spin-polarized, the latter term is negligible as verified nu- merically and therefore it is not considered in the follow- ing. The contribution to the band energy relevant for the MAE is then given by 2 ′ − 1 π ℑTr EF Z −∞ dE Xj ′ {T1G0V 2j G0 + T1G0V so 2j G0}, (7) which has to be evaluated at the different configurations ⊥ and orientations of the magnetic moment in order to extract the MAE. The first term is the most simple one. It is indepen- dent of the SOI of the outer Pt atoms and just describes a renormalization of the MAE of the small cluster consist- ing of the Fe atoms and the 3Pt atoms due to the scat- tering at the potentials V 2j of the outer Pt atoms, which does not include the SOI of these atoms. Therefore we ′ 5 0 ) -0.01 Β µ ( t n e m o m l a t i b r o c i m o t a t P -0.02 -0.03 0.2 0.15 0.1 Cluster size 221 73 61 52 43 34 25 19 13 Spin moment Orbital moment ) Β µ ( t n e m o m n i p s c i m o t a t P 0.05 0 0.25 0.5 0.75 1 Distance from adatom (nm) 1.25 0.25 0.5 0.75 1 Distance from adatom (nm) -0.04 1.25 FIG. 4. The individual Pt atomic spin moment (left) and orbital moment (right) as function of distance with respect to the Fe adatom sitting on the hcp binding site. The spread of the magnetic moments for the different cluster sizes is rather small, highlighting the low impact of the boundary conditions of the KKR simulations on these magnetic properties. name this contribution the no-so-term. The second term, called so-term, is also important and describes the double scattering at the SOI-term of T1 and the SOI potential V so 2j of the outer atoms. These two terms might therefore be described as non-local, since they connect the scatter- ing at the SOI of the inner cluster with the scattering at the potentials of the outer atoms. The analogy of these non-local terms with the celebrated formula from Lichtenstein et al.48 for the evaluation of the magnetic exchange interactions is appealing, and as for the mag- netic interactions, we expect these two terms to oscillate and decay with the distance between the two regions. In- stead of the magnetic part of the potential, the scattering occurs at the SOI term but the mediation is made in both cases via the Green functions. In order to clarify the importance of the non-local terms in the MAE, we have therefore recalculated the anisotropy by switching on and off the SOIs of individ- ual outer Pt atoms, based on Eq. 7. In this way, we demonstrate how the relatively small so and no-so con- tributions of an outer Pt atom changes the MAE of the complex system containing the Fe atom, its NN and that preselected outer Pt atom, and shows Friedel-like oscil- lations. For this analysis, the cluster thus contains an Fe adatom, its 3 NN Pt atoms and one additional single Pt atom. That Pt atom probes the non-locality of the MAE following Eq. 7 by considering it along different directions and distances away from the magnetic adatom. In this investigation and to simplify the discussion, we do not include the nearest neighboring atoms of that particular additional Pt atom in our cluster. Of course these bound- ary conditions will affect the final values of the non-local contributions but the general conclusions of this work are not affected. We perform two steps: (step 1) SOI is switched on within the additional Pt atom. After remov- ing the MAE of the Fe adatom and its NN 3 Pt atoms, we obtained the sum of the two terms given in Eq. 7. Then we proceed with (step 2) and switch off SOI, get- ting thereby the no-so-term, with which one extracts the so-term to the sum in Eq. 7. Fig. 5 shows the non-local contributions from a sin- gle Pt atom as function of the distance, d, from the adatom for hcp- and fcc-sites along two directions con- necting the adatom to one of the Pt switching atoms. While in Fig. 5(a) we plot the sum of the non-local con- tributions, in Fig. 5(b) and (c) these contributions are resolved into the so and no-so-terms for respectively the hcp and fcc sites. In Figs.5(a, b, and c), the chosen polar and azimuthal angles (θ, φ) are (125◦, −60◦) (hcp stack- ing sites) and (128◦, 30◦) (fcc stacking sites). Naturally, here we allow for an error bar for the angles (δθ = ±3◦ and δφ = ±8◦) since a straight line will not cross a suf- ficient number of Pt atoms at reasonable distances. One clearly sees, that the sum of non-local terms are impor- tant outside the small inner region with the largest contri- bution emanating from the switcher atom, which reaches a value of 0.37 meV for the Fe fcc-site and 0.73 meV for the hcp-site. As explained earlier, since there are only three switching atoms for the fcc-site instead of six for the hcp-site, the barrier given by the MAE of the adatom and its NN is not overcome. By increasing the distance from the adatom, the induced term oscillates and changes even sign. Its magnitude, however, is not sufficient to overcome the aforementioned barrier. These oscillations as function of distance have a Friedel-like character and are similar to those obtained for long-ranged magnetic exchange interactions35,48. From Figs. 5(b) and 5(c), we notice that the so-term is not behaving similarly to the no-so-term. These two terms can counteract each other as for the contribution from the switcher atom. Thus, for this particular atom the so-term is dominant and favors an in-plane orienta- tion of the moment in contrast to the no-so-term. For large distances both terms oscillate non-trivially. Al- though the values plotted in Fig. 5 can look small at first sight, one should not forget that these are contribu- tions from a single Pt atom. At the end, one has to sum up contributions from all the surrounding Pt atoms to get the full-non local part of the MAE. These oscillating non-local parts of the MAE can be highly anisotropic as demonstrated in Fig. 5 (d), where two directions are probed. First, along the direction al- ready shown in Fig. 5(a) that connects the Fe-adatom with one switcher atom leading to a very large peak at 0.5nm. The second probed direction does not cross such switcher atoms and interestingly the calculated values are considerably smaller at short distances but show similar Friedel-like oscillations at large distances. Thus, the non- local MAE contribution from the outer Pt-atoms show Friedel-like oscillations, but are highly anisotropic which is expected when looking at the Fermi surface of Pt pre- Indeed the Fermi surface, extracted sented in Fig. 6. utilizing the scheme described in Ref.50, is extremely anisotropic such that isotropic oscillations resulting from a simple spherical Fermi surface cannot be expected in our particular system. 6 C. Case of Cr, Mn and Co adatoms on fcc and hcp stacking sites For completeness, we examined the impact of the Pt spin-polarization cloud on the MAE of Cr, Mn and Co adatoms. Like Fe adatom, Co adatom and its NN pre- fer an out-of-plane orientation of the magnetic moment independently from the binding site (Fig. 7). The MAE found in this case (−8.2 meV) is however larger than the one of Fe adatom, making the barrier higher for an in-plane reorientation of the magnetic moment when in- cluding a large number of Pt substrate atoms (up to 221 atoms). Besides that, here the non-local contribution of the switching atoms to the MAE is even smaller than for Fe-adatom. The total MAE for the largest studied system decreases to −6.9 meV and −5.5 meV for respec- tively the hcp- and fcc-sites. We point out that the exper- imental value of Gambardella et al.1 is around −9 meV. This large value has generated a lot of theoretical investi- gations based on density functional theory. Usual simple exchange and correlation functionals, such as the local spin density approximation (LDA) or the generalized gra- dient approximation (GGA) lead to rather small MAE. Therefore, correlation effects beyond LDA or GGA were considered, e.g. by including a correlation U as a param- eter or the orbital polarization scheme to tune the MAE and understand the origin of its large magnitude. Our work demonstrates that even without the invoked corre- lation effects, the non-local contribution to the MAE, not considered up to now, can be crucial in the case of Co as well. We predict that in the case of the hcp-stacking site the MAE reaches ∼ −7 meV. The case of Mn is interesting since contrary to what has been observed for Fe and Co, both the local and non-local contributions to the MAE from the switching Pt atom favor an in-plane orientation of the magnetic moment. However, the rest of Pt atoms are decisive. By increasing their number, the adatom on the fcc binding-site switches first to an out-of-plane magnetic orientation before con- verging to an in-plane orientation. Cr adatom behaves similarly to Mn, i.e. both the local and non-local contri- butions to the MAE favor an in-plane orientation of the magnetic moment but unlike Mn, the local term is large: +5.6 meV and +4.5 meV for respectively the hcp and fcc stacking sites. Furthermore, when compared to Mn, Fe and Co adatoms, the switching atoms at the vicinity of Cr adatom contribute to the MAE differently and favor an out-of-plane orientation of the moment. This con- tribution is, however, not large enough to overcome the barrier created by the adatom and its NN. When the rest of Pt atoms are included, Cr-adatoms on both binding sites prefer an in-plane magnetic orientation. By changing the chemical nature of the adatom, the non-local behavior of the MAE is modified. As it can 7 (a) 0.8 Fe hcp Fe hcp Fe fcc Fe fcc ) V e m ( m r e t d e c u d n i l a t o T 0.6 0.4 0.2 0 0.005 0 -0.005 -0.01 1 1.5 2 2.5 (b) Fe hcp no-so-term so-term 0.005 0 -0.005 -0.01 1 1.5 2 2.5 1 0.8 0.6 0.4 0.2 0 -0.2 ) V e m ( s m r e t d e c u d n I -0.2 0 0.5 1.5 1 2 Distance (nm) (c) Fe fcc 2.5 3 0 0.5 1.5 1 2 Distance (nm) 2.5 3 no-so-term so-term (d) 0.8 Fe hcp !!"#$% Fe hcp !!"$&% ) V e m ( s m r e t d e c u d n I 0.4 0.2 0 0.005 0 -0.005 -0.01 1 1.5 2 2.5 ) V e m ( m r e t d e c u d n I 0.6 0.4 0.2 0 0.004 0 -0.004 -0.008 1 1.5 2 2.5 -0.2 0 0.5 1.5 2 1 Distance (nm) 2.5 3 -0.2 0 0.5 1 Distance (nm) 1.5 2 2.5 FIG. 5. Contributions to the MAE from different shells of Pt atoms versus their distance with respect to the adatom sitting either at the fcc or at the hcp stacking sites. In (a), (b) and (c), the plotted values correspond to the Pt atoms sitting along the direction connecting the adatom with one of the switching Pt atoms, i.e. (θ = 125◦, φ = −60◦) for the hcp stacking site and (θ = 128◦, φ = 30◦) for the fcc stacking site. The inset enhances the oscillations observed in the non-local terms. While in (a) the sum of the non-local contributions to the MAE is plotted, in (b-c) the no-so and so-terms are plotted separately for respectively the hcp and fcc sites. (d) Anisotropy of the non-local contribution to the MAE obtained for two different set of angles: (θ = 125◦, φ = −60◦) compared to (θ = 150◦, φ = 80◦). The magnitude of MAE is clearly more enhanced along the direction passing by the switching Pt atom, i.e. the red curve. be realized from Eq. 7, the scattering properties at the adatom site, described by T1, can renormalize strongly the total MAE. T1 depends obviously on the electronic properties of the adatom and its nearest surrounding. It is not a single number but a matrix and therefore after taking the trace in Eq.7 besides the impact on the mag- nitude of the MAE, non-trivial interference effects can occur, which affect the oscillating behavior of the MAE. IV. DISCUSSIONS AND CONCLUSIONS To summarize, for 3d adatoms on Pt(111) we demon- strated the existence of long-range, RKKY-like, contribu- tions to the MAE mediated by the electronic states of the substrate. Since they oscillate as a function of the dis- tance with different kind of decaying factors, they affect the magnitude of the total MAE and can even switch its sign. This depends on the details of the electronic structure, and as for Friedel oscillations or RKKY inter- actions, they can be highly anisotropic with a possibility of observing a focusing effect induced by the shape of the constant energy contours (e.g. the Fermi surface)34,35,37. Our results go beyond the approximations assumed along our theoretical investigations. We expect non-negligible non-local contributions to the MAE independently from the assumptions related to the exchange and correlation functionals, geometrical relaxations, and inclusion of a U as done in traditional LDA + U . The established effect is expected to occur in other substrates with high polarizability (e.g. Rh, W, Ir, Pd substrates), but also when confined electronic states are ) V e m ( E A M 6 4 2 0 -2 -4 -6 -8 -10 8 ~~ ~~ Cr Mn Co ~~ 0 10 20 30 40 50 60 70 80 220 Number of Pt atoms FIG. 6. Bulk Fermi surface of Pt, with directions of probed atoms as indicated by red and blue arrows and the [111] di- rection by a black arrow. The color code on the Fermi surface corresponds to the magnitude of the Fermi velocity (red and blue corresponding to high and low velocity, respectively). FIG. 7. MAE of Co, Mn, Cr impurities adsorbed on an fcc (square) or on an hcp (circles) site on top of Pt(111) surface versus the number of Pt atoms in the cluster. The convention of the sign of the MAE is identical to the one used in Fig. 1. present in low dimensional systems (e.g. surface states of Ag and Au(111) surfaces) since the latter favor a lower decay of the usual Friedel oscillations. We believe that such an effect is active in the recently investigated sur- faces of CuN/Cu(001)8,51 and Graphene/Rh(111)52 were unusual behavior of the MAE of different types of adsor- bates has been observed. To verify experimentally the theoretical facts described in our work, one would have for example to switch off/on the spin-orbit interaction of a remote substrate Pt atom at will. This is certainly impossible, however, we believe that the signature of the non-locality of the MAE could be detectable for two mag- netic adatoms on a surface, for example two Fe adatoms on a Pt(111) surface. We expect the MAE to be depen- dent on the inter-adatom distances, which is expect to be related to the non-local effect discussed in the main text. Thus, we expect and oscillatory behavior of the MAE measurable with state-of-the-art inelastic scanning tunneling spectroscopy, wherein the MAE leads to a gap in the excitation spectra. We acknowledge fruitful discussions with Stefan Blugel and the teams of Jens Wiebe and Alex Khajetoorians. This work is supported by the HGF-YIG Programme VH-NG-717 (Functional Nanoscale Structure and Probe Simulation Laboratory -- Funsilab) and the DFG project LO 1659/5-1. ∗ [email protected][email protected] 1 P. Gambardella, S. Rusponi, M. Veronese, S. S. Dhesi, C. Grazioli, A. Dallmeyer, I. Cabria, R. Zeller, P. H. Ded- erichs, K. Kern, C. Carbone, and H. Brune, Science 300, 1130 (2003) 9 2 M. Bode, O. Pietzsch, A. Kubetzka, R. Wiesendanger, L. Gyorffy, Phys. Rev. Lett. 96, 067204 (2006) Phys. Rev. Lett. 92, 067201 (2004) 3 I. G. Rau, S. Baumann, S. Rusponi, F. Donati, S. Stepanow, L. Gragnaniello, J. Dreiser, C. Piamonteze, F. Nolting, S. Gangopadhyay, O. R. Albertini, R. M. Mac- farlane, C. P. Lutz, B. A. Jones, P. Gambardella, A. J. Heinrich, H. Brune, Science 344, 988 (2014) 4 A. A. Khajetoorians, S. Lounis, B. Chilia, A. T. Costa, L. Zhou, D. L. Mills, J. Wiebe, R. Wiesendanger, Phys. Rev. Lett. 106, 037205 (2011) 5 Q. Dubout, F. Donati, C. Wackerlin, F. Calleja, M. Et- zkorn, A. Lehnert, L. Claude, P. Gambardella, H. Brune, Phys. Rev. Lett. 114, 106807 (2015) 6 J. Honolka, T. Y. Lee, K. Kuhne, A. Enders, R. Skomski, S. Bornemann, S. Mankovsky, J. Minar, J. Staunton, H. Ebert, M. Hessler, K. Fauth, G. Schutz, A.Buchsbaum, M. Schmid, P. Varga, and K. Kern, Phys. Rev. Lett. 102, 067207 (2009) 7 A. A. Khajetoorians, B. Baxevanis, C. Hubner, T. Schlenk, S. Krause, T. O. Wehling, S. Lounis, A. Lichtenstein, D. Pfannkuche, J. Wiebe, R. Wiesendanger, Science 339, 55 (2013) 8 B. Bryant, A. Spinelli, J. J. T. Wagenaar, M. Gerrits, A. F. Otte, Phys. Rev. Lett. 111, 127203 (2013) 9 S. Krause, L. Berbil-Bautista, G. Herzog, M. Bode, R. Wiesendanger, Science 317, 1537 (2007) 10 P. Gambardella, A. Dallmeyer, K. Maiti, M. C. Malagoli, W. Eberhardt, K. Kern, C. Carbone, Nature 416, 301 (2002) 11 R. Sessoli, D. Gatteschi, A. Caneschi, M. A. Novak, Nature 365, 141 (1993) 12 D. Gatteschi, R. Sessoli, J. Villain, Molecular Nanomag- nets, (Oxford Univ. Press, Oxford, (2006)) 13 J. Brede, N. Atodiresei, V. Caciuc, M. Bazarnik, A. Al- Zubi, S. Blugel, R. Wiesendanger, Nature Nanotechnology 9, 1018 (2014) 14 A. Lodi Rizzini, C. Krull, T. Balashov, J. J. Kavich, A. Mugarza, P. S. Miedema, P. K. Thakur, V. Sessi, S. Kly- atskaya, M. Ruben, S. Stepanow, P. Gambardella, Phys. Rev. Lett. 107 (2011) 15 A. A. Khajetoorians, T. Schlenk, B. Schweflinghaus, M. dos Santos Dias, M. Steinbrecher, M. Bouhassoune, S. Lou- nis, J. Wiebe, R. Wiesendanger, Phys. Rev. Lett. 111, 157204 (2013) 16 O. Sipr, S. Bornemann, J, Minar, and H. Ebert, Phys. Rev. B 82, 174414 (2010) 17 F. Meier, S. Lounis, J. Wiebe, L. Zhou, S. Heers, Ph. Mavropoulos, P. H. Dederichs, S. Blugel, R. Wiesendan- ger, Phys. Rev. B 83, 075407 (2011) 18 G. J. Nieuwenhuys, Adv. Phys. 24, 515 (1975) 19 T. Herrmannsdorfer, S. Rehmann, W. Wendler, F. Pobell, J. Low Temp. Phys. 104, 49 (1996) 20 A. Oswald, R. Zeller, P. H. Dederichs, Phys. Rev. Lett. 56, 1419 (1986) 21 K. Swieca, Y. Kondo, and F. Pobell, Phys. Rev. B 56, 6066 (1997) 22 S. Mitani, K. Takanashi, M. Sano, H. Fujimori, A. Osawa, and H. Nakajima, J. Magn. Magn. Mater. 148, 163 (1995) 23 P. Blonski, A. Lehnert, S. Dennler, S. Rusponi, M. Et- zkorn, G. Moulas, P. Bencok, P. Gambardella, H. Brune, and J. Hafner, Phys. Rev. B 81, 104426 (2010) 24 L. Szunyogh, and B. L. Gyorffy, Phys. Rev. Lett. 78, 3765 (1997) 25 L. Szunyogh, G. Zarand, S. Gallego, M. C. Munoz, and B. 26 C. J. Aas, K. Palot´as, L. Szunyogh, and R. W. Chantrell, J. Phys.: Condens. Matter 24 406001 (2012) 27 M. M. Sigalas and D. A. Papaconstantopoulos, Phys. Rev. B 50, 7255 (1994) 28 J. Friedel, Nuovo Cim. 7 (suppl. 2), 287 (1958) 29 M. A. Ruderman, C. Kittel, Phys. Rev. 96, 99 (1954); T. Kasuya, Prog. Theor. Phys. 16, 45 (1956); K. Yosida, Phys. Rev. 106, 893 (1957) 30 Crommie, M. F., Lutz, C. P., & Eigler, D. M. Imaging standing waves in a two-dimensional electron gas. Nature 363, 524-527 (1993) 31 Hasegawa, Y., & Avouris, Ph. Direct Observation of stand- ing wave formation at surface steps using scanning tunnel- ing spectroscopy. Phys. Rev. Lett. 71, 1071-1074 (1993) 32 L. Zhou, J. Wiebe, S. Lounis, E. Vedmedenko, F. Meier, P. H. Dederichs, S. Blugel, and R. Wiesendanger, Nat. Phys. 6, 187 (2010) 33 A. A. Khajetoorians, J. Wiebe, B. Chilian, S. Lounis, S. Blugel, and R. Wiesendanger, Nat. Phys. 8, 497 (2012) 34 A. Weismann, M. Wenderoth, S. Lounis, P. Zahn, N. Quaas, R. G. Ulbrich, P. H. Dederichs, and S. Blugel, Sci- ence 323, 1190 (2009) 35 S. Lounis, P. Zahn, A. Weismann, M. Wenderoth, R. G. Ulbrich, I. Mertig, P. H. Dederichs, and S. Blugel, Phys. Rev. B 83, 035427 (2011) 36 Ye. S. Avotina, Yu. A. Kolesnichenko, A. N. Omelyan- chouk, A. F. Otte, and J. M. van Ruitenbeek, Phys. Rev. B 71, 115430 (2005) 37 M. Bouhassoune, B. Zimmermann, Ph. Mavropoulos, D. Wortmann, P. H. Dederichs, S. Blugel, S. Lounis, Nature Communications 5, 5558 (2014) 38 H. Pruser, P. E. Dargel, M. Bouhassoune, R. G. Ulbrich, T. Pruschke, S. Lounis, M. Wenderoth, Nature Communi- cations 5, 5417 (2014) 39 Lounis, S., Bringer, A., Blugel, S. Magnetic adatom in- duced skyrmion-like spin texture in surface electron waves. Phys. Rev. Lett. 108, 207202 (2012) 40 A. R. Mackintosh and O. K. Andersen, in Electron at the Fermi Surface, edited by M. Springford (Cambridge Uni- versity Press, Cambridge, England, 1980), p. 149 41 H. J. F. Jansen, Phys. Rev. B 59, 4699 (1999) 42 N. Papanikolaou et al. J. Phys. Condens. Matter 14, 2799 (2002) 43 D. Bauer, PhD Thesis, Forschungszentrum Julich and RWTH Aachen (2014) 44 S. Vosko, L. Wilk, and M. Nusair, Can. J. Phys. 58, 1200 (1980) 45 P. Blonski and J. Hafner, J. Phys.: Condens. Matter 21, 426001 (2009) 46 B. Schweflinghaus, M. dos Santos Dias, S. Lounis, Phys. Rev. B 93, 035451 (2016) 47 P. Lloyd, Proc. Phys. Soc. 90, 207 (1967) 48 A. I. Liechtenstein, M. I. Katsnelson, V. P. Antropov, V. A. Gubanov, J. Magn. Magn. Mater. 67, 65 (1987) 49 S. Lounis, P. H. Dederichs, Phys. Rev. B (R) 82, 180404 (2010) 50 B. Zimmermann, Ph. Mavropoulos, N. H. Long, C.-R. Ger- horst, S. Blugel, Y. Mokrousov, Phys. Rev. B 93, 144403 (2016) 51 J. C. Oberg, M. R. Calvo, F. Delgado, M. Moro-Lagares, D. Serrate, D. Jacob, J. Fernandez-Rossier, C. F. Hir- jibehedin, Nature Nano. 9, 64 (2014) 52 P. Jacobson, T. Herden, M. Muenks, G. Laskin, O. Brovko, V. Stepanyuk, M. Ternes, K. Kern, Nature Comm. 6, 8536 (2015). 10
1407.8340
2
1407
2015-05-26T14:37:09
Maximizing the purity of a qubit evolving in an anisotropic environment
[ "cond-mat.mes-hall", "quant-ph" ]
We provide a general method to calculate and maximize the purity of a qubit interacting with an anisotropic non-Markovian environment. Counter to intuition, we find that the purity is often maximized by preparing and storing the qubit in a superposition of non-interacting eigenstates. For a model relevant to decoherence of a heavy-hole spin qubit in a quantum dot or for a singlet-triplet qubit for two electrons in a double quantum dot, we show that preparation of the qubit in its non-interacting ground state can actually be the worst choice to maximize purity. We further give analytical results for spin-echo envelope modulations of arbitrary spin components of a hole spin in a quantum dot, going beyond a standard secular approximation. We account for general dynamics in the presence of a pure-dephasing process and identify a crossover timescale at which it is again advantageous to initialize the qubit in the non-interacting ground state. Finally, we consider a general two-axis dynamical decoupling sequence and determine initial conditions that maximize purity, minimizing leakage to the environment.
cond-mat.mes-hall
cond-mat
Maximizing the purity of a qubit evolving in an anisotropic environment Xiaoya Judy Wang,1 Stefano Chesi,2, 3, 1 and W. A. Coish1, 4 1Department of Physics, McGill University, Montreal, Quebec, H3A 2T8, Canada 2Beijing Computational Science Research Center, Beijing 100084, China 3CEMS, RIKEN, Wako, Saitama 351-0198, Japan 4Quantum Information Science Program, Canadian Institute for Advanced Research, Toronto, Ontario, M5G 1Z8, Canada (Dated: August 21, 2018) We provide a general method to calculate and maximize the purity of a qubit interacting with an anisotropic non-Markovian environment. Counter to intuition, we find that the purity is often maximized by preparing and storing the qubit in a superposition of non-interacting eigenstates. For a model relevant to decoherence of a heavy-hole spin qubit in a quantum dot or for a singlet- triplet qubit for two electrons in a double quantum dot, we show that preparation of the qubit in its non-interacting ground state can actually be the worst choice to maximize purity. We further give analytical results for spin-echo envelope modulations of arbitrary spin components of a hole spin in a quantum dot, going beyond a standard secular approximation. We account for general dynamics in the presence of a pure-dephasing process and identify a crossover timescale at which it is again advantageous to initialize the qubit in the non-interacting ground state. Finally, we consider a general two-axis dynamical decoupling sequence and determine initial conditions that maximize purity, minimizing leakage to the environment. PACS numbers: 03.65.Yz,76.60.Lz,73.21.La I. INTRODUCTION A source of high-quality pure ancilla qubits is an essential element in a wide variety of applications in quantum information science. Pure ancillas are re- quired to introduce redundancy into quantum error- correcting codes,1 -- 6 for the preparation of Greenberger- Horne-Zeilinger (GHZ) states for quantum-enhanced pre- cision measurements,7,8 as a low-entropy resource for al- gorithmic cooling,9 -- 11 and to perform high-fidelity qubit readout.12 -- 15 Despite the importance of having high-quality ancil- las, it is often taken for granted that high-purity ancillas can be prepared by allowing a physical qubit system to fall into its non-interacting ground state in contact with a thermal bath at low temperature. For this reason, the preparation of an ancilla in the computational basis is of- ten assumed to be easy relative to the more difficult task of preserving the coherence of an arbitrary qubit state. However, qubits that couple strongly to a complex en- vironment can become correlated with the environment in a way that significantly reduces purity due to leakage to environmental degrees of freedom. Qubits that are manipulated on a time scale that is short compared to a typical thermal equilibration time may not even reach equilibrium. Although there now exist methods to mit- igate the effects of somewhat impure ancilla qubits in quantum error correction schemes,16 for all of the appli- cations stated above, it is important to prepare and store ancilla qubits in a way that maximizes their purity. When a qubit and its environment are initialized in a factorized pure initial state, a reduction in the pu- rity of the qubit characterizes entanglement between the qubit and its environment. In this case, the purity can be used as a measure of non-classical correlations that develop during the evolution of the qubit with its envi- ronment and hence can distinguish truly quantum from classical dynamics. This topic has become especially in- teresting in the context of spin-bath dynamics.17 -- 20 For slowly-evolving nuclear-spin baths, it is indeed possible to approach pure-state initial conditions through algo- rithmic cooling9 -- 11 or direct measurement of the bath state,13,21 -- 25 so studying the purity for these systems is especially important from both a practical and a funda- mental point of view. As we show below, the evolution of qubit purity be- comes highly nontrivial for a qubit interacting with a slow anisotropic environment. Anisotropic hyperfine cou- plings between a central qubit spin and environmen- tal spins are important for nitrogen-vacancy centers in diamond,26 -- 28 electrons bound to phosphorus donor im- purities in silicon,29,30 electrons in graphene or carbon nanotubes,31,32 and especially for hole spins in III-V semiconductors or silicon.33 -- 37 Heavy-hole spins can in- deed approach the extreme-anisotropic limit of a pure Ising-like coupling to nuclear spins.33 Finally, singlet- triplet (S-T0) qubits, describing two electrons in a dou- ble quantum dot, are described by precisely the same anisotropic decoherence model38 as a heavy-hole spin qubit (see Fig. 1). Coherence properties of single hole spins in quantum dots have been probed in detail only relatively recently.37 Measurements of a coherent-population-trapping dip39,40 have suggested long hole-spin coherence times, (cid:38) 100 ns. These measurements have been supported by time- domain studies for single-hole spin echoes41,42 and mode- locking or spin-echo measurements for ensembles.43,44 Al- ternative measurements of hole-spin dynamics have been performed through spin-noise spectroscopy, revealing a probable anisotropic decay of hole-spin coherence.45,46 In addition to optical coherent control of hole spins in self-assembled quantum dots,39,41,47,48 there are several suggestions for electrical manipulation of hole spins.49 -- 51 Such electrical control has recently been demonstrated for hole spins in III-V nanowire quantum dots,52 and co- herence times have now been measured for hole spins in Ge-Si core-shell nanowire quantum dots.53 The very re- cent achievement of the few-hole regime in lateral gated double-dot devices,54 suggests that previous highly suc- cessful measurements performed for electron spins55 -- 60 can now be performed for hole spins, which show promise for much longer coherence times.33,35 In the rest of this paper, we introduce a general method that can be used to calculate and enhance the purity of a qubit interacting with an anisotropic environment. We apply this method to the experimentally relevant prob- lems of heavy-hole and singlet-triplet (S-T0) spin-echo and dynamical-decoupling dynamics. Counter to com- mon intuition, we find that preparation of the hole spin in its Zeeman ground state can be the worst choice if the goal is to maximize purity. This surprising result is not limited to the problem of hole-spin echoes. On quite general grounds, the ideal choice to maximize purity will typically not be initialization in the eigenbasis of the iso- lated qubit Hamiltonian at sufficiently short time, and whenever pure-dephasing processes are weak or absent. The rest of this paper is organized as follows. In Sec. II we review properties of the purity and derive general con- ditions to achieve the maximum purity at time t, starting with a factorized initial state of the qubit and a generic environment. In Sec. III we illustrate the method in the limit of a Born-Markov approximation, leading to expo- nentially decaying correlations. We demonstrate that, even in this limit, it can be suboptimal to store a qubit in the non-interacting eigenbasis. In Sec. IV we calculate spin-echo dynamics for a non-Markovian model relevant to either a heavy-hole spin in a quantum dot or a singlet- triplet (S-T0) qubit formed by two electrons in a double quantum dot (see Fig. 1). In Sec. V we give general con- ditions to maximize qubit purity in a spin-echo experi- ment. In Sec. VI, we consider the more general case of purity/coherence decay accounting for a pure-dephasing process in addition to anisotropic hyperfine coupling. In Sec. VII we generalize the approach to a two-axis dy- namical decoupling sequence and illustrate the method on the same model valid for heavy-hole or S-T0 qubits. We conclude in Sec. VIII with a summary of the main results. Technical details are given in Appendices A-D. II. QUBIT PURITY Here we give a brief introduction to the key observ- able that we will evaluate, the qubit purity, P (t). In addition to its importance for the preparation of high- quality ancillas in quantum error correction schemes,61 purity characterizes the ability to extract a finite qubit polarization after interacting with a bath for a time t. 2 FIG. 1. (Color online) (a) A hole spin in a flat unstrained quantum dot having thickness d much smaller than width L, subjected to a magnetic field of magnitude B applied in- plane and a hyperfine-induced nuclear Overhauser field hz fluctuating with amplitude σN . (b) Bloch sphere for a singlet- triplet (S-T0) qubit subject to a fluctuating nuclear difference field δhz and exchange coupling J with fluctuations δω(t) due to charge noise.38 All results for the S-T0 model follow directly from the hole-spin model with the replacements hz → 2δhz, γH B → J, γj → 0. Maximizing the purity is essential for any scheme that aims to maximize the storage-and-retrieval fidelity of a qubit interacting with an uncontrolled environment. The purity of a two-level system (a qubit) is generally defined as62 P (t) = Tr(cid:2)ρ2 S(t)(cid:3) = + 2(cid:104)S(t)(cid:105)2. 1 2 (1) 2 σ0 + (cid:104)S(t)(cid:105) · σ is the reduced density Here, ρS(t) = 1 matrix of a qubit, where σ0 is the identity and σ is the vector of Pauli matrices. For a pure state of the qubit, the Bloch vector (cid:104)S(t)(cid:105) lies on the surface of the Bloch sphere, (cid:104)S(t)(cid:105) = 1/2, giving P (t) = 1, while a mixed state has (cid:104)S(t)(cid:105) < 1/2 giving P (t) < 1. Understanding the dynamics of the length of the Bloch vector, (cid:104)S(t)(cid:105), therefore allows for a direct evaluation of the purity, P (t). In particular, we can establish a set of criteria that max- imize the purity to avoid information loss. Provided both a qubit and its environment are initially prepared in a pure state, entanglement between the qubit and environment can be characterized by the von Neu- mann (entanglement) entropy, E[ρS] = −TrρS log2 ρS = −(cid:88) s=± ps log2 ps, (2) (3) p± = 1 2 (1 ± 2(cid:104)S(t)(cid:105)) . Here, p± give the eigenvalues of ρS. In this case, a re- duction in the length of the Bloch vector (cid:104)S(t)(cid:105) < 1/2 (equivalently, P (t) < 1) characterizes a finite degree of entanglement, E[ρS] (cid:54)= 0.17 Provided the environment itself can be prepared in a pure state, the purity P (t) is therefore also an important measure of non-classical evolution. We assume the dynamics of (cid:104)S(t)(cid:105) are generated by a Hamiltonian H(t) = H0(t) + V (t), H0(t) = HS(t) + HE, (4) 3 Indeed, this turns out to be the case in the experimen- tally relevant problems of a hole-spin or S-T0 qubit in- teracting with an unpolarized nuclear-spin bath, which we address below (see also Appendix A). Thus, we first proceed under the (realistic) assumption that Eq. (13) is satisfied. with (cid:104)δS(t)(cid:105) = 0 gives Inserting Eq. (7) into Eq. (5), and applying Eq. (11) (cid:104)S(t)(cid:105) = [R0(t)] · [R(cid:48)(t)] · [M (t)] · [R(t)] · (cid:104)S(0)(cid:105) . (14) [R0(t)] · [R(cid:48)(t)], preserve the The first two rotations, length of the Bloch vector, so they will not enter into the formula for purity. This leaves (cid:88) (6) (cid:104)S(t)(cid:105)2 = [M (t)]2 µµ ([R(t)] · (cid:104)S(0)(cid:105))2 µ . (15) µ The effect of [R(t)] is to align the Bloch vector along principal axes defined by a set of mutually orthogonal unit vectors eµ(t) (see, e.g., Fig. 3, below), eµ(t) · S = ([R(t)] · S)µ . (cid:88) Using Eqs. (12), (15), and (16) in Eq. (1) then gives a compact form for the purity, P (t) = 1 2 + 2 µ e−λµ(t) (cid:104)S(0)(cid:105) · eµ(t)2 . (17) (16) The purity of the qubit at time t therefore depends on the eigenvalues λµ(t) and on the initial conditions through (cid:104)S(0)(cid:105) · eµ(t). In particular, it is always possible to max- imize P (t) by choosing to initialize the qubit along a direction eµ(t) associated with the smallest eigenvalue, (µ (cid:54)= ν). In this case, the purity is given λµ(t) < λν(t) simply by (cid:16) 1 + e−λµ(t)(cid:17) where here, the Hamiltonians HS(t) and HE act only on the system and environment Hilbert spaces, respec- tively, and the perturbation V (t) typically couples the two spaces. HS(t) and V (t) are generally time-dependent to account for control pulses and classical noise, but we will assume HS(t) commutes with itself for all times, [HS(t), HS(t(cid:48))] = 0. For factorized initial conditions ρ(0) = ρS(0) ⊗ ρE(0) with initial system (environment) density matrix ρS(E)(0), we can write generally (cid:68)(cid:104) (cid:105)(cid:69) (cid:104)S(t)(cid:105) = e− 1 2L(t) S(t) . S (5) Here, the interaction picture is defined (setting  = 1) by O(t) = U0(t)OU 0 (t), U0(t) = ei(cid:82) t † 0 dt(cid:48)H0(t(cid:48)), corresponding to an SO(3) rotation matrix [R0(t)] ap- plied to the vector S = (Sx, Sy, Sz)T : S(t) = U0(t)SU † 0 (t) = [R0(t)] · S. We use the notation (cid:104)···(cid:105)S(E) = TrS(E) (cid:2)ρS(E)(0)···(cid:3) (7) (8) (cid:68)T ei(cid:82) t 0 dt(cid:48)LV (t(cid:48))(cid:69) for an average over the initial state of the system (envi- ronment). The time evolution is generated by a super- operator that acts exclusively on the qubit space: e− 1 2L(t) = (9) T is the usual time-ordering operator. The interaction- picture Liouvillian LV (t) is defined by its action on an arbitrary operator O through E . (cid:104) V (t), O (cid:105) LV (t)O = . (10) The action of Eq. (9) can generally be described by an affine map (see Ref. 63)64 Pµ(t) = 1 2 (cid:104)S(0)(cid:105) · eµ(t) = 1/2. (18) , e− 1 2L(t)S = [R(cid:48)(t)] · [M (t)] · [R(t)] · S + (cid:104)δS(t)(cid:105). (11) [R(t)] and [R(cid:48)(t)] are SO(3) rotation matrices. Here, [M (t)] is a magnification matrix that is diagonal with real eigenvalues, e−λ1(t)/2 0 0 [M (t)] =  . 0 e−λ2(t)/2 0 0 0 e−λ3(t)/2 (12) The inhomogeneous term in Eq. (11), (cid:104)δS(t)(cid:105), typi- cally sets the long-time equilibrium value of the spin, (cid:104)δS(t → ∞)(cid:105), which is independent of the initial state for an ergodic system. For systems interacting with a sufficiently high-temperature thermal environment, the inhomogeneous term may be negligible, Note that the general case of finite (cid:104)δS(t)(cid:105) is not sig- nificantly more complex -- in this case, we simply need to find the initial state (cid:104)S(0)(cid:105) that maximizes the magni- tude (cid:12)(cid:12)(cid:12)[R(cid:48)(t)] · [M (t)] · [R(t)] · (cid:104)S(0)(cid:105) + (cid:104)δS(t)(cid:105)(cid:12)(cid:12)(cid:12) . (cid:104)S(t)(cid:105) = (19) However, the result for this general case cannot be ex- pressed in the simple form of Eq. (17). When L(t) can be expressed as a real symmetric ma- trix ([L]αβ = [L]βα, where [L]αβ = 2Tr{SαLSβ}), this matrix is diagonalized with an orthogonal rotation, i.e., [R(cid:48)(t)] =(cid:2)R−1(t)(cid:3) in Eq. (11). In this case, the param- eters λµ(t) are the real eigenvalues of the superoperator L(t) and the unit vectors eµ(t) determine the associated eigenoperators through (cid:104)δS(t)(cid:105) (cid:39) 0. (13) L(t) [eµ(t) · S] = λµ(t) [eµ(t) · S] . (20) Decomposing the spin operator S in terms of its compo- nents along the unit vectors eµ then gives a simplified expression for the spin expectation values when [L] is symmetric, (cid:104)S(t)(cid:105) = e−λµ(t)/2eµ(t) · (cid:104)S(0)(cid:105) [R0(t)] · eµ(t). (21) (cid:88) µ The case of a real symmetric generator L(t) will be rele- vant to the example of hole-spin or S-T0 qubit dynamics, which we address in the following sections. To solve the eigenvalue equation, Eq. (20), it is first necessary to derive a suitable approximation for the su- peroperator L(t), defined by Eq. (9). As will be shown below, when H0(t) generates sufficiently rapid oscilla- tions in V (t), a leading-order Magnus expansion can be performed on the time-ordered exponential in Eq. (9). For a sufficiently large environment with initial state de- scribed by many uncorrelated degrees of freedom, the moments associated with the average (cid:104)···(cid:105)E will be ap- proximately Gaussian. When (cid:104)LV (t)(cid:105)E = 0, the combi- nation of these two approximations leads to L(t) (cid:39) L0(t) = dt1 0 0 dt2 (cid:104)LV (t1)LV (t2)(cid:105)E . (22) Of course, the applicability of Eq. (22) depends sensi- tively on the details of the physical system under study. In the remaining sections we will evaluate and justify this formula for a model with a pure Ising-like anisotropic hy- perfine coupling. This model is directly relevant to hole spins in quantum dots or to singlet-triplet (S-T0) qubits formed by two electrons in double quantum dots (see Fig. 1). Higher-order terms in the Magnus expansion involve progressively more integrals over the oscillating pertur- bation V (t). The leading-order Magnus expansion can therefore always be justified at sufficiently short time. A general sufficient condition for convergence of the Mag- (cid:90) t (cid:90) t nus expansion is65(cid:90) t dt(cid:48) V (t(cid:48))2 < π. (23) 0 Convergence of the expansion is then generally guaran- teed for t < tmax where (cid:104) V (t(cid:48))2 π (cid:105) , max tmax = (cid:104) V (t(cid:48))2 (cid:105) (24) and where max is the maximum of V (t(cid:48))2 on the interval [0, t]. In practice, Eq. (24) often dras- tically underestimates the range of applicability of the leading-order Magnus expansion. When all terms in V (t) are rapidly oscillating about zero with typical amplitude δωrms and typical fast frequency ω, a direct analysis of the higher-order terms leads to the condition35 t (cid:46) τmax = ω δω2 rms . (25) 4 The fast frequency ω may be given by the precession frequency for a spin system. Alternatively, in the case of a dynamical decoupling sequence (which we consider in Sec. VII), the fast frequency may be given by ω ∼ 1/τ , where τ is the time between decoupling pulses. We have found the analysis leading to Eq. (25) to accurately reflect the time scale of failure of the Magnus expansion for, e.g., the free-induction decay of a hole spin in a quantum dot.66 III. BORN-MARKOV LIMIT Equation (22) generally accounts for nonstationary and non-Markovian dynamics. This is necessary for a slow environment and a qubit subjected to a dynami- cal decoupling sequence. Before considering this more general scenario, here we explore the consequences of a Born-Markov approximation, appropriate to the limit of weak coupling to an environment with a short bath cor- relation time compared to the relevant dephasing (Tφ) and relaxation (T1) times. We assume a qubit with sys- tem Hamiltonian HS = ωSz, so that the computational basis states, 0(cid:105) = ⇓(cid:105) and 1(cid:105) = ⇑(cid:105), are associated with the Bloch vector aligned along the z-axis. Bloch-Redfield theory then gives the nonvanishing superoperator matrix elements and inhomogeneous term in the interaction pic- ture: (cid:18) 1 (cid:104)δSz(t)(cid:105) (cid:39)(cid:16) Tφ [L(t)]zz (cid:39) 2t , T1 1 − e−t/T1 1 2T1 + 2t, (cid:19) (cid:17)(cid:104)Sz(cid:105), (26) (27) (28) [L(t)]xx = [L(t)]yy (cid:39) with long-time steady-state value (cid:104)Sz(cid:105). In this limit, a spin prepared along +z will decay ac- cording to (cid:104)Sz(t)(cid:105) = (1/2 − (cid:104)Sz(cid:105))e−t/T1 + (cid:104)Sz(cid:105). (29) For a spin prepared along +x, and in the absence of pure dephasing (1/Tφ = 0): (cid:104)Sx(t)(cid:105) = (1/2)e−t/2T1. (30) Expanding for short time, we find (cid:104)Sx(t)(cid:105) > (cid:104)Sz(t)(cid:105) at leading order in t/T1 whenever (cid:104)Sz(cid:105) < 1/4. Thus, in the absence of a pure-dephasing process and for a sufficiently high-temperature environment (so that (cid:104)Sz(cid:105) is sufficiently small), it is advantageous to prepare the system in a superposition of non-interacting eigenstates, even in the case of Markovian decay. In the next section, we consider the more general case of a slow non-Markovian environment. For a non- Markovian system-environment evolution, purity can be lost and recovered through a series of revivals that can be induced through a dynamical-decoupling sequence. The optimization over initial conditions in this case is there- fore nontrivial, but practically useful. IV. NON-MARKOVIAN DYNAMICS: HEAVY-HOLE AND S-T0 SPIN ECHO Here we will present a model of non-Markovian dynam- ics, allowing the recovery of qubit purity at later times through recurrences. We will primarily focus on the dy- namics of a heavy-hole spin in a quantum dot. However, a special limit of the model is directly relevant to S-T0 qubits formed by two electrons in a double quantum dot. A. Hole-spin model We consider a model Hamiltonian, appropriate for a heavy-hole spin qubit in a flat semiconductor quantum dot35 with an in-plane magnetic field applied along the x-axis [see Fig. 1(a)]: H = HZ + Hhf , HZ = −γH BSx −(cid:88) (cid:88) Hhf = hzSz = γjBI x kj , j,kj Akj I z kj Sz. (31) (32) (33) j,kj In the sums above, j labels the distinct nuclear isotopes and kj labels the set of sites occupied by nuclear spins of isotope j. Here, HZ gives the hole-spin and nuclear-spin Zeeman terms, and Hhf describes the anisotropic hyper- fine interaction between hole and nuclear spins. S = σ/2 is a pseudospin-1/2 operator in the heavy-hole subspace, and Ik is the nuclear spin at site k. The hole gyromag- netic ratio is γH = µBg⊥, with µB the Bohr magneton and g⊥ the in-plane hole g-factor. The gyromagnetic ra- tio of the nucleus at site kj of isotopic species j having total spin Ij is denoted by γj. The hyperfine couplings, Akj , are given by Akj = Ajv0ψ(rkj )2, where Aj is the hyperfine coupling for nuclear species j, v0 is the volume per nuclear spin, and ψ(rk) is the heavy-hole envelope wavefunction evaluated at site rk. For a Gaussian enve- lope function in two dimensions,21 Ak (cid:39) A N e−k/N , k = 0, 1, 2, ., (34) where N is the number of nuclear spins within a quantum-dot Bohr radius. Further assuming a uniform distribution of different nuclear species across the dot and N (cid:29) 1 (typically N (cid:39) 104 − 106), we define the average hyperfine constant A as (cid:88) Ak (cid:39)(cid:88) A = νjAj, (35) k j where νj is the isotopic abundance of species j. In nu- merical estimates we will assume, for simplicity, a single average value A of the hyperfine constant corresponding to νj for an In0.5Ga0.5As quantum dot33 (A (cid:39) 13µeV), 5 FIG. 2. (Color online) Hahn echo sequence. π-rotations about x reverse dephasing from static fluctuations in hz along z due to the hyperfine interaction. For the sake of clarity we have assumed g⊥ (cid:39) 0 for this illustration so that there is no precession of the hole spin about x. and γj, Ij appropriate for natural abundances of isotopes of Ga, As, and In taken from Table 1 of Ref. 67. For heavy holes, the ratio A/A(e) of hole to electron hyper- fine coupling strengths has been estimated theoretically33 in GaAs and confirmed experimentally68,69 in InGaAs and InP/GaInP to be of order A/A(e) ∼ 0.1. This is consistent with A (cid:39) 13 µeV since A(e) (cid:39) 90 µeV in GaAs.70 Random fluctuations in the nuclear field cause rapid hole-spin decoherence via the hyperfine coupling de- scribed above. A spin-echo sequence can remove fluctua- tions that are approximately static over the time scale of hole-spin preparation and measurement. A Hahn echo sequence corresponds to a free evolution for time t < τ , application of a π-rotation about the x-axis, Ux(π), at t = τ , followed by another free evolution for time t ∈ (τ, 2τ ). We consider a second π-rotation, U† x(π), at t = 2τ to return the spin to its original orientation x(π) = −Sz, but (see Fig. 2). Noting that Ux(π)SzU† Ux(π)SxU† x(π) = Sx, we account for the Hahn echo se- quence illustrated in Fig. 2 with the identifications: H0 = HZ, V (t) = s(t)Hhf , (36) where (cid:40) +1 −1 s(t) = 0 ≤ t < τ, τ ≤ t ≤ 2τ. (37) With the associations given in Eq. (36), we can now ap- ply the analysis of Sec. II to the problem of Hahn echo, using the leading-order Magnus expansion and Gaus- sian approximation to obtain the approximate generator L(2τ ) (cid:39) L0(2τ ) given in Eq. (22). See Refs. 35, 37, and 71 for further details on implementing the Magnus expan- sion and Gaussian approximation specific to this prob- lem. To make analytical progress, we rewrite the superop- erator L0 in matrix form. In the basis of spin-1/2 op- erators, {Sx, Sy, Sz}, the matrix elements [L0(2τ )]αβ are given by L0(2τ )Sα = [L0(2τ )]βα Sβ; α, β = x, y, z. (38) (cid:88) β The matrix [L0(2τ )] can be found explicitly in terms of bath correlation functions (cid:104)Bα(2τ )Bβ(2τ )(cid:105), with bath op- erators Bα defined by (see Appendix A): Bα(2τ )Sα. (cid:90) 2τ (cid:88) dt V (t) = (39) 0 α We assume the initial state of the nuclear-spin bath de- scribes uncorrelated spins without second-order coher- ences and with vanishing polarization, so that = 0, = 2σ2 j δjj(cid:48). (40) (41) (cid:69) (cid:69) (cid:68) (cid:68) = = h− j h− j(cid:48) h− j h+ j(cid:48) (cid:69) (cid:69) (cid:68) (cid:68) j h+ h+ j(cid:48) j h− h+ j(cid:48) (cid:88) kj The following associations for energy scales complete 6 the mapping: γH B → J, hz → 2δhz, γj → 0. (49) (50) (51) Here, J is the exchange coupling, δhz is the nuclear dif- ference field between the two quantum dots, and for a double quantum dot subject to a uniform magnetic field, δhz commutes with the nuclear-spin Zeeman term, lead- ing to γj = 0. Inhomogeneities in the magnetic field in this case could lead to dynamics in δhz, which can then act back on the S-T0 qubit. This effect has been inves- tigated recently in Ref. 71, but we neglect it here for simplicity. Here we have introduced the nuclear field operators over an isotope j, hj = Akj Ikj , h± j = hy j ± ihz j . (42) C. Hahn-echo dynamics Equation (41) above defines the nuclear-field fluctuation σj due to isotope j. For the purposes of studying system- bath entanglement, it may be interesting to prepare a pure state of the bath and observe the resulting purity dy- namics [see the discussion leading to Eq. (2) above]. We note that the conditions given in Eqs. (40) and (41) will be approximately satisfied for a pure state with suitably random initialization (e.g., by choosing a random orien- tation for each nuclear spin independently). For practical measurements, the initial conditions of the nuclear-spin bath are often well-described by an infinite-temperature thermal state, for which Ij(Ij + 1) σ2 j = (Akj )2. 3 kj (43) For explicit estimates, we will make use of the total nuclear-field variance, (cid:88) (cid:88) σ2 N = σ2 j . (44) j B. Mapping to an S-T0 qubit As illustrated in Fig. 1, the model presented here for heavy-hole spin dynamics and decoherence can be mapped exactly onto a well-studied model of singlet- triplet decoherence.38 In particular, the heavy-hole spin- Sz eigenstates ⇑(cid:105) and ⇓(cid:105) can be associated with two- electron states ↑↓(cid:105) and ↓↑(cid:105) for two electron spins in a double quantum dot, making up the singlet S(cid:105) and triplet T0(cid:105) states: ⇑(cid:105) → ↑↓(cid:105) , ⇓(cid:105) → ↓↑(cid:105) , S(cid:105) = 1√ 2 1√ 2 (↑↓(cid:105) − ↓↑(cid:105)) , T0(cid:105) = (↑↓(cid:105) + ↓↑(cid:105)) . (45) (46) (47) (48) (cid:90) 2τ 0 4σj ωj± As suggested by the form of Eq. (39), the bath op- erators can be conveniently rewritten in terms of the complex-valued filter functions Zj±(2τ ) = σj = −i dts(t)eiωj±t sin2 ωj±τ 2 eiωj±τ , ωj± = (γH ± γj)B. (52) (53) (54) In the conventional theory of spin-echo decay, functions such as Eq. (52) determine a filter function F, that re- stricts the frequency-content of the noise that can act to dephase a qubit through the absolute magnitude of Zj±:72 -- 76 F(ωj±, 2τ ) ∝ Zj±(2τ )2. (55) Here, we will find both the magnitude and the phase of the functions Zj±(2τ ) will be essential in determining spin dynamics. While the magnitude of the functions Zj±(2τ ) will modify the spectral content of the noise, the phase of these functions will be crucial in determining a set of principal axes that determine the anisotropy of the decay process. Quite significantly, we will be able to ex- ploit information about this decay anisotropy to identify optimal initialization/storage protocols to maximize the purity of a spin qubit. Direct evaluation of the bath correlation functions and application of the relationships derived in Appendix A gives the matrix (cid:32) (cid:33) [L0(2τ )] = λx(2τ ) 0 0 [Lyz(2τ )] . (56) Here, one eigenvalue of the superoperator is λx(2τ ). The 2 × 2 submatrix [Lyz(2τ )] can be written as [Lyz(2τ )] = (cid:2)λx(2τ )τ0 + ReZ 2(2τ )τ3 + ImZ 2(2τ )τ1 (cid:3) , 1 2 (57) (cid:88) where we have introduced the 2 × 2 identity matrix τ0 and usual Pauli matrices τµ. In Eq. (57), we have also introduced the complex function Z(2τ ): Z 2(2τ ) = Zj+(2τ )Zj−(2τ ). (58) j By diagonalizing the matrix in Eq. (56), we solve Eq. (20) for the eigenvalues, λµ(2τ ), and vectors, eµ(2τ ), with µ = x,±: L0(2τ ) [S · eµ(2τ )] = λµ(2τ ) [S · eµ(2τ )] . We find the eigenvalues: 1 2 1 2 j (cid:88) (cid:0)Zj+(2τ )2 + Zj−(2τ )2(cid:1) , λx(2τ ) ± Z(2τ )2(cid:17) (cid:16) (cid:88) (Zj+(2τ ) ± Zj−(2τ ))2 . . λx(2τ ) = λ±(2τ ) = λ±(2τ ) = 1 4 j When either γH > γj or γj > γH for all nuclear-spin species j, Eq. (61) becomes The associated unit vectors (illustrated in Fig. 3) are ex = x, e+(2τ ) = cos θ(2τ )y + sin θ(2τ )z, e−(2τ ) = − sin θ(2τ )y + cos θ(2τ )z. (63) (64) (65) The angle θ(2τ ) is determined by the SU(2) rotation that diagonalizes Eq. (57). This angle is given by θ(2τ ) = arg Z(2τ ). (66) The vectors eµ(2τ ) are parametrized by the time τ be- tween π-pulses. However, we stress that these are not dynamical quantities, evolving during the echo sequence. Instead, eµ(2τ ) determines the initial condition for a spin that should be chosen to recover a given purity Pµ(2τ ) after a Hahn echo sequence. Inserting Eq. (53) for the complex-valued filter func- tions Zj±(2τ ) into Eq. (58) shows that θ(2τ ) can gener- ally alternate between two simple forms: 2 , G(2τ ) > 0 γH Bτ, G(2τ ) < 0 (cid:26) γH Bτ − π j sin2(cid:0) ωj+τ (cid:88) (cid:0)γ2 σ2 2 j H − γ2 j (67) , (cid:1) . (68) (cid:1) sin2(cid:0) ωj−τ (cid:1) B2 2 θ(2τ ) = with G(2τ ) = (59) (60) (61) (62) 7 FIG. 3. (Color online) Unit vectors satisfying the eigenvalue equation, Eq. (59), forming an orthonormal basis. ex = x while e+(2τ ) and e−(2τ ) correspond to y and z rotated by an angle θ(2τ ) [given by Eq. (66)] about the x-axis. static compared to the hole-spin precession, γH > γj (giving G > 0), a spin initialized along e−(2τ ) will ad- vance in time at an angle φ = θ(2τ ) + π/2 − γH Bt = γH B(τ −t) from the y-axis. The spin will then be aligned with the y-axis at the time of the first π-pulse (t = τ ). That this choice is optimal can be simply understood from a semiclassical model of a fluctuating magnetic field along z in the limit of a purely static nuclear field and arises from the perfect symmetry of this problem for re- flections through the x-axis within the x-y plane (see Appendix B). This scenario (γj (cid:39) 0) applies exactly to the case of an S-T0 qubit in a uniform magnetic field (see Sec. IV B). In the opposite limit of a slow hole-spin pre- cession compared to the nuclear-spin precession, γH < γj (G < 0), the optimal choice is to prepare the hole spin so that it aligns with the z-axis at the first π-pulse. This result is easy to understand in the limit γH = 0, since in this case the z-component of hole spin is a constant of the motion, and is therefore preserved for all time. More gen- erally, when γH ∼ γj, the optimal initialization axis will alternate nontrivially as a function of τ to favor align- ment with either y or z at the time of the first π-pulse. When we consider additional pure-dephasing processes in Section VI below, we will find such a nontrivial be- havior even when γH (cid:29) γj, the limit typically realized in current experiments. For this problem, spin dynamics in the Sx-subspace have been discussed previously.35 In this subspace, we find (cid:104)Sx(2τ )(cid:105) (cid:104)Sx(0)(cid:105) (cid:39) e− 1 2 λx(2τ ). (69) Alignment of the spin along e−(2τ ) at time t = 0 will maximize the purity at time t = 2τ since λ−(2τ ) gives the smallest eigenvalue [see Eqs. (60), (61)]. Referring to Fig. 3 and Eq. (67) for the angle θ(2τ ), we see that when the nuclear-spin system can be taken as approximately A motional-averaging regime is reached for λx (cid:46) 1, √ corresponding to ω (cid:38) σN , where σN ∼ A/ N is the typical amplitude of nuclear-field fluctuations and ω = B · max{γi, γH} gives the frequency of rapid os- cillations. In this regime, the hole spin experiences enve- −(cid:88) (cid:18) γjBτ (cid:19). lope modulations77 with amplitude ∼ λx ∼ σN /ω2 < 1. From Eq. (69), it is already clear that a hole spin initially aligned along x will have a purity that is modulated in time according to the envelope modulations. Further setting g⊥µB = γH = 0 in the expressions above, Eq. (69) recovers the result previously given in Ref. 35, (cid:104)Sx(2τ )(cid:105) (cid:104)Sx(0)(cid:105) (cid:39) exp We now evaluate (cid:104)Sy(2τ )(cid:105) and (cid:104)Sz(2τ )(cid:105) by inverting 8σ2 j (γjB)2 sin4 (70) 2 j Eqs. (64) and (65) for e+(2τ ) and e−(2τ ), Sy = cos θ(2τ )S · e+(2τ ) − sin θ(2τ )S · e−(2τ ), Sz = sin θ(2τ )S · e+(2τ ) + cos θ(2τ )S · e−(2τ ). (71) (72) The evolution takes a simple form in terms of the oper- ators S(cid:48) ±: ± = Sy ± iSz. S(cid:48) (73) √ µ=± µe− 1 The spin evolution in the y-z plane is then described by (cid:104)S(cid:48) 2 λµ(2τ )(cid:104)S(0)(cid:105)·eµ(2τ ), (74) +(2τ )(cid:105) = eiφ(2τ )(cid:88) where φ(2τ ) = −γH B2τ + θ(2τ ), √−1 = i. The phase φ(2τ ) tracks the mismatch √− = in evolution of the interaction-picture rotating frame and the rotation to principal axes for the generator L0(2τ ) (see Fig. 3). The eigenvalues λµ(2τ ) control the degree of damping/modulation in the amplitude of the spin. 1 = 1, and + = √ √ The spin dynamics under the action of the anisotropic interactions presented here are strongly dependent on the initial direction of the spin and on the measurement axis. We will find it convenient to parametrize the initial state for a spin in the y-z plane by an angle ϕ between the y-axis and the initial spin vector: eiϕ. (75) Cϕ(2τ ) = e−λx(2τ )/4+iϕ For a spin prepared at an angle ϕ to the y-axis, we define the coherence factor in the rotating frame, Cϕ(2τ ) = 2eiγH B2τ(cid:10)S(cid:48) 1 2 eiϕ. (76) 1 2 (cid:10)S(cid:48) +(0)(cid:11) = (cid:104)Sy(0)(cid:105) + i(cid:104)Sz(0)(cid:105) = (cid:10)S(cid:48) +(0)(cid:11) = (cid:18)Z(2τ )2 (cid:19) (cid:18)Z(2τ )2 +(2τ )(cid:11) , (cid:20) −e−i2[ϕ−θ(2τ )] sinh cosh 4 − (cid:19)(cid:21) We then find the general expression for this coherence factor, The first term in Eq. (77) [∝ cosh(cid:0)Z2/4(cid:1)] varies (77) 4 . slowly in the rotating frame, while the second term [∝ sinh(cid:0)Z2/4(cid:1)] experiences violent modulations at a 8 frequency determined by the hole-spin Zeeman energy, 2θ(2τ ) ∼ γH B2τ , due to non-secular "counter-rotating" corrections. This second contribution, ∼ Z2 (cid:28) 1, evolves slowly in the lab frame, in spite of the hole- spin Zeeman term. Thus, while the first term would likely decay rapidly due to electric-field-induced fluctu- ations in the hole Zeeman energy, as reported in recent experiments,40 -- 42 we expect some contribution from the second term to survive this dephasing mechanism. Such a pure-dephasing process is investigated in detail in Sec. VI below. Equation (77) recovers the expected results for initial- ization along one of the principal axes: ϕ = ϕ±(2τ ), cor- responding to alignment of the initial spin with e±(2τ ) (see Fig. 3): Cϕ± (2τ ) = exp [iϕ±(2τ ) − λ±(2τ )/2] , ϕ+(2τ ) = θ(2τ ), ϕ−(2τ ) = θ(2τ ) + π/2. (78) (79) (80) To explore the general spin dynamics of this problem, in which no special care has been taken to initialize the spin along one of the principal axes e±, we define the general correlators Cαβ corresponding to the coherence for initialization along axis β and measurement along axis α in the rotating frame: Cyy(2τ ) = Re [Cϕ=0(2τ )] , Czy(2τ ) = Im [Cϕ=0(2τ )] , Czz(2τ ) = Im(cid:2)Cϕ=π/2(2τ )(cid:3) , Cyz(2τ ) = Re(cid:2)Cϕ=π/2(2τ )(cid:3) . (81) (82) (83) (84) for λ± =(cid:80) Correlators such as those given above have been mea- sured, for example, in recent experiments on hole spins in single quantum dots.42 Those experiments showed sim- ilar modulations as seen here, although the authors of Ref. 42 have interpreted the modulations in their data in terms of a dynamic nuclear polarization effect. Two of the correlators above are shown for typical experimental parameters in Fig. 4. We note that the general corre- lators will contain contributions from each of the eigen- values λ±(2τ ). While each of these experiences modula- tions at the nuclear Larmor frequency, the modulations j(Zj+±Zj−)2/4 are π out of phase with re- spect to each other [see, e.g., the modulations of P+ (de- termined by λ+) relative to those for P− (determined by λ−) in Fig. 5]. These out-of-phase modulations generally lead to a sequence of maxima at twice the nuclear Larmor frequency, similar to the result seen for modulations in the experiment of Ref. 42. We note that the same mod- ulations with the same frequency are predicted within this model for free-induction decay [the limit n = 0 of an n-pulse dynamical decoupling sequence, see Eq. (D1) in Appendix D]. The amplitude of modulations (∝ 1/B2) is strongly suppressed in a large magnetic field B, so for high-field experiments, it may be difficult to see this ef- fect. However, for B (cid:46) 1 T and for typical quantum-dot 9 FIG. 5. (Color online) Spin-echo purity Pµ(2τ ) from Eq. (85) assuming initialization along e−(2τ ) [P−(2τ ), blue dashed line], e+(2τ ) [P+(2τ ), black dotted line], and ex(2τ ) = x [Px(2τ ), red solid line], with B = 1 T, g⊥ = 0.04, N = 104, and γj and Ij from Ref. 67. The purity at time 2τ is maxi- mized when initializing along e−(2τ ). Top panel: purity with a finite in-plane hole g-factor, g⊥ = 0.04. Bottom panel: pu- rity with a vanishing in-plane hole g-factor, g⊥ = 0. the x-axis for the geometry shown in Fig. 1(a)], 0(cid:105) = ⇑x(cid:105) [where Sx ⇑x(cid:105) = +(1/2)⇑x(cid:105)]. For S-T0 qubits, this cor- responds to initializing and storing in the singlet state S(cid:105) [see Fig. 1(b)]. However, in this case, with the gen- erator given in Eq. (56), we find the following general relationship, valid for all τ within the range of validity of the Gaussian approximation and leading-order Magnus expansion: λx(2τ ) ≥ λ+(2τ ) ≥ λ−(2τ ). (86) The inequalities in Eq. (86) follow directly from Eqs. (60) and (61). Quite generally, the purity is maximized by preparing the hole spin in the y-z plane, in an equal superposition of Zeeman eigenstates: P−(2τ ) ≥ P+(2τ ) ≥ Px(2τ ). (87) The three quantities in Eq. (87) are shown in Fig. 5 for typical experimental parameters, illustrating the inequal- ity. In the limit γH = µBg⊥ = 0, this result can be intu- itively understood. When g⊥ = 0, [Sz, H] = 0, so a spin initialized along the z-axis will be preserved for all time, FIG. 4. (Color online) Correlators in the rotating frame [Eqs. (81)-(84)], assuming an in-plane magnetic field B = 1 T, with in-plane hole-spin g-factor g⊥ = 0.04, for an InxGa1−xAs quantum dot containing N = 104 nuclear spins assuming uni- form In doping x = 0.5, and nuclear gyromagnetic ratios γj and total nuclear spins Ij from Ref. 67 appropriate for this material. parameters, the modulations can be a substantial frac- tion of the decay, as we show here. V. MAXIMIZING PURITY The spin-echo purity, P (2τ ), characterizes our ability to recover a pure ancilla qubit at a time 2τ after prepara- tion and application of a refocusing pulse. From Eq. (17), this quantity depends on the initialization of the qubit. In particular, if we initialize along one of the unit vectors eµ(2τ ), we find the simple expression for the purity at time 2τ , as in Eq. (18): (cid:16) 1 + e−λµ(2τ )(cid:17) Pµ(2τ ) = 1 2 . (85) As discussed in Sec. II following Eq. (17), and as is clear from Eq. (85), the purity of a qubit recovered at time 2τ can be maximized by initializing along the direction eµ(2τ ) associated with the smallest eigenvalue λµ(2τ ). Naıvely, one might expect that the best strategy would be to prepare an ancilla qubit in an eigenstate (e.g., the ground state) of the unperturbed Hamiltonian, H0. In the case of hole-spin qubits, this would correspond to preparing the spin along the applied magnetic field [along 020040060080010000.930.940.950.960.970.980.991.0020508002004006008001000-0.010-0.0050.0000.0050.0102050800204060801001201400.950.960.970.980.991.000204060801001201400.50.60.70.80.91.0 while a spin initialized along x or y will decay due to fluctuations along z (see the lower panel of Fig. 5; in this case, e− = z, e+ = y). That this relationship [Eq. (87)] continues to hold for g⊥ (cid:54)= 0 in any magnetic field and for all τ (within the range of validity of the approximations used here) is less trivially obvious. It is straightforward to extend the above analysis to the more general case of an arbitrary anisotropic hyper- fine tensor (see Appendix A). In this case, when leading non-secular corrections are included using the leading- order Magnus expansion and Gaussian approximations, the Zeeman ground state will not generally be optimal for initialization. The procedure described here can be used to predict an optimal state in which to store an ancilla. This may be useful in other systems with anisotropic in- teractions, including nitrogen-vacancy (NV) centers in diamond,13 or phosphorus donors in silicon,14 where the high-fidelity preparation of electron-spin ancillas is im- portant for nuclear-spin readout. The non-intuitive result given in Eq. (87) presupposes the absence of additional decoherence mechanisms. A rapid pure-dephasing process would typically reduce the purity for states initialized perpendicular to the magnetic field, relative to those initialized along the magnetic field. One source of pure dephasing for hole spins arises due to electric-field-induced fluctuations in the Zeeman en- ergy (equivalently, fluctuations in the exchange interac- tion for S-T0 qubits). Such a mechanism has been iden- tified as the predominant dephasing source for hole spins in Refs. 41 and 40. In the presence of a Markovian pure dephasing process that takes place on a time scale Tφ, our conclusions remain valid in the limit 2τ < Tφ whenever the decay due to pure dephasing is small compared to the amplitude of envelope modulations, i.e. when γH (cid:29) γi, (cid:18) (cid:19)2 2τ (cid:46) 2τc = Tφ A√ N γH B . (88) For storage of ancilla qubits beyond the time scale indi- cated in Eq. (88), it will be advantageous to prepare the qubit in the Zeeman eigenbasis. We consider the detailed role of a pure-dephasing pro- cess on the general dynamics of a hole-spin (equivalently, S-T0) qubit and on purity decay in the next section. VI. PURE DEPHASING As mentioned above, pure-dephasing mechanisms can modify the results of our analysis for maximizing purity. In particular, a pure-dephasing mechanism due to a fluc- tuating Zeeman term through an electric-field-dependent g-factor has been identified as a primary source of deco- herence for hole spins in recent experiments.40,41 It is straightforward to generalize the analysis of the previous sections to the case of a fluctuating Zeeman term with the replacement:35 γH B2τ → γH B2τ +φ(2τ ); φ(2τ ) = 10 (cid:90) 2τ 0 dtδω(t), (89) with δω(t) a Gaussian random variable describing a sta- tionary white-noise process (cid:104)(cid:104)δω(t)δω(t(cid:48))(cid:105)(cid:105) = δ(t − t(cid:48)). 2 Tφ (90) Here, double angle brackets (cid:104)(cid:104)···(cid:105)(cid:105) indicate an average over realizations of the noise δω(t). The white-noise form given in Eq. (90) is a reasonable approximation for, e.g., Johnson-Nyquist noise due to nearby metallic gates.78,79 This assumption will break down for, e.g., colored noise due to slowly-varying charged impurities.40 It would be straightforward to extend the analysis presented here to the case of Gaussian colored noise. To emphasize the limitations of our earlier conclusions in the presence of pure dephasing, here we focus on the simplest (and often realistic) white-noise form given in Eq. (90). Accounting for the modification to the Zeeman term, Eq. (89), the coherence factor in the rotating frame be- comes (cid:16)(cid:104)(cid:104)e−iφe− 1 (cid:69) S Cϕ(2τ ) = 2 2L[φ](cid:105)(cid:105)S(cid:48) + , (cid:104)S(cid:48) +(0)(cid:105) = 1 2 eiϕ. (91) In the absence of hyperfine coupling, we would have L = 0, leaving a simple exponentially-decaying coher- ence factor, Cϕ(2τ ) = eiϕ− 1 2(cid:104)(cid:104)φ2(2τ )(cid:105)(cid:105) = eiϕ−2τ /Tφ, (92) where we have used the fact that the noise is Gaussian in the first step and the fact that it is white [Eq. (90)] in the second. While φ is Gaussian-distributed, L[φ] is a highly nonlinear function of φ, making a direct Gaussian average difficult. In general, we would like an expansion valid up to time scales t (cid:38) Tφ (giving (cid:104)(cid:104)φ(cid:105)(cid:105) (cid:38) 1), so an expansion for small φ, which was justified in evaluating the longitudinal spin (cid:104)Sx(2τ )(cid:105),35 is not generally possi- ble for the coherence factor. Instead, here we perform a moment expansion, valid for L (cid:46) 1, (cid:104)(cid:104)e−iφe− 1 2L[φ](cid:105)(cid:105) = (cid:104)(cid:104)e−iφ(cid:105)(cid:105)(cid:104)e− 1 (93) Here, for an arbitrary operator O, the average (cid:104)···(cid:105)φ is defined by 2L[φ](cid:105)φ. (cid:104)O[φ](cid:105)φ = (cid:104)(cid:104)e−iφO[φ](cid:105)(cid:105) (cid:104)(cid:104)e−iφ(cid:105)(cid:105) = e 1 2(cid:104)(cid:104)φ2(cid:105)(cid:105)(cid:104)(cid:104)e−iφO[φ](cid:105)(cid:105). (94) At leading order in the moment expansion, (cid:104)e− 1 2L[φ](cid:105)φ (cid:39) e− 1 2(cid:104)L[φ](cid:105)φ. (95) From a leading-order Magnus expansion, we have L (cid:39) L0 and in the regime of applicability of the Magnus expan- sion, L0(2τ ) < 1 for all time, allowing us to neglect all higher moments with small corrections. 11 FIG. 8. (Color online) Correlators Cαβ(2τ ) showing dynamics for preparation along axis β and measurement along axis α in the rotating frame. The material parameters used are the same as in Figs. 4 and 5 for an In0.5Ga0.5As quantum dot, but with magnetic field B = 400 mT and with a pure dephasing process giving rise to Tφ = 1 µs. The averages above are evaluated explicitly in Appendix C. The coefficients in Eq. (96) are no longer real, but the matrix can nevertheless be exponentiated directly to determine the coherence factor Cϕ(2τ ) = e−2τ /Tφ−(cid:104)λx(cid:105)φ/4 where Q2 =(cid:2)(cid:104)ReZ 2(cid:105)2 − Q2 4 eiϕ cosh (cid:20) −e−iϕ(cid:104)Z 2(cid:105)φ (cid:3)1/2 φ + (cid:104)ImZ 2(cid:105)2 φ Q2 sinh (cid:21) Q2 4 , (97) . (98) The angle θ is then the value θ = ϕ for which the length of the Bloch vector (set by Cϕ) is minimal (cor- responding to alignment along the vector e+). This value can be read off directly from Eq. (97), giving (cid:20) (cid:21) θ = 1 2 arg (cid:104)Z 2(cid:105)φ tanh Q2/4 Q2 . (99) The purities for a hole spin initialized in the y-z plane are shown for typical experimental parameters in Fig. 6 along with the angle θ(2τ ) that determines the principal axes for L0. In the presence of a pure-dephasing process, the optimal initialization axis alternates as a function of τ to favor alignment of the spin with either the y-axis at t = τ [θ(2τ ) − γH Bτ (cid:39) −π/2] or the z-axis at t = τ [θ(2τ ) − γH Bτ (cid:39) 0]. The additional dynamics induced through the average over random Zeeman fields gives rise to a nontrivial evolution of the angle θ(2τ ) beyond this simple picture (see Fig. 7). While these corrections may be small here, they can be accurately determined us- ing the procedure outlined above provided the dephasing model itself is known accurately. FIG. 6. (Color online) Top: Purities P− (red solid line) and P+ (blue dashed line). Bottom: Angle θ(2τ ) determining the initial axes, as in Fig. 3. We have assumed a Markovian pure- dephasing process due, e.g., to electric-field noise. We have assumed a dephasing time Tφ = 1 µs and have used the same material parameters as in Figs. 4 and 5 for an In0.5Ga0.5As quantum dot but with a magnetic field of B = 400 mT. FIG. 7. (Color online) Detail of the angle θ for the evolution shown in Fig. 6. The angle θ defines the unit vectors e± (see inset and Fig. 3). Due to the presence of a pure-dephasing process, the optimal angle θ follows a complex trajectory, in general, deviating slightly from θ(2τ ) − γH Bτ = 0,−π/2. Averaging over random instances of the fluctuating Zeeman term, δω(t), then gives an analogous expression to Eq. (57), but accounting for pure dephasing: (cid:0)(cid:104)λx(cid:105)φτ0 + (cid:104)ReZ 2(cid:105)φτ3 + (cid:104)ImZ 2(cid:105)φτ1 (cid:1) . (cid:104)[Lyz](cid:105)φ = 1 2 (96) 010203040500.00.800.850.900.951.0001020304050-0.50010-0.50005-0.50000-0.49995-0.499900.00.20.40.60.81.00.30.40.50.60.70.80.91.00.00.20.40.60.81.00.30.40.50.60.70.80.91.00.00.20.40.60.81.0-0.050.000.050.00.20.40.60.81.0-0.050.000.05 12 FIG. 10. Sign functions for (a) πx-pulses, (b) πz-pulses, and (c) for a periodic dynamical decoupling (PDD) sequence con- sisting of n equally-spaced π-pulses (shown here for n odd). simultaneously control fluctuations along the magnetic- field axis (due, e.g., to g-factor modulation) and trans- verse to the magnetic-field axis (due, e.g., to hyperfine coupling), it is useful to consider π rotations about two orthogonal axes.82 Rotations about the x-axis (πx-pulses) lead to Sz → −Sz, averaging out the Ising-like hyperfine coupling ∼ hzSz. Rotations about the z-axis (πz-pulses) result in Sx → −Sx, averaging out the Zeeman term, ∼ γH BSx. We can generally account for a sequence of fast πx- and πz-pulses with the replacements: H0 → H0(t) = HS(t) + HE, V (t) → V (t) = sx(t)Hhf , (100) (101) where sx(t) is the sign function for πx-pulses. The sys- tem Hamiltonian HS(t) generally accounts for a time- dependent fluctuating Zeeman splitting and a sign func- tion for πz-pulses, sz(t): HS(t) = −sz(t) [γH B + δω(t)] Sx. (102) This leads directly to the complex-valued filter functions Zj±(t) = σj dt(cid:48)sx(t(cid:48))ei[φz(t(cid:48))±γj Bt(cid:48)], (103) (cid:90) t (cid:90) t 0 with φz(t) = dt(cid:48)sz(t(cid:48)) [γH B + δω(t(cid:48))] . (104) 0 Equation (103) can now be substituted into the previous expressions to find the purity and associated principal axes for an arbitrary interlaced sequence of πx- and πz- pulses [see Fig. 10(a,b)]. In this section, for simplicity, we will assume negligible noise in the Zeeman splitting [δω(t) (cid:39) 0]. Further, we will focus on two specific (simple) dynamical decoupling sequences: Periodic dynamical decoupling with equally FIG. 9. (Color online) Purities for preparation along the unit vectors eµ in the presence of a Markovian pure dephasing process giving Tφ = 1 µs. The purities are P− (red solid line), P+ (blue dashed line), and Px (black dotted line). The material parameters are as in Figs. 4 and 5 for an In0.5Ga0.5As quantum dot, and for this plot we have taken a magnetic field of B = 400 mT. In the presence of the pure-dephasing process, at certain times it becomes advantageous to prepare the qubit along the x direction [when Px(2τ ) > P−(2τ )]. The correlators Cαβ corresponding to initialization along direction β ∈ {y, z} and measurement along di- rection α ∈ {y, z} are shown in Fig. 8 for typical exper- imental parameters. Here we account for both pure de- phasing from electric-field fluctuations and modulations of the decay envelope due to hyperfine coupling. No- tably, Cyy and Czz show a strong full-amplitude decay with small modulations [Figs. 8(a) and 8(b)]. In con- trast, Czy and Cyz [Figs. 8(c) and 8(d)] grow on a very short time scale on the order of the inverse hole-spin pre- cession frequency, and subsequently slowly decay. Within the approximations made above, there will generally be a small non-decaying portion of the coherence arising from counter-rotating contributions to Cϕ that are indepen- dent of the fluctuating Zeeman energy to leading order. Detail of the purities for initialization along each of the three principal directions (e±, ex) is shown in Fig. 9, accounting for pure dephasing. For time τ (cid:46) τc, with τc given by Eq. (88), the optimal initialization axis al- ternates between e− (giving P−, i.e., initialization per- pendicular to the magnetic-field quantization axis) and x (giving Px, initialization along the magnetic field). In contrast, for τ > τc, pure-dephasing processes dominate over the effect of envelope modulations and it will be ad- vantageous once again to initialize a hole spin along the magnetic field. VII. TWO-AXIS DYNAMICAL DECOUPLING As is well-known, a sequence of many π rotations ap- plied in rapid succession can be used to decouple a qubit from an environment having a finite correlation time, by averaging the interaction to zero.72,80,81 In general, to 0204060801001201400.800.850.900.951.00 13 FIG. 12. (Color online) Hole-spin purity under an n- pulse periodic dynamical decoupling (PDD-X) sequence [(τ − πx)n − τ ]. Here, we take g⊥ = 0.04, but all other param- eters are equivalent to those given in the caption of Fig. 11. The purities are P− (blue dashed line), P+ (red solid line), and Px (black dotted line), for initialization along e−, e+, and ex, respectively. We show dynamics for (a) free-induction de- cay, n = 0, (b) Hahn echo, n = 1, (c) n = 10, and (d) n = 50. Resonances occur [as in (c)] when the frequency of πx-pulses is comparable to the hole-spin precession frequency. by the typical nuclear-spin precession period, ∼ 2π/γjB. The first zero after τ (cid:54)= 0 occurs at (n + 1)τ (cid:39) π/γjB for n even and at (n + 1)τ (cid:39) 2π/γjB for n odd. With a nonzero hole-spin g-factor, the purity dynamics depend strongly on the decoupling sequence (PDD-X or PDD-Y). Dynamics for a PDD-X sequence are shown in Fig. 12 with typical parameters for a heavy-hole spin in a quantum dot. At a critical time scale, a resonant dip develops in the purity dynamics [see Fig. 12(c)]. This dip is a consequence of the well-known phenomenon of accelerated decoherence80 and can be understood from the filter functions reported in Appendix D, giving: Zj±[(n + 1)τ ] (cid:39) i 2σj(n + 1) , ωj±τ → π. ωj± (109) Thus, the degree of purity decay ∼ Zj±2 is bounded but increasing for small n. The absolute time scale for the dip, (n+1)τ (cid:39) (n+1)π/ωj±, can be pushed out to longer time by increasing n. This resonant dip is similar to that identified as a useful tool for sensing.83,84 The meth- ods presented here can be used to preserve pure qubit states in spite of these resonant dips [blue dashed curve in Fig. 12(c)], when it is not possible to suppress these dips with faster π-pulses [Fig. 12(d)]. Alternatively, this method can be used to identify the initialization direction that would be most susceptible to purity decay, enhanc- ing signal-to-noise when such a resonant dip is used for sensing. In Fig. 13, we show the evolution of the angle θ defining principal axes near the resonant dip shown in Fig. 12(c). Resonant dips such as those shown in Fig. 12(c) can be avoided altogether within this model by performing a se- quence of repeated π-pulses about the y-axis (PDD-Y). FIG. 11. (Color online) Evolution of hole-spin purity under an n-pulse periodic dynamical decoupling (PDD) sequence [(τ − π)n − τ ] with g⊥ = γH = 0. In this case, the purities are identical for π-rotations about x (π = πx) or y (π = πy). The purities are P− (blue dashed line), P+ (red solid line), and Px (black dotted line), for initialization along e−, e+, and ex, respectively. We show dynamics for (a) free-induction decay, n = 0, (b) Hahn echo, n = 1, (c) n = 20, and (d) n = 100. We have assumed the same material parameters as in Figs. 4 and 5 for an In0.5Ga0.5As quantum dot, but here we assume a magnetic field of B = 400 mT. Recurrences occur with a period ∼ 2π/γInB (cid:39) 266 ns (given by the indium Larmor frequency), with the first maximum at ∼ π/γInB for n even. spaced πx-pulses (PDD-X) and equally spaced πy-pulses (PDD-Y), for which sx(t) = s(t), sz(t) = 1 sx(t) = sz(t) = s(t) (PDD-X), (PDD-Y), (105) (106) where n(cid:88) s(t) = 1 + 2 (−)kθ(t − kτ ). (107) k=1 Equation (107) is illustrated schematically in Fig. 10(c). In this case, it is straightforward to evaluate Eq. (103) analytically. We give explicit analytical forms for Zj±(t) in Appendix D. The resulting purity decay and associated angle θ determining the principal axes are shown for a range of parameters in Figs. 11-14. ishing hole-spin g-factor, γH B → 0. filter functions are given [see Eq. (D1)] by Figure 11 illustrates purity decay for the case of a van- In this limit, the 1 + (−)ne±iγj B(n+1)τ(cid:105) . (cid:18) γjBτ (cid:19)(cid:104) 2 Zj± = σj γjB tan (108) In this case, all fluctuations hz(t) are along z. Due to rotational symmetry about z, the magnitude of Sz is pre- served for all time (blue dashed line in Fig. 11), and the dynamics are generally identical for repeated πx-pulses (PDD-X) or repeated πy-pulses (PDD-Y). A spin pre- pared along any other axis will decay with partial re- currences near the zeroes of Zj±. These are separated 0204060801001201400.50.60.70.80.91.00501001502002503000.50.60.70.80.91.0020040060080010000.50.60.70.80.91.00204060801001201400.50.60.70.80.91.0010203040500.50.60.70.80.91.0010203040500.50.60.70.80.91.0010203040500.50.60.70.80.91.0010203040500.50.60.70.80.91.0 14 FIG. 14. (Color online) Purities Pµ [(a), (b)] and associated phase θ [(c), (d)] defining principal axes for an n-pulse PDD- Y sequence [(τ − πy)n − τ ], with n = 10 (even) [(a) and (c)] and n = 11 (odd) [(b) and (d)]. Line styles and parameters are the same as in Figs. 12 and 13 interacting with an anisotropic environment. Applying this procedure to the case of a hole-spin or S-T0 qubit interacting with a nuclear-spin bath, we find that (at suf- ficiently short times), the qubit purity is maximized by storing the qubit in a superposition of non-interacting eigenstates. Storage of the qubit in its non-interacting ground state can actually be the worst choice for these systems. That storage in the computational basis (non- interacting eigenbasis) is sub-optimal is not unique to hole spins and S-T0 qubits. We expect this to be true for a wide variety of qubit systems when ancillas are required a short time after preparation and if pure-dephasing pro- cesses are weak. This effect is especially pronounced for systems interacting with anisotropic non-Markovian en- vironments, including hole spins, nitrogen-vacancy center spins, and spins bound to phosphorus donor impurities. In the process of calculating purity for a hole-spin qubit, we have given closed-form analytical expressions for all spin components describing the spin-echo and dynamical-decoupling dynamics of hole spins in the pres- ence of a nuclear-spin bath. In particular, we have shown how echo envelope modulations can be described by a combination of terms arising from (i) a rotation to a set of principal axes eµ for the generator of evolution L, and (ii) modulations in a set of eigenvalues λµ. While both contributions enter into the spin dynamics in general, the eigenvalues are most important for determining the purity, provided the spin is initialized along an appropri- ate principal axis. We have fully accounted for a pure- dephasing process arising from white-noise fluctuations in the hole-spin Zeeman energy and have illustrated the resulting rich dynamics. All of the results presented here are directly applicable to S-T0 qubits, under the mapping described in Sec. IV B. We expect the calculations for qubit purity given here to be useful in quantum-information protocols that require high-purity ancillas, including quantum error correction, algorithmic cooling, and methods for high- FIG. 13. (Color online) Purities (top) and angle θ[(n + 1)τ ] (bottom) defining principal axes for a PDD-X sequence with n = 10, using the same parameters and line labeling as Fig. 12(c). Evolution under an n-pulse PDD-Y sequence is shown for a heavy-hole spin in a quantum dot in Fig. 14. For n even [Figs. 14(a,c)], phase evolution is not symmetric about the halfway point, (n + 1)τ /2, leading to nontriv- ial jumps in the purity evolution and associated angle θ. In contrast, n odd [Fig. 14(b,d)] allows for symmet- ric time-reversed dynamics, unwinding phase evolution under the Zeeman term. This distinction between time- symmetric and time-asymmetric decoupling sequences is well known.85 For a PDD-Y sequence with n odd, we find Zj+ = Zj− [see Appendix D], leading to λ− = 0 [see Eq. (62)]. Thus, to leading order in the Magnus ex- pansion, the purity can be preserved perfectly with the correct initialization [blue dashed line in Fig. 14(b)]. In the limit of an S-T0 qubit (γj → 0), a PDD-Y se- quence with n odd leads to Zj± = 0 [from Eq. (D2)], giv- ing no decay for any initialization direction. As pointed out in Ref. 86 for the analogous problem of a Joseph- son charge qubit coupled to two-level fluctuators, this re- sult actually holds to all orders in a Magnus expansion. Of course, pure dephasing due to exchange fluctuations would lead to a finite decay even in this case. VIII. CONCLUSIONS We have given a general procedure for the calculation of the non-Markovian dynamics of qubit purity for qubits 010203040500.50.60.70.80.91.0-0.5-0.4-0.3-0.2-0.10501001502002503000.940.950.960.970.980.991.001.010501001502002503000.940.950.960.970.980.991.001.010501001502002503000.00.20.40.60.80501001502002503000.00.10.20.30.40.50.6 fidelity readout. The general approach taken here em- phasizes the fact that, for anisotropic systems, optimiz- ing coherence is not simply a matter of manipulating the spectral content of the noise [associated with eigenvalues λµ], but also the geometry of the noise, determined by initializing with respect to principal axes eµ. ACKNOWLEDGMENTS We thank L. Childress for very useful discussions. WAC and SC thank the KITP China for their generous hospitality where some of this work was completed. We acknowledge financial support from NSERC, CIFAR, IN- TRIQ, and FRQNT. SC acknowledges support from the Chinese Youth 1000 Talents Program. Appendix A: Average Hamiltonian and generator We take the leading-order Magnus Hamiltonian to have the general form H (0)(t) = (cid:90) t (cid:88) dt(cid:48) V (t(cid:48)) = Bα(t)Sα, (A1) 0 α where Bα(t) are Hermitian bath operators that act ex- clusively on the environment. The matrix elements of L0 [defined by Eq. (22) of the main text] can then be written in terms of B = (Bx,By,Bz)T as [L0]0α = (A2) 1 2 = − 1 2 Tr{σ0L0Sα} Im(cid:104)B × B(cid:105)E · eα, [L0]αβ = 2Tr{SαL0Sβ} = Re(cid:8)δαβ (cid:104)B · B(cid:105)E − (cid:104)BβBα(cid:105)E (cid:9) . (A3) (A4) (A5) Here, Sα = σα/2 are spin-1/2 operators for α = x, y, z, while σ0 is the identity in the qubit Hilbert space and eα is a unit vector along an axis in Cartesian coordi- nates, (ex = x, ey = y, ez = z). In Eqs. (A3) and (A5), we have used the fact that the bath operators are Hermitian, giving Im(cid:104)BαBβ(cid:105) = (cid:104)[Bα,Bβ](cid:105) /2 and Re(cid:104)BαBβ(cid:105) = (cid:104){Bα,Bβ}(cid:105) /2, where [, ] indicates a com- mutator and {,} is an anticommutator. A sufficient con- dition for the inhomogeneous term, Eq. (A3), to vanish within a leading-order Magnus expansion, Eq. (13), is then: Im(cid:104)B × B(cid:105)E = 0 ⇒ (cid:104)δS(t)(cid:105) = 0. (A6) We now consider the most general anisotropic hyper- fine Hamiltonian, V = Hahf = (cid:88) γδ,k Aγδ k I γ k Sδ. (A7) 15 If the environment Hamiltonian is described by a general inhomogeneous Zeeman term, γkBk · Ik, (A8) HI = −(cid:88) (cid:88) k k,γδα Bβ(t) = the interaction picture results in a rotation Aγδ k gγδ,αβ k (t)I α k . (A9) For the case of nuclear spin I = 1/2, for example, the co- efficients in the expansion of Eq. (A9) are given explicitly by (cid:110) I γ (cid:111) · 2Tr (cid:110) Sδ(t(cid:48))Sβ (cid:111) . gγδ,αβ k (t) = dt(cid:48)2Tr k (t(cid:48))I α k (cid:90) t 0 (A10) With Eq. (A9), it is straightforward to estimate the ma- trix elements given in Eq. (A3). All terms are propor- tional to the initial polarization of the nuclear-spin sys- tem and therefore vanish: Im(cid:104)B × B(cid:105)I = 0. (A11) Here, we have used the subscript I = E for the nuclear- spin environment. Thus, for an initially unpolarized nu- clear spin bath, we are justified in neglecting the inho- mogeneous term to leading order in a Magnus expansion, k(cid:105)I = 0 ⇒ (cid:104)δS(t)(cid:105) (cid:39) 0. (cid:104)I γ (A12) Specializing to the case of an Ising-like hyperfine inter- action, Aαβ k = δαzδβzAk, (A13) and the spin-echo problem discussed in Sec. IV, we find explicit forms for the bath operators Bα, in terms of the complex-valued filter functions Zj±(2τ ) given in Eq. (52) of the main text: Bx = 0, By = Bz = (cid:88) (cid:88) j j 1 4σj −i 4σj (cid:2)(cid:0)Zj− − Z∗ (cid:2)(cid:0)Zj− + Z∗ j+ j+ (cid:1) h+ j −(cid:0)Zj+ − Z∗ j −(cid:0)Zj+ + Z∗ (cid:1) h+ j−(cid:1) h− j−(cid:1) h− j j (cid:3) , (cid:3) . (A14) Applying the rules in Eq. (41) for an uncorrelated and un- polarized nuclear-spin state immediately gives the non- vanishing correlators, (cid:104)ByBy(cid:105) = (cid:104)BzBz(cid:105) = (cid:104)ByBz(cid:105) = (cid:104)BzBy(cid:105) = − 1 2 (cid:0)λx − ReZ 2(cid:1) , (cid:0)λx + ReZ 2(cid:1) , ImZ 2. (A16) (A17) (A15) 1 2 1 2 Here, Z 2(2τ ) and λx(2τ ) are given in Eqs. (58) and (60) of the main text, respectively. Inserting these correla- tors (cid:104)BαBβ(cid:105) into Eq. (A5) directly gives the matrix form found in the main text [Eqs. (56) and (57)]. Parenthetically, we note that arbitrary initial condi- tions for the bath can be taken above, in principle, includ- ing pure-state environment initial conditions (required to measure entanglement through purity). However, for each bath initial state, it will be important to justify the Gaussian approximation used to derive Eq. (22). This approximation is very good for an uncorrelated thermal bath or a sufficiently random 'narrowed' state,71 but may break down for pure initial conditions with strong (clas- sical or quantum) correlations. Appendix B: Simple example: γj = 0 It is useful to consider a simple and direct applica- tion of the analytical expressions derived in Appendix A. Here we consider dynamics at a time scale short com- pared to the nuclear-spin precession period, and neglect the nuclear gyromagnetic ratio, so there is effectively one nuclear-spin species j with γj (cid:39) 0 and we assume a single nuclear-field variance σj = σN . This limit is di- rectly applicable to S-T0 qubits (see Sec. IV B) Under these conditions, there is only one complex filter func- tion, Zj±(2τ ) = Z(2τ ), for one fixed j [see Eq. (52)]. Setting ω = γH B, Z(2τ ) = 4σN ω sin2 ωτ 2 ei(ωτ−π/2). (B1) From Eq. (57), we see that the submatrix can be rewritten as an outer product (a projector onto a vec- tor constructed from the real and imaginary parts of Z = X + iY ): (cid:18) X 2 XY XY Y 2 (cid:19) (cid:18)X Y = [Lyz 0 (2τ )] = The eigenvectors giving e± are then simply this vector and the vector orthogonal to it: (cid:19)(cid:0)X Y(cid:1) . (cid:19) (cid:18)X , Y (B2) (B3) (B4) (B5) (B6) (cid:19) (cid:19) (cid:18)X (cid:18) Y−X Y [Lyz 0 (2τ )] = Z2 · [Lyz 0 (2τ )] = 0. In terms of unit vectors, e+(2τ ) = e−(2τ ) = 1 Z(2τ ) (X(2τ )y + Y (2τ )z) , Z(2τ ) (−Y (2τ )y + X(2τ )z) . 1 16 (cid:18) 4σN (cid:19)2 ω From Eqs. (B3) and (B4), we can read off the eigenvalues, λ+(2τ ) = Z(2τ )2 = λ−(2τ ) = 0. sin4 ωτ 2 , (B8) (B9) Equation (B9) indicates that a spin initially aligned along e− will show no decay or modulations under a spin-echo sequence within the range of applicability of approximations made here. On the surface, this may not seem surprising since we have assumed γj = 0, making the bath static and the spin-echo dynamics reversible. However, a spin prepared along any other direction will show violent modulations, as described by Eq. (B8). The distinction between these two cases can be understood by considering the specific geometry and the phase in Eq. (B7). Before the first π-pulse, a spin initialized along e+ will evolve with a phase φ(t) [see also Eq. (74) for an analogous expression after the echo sequence has been carried out]: (cid:10)S(cid:48) +(t)(cid:11) ∝ eiφ(t), φ(t) = −ωt + θ(2τ ). (B10) Note that θ(2τ ) does not evolve with t since it determines the initial condition. Inserting Eq. (B7), we see that the initial condition is such that the spin lies along −z at the time of the first π-pulse (t = τ ): φ(τ ) = − π 2 (B11) . This situation leads to rapid envelope modulations. In contrast, a spin initialized along e− will be oriented along y at the first π-pulse and will show no modulations. We can understand this difference by considering a model of a spin evolving in the presence of a classical magnetic field, B = Bxx + δBzz, having a fixed x-component Bx and slowly-varying random z-component δBz. For ini- tialization along e−, a finite δBz will result in a finite component along x at the time of the first π-pulse, but the spin will lie approximately in the y-x plane due to the choice of initial condition (with small corrections in δBz/Bx (cid:28) 1). In this plane, the system shows perfect mirror symmetry for a reflection through the x-axis, so a π-pulse about x induces symmetric time-reversed dy- namics, returning the spin precisely to its starting point in the rotating frame after a second π-pulse is performed at t = 2τ . In contrast, if the spin is initialized along e+, it will lie approximately in the x-z plane at the time of the first π-pulse. In this plane, for any finite value of δBz, there is no reflection symmetry for a π-rotation about the x-axis. The spin's cone of precession after the π-pulse can be quite different from that before the π- pulse, resulting in a mismatch in evolutions causing the modulations indicated by Eq. (B8) for any finite δBz. Equation (B5) gives a quick shortcut to find the angle θ(2τ ) in Fig. 3: Appendix C: Averages for pure dephasing θ(2τ ) = arg Z(2τ ) = ωτ − π 2 . (B7) Here we give expressions for the averages required to evaluate the associated generator (cid:104)L0(cid:105)φ, accounting for averages over realizations of the Gaussian random vari- able δω(t) described by Eq. (90). Explicitly, the eigenvalue (cid:104)λx(cid:105)φ can be written as (cid:104)λx(cid:105)φ = 1 2 where (cid:104)Zj±2(cid:105)φ = 2σ2 j (cid:88) (cid:0)(cid:104)Zj+2(cid:105)φ + (cid:104)Zj−2(cid:105)φ (cid:1) , j (cid:90) 2τ (cid:90) t1 dt1 0 0 dt2s(t1)s(t2)Fj±(t1 − t2), (C2) and the functions Fj±(t) are: Fj±(t) = e−t/Tφ cosh [(iωj± + 2/Tφ) t] . (C3) The remaining coefficients in the matrix representation of (cid:104)L0(cid:105)φ,(cid:10)ReZ 2(cid:11) φ and (cid:104)ImZ 2(cid:105)φ, are given by (cid:88) (cid:88) (cid:90) 2τ (cid:90) 2τ (cid:90) t1 (cid:90) t1 (cid:104)ReZ 2(cid:105)φ = dt2K + dt1 σ2 j 0 0 j (cid:104)ImZ 2(cid:105)φ = −i dt2K− dt1 σ2 j 0 0 j with integral kernels ×(cid:104) j (t1, t2) = s(t1)s(t2) cos [ωj(t1 − t2)] e(t1−t2)/Tφ× K± eiγH B(t1+t2) ± e−iγH B(t1+t2)−4(t1+t2)/Tφ . (C6) (cid:105) The integrals can all be evaluated analytically, but we leave them unevaluated here for notational convenience. 17 Appendix D: Filter functions for dynamical decoupling (C1) For an n-pulse PDD-X sequence, we find the general- ized filter function from direct integration of Eq. (103): Zj±[(n + 1)τ ] = σj ωj± (cid:17)× (cid:16) ωj±τ 1 + (−1)neiωj±(n+1)τ(cid:105) 2 tan ×(cid:104) (D1) Here, ωj± = ω ± ωj, where ω = γH B gives the hole-spin Zeeman splitting and ωj = γjB determines the Zeeman splitting of nuclear-spin species j. . For an n-pulse PDD-Y sequence, integrating Eq. (103) gives Zj±[(n+1)τ ] = 2σj sin ωjτ eiωτ /2e±iωj (n+1)τ /2G± n (τ ), (D2) G± n (τ ) = τ 2 − sin and G± n (τ ) = τ 2 2 sin (cid:20) (cid:18) ωj(n + 2)τ (cid:19) (cid:16) ωjnτ (cid:16) ωj∓τ (cid:17) (cid:19)(cid:104) (cid:18) ωj(n + 1)τ (cid:16) ωj∓τ sin − e±iωj τ /2sinc sinc 2 2 2 2 (cid:16) ωj±τ 2 (cid:17)− , [n even], (D3) sinc (cid:17)(cid:105) (cid:16) ωj±τ 2 (cid:17)− e∓iωj τ /2sinc (cid:17)(cid:105) , [n odd]. (D4) j (t1, t2), where (C4) j (t1, t2),(C5) 1 A. R. Calderbank and P. W. Shor, Phys. Rev. A 54, 1098 (1996). 2 A. M. Steane, Phys. Rev. Lett. 77, 793 (1996). 3 E. Knill and R. Laflamme, Phys. Rev. A 55, 900 (1997). 4 J. Chiaverini, D. Leibfried, T. Schaetz, M. D. Barrett, R. B. Blakestad, J. Britton, W. M. Itano, J. D. Jost, E. Knill, C. Langer, et al., Nature 432, 602 (2004). 5 A. G. Fowler, A. M. Stephens, and P. Groszkowski, Phys. Rev. A 80, 052312 (2009). 6 A. G. Fowler, M. Mariantoni, J. M. Martinis, and A. N. Cleland, Phys. Rev. A 86, 032324 (2012). 7 S. F. Huelga, C. Macchiavello, T. Pellizzari, A. K. Ekert, M. B. Plenio, and J. I. Cirac, Phys. Rev. Lett. 79, 3865 (1997). 8 V. Giovannetti, S. Lloyd, and L. Maccone, Phys. Rev. Lett. 96, 010401 (2006). 11 S. Simmons, R. M. Brown, H. Riemann, N. V. Abrosimov, P. Becker, H.-J. Pohl, M. L. W. Thewalt, K. M. Itoh, and J. J. L. Morton, Nature 470, 69 (2011). 12 T. Schaetz, M. D. Barrett, D. Leibfried, J. Britton, J. Chi- averini, W. M. Itano, J. D. Jost, E. Knill, C. Langer, and D. J. Wineland, Phys. Rev. Lett. 94, 010501 (2005). 13 L. Robledo, L. Childress, H. Bernien, B. Hensen, P. F. A. Alkemade, and R. Hanson, Nature 477, 574 (2011). 14 J. J. Pla, K. Y. Tan, J. P. Dehollain, W. H. Lim, J. J. Morton, F. A. Zwanenburg, D. N. Jamieson, A. S. Dzurak, and A. Morello, Nature 496, 334 (2013). 15 B. DAnjou and W. A. Coish, Phys. Rev. Lett. 113, 230402 (2014). 16 B. Criger, O. Moussa, and R. Laflamme, Phys. Rev. A 85, 044302 (2012). 17 J. Schliemann, A. V. Khaetskii, and D. Loss, Phys. Rev. 9 P. O. Boykin, T. Mor, V. Roychowdhury, F. Vatan, and B 66, 245303 (2002). R. Vrijen, Proc. Nat. Acad. Sci. 99, 3388 (2002). 10 J. Baugh, O. Moussa, C. A. Ryan, A. Nayak, and R. Laflamme, Nature 438, 470 (2005). 18 W. A. Coish, D. Loss, E. A. Yuzbashyan, and B. L. Alt- shuler, J. Appl. Phys. 101, 081715 (2007). 19 D. Stanek, C. Raas, and G. S. Uhrig, Phys. Rev. B 88, 155305 (2013). 20 T. Fink and H. Bluhm, arXiv:1402.0235 (2014). 21 W. A. Coish and D. Loss, Phys. Rev. B 70, 195340 (2004). 22 D. Klauser, W. A. Coish, and D. Loss, Phys. Rev. B 73, 205302 (2006). 23 G. Giedke, J. M. Taylor, D. D'Alessandro, M. D. Lukin, and A. Imamoglu, Phys. Rev. A 74, 032316 (2006). 47 A. Greilich, S. G. Carter, D. Kim, A. S. Bracker, and D. Gammon, Nature Photonics 5, 702 (2011). 48 T. M. Godden, J. H. Quilter, A. J. Ramsay, Y. W. Wu, P. Brereton, S. J. Boyle, I. J. Luxmoore, J. Puebla-Nunez, A. M. Fox, and M. S. Skolnick, Phys. Rev. Lett. 108, 017402 (2012). 49 D. V. Bulaev and D. Loss, Phys. Rev. Lett. 98, 097202 24 D. Stepanenko, G. Burkard, G. Giedke, and A. Imamoglu, (2007). 18 Phys. Rev. Lett. 96, 136401 (2006). 25 M. D. Shulman, S. P. Harvey, J. M. Nichol, S. D. Bartlett, A. C. Doherty, V. Umansky, and A. Yacoby, Nature Com- munications 5, 5156 (2014). 26 L. Childress, M. V. G. Dutt, J. M. Taylor, A. S. Zibrov, F. Jelezko, J. Wrachtrup, P. R. Hemmer, and M. D. Lukin, Science 314, 281 (2006). 27 S. Felton, A. M. Edmonds, M. E. Newton, P. M. Mar- tineau, D. Fisher, D. J. Twitchen, and J. M. Baker, Phys. Rev. B 79, 075203 (2009). 28 Y. Zhang, C. A. Ryan, R. Laflamme, and J. Baugh, Phys. Rev. Lett. 107, 170503 (2011). 29 J. L. Ivey and R. L. Mieher, Phys. Rev. B 11, 849 (1975). 30 W. M. Witzel, X. Hu, and S. Das Sarma, Phys. Rev. B 76, 035212 (2007). 31 O. V. Yazyev, Nano Lett. 8, 1011 (2008). 32 J. Fischer, B. Trauzettel, and D. Loss, Phys. Rev. B 80, 155401 (2009). 33 J. Fischer, W. A. Coish, D. V. Bulaev, and D. Loss, Phys. Rev. B 78, 155329 (2008). 34 J. Fischer and D. Loss, Phys. Rev. Lett. 105, 266603 (2010). 35 X. J. Wang, S. Chesi, and W. A. Coish, Phys. Rev. Lett. 109, 237601 (2012). 36 E. A. Chekhovich, M. M. Glazov, A. B. Krysa, M. Hopkin- son, P. Senellart, A. Lemaıtre, M. S. Skolnick, and A. I. Tartakovskii, Nature Physics 9, 74 (2012). 37 S. Chesi, X. J. Wang, and W. A. Coish, Eur. Phys. Jour. Plus 129, 1 (2014). 38 W. A. Coish and D. Loss, Phys. Rev. B 72, 125337 (2005). 39 D. Brunner, B. D. Gerardot, P. A. Dalgarno, G. Wust, K. Karrai, N. G. Stoltz, P. M. Petroff, and R. J. Warbur- ton, Science 325, 70 (2009). 40 J. Houel, J. H. Prechtel, A. V. Kuhlmann, D. Brunner, C. E. Kuklewicz, B. D. Gerardot, N. G. Stoltz, P. M. Petroff, and R. J. Warburton, Phys. Rev. Lett. 112, 107401 (2014). 41 K. De Greve, P. L. McMahon, D. Press, T. D. Ladd, D. Bisping, C. Schneider, M. Kamp, L. Worschech, S. Hoe- fling, A. Forchel, and Y. Yamamoto, Nature Phys. 7, 872 (2011). 42 S. G. Carter, S. E. Economou, A. Greilich, E. Barnes, T. Sweeney, A. S. Bracker, and D. Gammon, Phys. Rev. B 89, 075316 (2014). 43 F. Fras, B. Eble, B. Siarry, F. Bernardot, A. Miard, A. Lemaıtre, C. Testelin, and M. Chamarro, Phys. Rev. B 86, 161303 (2012). 44 S. Varwig, A. Ren´e, A. Greilich, D. R. Yakovlev, D. Reuter, A. D. Wieck, and M. Bayer, Phys. Rev. B 87, 115307 (2013). 45 Y. Li, N. Sinitsyn, D. L. Smith, D. Reuter, A. D. Wieck, D. R. Yakovlev, M. Bayer, and S. A. Crooker, Phys. Rev. Lett. 108, 186603 (2012). 46 R. Dahbashi, J. Hubner, F. Berski, J. Wiegand, X. Marie, K. Pierz, H. W. Schumacher, and M. Oestreich, Appl. Phys. Lett. 100, 031906 (2012). 50 J. C. Budich, D. G. Rothe, E. M. Hankiewicz, and B. Trauzettel, Phys. Rev. B 85, 205425 (2012). 51 P. Szumniak, S. Bednarek, B. Partoens, and F. M. Peeters, Phys. Rev. Lett. 109, 107201 (2012). 52 V. S. Pribiag, S. Nadj-Perge, S. M. Frolov, J. W. G. van den Berg, I. van Weperen, S. R. Plissard, E. P. A. M. Bakkers, and L. P. Kouwenhoven, Nature Nanotechnology 8, 170 (2013). 53 A. P. Higginbotham, T. W. Larsen, J. Yao, H. Yan, C. M. Lieber, C. M. Marcus, and F. Kuemmeth, Nano Letters 14, 3582 (2014). 54 L. A. Tracy, T. W. Hargett, and J. L. Reno, Appl. Phys. Lett. 104, 123101 (2014). 55 J. R. Petta, A. C. Johnson, J. M. Taylor, E. A. Laird, A. Yacoby, M. D. Lukin, C. M. Marcus, M. P. Hanson, and A. C. Gossard, Science 309, 2180 (2005). 56 F. H. L. Koppens, C. Buizert, K.-J. Tielrooij, I. T. Vink, and K. C. Nowack, T. Meunier, L. P. Kouwenhoven, L. M. K. Vandersypen, Nature 442, 766 (2006). 57 M. Pioro-Ladriere, T. Obata, Y. Tokura, Y.-S. Shin, T. Kubo, K. Yoshida, T. Taniyama, and S. Tarucha, Na- ture Physics 4, 776 (2008). 58 H. Bluhm, S. Foletti, I. Neder, M. Rudner, D. Mahalu, V. Umansky, and A. Yacoby, Nature Physics 7, 109 (2010). 59 R. Brunner, Y.-S. Shin, T. Obata, M. Pioro-Ladri`ere, and T. Kubo, K. Yoshida, T. Taniyama, Y. Tokura, S. Tarucha, Phys. Rev. Lett. 107, 146801 (2011). 60 M. D. Shulman, O. E. Dial, S. P. Harvey, H. Bluhm, V. Umansky, and A. Yacoby, Science 336, 202 (2012). 61 S. Bravyi and A. Kitaev, Phys. Rev. A 71, 022316 (2005). 62 T. Prosen and T. H. Seligman, J. Phys. A: Math. Gen. 35, 4707 (2002). 63 M. A. Nielsen and I. L. Chuang, "Quantum computation and quantum information," (Cambridge university press, 2000) pp. 374 -- 375. 64 The result in Ref. 63 is written in the form (adapted to the notation used here), [O]·[S], where [O] is a rotation matrix and [S] is a real symmetric matrix. Since a real symmetric matrix can always be diagonalized by an orthogonal trans- right-hand side of Eq. (11) is [O]· [S] = [R(cid:48)]· [M ]· [R] with formation, [S] = (cid:2)R−1(cid:3) [M ] [R], we find the term on the [R(cid:48)] = [O] ·(cid:2)R−1(cid:3). 65 S. Blanes, F. Casas, J. Oteo, and J. Ros, Physics Reports 470, 151 (2009). 66 X. J. Wang, dynamics, Theory of Master's echo University, http://digitool.library.mcgill.ca/thesisfile123115.pdf. Montreal, Canada heavy-hole thesis, spin- McGill (2013), 67 W. A. Coish and J. Baugh, Phys. Status Solidi B 246, 2203 (2009). 68 E. A. Chekhovich, A. B. Krysa, M. S. Skolnick, and A. I. Tartakovskii, Phys. Rev. Lett. 106, 027402 (2011). 69 P. Fallahi, S. T. Yilmaz, and A. Imamoglu, Phys. Rev. Lett. 105, 257402 (2010). 70 D. Paget, G. Lampel, B. Sapoval, and V. I. Safarov, Phys. Rev. B 15, 5780 (1977). 71 F. Beaudoin and W. A. Coish, Phys. Rev. B 88, 085320 (2013). 72 G. S. Uhrig, Phys. Rev. Lett. 98, 100504 (2007). 73 R. de Sousa, in Electron Spin Resonance and Related Phe- nomena in Low-Dimensional Structures (Springer, 2009) pp. 183 -- 220, arXiv:cond -- mat/0610716. 74 (cid:32)L. Cywi´nski, R. M. Lutchyn, C. P. Nave, and S. Das Sarma, Phys. Rev. B 77, 174509 (2008). 75 J. Bylander, S. Gustavsson, F. Yan, F. Yoshihara, K. Harrabi, G. Fitch, D. G. Cory, Y. Nakamura, J.-S. Tsai, and W. D. Oliver, Nature Physics 7, 565 (2011). 76 G. A. ´Alvarez and D. Suter, Phys. Rev. Lett. 107, 230501 (2011). 77 These modulations have a similar origin to electron spin- echo envelope modulation (ESEEM), well-known in the spin-resonance literature. However, the effect here is dis- tinct from the usual approach to ESEEM since we have accounted for leading non-secular corrections through the leading-order Magnus expansion. Without these cor- 19 rections, the modulation would vanish for the highly anisotropic interaction considered here. 78 L. S. Langsjoen, A. Poudel, M. G. Vavilov, and R. Joynt, Phys. Rev. A 86, 010301 (2012). 79 L. S. Langsjoen, A. Poudel, M. G. Vavilov, and R. Joynt, Phys. Rev. B 89, 115401 (2014). 80 L. Viola and S. Lloyd, Phys. Rev. A 58, 2733 (1998). 81 K. Khodjasteh and D. A. Lidar, Phys. Rev. Lett. 95, 180501 (2005). 82 L. Viola, E. Knill, and S. Lloyd, Phys. Rev. Lett. 82, 2417 (1999). 83 N. Zhao, J.-L. Hu, S.-W. Ho, J. T. Wan, and R. Liu, Nature nanotechnology 6, 242 (2011). 84 N. Zhao, J. Honert, B. Schmid, M. Klas, J. Isoya, M. Markham, D. Twitchen, F. Jelezko, R.-B. Liu, H. Fed- der, et al., Nature nanotechnology 7, 657 (2012). 85 L. Faoro and L. Viola, Phys. Rev. Lett. 92, 117905 (2004). 86 J. Bergli and L. Faoro, Phys. Rev. B 75, 054515 (2007).
1202.2636
1
1202
2012-02-13T06:30:10
Controlling Band Gap in Silicene Monolayer Using External Electric Field
[ "cond-mat.mes-hall", "cond-mat.mtrl-sci" ]
We study the geometric and electronic structures of silicene monolayer using density functional theory based calculations. The electronic structures of silicene show that it is a semi-metal and the charge carriers in silicene behave like massless Dirac-Fermions since it possesses linear dispersion around Dirac point. Our results show that the band gap in silicene monolayer can be opened up at Fermi level due to an external electric field by breaking the inversion symmetry. The presence of buckling in geometric structure of silicene plays an important role in breaking the inversion symmetry. We also show that the band gap varies linearly with the strength of external electric field. Further, the value of band gap can be tuned over a wide range.
cond-mat.mes-hall
cond-mat
Controlling Band Gap in Silicene Monolayer Using External Electric Field C. Kamal1 1 Indus Synchrotrons Utilization Division, Raja Ramanna Centre for Advanced Technology, Indore 452013, India Abstract We study the geometric and electronic structures of silicene monolayer using density functional theory based calculations. The electronic structures of silicene show that it is a semi-metal and the charge carriers in silicene behave like massless Dirac-Fermions since it possesses linear dispersion around Dirac point. Our results show that the band gap in silicene monolayer can be opened up at Fermi level due to an external electric field by breaking the inversion symmetry. The presence of buckling in geometric structure of silicene plays an important role in breaking the inversion symmetry. We also show that the band gap varies linearly with the strength of external electric field. Further, the value of band gap can be tuned over a wide range. PACS numbers: 2 1 0 2 b e F 3 1 ] l l a h - s e m . t a m - d n o c [ 1 v 6 3 6 2 . 2 0 2 1 : v i X r a 1 I. INTRODUCTION There has been a lot of interest in silicene since it shows properties similar to those of graphene1–4. For example, the theoretical studies on silicene show that the charge carriers in this two-dimensional material behave like massless Dirac-Fermions due to presence of linear dispersion around Fermi energy at a symmetry point K in the reciprocal lattice5,6. Similar to its carbon counterpart - graphene, silicene is a potential candidate for applications in nanotechnology. The silicon based nanostructures have an important advantage that they are compatible with the existing semiconductor technology. Therefore, silicene and silicon nanoribbon have received much attention from both experimentalist and theoreticians5–16. Recently, silicene has been epitaxially grown on a close-packed silver surface Ag(111)7. Though graphene possesses many novel properties, its applications in nanoelectronic de- vices are limited due to its zero band gap and hence it is difficult to control the electrical conductivity of graphene. However, band gap in graphene may be introduced by chemical doping but chemical doping is uncontrollable and incompatible with device applications. Hence, it is desirable to have band gap in materials in addition to their novel properties. In this letter, we demonstrate that silicene is one such a material in which the band gap can be opened up as well as varied over a wide range by applying external static electric field in a direction perpendicular to the plane of sheet. We use SIESTA package17–19 for performing a fully self-consistent density functional theory (DFT) calculation by solving the standard Kohn-Sham (KS) equations. The KS orbitals are expanded using a linear combi- nation of pseudoatomic orbitals proposed by Sankey and Niklewski20. All our calculations have been carried out by using triple-zeta basis set with polarization function. The standard norm conserving Troullier-Martins pseudopotentials21 are utilized. For exchange-correlation potential generalized gradient approximation given by Perdew-Burke-Ernzerhof22 has been used. A cutoff of 400 Ry is used for the grid integration to represent the charge density and the mesh of k-points for Brillouin zone integrations is chosen to be 45×45×1. The convergence criteria for energy in SCF cycles is chosen to be 10−6 eV. The structures are optimized by minimizing the forces on individual atoms (below 10−2 eV/ A). Super-cell geometry with a vacuum of 14 A in the direction perpendicular to the sheet of silicene is used so that the interaction between adjacent layers is negligible. The optimized geometry of silicene is shown in Fig. 1. The unitcell has two Si atoms (A 2 (a) (b) A B A A B B d FIG. 1: The optimized structure of silicene monolayer. (a) Top and (b) side view of 5×5 supercell. The lines in (a) represents the unitcell. The vertical distance between two Si atoms at sites A and B is represented by 'd'. and B) and the space group is P3m1. We observed that the minimum energy structure of the silicene is low-buckled with the lattice constant of 3.903 A. The bond length and bond angles between the silicon atoms are 2.309 A and 115.4◦ respectively. The value of bond angle in silicene lies in between those of sp2 (120◦) and sp3 (109.47◦) hybridized structures. This clearly shows that the hybridization in silicene is not purely sp2 but a mixture of sp2and sp3. The buckling in silicene is due to weak π - π bond that exists between Si atoms since the Si-Si distance is much larger as compared to that in graphene (C-C =1.42 A). The system increases its binding energy due to buckling by increasing the overlap between π and σ orbitals. The presence of buckling may also be explained by Jahn-Teller distortion. Our results on geometry match well with the previous calculations5,6,11–13. Here, we quantify the amount of buckling in terms of buckling length d which is defined as the vertical distance between atoms at sites A and B in the unitcell. The value of d in silicene is 0.5 A. In case of planar structure, as that of graphene, the value of d is zero. The importance of d in determining the electronic structure of silicene under the influence of external electric field will be described later. The band structure along high symmetry points in Brillouin zone and density of states 3 0.25 0 -0.25 K EF K M Γ (a) ) V e ( y g r e n E 4 2 0 -2 -4 Γ (b) 1.5 1 0.5 s e t a t S f o y t i s n e D 0 -4 -3 -2 0 -1 1 Energy (eV) 2 3 4 FIG. 2: (a) Band structure and (b) density of states for optimized structures of silicene. The energy of bands are with respect to Fermi level. (DOS) of silicene are shown in Fig .2. The figure clearly indicates the semi-metallic behavior of silicene since the value of DOS at EF is zero and the conduction and valence band touch each other only at the symmetry K point. The energy levels and the contribution of density of states just below and above Fermi levels are mainly due to π and π∗ orbitals. The dispersion around K point near the Fermi level is linear (see insert in Fig. 2 (a)). The point in E-k diagram where the conduction and valence band touch each other at EF is called the Dirac point. The presence of linear dispersion indicates that the charge carriers near Dirac point behave like massless Dirac Fermions since the dynamics of these carriers obey relativistic Dirac-like equation. The relativistic Dirac-like Hamiltonian which describes the electronic structure of silicene around the Dirac point, similar to that of graphene23,24, can 4 be approximated as H =   ∆ ¯hvF (kx − iky) ¯hvF (kx + iky) −∆   (1) where k and vF are momentum and Fermi velocity of charge carriers near Dirac point. The quantity ∆ is the onsite energy difference between the Si atoms at sites A and B. Due to the presence of inversion symmetry, the onsite energy difference ∆ becomes zero, which leads to the linear dispersion around the Dirac point linear i.e. E = ±¯hvF k. Until now, the treatment for description of electronic structures of silicene is exactly similar to that of graphene. The band gap in graphene-like structure can be opened up if one can break the inversion symmetry and hence the value of ∆ becomes finite. Then, the dispersion around the Dirac point becomes E = ±q∆2 + (¯hvF k)2 (2) In this case, the value of band gap opened is twice that of the onsite energy difference. It is possible to make ∆ non-zero in graphene by chemical doping which cause the local environment around the sites A and B to be different. As mentioned earlier, tuning the value of ∆ by chemical doping is a difficult task. In the above mentioned discussion, the effect of spin-orbit coupling (SOC) is not included and very small gap of the order of µeV in graphene and about 1.55 meV in silicene may be opened up due to SOC25,26. However, presence of small gaps may be useful in studying fundamental properties like quantum spin Hall effect and will not be useful in operating or controlling nanoelectronic devices made up of graphene/silicene at ambient conditions. In order to utilize many novel properties of graphene-like materials in nanodevices, it is desirable that the materials shall possess value of band gap more than the thermal energy kT at room temperature (∼ 25 meV ). In Fig. 3, we plot the spatial distribution of highest occupied and lowest unoccupied states with and without electric field. Both of these states lie at the symmetry K point in Brillouin zone. In the absence of external electric field, both the highest occupied and lowest unoccupied states ( Fig. 3(a) and 3(b)) have same energy and also possess inversion symmetry. Hence the spatial distribution of these states above and below the silicene sheet looks similar. However, application of external electric field breaks the inversion symmetry in these states. This makes the spatial distribution of charges above and below the silicence 5 FIG. 3: Spatial distribution of highest occupied (without electric field (a) and with 5 V nm−1 (c)) and lowest unoccupied states (without electric field (b) and with 5 V nm−1 (d)) sheet to be different which can be seen clearly from Fig. 3(c) and 3(d) respectively for highest occupied and lowest unoccupied states. This leads to the opening up of band gap in silicene. 60 40 20 0 -20 -40 ) V e m ( y g r e n E -60 -0.01 0 V nm-1 1 V nm-1 2 V nm-1 3 V nm-1 4 V nm-1 5 V nm-1 0 K 0.01 FIG. 4: The band structure of silicene around Dirac point for different external electric field applied perpendicular to the plane of silicene sheet. 6 From our calculations on electronic structure of silicene, we observed that a band gap can be opened up at the Fermi level due to the influence of external electric field. The electric field is applied in a direction perpendicular to the plane of silicene sheet. The calculated band structures of silicene around Dirac point for various strengths of external electric field are shown in Fig. 4. For example, the value of band gap opened with electric filed of 5 volts per nanometer (V nm−1) is 50.9 milli electron volts (meV). The band gap in silicene is opened due to breaking of inversion symmetry by the electric field since the potential seen by the atoms at the sites A and B are different. In this situation, the finite value of onsite energy difference arises due to the potential difference and hence, we can write ∆ = α(VA − VB) where α and VA (VB) are proportionality constant and the potential seen by the atom at the site A(B) respectively. When the field applied between the layers is constant around the sheet, as in the present case, the potential difference becomes (VA − VB) = F d, where F is strength of electric field and d the distance between the atoms at the sites A and B along the field direction. The finite value of d in silicene monolayer plays an important role in breaking symmetry in presence of electric field and cause opening of band gap. This result leads to an important advantage of silicene over graphene since there is no buckling in latter and hence it is not possible to open up a gap by applying external electric field. ) V e m ( y g r e n E 60 50 40 30 20 10 0 -10 -20 -30 0 Eg Ev Ec 1 2 3 Electric Field (V/nm) 4 5 FIG. 5: The variation of band gap (Eg), valence (Ev) and conduction (Ec) band edges of silicene with the strength of external external field applied perpendicular to the plane of silicene sheet. We also observed from our DFT calculations that band gap can be tuned over wide range 7 by varying strength of external electric field. The results of variation in band gap of silicene under influence of different strengths of electric field are summarized in given Fig. 5. It is seen from Fig. 5 that the band gap varies linearly with the external electric field. We also observed that both valence and conduction band edges move symmetrically away from Fermi level when the electric field is applied. The presence of linear relationship between the band gap and electric field can be explained as follow. As mentioned earlier, the value of band gap opened can be written as Eg = 2∆ = 2α(VA − VB) = 2αF d= α′F , where α′ is proportionality constant and it should be characteristic of the material. From Fig. 5, the value of the α′ is found to be 10.14 (meV per V/nm). It is remarkable that in silicene monolayer, the band gap can be tuned by simply varying the strength of external electric field. Similar trends have also been observed for germanene monolayer and the results will be published elsewhere27. In summary, we have carried out abinitio DFT calculations to study geometric and elec- tronic structure of silicene. Our results on the electronic structures of silicene monolayer show that it is a semi-metal and possesses linear dispersion around Dirac point similar to graphene and hence the charge carriers in silicene behave like massless Dirac-Fermions. We have shown that band gap in silicene monolayer can be opened up by applying external elec- tric field. The presence of buckling in optimized structure of silicene plays an important role in breaking inversion symmetry. We also observe that the band gap varies linearly with the strength of electric field. Furthermore, our results predict that the band gap produced can be more than the thermal energy by applying electric field strength of few V/nm and hence, there is a possibility of using silicene monolayer in nanodevice even at room temperature. Authors thank Dr. P. D. Gupta and Dr. S. K. Deb for encouragement and support. Thanks also to Dr. Aparna Chakrabarti, Dr. Arup Banerjee and Dr. J. Jayabalan for critical reading of the manuscript. The support and help of Mr. P. Thander and the scientific computing group, Computer Centre, RRCAT is acknowledged. 1 A. K. Geim and K. S. Novoselov, Nature Mater., 6, 183 (2007) 2 K. S. Novoselov, A. K. Geim, S. V. Morozov, D. Jiang, M. I. Katsnelson, I. V. Grigorieva, S. V. Dubonos, and A. A. Firsov, Nature 438, 197 (2005) 8 3 Y. B. Zhang, Y. W. Tan, H. L. Stormer, P. Kim, Nature 438, 201 (2005). 4 K. S. Novoselov, E. McCann, S. V. Morozov, V. I. Fal'ko, M. I. Katsnelson, U. Zeitler, D. Jiang, F. Schedin and A. K. Geim, Nature Phys. 2, 177 (2006) 5 S. Cahangirov, M. Topsakal, E. Akturk, H. Sahin, and S. Ciraci, Phys. Rev. Lett. 102, 236804 (2009) 6 H. Sahin, S. Cahangirov, M. Topsakal, E. Bekaroglu, E. Akturk, R. T. Senger, and S. Ciraci, Phys. Rev. B, 80, 155453 (2009). 7 B. Lalmi, H. Oughaddou, H. Enriquez, A. Kara, S. Vizzini, B. Ealet and B. Aufray, Appl. Phys. Lett., 97, 223109 (2010) 8 B. Aufray, A. Kara, S. Vizzini, H. Oughaddou, C. Leandri, B. Ealet and G. L. Lay, Appl. Phys. Lett., 96, 183102 (2010) 9 A. Kara, S. Vizzini, C. Leandri, B. Ealet, H. Oughaddou, B. Aufray and G. L. Lay, J. Phys.: Condens. Matter 22 045004 (2010) 10 P. D. Padova, C. Quaresima, C. Ottaviani, P. M. Sheverdyaeva, P. Moras, C. Carbone, D. Topwal, B. Olivieri, A. Kara, H. Oughaddou, B. Aufray, and G. L. Lay, Appl. Phys. Lett., 96, 261905 (2010) 11 M. Houssa, G. Pourtois, V. V. Afanasev, and A. Stesmans, Appl. Phys. Lett., 97, 112106 (2011) 12 S. Lebegue and O. Eriksson, Phys. Rev. B, 79, 115409 (2009). 13 N. Y. Dzade, K. O. Obodo, S. K. Adjokatse, A. C. Ashu, E. Amankwah, C. D. Atiso, A. A. Bello, E. Igumbor, S. B. Nzabarinda, J. T. Obodo, A. O. Ogbuu, O. E. Femi, J. O. Udeigwe and U. V. Waghmare, J. Phys.: Condens. Matter, 22, 375502 (2010) 14 D. Jose and A. Datta, Phys. Chem. Chem. Phys., 13, 7304 (2011) 15 M. J. S. Spencer, T. Morishita, M. Mikami, I. K. Snook, Y. Sugiyama and H. Nakano, Phys. Chem. Chem. Phys.,13, 15418 (2011) 16 T. H. Osborn, A. A. Farajian, O. V. Pupysheva, R. S. Aga, L. C. L. Y. Voon, Chem. Phys. Lett., 511, 101 (2011) 17 P. Ordejon, E. Artacho, and J. M. Soler, Phys. Rev. B, 53, R10441 (1996). 18 D. Sanchez-Portal, P. Ordejon, E. Artacho, and J. M. Soler, Int. J. Quantum Chem., 65, 453 (1997). 19 J. M. Soler, E. Artacho, J. D. Gale, A. Garca, J. Junquera, P. Ordejon, and D. Sanchez-Portal, J. Phys. Condens. Matter., 14, 2745 (2002); see also http://www.uam.es/siesta. 9 20 O. F. Sankey and D. J. Niklewski, Phys. Rev. B 40, 3979 (1989). 21 N. Troullier and J. L. Martins, Phys. Rev. B 43, 1993 (1991). 22 J. P. Perdew, K. Burke, and M. Ernzerhof, Phys. Rev. Lett.,77, 3865 (1996) 23 J. B. Oostinga, H. B. Heersche, X. Liu, A. F. Morpurgo and L. M. K. Vandersypen, Nature Mater. 7, 151 (2008) 24 P. R. Wallace, Phys. Rev. 71, 622 (1947). 25 Y. Yao, F. Ye, X. L. Qi, S. C. Zhang, and Z. Fang, Phys. Rev. B 75, 041401 (2007). 26 C. C. Liu, W. Feng, and Y. Yao, Phys. Rev. Lett., 107, 076802 (2011) 27 C. Kamal, et. al. (manuscript in preparation) 10
1809.10883
1
1809
2018-09-28T07:05:46
Optical coupling between atomically-thin black phosphorus and a two dimensional photonic crystal nanocavity
[ "cond-mat.mes-hall" ]
Atomically-thin black phosphorus (BP) is an emerging two dimensional (2D) material exhibiting bright photoluminescence in the near infrared. Coupling its radiation to photonic nanostructures will be an important step toward the realization of 2D material based nanophotonic devices that operate efficiently in the near infrared, which includes the technologically important optical telecommunication wavelength bands. In this letter, we demonstrate the optical coupling between atomically-thin BP and a 2D photonic crystal nanocavity. We employed a home-build dry transfer apparatus for placing a thin BP flake on the surface of the nanocavity. Their optical coupling was analyzed through measuring cavity mode emission under optical carrier injection at room temperature.
cond-mat.mes-hall
cond-mat
Optical coupling between atomically-thin black phosphorus and a two dimensional photonic crystal nanocavity Yasutomo Ota1, Rai Moriya2, Naoto Yabuki2, Miho Arai2, Masahiro Kakuda1, Satoshi Iwamoto1,2, Tomoki Machida1,2 and Yasuhiko Arakawa1,2 E-mail: [email protected] 1) Institute for Nano Quantum Information Electronics, The University of Tokyo, 4-6-1 Komaba, Meguro-ku, Tokyo 2) Institute of Industrial Science, The University of Tokyo, 4-6-1 Komaba, Meguro-ku, Tokyo 153-8505, Japan 153-8505, Japan Atomically-thin black phosphorus (BP) is an emerging two dimensional (2D) material exhibiting bright photoluminescence in the near infrared. Coupling its radiation to photonic nanostructures will be an important step toward the realization of 2D material based nanophotonic devices that operate efficiently in the near infrared, which includes the technologically important optical telecommunication wavelength bands. In this letter, we demonstrate the optical coupling between atomically-thin BP and a 2D photonic crystal nanocavity. We employed a home-build dry transfer apparatus for placing a thin BP flake on the surface of the nanocavity. Their optical coupling was analyzed through measuring cavity mode emission under optical carrier injection at room temperature. ***** This is a preprint version of the following paper published in Applied Physics Letters: Yasutomo Ota, Rai Moriya, Naoto Yabuki, Miho Arai, Masahiro Kakuda, Satoshi Iwamoto, Tomoki Machida and Yasuhiko Arakawa. "Optical coupling between atomically thin black phosphorus and a two dimensional photonic crystal nanocavity", Appl. Phys. Lett. 110, 223105 (2017) https://doi.org/10.1063/1.4984597 https://aip.scitation.org/doi/abs/10.1063/1.4984597 1 Nanophotonics employing two dimensional (2D) materials has been under intensive study with prospects for a wide range of applications such as optical modulators1 -- 3, detectors4 -- 6, nonlinear optical devices7,8 and light sources9 -- 13. Regarding the development of light sources, the use of 2D materials with a direct bandgap is highly advantageous due to their stronger radiative carrier recombination than those with an indirect bandgap. There are several 2D materials exhibiting bright luminescence with a direct bandgap, such as monolayer transition metal dichalcogenides14. Coupling them to photonic nanosturctures has also been sought after for the realization of efficient light sources10 -- 12,15. However, their emission wavelength bands are predominantly in/around the visible range, leaving the development of 2D material light sources in the near infrared (NIR) (which includes the technologically important telecommunication bands). In this context, atomically-thin black phosphorus16 (BP) is an exceptional 2D material due to its strong luminescence in the NIR originated from its direct bandgap nature17 -- 19. In contrast to other 2D materials emitting in the NIR13, BP keeps the bright luminescence even with increasing number of atomic layers, while shifting its emission wavelength from ~ 800 nm (monolayer) to >1600 nm (4 layers). Another unique optical property of atomically-thin BPs is its highly linearly polarized optical response6,17 -- 19. All of these optical properties seem to be very useful for developing novel 2D material-based nanophotonic devices in the NIR. A straightforward route for the development of nanophotonic light source based on atomically- thin BP is to combine it with optical nanocavities, such as those based on photonic crystals (PhCs)10,13 and plasmonic structures15, in which the light matter interaction can be significantly enhanced due to their tight optical confinement both in time and space. However, so far, there is no report on the optical coupling between atomically-thin BP and nanocavities. In this letter, we report the observation of cavity-coupled NIR emission from a 2D BP flake directly placed on a 2D PhC nanocavity. We fabricated the structure using a dry transfer apparatus20, which is equipped in an inert gas atmosphere to prevent the notoriously rapid degradation of BP flake in air. The fabricated BP-nanocavity system exhibits strong photoluminescence (PL) in the NIR, accompanied with a sharp cavity resonance peak due to the BP-nanocavity optical coupling. Our work here is an important step towards the development of BP based nanophotonic devices, including 2D material NIR nanolasers. 2 A schematic illustration of the BP-nanocavity coupled system investigated in this study is shown in Fig. 1(a). An atomically-thin BP flake is directly attached onto the surface of a 2D photonic crystal nanocavity. We fabricated this structure using a dry transfer technique based on that widely used in 2D material research20. The process consists of (1) the preparation of an ensemble of BP flakes on a soft elastomer stamp, (2) searching an appropriate thin BP by optical measurements and (3) transfer printing the selected BP flake onto the nanocavity surface under an optical microscope. In the following, we further describe each step. First, we prepared thin BP flakes on an adhesive tape (SPV-224, Nitto) by repeated mechanical exfoliation, starting from a portion of bulk BP (Smart Elements). The prepared BP flakes are directly transferred to a polydimethylsiloxane (PDMS) film (Gel-Film x4, Gelpak) through putting and quickly pealing the tape embedding the flakes. Then, the elastomeric PDMS film with the transferred thin BPs is set in a vacuum chamber for subsequent optical measurements. An important point here is that we used a glove box to keep the BP flakes under dry nitrogen gas atmosphere during all the processes from the mechanical exfoliation to the sample setting. This is essential for preventing the notoriously rapid degradation of atomically-thin BP in air21. Indeed, we observed improvement of luminescence intensity of BP flakes on PDMS when we used purer nitrogen gas atmosphere in the glove box. Second, we seek an appropriate thin BP flake. A bright field image of a BP flake on a PDMS film is shown in Fig. 1(b). The image was taken using a home-made optical microscope equipped with an objective lens (numerical aperture, N.A., is 0.45) and measured under slightly-oblique white light illumination through the lens. We can easily identify atomically-thin BP regions (indicated by the white dash line) through the color and contrast of the image. We further characterize the BP flake by PL experiments using the same microscope. The PL measurements were performed at room temperature under a continuous wave (cw) laser pumping at 785 nm through the objective lens. The same lens collects and guides the PL signal, which was analyzed by a spectrometer equipped with an InGaAs array detector. Figure 1(c) shows a PL spectrum of the atomically-thin BP shown in Fig. 1(b), measured under a pump power of 1 mW (measured before the objective lens). Bright PL signal centered around 1075 nm indicates that the BP region flake is composed of 2 atomic layers. Within our detection wavelength range (900-1600 nm), we observe three different types of luminescent BPs respectively centered around 1100, 1450, >1600 nm, corresponding to the discrete increase of number of layers as observed in the literature19. It is noteworthy that we did not observe any degradation of PL signal during the sample search process in the vacuum chamber kept under a pressure of < 10-3 Pa; in contrast, noticeable degradation was observed when optically characterizing BP flakes in the glove box filled with dry nitrogen gas. 2D PhC nanocavities were prepared on a GaAs substrate in an airbridge form by standard semiconductor nanofabrication. We define the defect nanocavity by introducing three missing air 3 holes in a triangular PhC air hole lattice (hole radius= 79 nm, period = 310 nm) patterned on a 130- nm-thick GaAs slab (refractive index, n, is 3.4). Using finite difference time domain simulations, we calculated a field profile of the fundamental cavity mode resonating around λ = 1090 nm, as shown in Fig. 1(d). A cross section of the field distribution is exhibited in Fig. 1(e), confirming the existence of strong evanescent optical field on the surface of the nanocavity: the field amplitude here is 70% to the field maximum. The positions of air holes near the defect regions are systematically shifted for achieving a better optical confinement22. We also introduce a double-period modulation of the air hole size in order to increase the light out-coupling efficiency23. As a result, the cavity mode possesses a calculated Q factor of 7,000 while keeping a small mode volume of 0.82 (λ/n)3. Using cross polarized reflectivity measurements24 at room temperature, we experimentally confirmed high Q factors over 5,000 for the PhC nanocavities without BPs on top of them (See the supplemental material for the spectrum). All of these cavity properties are advantageous for achieving a strong light matter interaction. Finally, we transfer the BP flake after the PL measurement to an airbridge PhC nanocavity under a home-made transfer printing apparatus that is built in a glove box filled with nitrogen gas. We put the atomically-thin region of the BP flake accurately on top of the nanocavity under a microscope equipped with precision position control stages. The optical microscope employs a NIR illumination light source for mitigating the erosion of BP flakes. After putting the BP flake, we slowly peeled off the PDMS stamp, solely leaving the BP flake on the cavity surface, as confirmed in an optical microscope image shown in Fig. 2(a). The BP flake is placed close to the defect cavity region, although the atomically-thin BP region is not anymore clearly visible. Figure 2(b) shows a scanning electron microscope image of the fabricated sample (taken after all the measurements performed in this work). It is clearly seen that the cavity defect is covered with the thin BP, which seems to be torn around a boundary across the defect cavity. In our fabrication processes, we often observe this phenomenon, which could be useful for selective transfer of atomically-thin BP regions to photonic nanostructures. We also found that the success probability of the transfer process largely depends on the surface conditions of the GaAs slab: the process is more likely to succeed when being carried out just after removing the surface oxide on GaAs PhC cavities. Figure 2(c) shows a comparison of PL emission intensities for the atomically-thin BP before and after the transfer process, measured using the same PL setup for searching the BP flake. The PL is taken near the edge of the atomically-thin region, which, for the case after the transfer, is placed on an unpatterned region of the GaAs substrate. The center wavelength of the PL is 1107 nm, which is shifted to a longer wavelength from that measured on PDMS, probably originating from to the difference in the electric permittivities of the two substrate materials25. The PL signal after the transfer appears weaker than that before the transfer (a 60 % reduction of the integrated intensity), although such simple comparison should not be justified since the efficiencies of the excitation and 4 output collection should be different between the BP on PDMS and on GaAs. Nevertheless, the relatively-small reduction of the integrated PL intensity can be regarded as an indication that our transfer process prevents the degradation of BP flakes to a certain level. Next, we characterize the optical coupling between the atomically thin BP and the nanocavity. For this experiment, we used another micro PL setup equipped with an objective lens with a higher numerical aperture of 0.65. We pump the defect cavity region of the fabricated BP-nanocavity system by a 780 nm cw laser with a power of 50 μW at room temperature. A measured PL spectrum is shown in Fig. 3(a). Besides the broad emission peak originated from the two layer BP, a sharp resonance peak is observed at 1074 nm. Figure 3(b) shows a higher resolution spectrum for the sharp resonance peak, exhibiting a narrow linewidth of ~ 4 nm, corresponding to a quality factor of ~ 260. This observed degradation of Q factor compared to that without BP could be mainly attributed to strong optical absorption in BP, although further detailed studies are necessary to verify it. We consider that the observed sharp resonance peak originates from the coupling of BP emission into the fundamental cavity mode. Indeed, the resonance wavelength is close to those measured for bare PhC nanocavities by reflectivity experiments (~ 1070 nm). The direction of linear polarization of the cavity mode (not shown) is also consistent with that of the cavity mode. We also note that bare PhC cavities without the coverage of BPs does not exhibit any PL signal under the same PL measurement condition, suggesting that there are no noticeable light source other than the thin BP flake in our sample. In order to further confirm the observation of cavity mode emission originated from the BP emission, we characterized the position dependence of PL signal, as shown in Fig. 3(c) and (d). We found that the cavity emission intensity is well localized around the defect cavity region. The PL intensities spread relatively widely in X direction, in which the defect cavity elongates, while stronger localizations can be seen in Y direction. We also measured polarization properties of the fabricated sample with a set of a half waveplate and a linear polarizer inserted in the signal detection path. Figure 3(e) shows integrated peak intensities of the cavity (red) and BP (blue) emission plotted as a function of half waveplate angle. Both emission peaks exhibit sinusoidal curves, confirming their linearly polarized emission. We found that the optical axes of the BP and the cavity mode deviate by 60 degree each other, confirming that the polarization of the BP emission coupled to the cavity mode is governed by that of the cavity mode. The use of the linear polarizer is helpful to distinguish the portion of BP emission that couples to the cavity mode from that does not. Overall, these observations further supports that the sharp emission peak in Fig. 3(a) and (b) originates from BP emission coupled to the PhC cavity mode, as a result of their mutual optical coupling. We note that, in order to further evaluate the strength of coupling between the BP flake and the cavity mode, it would be useful to study PL emission dynamics with time-resolved measurements. 5 It is noteworthy that we did not observe laser oscillation from any of the several samples fabricated in the same manner. They are measured under strong cw and pulsed (pico second pulse at 780 or 920 nm) pumping conditions and also under low temperatures from 10 K to 150 K. Some of the samples were fabricated using nanocavities with much higher design Q factors over 50,000. We also examined a few samples under the resonance condition between the BP emission center wavelength and the cavity mode, however they did not lase. One possible explanation of these observations is simply the lack of sufficient material gain in the atomically-thin BPs for lasing in the current device setup. Another view point would be insufficient cavity quality for supporting the laser oscillation. In our experiments, the cavity Q factor may have be degraded under the dense carrier injection that induces free carrier absorption of the intracavity photons. We expect future experimental and theoretical works to clarify the possibility of NIR lasing using atomically-thin BPs. In summary, we demonstrated optical coupling between an atomically-thin BP and a PhC defect nanocavity. We fabricated a BP-on-nanocavity structure using dry transfer technique implemented in an inert gas atmosphere that mitigates the notoriously rapid degradation of thin BP flakes in air. We observed cavity coupled emission from the 2D BP in the NIR with a sharp resonance having a Q factor of 260. The optical coupling between the BP and the cavity is further confirmed through measuring the position dependence of the emission intensity. We believe that our results are an important step toward the development of 2D material based nanophotonic devices efficiently operating in the NIR, including those behaving as light sources like nanolasers. SUPPLEMENTARY MATERIAL See supplementary material for the cavity resonance spectrum without BP taken by the cross polarized reflectivity measurement. ACKNOWLEDGEMENTS The authors thank C. F. Fong for fruitful discussions. This work was supported by JSPS KAKENHI Grant-in-Aid for Specially Promoted Research (15H05700), JSPS KAKENHI Grant Numbers JP16K06294, JP15K17433, JP16H00982, JP25107003, and JP26248061, Murata Scientific Foundation, NEDO project, and JST CREST Grant Numbers JPMJCR15F3. 6 Figure 1. (a) Schematic illustrations of an atomically-thin BP film placed on a 2D PhC nanocavity. The edge of the BP is pulled up for the clarity. (b) Optical microscope image of a BP flake prepared on a PDMS film. The region highlighted by the white dash line is composed of two atomic BP layers. (c) PL spectrum of the two layer BP region, exhibiting a bright luminescence peaked at 1074 nm. (d) 2D PhC nanocavity design, overlaid with a simulated field profile for the fundamental cavity mode. (e) Cross section of the cavity field profile, indicating the existing of strong optical field on the surface of the PhC slab. 7 Figure 2. (a) Optical microscope of the fabricated BP-on-nanocavity sample. (b) Scanning electron microscope image of the same sample, taken after all the measurements conducted in this work. Regions with darker contrasts are covered with BP. A region enclosed in the red line in the picture is composed of thicker BP layers, deduced from a comparison with optical microscope images. (c) Comparison of PL emission intensities between before (dashed gray line) and after (solid line, yellow shaded) the transfer. The PL spectrum after the transfer was taken near the edge of the atomically-thin region, which is placed on an unpatterned region of the GaAs substrate. 8 100012000.00.51.01.52.02.53.0 PL intensity (Mcps)Wavelength (nm)X-Y5 μm1μm(a)(b)(c) Figure 3. (a) PL spectrum taken under the excitation of the defect cavity region of the fabricated sample. Broad emission peak centered at 1100 nm is from the 2D BP, while the sharp peak at 1070 nm is from the BP emission coupled to the fundamental cavity mode. (b) High resolution PL 9 10601070108010900.00.51.0 1000110012000510 -6-4-20246051015 -6-4-20246051015 PL intensity (kcps)Wavelength (nm)PL intensity (kcps)Wavelength (nm)PL intensity (kcps)X position (μm)Y position (μm)PL intensity (kcps)(c)(d)(a)(b)(e)-2002040608010012002004006008002468Half waveplateangle (degree)Integrated peak intensity (kcps)~30º spectrum of the cavity mode emission. A cavity Q factor of 260 can be extracted through a Lorentzian peak fitting (red solid curve) taking into account the background BP emission. (c) Excitation position dependence of integrated PL intensities of the cavity mode emission measured along x direction. The integrated PL intensities are deduced from multi-peak fitting. The axis is defined in Fig. 2(a). (d) Same in (c) but along y direction. (e) Integrated peak intensities of the cavity mode (red) and BP (blue) emission, plotted as a function of half waveplate angle. The phases of the sine oscillations deviate ~ 30 degree each other, corresponding to the deviation of the two optical axes of ~ 60 degree. 10 References: 1 M. Liu, X. Yin, E. Ulin-Avila, B. Geng, T. Zentgraf, L. Ju, F. Wang, and X. Zhang, Nature 474, 64 (2011). 2 A. Majumdar, J. Kim, J. Vuckovic, and F. Wang, Nano Lett. 13, 515 (2013). 3 X. Gan, R. Shiue, Y. Gao, K.F. Mak, X. Yao, L. Li, A. Szep, D. Walker, J. Hone, T.F. Heinz, and D. Englund, Nano Lett. 13, 691 (2013). 4 F. Xia, T. Mueller, Y. Lin, A. Valdes-Garcia, and P. Avouris, Nat. Nanotechnol. 4, 83 9 (2009). 5 N. Youngblood, C. Chen, S.J. Koester, and M. Li, Nat. Photonics 9, 249 (2015). 6 H. Yuan, X. Liu, F. Afshinmanesh, W. Li, G. Xu, J. Sun, B. Lian, A.G. Curto, G. Ye, Y. Hikita, Z. Shen, S.-C. Zhang, X. Chen, M. Brongersma, H.Y. Hwang, and Y. Cui, Nat. Nanotechnol. 10, 707 (2015). 7 T. Gu, N. Petrone, J.F. McMillan, A. van der Zande, M. Yu, G.Q. Lo, D.L. Kwong, J. Hone, and C.W. Wong, Nat. Photonics 6, 554 (2012). 8 T.K. Fryett, K.L. Seyler, J. Zheng, C. Liu, X. Xu, and A. Majumdar, 2D Mater. 4, 15 031 (2016). 9 Y.D. Kim, H. Kim, Y. Cho, J.H. Ryoo, C.-H. Park, P. Kim, Y.S. Kim, S. Lee, Y. Li, S. -N. Park, Y. Shim Yoo, D. Yoon, V.E. Dorgan, E. Pop, T.F. Heinz, J. Hone, S.-H. Chu n, H. Cheong, S.W. Lee, M.-H. Bae, and Y.D. Park, Nat. Nanotechnol. 10, 676 (2015). 10 S. Wu, S. Buckley, J.R. Schaibley, L. Feng, J. Yan, D.G. Mandrus, F. Hatami, W. Y ao, J. Vučković, A. Majumdar, and X. Xu, Nature 520, 69 (2015). 11 Y. Ye, Z.J. Wong, X. Lu, X. Ni, H. Zhu, X. Chen, Y. Wang, and X. Zhang, Nat. Pho tonics 9, 733 (2015). 12 O. Salehzadeh, M. Djavid, N.H. Tran, I. Shih, and Z. Mi, Nano Lett. 15, 5302 (201 5). 13 Y. Li, J. Zhang, D. Huang, H. Sun, F. Fan, J. Feng, Z. Wang, and C.Z. Ning, arXiv: 1701.07921 (2017). 14 K.F. Mak and J. Shan, Nat. Photonics 10, 216 (2016). 15 S. Butun, S. Tongay, and K. Aydin, Nano Lett. 15, 2700 (2015). 16 X. Ling, H. Wang, S. Huang, F. Xia, and M.S. Dresselhaus, Proc. Natl. Acad. Sci. 1 12, 4523 (2015). 11 17 S.B. Lu, L.L. Miao, Z.N. Guo, X. Qi, C.J. Zhao, H. Zhang, S.C. Wen, D.Y. Tang, and D.Y. Fan, Opt. Express 23, 11183 (2015). 18 X. Wang, A.M. Jones, K.L. Seyler, V. Tran, Y. Jia, H. Zhao, H. Wang, L. Yang, X. Xu, and F. Xia, Nat. Nanotechnol. 10, 517 (2015). 19 L. Li, J. Kim, C. Jin, G.J. Ye, D.Y. Qiu, F.H. da Jornada, Z. Shi, L. Chen, Z. Zhang, F. Yang, K. Watanabe, T. Taniguchi, W. Ren, S.G. Louie, X.H. Chen, Y. Zhang, and F. Wang, Nat. Nanotechnol. 12, 21 (2016). 20 A. Castellanos-Gomez, M. Buscema, R. Molenaar, V. Singh, L. Janssen, H.S.J. van d er Zant, and G. a Steele, 2D Mater. 1, 11002 (2014). 21 J.D. Wood, S.A. Wells, D. Jariwala, K. Chen, E. Cho, V.K. Sangwan, X. Liu, L.J. La uhon, T.J. Marks, and M.C. Hersam, Nano Lett. 14, 6964 (2014). 22 Y. Akahane, T. Asano, B.-S. Song, and S. Noda, Opt. Express 13, 1202 (2005). 23 N.-V.-Q. Tran, S. Combrié, P. Colman, A. De Rossi, and T. Mei, Phys. Rev. B 82, 75 120 (2010). 24 D. Englund, A. Faraon, I. Fushman, N. Stoltz, P. Petroff, and J. Vucković, Nature 4 50, 857 (2007). 25 Y. Lin, X. Ling, L. Yu, S. Huang, A.L. Hsu, Y.H. Lee, J. Kong, M.S. Dresselhaus, a nd T. Palacios, Nano Lett. 14, 5569 (2014). 12 Supplementary material for: Optical coupling between atomically-thin black phosphorus and a two dimensional photonic crystal nanocavity Yasutomo Ota1, Rai Moriya2, Naoto Yabuki2, Miho Arai2, Masahiro Kakuda1, Satoshi Iwamoto1,2, Tomoki Machida1,2 and Yasuhiko Arakawa1,2 E-mail: [email protected] 1) Institute for Nano Quantum Information Electronics, The University of Tokyo, 4-6-1 Komaba, Meguro-ku, Tokyo 2) Institute of Industrial Science, The University of Tokyo, 4-6-1 Komaba, Meguro-ku, Tokyo 153-8505, Japan 153-8505, Japan Figure S1. Resonance spectrum for a typical bare PhC nanocavity. The spectrum is measured using the cross-polarized reflectivity setup. 13 106410681072010002000Intensity (cps)Wavelength (nm)Q~5,000
1504.00409
2
1504
2015-08-27T03:58:37
All-magnetic control of skyrmions in nanowires by a spin wave
[ "cond-mat.mes-hall" ]
Magnetic skyrmions are topologically protected nanoscale objects, which are promising building blocks for novel magnetic and spintronic devices. Here, we investigate the dynamics of a skyrmion driven by a spin wave in a magnetic nanowire. It is found that (i) the skyrmion is first accelerated and then decelerated exponentially; (ii) it can turn L-corners with both right and left turns; and (iii) it always turns left (right) when the skyrmion number is positive (negative) in the T- and Y-junctions. Our results will be the basis of skyrmionic devices driven by a spin wave.
cond-mat.mes-hall
cond-mat
Nanotechnology 26 (2015) 225701 DOI:10.1088/0957-4484/26/22/225701 All-magnetic control of skyrmions in nanowires by a spin wave Xichao Zhang1, Motohiko Ezawa2*, Dun Xiao3, G. P. Zhao4, 5, Yaowen Liu3 and Yan Zhou1† 1 Department of Physics, The University of Hong Kong, Hong Kong, China 2 Department of Applied Physics, University of Tokyo, Hongo 7-3-1, 113-8656, Japan 3 Shanghai Key Laboratory of Special Artificial Microstructure Materials and Technology, School of Physical Science and Engineering, Tongji University, Shanghai 200092, China 4 College of Physics and Electronic Engineering, Sichuan Normal University, Chengdu 610068, China 5 Key Laboratory of Magnetic Materials and Devices, Ningbo Institute of Material Technology & Engineering, Chinese Academy of Sciences, Ningbo 315201, China * E-mail: [email protected] † E-mail: [email protected] Abstract Magnetic skyrmions are topologically protected nanoscale objects, which are promising building blocks for novel magnetic and spintronic devices. Here, we investigate the dynamics of a skyrmion driven by a spin wave in a magnetic nanowire. It is found that (i) the skyrmion is first accelerated and then decelerated exponentially; (ii) it can turn L-corners with both right and left turns; and (iii) it always turns left (right) when the skyrmion number is positive (negative) in the T- and Y-junctions. Our results will be the basis of skyrmionic devices driven by a spin wave. Keywords: skyrmion, spin wave, Dzyaloshinskii-Moriya interaction, Thiele equation Online supplementary data available from: stacks.iop.org/NANO/26/225701/mmedia LAB TALK @ Nanotechweb.org: http://nanotechweb.org/cws/article/lab/61512 Page 1 of 10 Nanotechnology 26 (2015) 225701 DOI:10.1088/0957-4484/26/22/225701 1. Introduction The concept of topology has sparked wide interest in recent years. A well-known example is the quantum spin Hall edge state of the topological insulator [1-3], which is protected by the time-reversal symmetry and therefore has attracted tremendous interests in condensed matter physics. A popular example in topology is that both a coffee mug and a donut can continuously transform into a torus, indicating the same nature of topology for the donut and coffee mug. On the contrary, a coffee mug (or donut) cannot morph into a sphere without introducing rupture, meaning they are of different topology. A significant amount of energy is required in order to transform a certain object into another with different topology, which could be described as “topological stability” or “topological protection”. Topological considerations are of considerable use in describing and understanding the extraordinary stability of such system. The concept of topology is also of crucial importance in studying liquid crystals, vortex in superconductors, and superfluids etc. in condensed matter systems [4]. The concept of skyrmion was firstly proposed by Tony Skyrme to describe the interactions of pions in the context of nuclear physics [5-8]. Later it is generalized to various subjects in condensed matter physics including quantum Hall magnets, Bose-Einstein condensate etc. [9]. A skyrmion is a topological particle-like excitation in classical continuum field theory which is robust as long as the field is continuous and the edge effect is negligible. In magnetic materials, a wide range of magnetic configurations are being researched intensively in the form of domain walls, vortices, monopoles, and magnetic skyrmions in recent years due to the same topological concern [4]. In analogy to the well-known example of donut-balloon transformation, a magnetic skyrmion cannot be continuously transformed into other magnetic configurations such as ferromagnetic state, without surpassing the topological energy barrier. Therefore, magnetic skyrmion is topologically protected and relatively more stable than other types of magnetic configurations such as vortex and bubble, making it very promising for realistic applications in information processing and ultra-high density information storage [9, 10]. Recent experimental realizations of skyrmions in magnet have attracted great interest [9-21]. Most of the experimental observations of skyrmions are reported in non-centrosymmetric ferromagnets such as MnSi, FeGe and Fe0.5Co0.5Si etc. [10-19, 21], i.e., the B20-type materials. More recently, isolated skyrmion was also successfully realized in thin films of similar materials lacking inverse symmetry or in proximity of heavy metal substrate inducing sizable DMI [22-24]. A skyrmion can be created by circulating current [25], from notch [26], from photo-irradiation [27, 28], or from a domain-wall pair [29]. Skyrmion is expected to be a key player of the next-generation electronics – skyrmionics [9, 10]. Skyrmion can be driven by spin-polarized current [30-34]. However, to move the skyrmion along the central line of a nanotrack by in-plane spin-polarized current requires severe matching between the damping coefficient and the non-adiabatic coefficient, i.e. α is close to β, limiting possible material systems for skyrmion applications [9, 26, 29, 32]. Another possibility of controlling a skyrmion is to use spin wave [34, 35]. Spin wave produces less heat than electric current, which therefore is promising for practical applications [36-39]. We investigate the conditions permitting one to use spin waves, instead of electrical currents, to control skyrmions in nano-circuits. So far there is no report on the skyrmion dynamics driven by spin wave in constricted geometries such as nanotrack and junction. In a real skyrmionic device, skyrmions will travel in circuits consisting of narrow nanotracks. Thus the study of the skyrmion dynamics in such configurations is crucial for realization of skyrmionics. In this paper, we investigate the skyrmion dynamics driven by spin wave in constricted geometries with the Dzyaloshinskii-Moriya interaction (DMI) such as nanotracks, L-corners, T- and Y-junctions, which are the basic ingredients of circuits based on skyrmions. Our major findings are as follows: 1) A skyrmion can travel quite a long distance without touching sample edges and without requiring fine-tuning of sample parameters. 2) We show that a skyrmion can turn a shaped corner without touching the sample edges even in the case of the L-corner. 3) A skyrmion always turns left (right) at the T- or Y-junctions when the topological number is positive (negative). 4) The turning direction of the skyrmion at the junction can be controlled by using multiple spin wave injection sources. 5) The skyrmion velocity can be well explained by a fitting function which embodies its initial acceleration and subsequent exponential decay. 2. Methods 2.1. Simulation details The micromagnetic simulations are performed using the Object Oriented MicroMagnetic Framework (OOMMF) [40] including the DMI module [41-43]. The time-dependent magnetization dynamics is governed by the Page 2 of 10 Nanotechnology 26 (2015) 225701 DOI:10.1088/0957-4484/26/22/225701 Landau-Lifshitz-Gilbert (LLG) equation [44-47] where M is the magnetization, Heff is the effective field, γ is the Gilbert gyromagnetic ratio, and α is the damping coefficient. The effective field is defined as follows: 𝑑𝐌 𝑑𝑡 = −𝛾𝐌 × 𝐇eff + 𝛼 𝑀𝑆 (𝐌 × 𝑑𝐌 𝑑𝑡 ), (1) 𝐇eff = −𝜇0 −1 𝜕𝐸 𝜕𝐌 . (2) The average energy density E is a function of M specified by [32, 44, 48], 𝐸 = 𝐴 [∇ ( 2 )] 𝐌 𝑀𝑆 − 𝐾 (𝐧∙𝐌)2 𝑀𝑆 2 − 𝜇0𝐌 ∙ 𝐇 − 𝜇0 2 𝐌 ∙ 𝐇d(𝐌) + 𝐷 2 (𝑀𝑧 𝑀𝑆 𝜕𝑀𝑥 𝜕𝑥 − 𝑀𝑥 𝜕𝑀𝑧 𝜕𝑥 + 𝑀𝑧 𝜕𝑀𝑦 𝜕𝑦 − 𝑀𝑦 𝜕𝑀𝑧 𝜕𝑦 ), (3) where A and K are the exchange and anisotropy energy constants, respectively. H and Hd(M) are the applied and magnetostatic self-interaction fields while MS = M(r) is the spontaneous magnetization. D is the DMI constant and Mx, My, Mz are the components of the magnetization M. The five terms at the right side of Eq. (3) correspond to the exchange energy, the anisotropy energy, the applied field (Zeeman) energy, the magnetostatic (demagnetization) energy and the DMI energy, respectively. For micromagnetic simulations, we consider 1-nm-thick cobalt nanotracks on the substrate with low damping [33, 49, 50]. The intrinsic magnetic parameters are similar to Ref. [32]: Gilbert damping coefficient α = 0.01 ~ 0.05 and the value for γ is -2.211×105 m A-1 s-1. Saturation magnetization MS = 580 kA m-1, exchange stiffness A = 15 pJ m-1, DMI constant D = 4 mJ m-2 and perpendicular magnetic anisotropy (PMA) K = 0.8 MJ m-3 unless otherwise specified. Thus, the exchange length is lex = √ 𝐴 𝐾 = 4.3 nm. The simulated models are discretized into 2 × 2 × 1 nm3 cells except the Y-junctions, which are discretized into 1 × 1 × 1 nm3 cells in order to maintain the numerical accuracy. In the simulation of SW-driven skyrmion in the nanotrack, the width (along y) of the nanotrack is 40 nm and the length (along x) is 800 ~ 1500 nm. The width of the pulse element equals to the width of the nanotrack and the length is fixed to be 15 nm. In the simulation of SW-driven skyrmion in the T- and Y-junction, the width of the nanotrack is increased to 60 nm and D is decreased to 3.5 mJ m-2, which broadens the channel and reduces the size of the skyrmion, leading to a better effect of the skyrmion turning at the junction. The virgin state of the magnetization of the nanotrack is relaxed along +z direction, except for the tilted magnetization near the edges due to the DMI. At first, a skyrmion is created at designated spot (as shown in Fig. 1) by the vertical spin-polarized current injection [32] and relaxed to stable/metastable state within a short period of time. We also implement absorbing boundary conditions (ABCs) based on an exponential increase of the damping coefficient at the ends of the nanotrack to eliminate any abrupt changes in damping and effectively suppress any spurious spin wave reflections [51]. Figure 1. Schematics of the micromagnetically modeled system. (a) The magnetic nanotrack. (b) The L-corner. (c) The T-junction. (d) The Y-junction with angle of 120°. The patterned green boxes denote the pulse elements, i.e., the microwave antenna placed upon the nanotrack, from where the spin wave is injected via the applied magnetic pulse applied along the lateral axis of the nanotrack. 3. Results and discussion 3.1. A skyrmion on nanotrack We first demonstrate the spin wave driven motion of the skyrmion on an 800-nm-long and 40-nm-wide nanotrack with Page 3 of 10 Nanotechnology 26 (2015) 225701 DOI:10.1088/0957-4484/26/22/225701 the spin wave (SW) injection. For the SW injection as shown in Fig. 1(a), a skyrmion is located at x = 200 nm at t = 0 ns. A magnetic field pulse is applied by the pulse element on the left side of the track (135 nm < x < 150 nm), which can be realized by employing a microwave antenna placed upon the nanotrack [31, 52, 53]. The profile of the square magnetic field pulse is shown in the inset of Fig. 3. The amplitude of the field is 600 mT and both the pulse width and spacing are 0.02 ns, i.e., the frequency is 25 GHz. The magnetic field pulse is applied perpendicularly to the track (see Supplementary Note 1 for the parallel case). The excited SW propagates toward the ends of the nanotrack and drives the skyrmion into motion at the same time. Figure 2(a) shows the propagation of the skyrmion driven by SW in the 40-nm-wide nanotrack with the damping coefficient of 0.01. At t = 5 ns, the skyrmion moves 266 nm along the nanotrack with an average speed of 53 m s-1. At t = 9 ns, it moves 457 nm along the nanotrack with an average speed of 51 m s-1 (see Supplementary Movie 1 and Supplementary Note 2). However, when the damping coefficient of the nanotrack increases to 0.02, the skyrmion moves 180 nm along the nanotrack with an average speed of 20 m s-1 at t = 9 ns. When the damping coefficient further increases to 0.05, the skyrmion only moves 49 nm along the nanotrack with an average speed of 5 m s-1 at t = 9 ns, as shown in Fig. 2(b) and 2(c). Hence, it can be seen that the mobility of the skyrmion on the nanotrack reduces significantly with increasing damping coefficient of the system under the same condition of SW injection, since the excited spin wave decays quickly as its amplitude decreases with the damping coefficient α. For this reason, in order to show a better performance of SW-driven skyrmion, the damping coefficient in all simulations is fixed at 0.01 in the remaining of this paper. However, for large damping constant results, please refer to the Supplementary Information Note 3. Figure 2. The propagation of a skyrmion driven by the spin wave in the 40-nm-wide nanotrack. The patterned green boxes on the track corresponds to the region of the spin wave injection (135 nm < x < 150 nm). (a) Snapshots of the propagation of the skyrmion on the nanotrack with the damping coefficient of 0.01 (also see Supplementary Figure 3 for cross-sectional views). (b) Snapshots of the propagation of the skyrmion on the nanotracks with larger damping coefficients of 0.02 and 0.05. The color scale presents the out-of-plane component of the magnetization mz, which has been used throughout this paper. Figure 3 shows the skyrmion’s velocity as a function of time in 800-nm-long and 1500-nm-long nanotracks. Obviously, on the 800-nm-long nanotrack, the skyrmion’s velocity is not uniform and experiences acceleration and deceleration. The skyrmion reaches the end of the nanotrack at t ~ 13.5 ns. The skyrmion slows down due to the skyrmion-edge repulsion and finally it stops at a position balanced by the skyrmion-edge repulsive force and the SW driving force. During the first 9 ns, the maximal velocity is ~ 67 m s-1, and the average velocity is ~ 51 m s-1. In the 1500-nm-long nanotrack, the skyrmion are far away from both the end of the nanotrack and the source of the SW at t = 20 ns, resulting in the exponential decrease of its velocity. It should be mentioned that we also investigated the case where the magnetic field pulse is parallel to the track instead of perpendicular to it, which shows similar results (see Supplementary Note 1). Figure 4 shows the skyrmion’s velocity as a function of time by varying different parameters with the magnetic field pulse as shown in the inset of Fig. 3. A larger average and maximal speed can be achieved for the skyrmion in the nanotrack with larger DMI strength D, smaller perpendicular magnetic anisotropy K and smaller exchange stiffness A. For the nanotrack with larger D and smaller K, the equilibrium size of the skyrmion is larger, leading to a larger surface of the skyrmion to interact with the SW, which results in larger driving force. For larger K, the spins are harder to flip and the SW decays faster, resulting in a smaller velocity of the skyrmion. For smaller A, the spins around the skyrmion are easier to be reversed, leading to a larger velocity. As shown in Fig. 4(d), the average/maximal skyrmion velocity Page 4 of 10 Nanotechnology 26 (2015) 225701 DOI:10.1088/0957-4484/26/22/225701 increases by decreasing MS from 620 kA m-1 to 580 kA m-1. However, when MS reduces below 560 kA m-1, the average/maximal skyrmion velocity dramatically decreases. Form Fig. 4(e) and Fig. 4(f), it can be seen that the larger amplitude and/or higher frequency of the magnetic pulse lead to a larger average/maximal speed of the skyrmion. Figure 3. The velocity of a skyrmion as functions of time in 800-nm-long and 1500-nm-long nanotracks with same spin wave injection. The inset denotes the profile of the square magnetic field pulse applied along the lateral axis of the nanotrack. The red dot curve denotes the fitting function of the velocity versus time. Figure 4. The velocity of a skyrmion as a function of different parameters. (a) Effect of the DMI D, (b) effect of the perpendicular magnetic anisotropy K, (c) effect of the exchange stiffness A, (d) effect of the saturation magnetization MS, (e) effect of the amplitude of the magnetic pulse and (f) effect of the frequency of the pulse. The damping coefficient is fixed at 0.01. It should be noted that if D is larger than a certain threshold (4.25 mJ m-2 in case of Fig. 4(a)), K is smaller than a certain threshold (0.7 MJ m-3 in case of Fig. 4(b)) and/or A is smaller than a certain threshold (13 pJ m-1 in case of Fig. 4(c)), the skyrmion is easy to be destroyed and the system favors multiple domain walls (see Supplementary Movie 2). At the same time, if the amplitude or frequency is larger than a certain threshold, the skyrmion and/or the background magnetization of the nanotrack will be destroyed by the strong magnetic field pulse as well as the SW generated by the pulse (see Supplementary Movie 3). In addition, we have also studied the effect of the magnetic field pulse directly on the skyrmion, i.e., applied on the whole nanotrack (see Supplementary Note 4). It is found that the magnetic field pulse applied on the whole nanotrack will not drive the skyrmion into motion but may induce the breathing of the skyrmion. On the other hand, we have also investigated the motion of skyrmion driven by spin waves generated via oscillating Oersted field (see Supplementary Note 5), where the spatiotemporal dependent Oersted field acts on the whole sample but mainly focuses on the spin wave Page 5 of 10 Nanotechnology 26 (2015) 225701 DOI:10.1088/0957-4484/26/22/225701 injection region, and it is found the results remain qualitatively the same with that driven by spin waves generated via pulse element. Figure 5. Snapshots of the SW-driven motion of a skyrmion (Q = 1) at the L-corner. The magnetic field pulse is applied along the lateral axis in the patterned green region with the profile shown in the inset of Fig. 3 (hereinafter the same). The yellow arrow denotes the motion of the skyrmion (hereinafter the same). (a) The skyrmion is destroyed by the corner due to the tilts of magnetization at the corner edge. Hence, we cut the 90-degree corner into two 135-degree corners, and the skyrmion smoothly turns left at the L-corner in (b) and turns right in (c). Figure 6. Snapshots of the SW-driven motion of a skyrmion (Q = 1) in the T-junction and Y-junction. (a) the skyrmion turns left from the C-branch into the L-branch of the T-junction. (b) the skyrmion goes straight from the L-branch to the R-branch of the T-junction. (c) the skyrmion turns left from the R-branch into the C-branch of the T-junction. (d) the skyrmion turns left from the C-branch into the L-branch of the Y-junction, similar to (a). 3.2. A skyrmion on L-corners, T- and Y-junctions For the application of skyrmionic logic circuit, we also study the skyrmion driven by SW in constricted geometries such as L-corners, T- and Y- junctions, as shown in Fig. 1(b), 1(c) and 1(d). As shown in Fig. 5(a), the skyrmion driven by SW in a L-corner is destroyed when it turns left and touches the edge at the corner (see Supplementary Movie 4). We therefore cut the 90-degree corner into two 135-degree corners, as shown in Fig. 5(b) and 5(c). In this configuration, the skyrmion smoothly turns left without touching the edge of the L-corner in Fig. 5(b) (see Supplementary Movie 5). The Page 6 of 10 Nanotechnology 26 (2015) 225701 DOI:10.1088/0957-4484/26/22/225701 spin wave can also move a skyrmion into the right direction at the L-corner as shown in Fig. 5(c) (see Supplementary Movie 6). Figure 6 shows the SW-driven motion of the skyrmion in the T-junction and Y-junction. For the case of T-junction, we choose a skyrmion with positive topological number [29, 54]. The skyrmion always turns left, i.e., from the central branch (C-branch) to the left branch (L-branch) as shown in Fig. 6(a) (see Supplementary Movie 7), from the L-branch to the right branch (R-branch) as shown in Fig. 6(b) (see Supplementary Movie 8), and from the R-branch to the C-branch as shown in Fig. 6(c) (see Supplementary Movie 9). Similarly, for the case of Y-junction, the skyrmion always turns left, as shown in Fig. 6(d) (see Supplementary Movie 10 and Supplementary Note 2). By contrast, the skyrmion always turn right if the topological number of the skyrmion is negative. Although the SW-driven skyrmion with positive topological number on the T-junction or Y-junction has an intrinsic favor of turning left at the junction as shown in Fig. 6, it is also possible to control the turning direction of the skyrmion based on a series of SW-injection pulse elements, MTJ magnetization detectors as well as built-in circuits [32, 33, 55-57]. Figure 7. Control of the turning direction of the SW-driven skyrmion (Q = 1) at the T-junction. (a) The skyrmion naturally turns left from the C-branch into the L-branch of the T-junction. (b) The skyrmion turns right from the C-branch into the R-branch of the T-junction with the help of two magnetic pulse elements. Similar method could be applied to control the turning direction of the skyrmion in the Y-junction. Figure 7 shows the control of the turning direction of the SW-driven skyrmion in the T-junction. As shown in Fig. 7(a), the SW-driven skyrmion with positive topological number will turn left from the C-branch into the L-branch (see Supplementary Movie 11). When the skyrmion is just out of the C-branch (t = 2.4 ns) as shown in Fig. 7(b), we apply two magnetic field pulses (700 mT, 25 GHz) near the exits of the C-branch and the L-branch and simultaneously switch off the pulse source (600 mT, 25 GHz) at the end of the C-branch. In this case, the skyrmion will be pushed into the R-branch by the SWs (t = 6 ns) (see Supplementary Movie 12). Page 7 of 10 Nanotechnology 26 (2015) 225701 DOI:10.1088/0957-4484/26/22/225701 It should be noted that all these results are valid when the direction of the magnetic pulse changes from being perpendicular to the nanotrack to being parallel to the nanotrack (see Supplementary Movies 14 – 22 and Supplementary Note 1). On the other hand, it is worth mentioning that, due to the nonreciprocity of SW [58, 59], the SW-driven motion of the skyrmion is nonreciprocal as well. A skyrmion driven by SW from the same magnetic field pulse is different in motion depending on whether it is placed on the left or the right side of the field source in the nanotrack (see Supplementary Movie 13). 3.3. Thiele equation analysis We have investigated the SW-driven skyrmion dynamics in constricted geometries including the nanotracks, L-corners, T- and Y- junctions. A skyrmion can travel in such geometries without touching the edges. It has an intrinsic tendency to turn left or right depending on the sign of the skyrmion number. By applying multiple spin wave injections, we can control the dynamics of a skyrmion. Our results will be a basis of skyrmion devices in which a sequence of skyrmions move in nano-circuits driven by spin wave. A skyrmion is at rest initially. Once the spin wave arrives at the skyrmion, it starts the accelerated motion 𝐯(𝑠) = 𝑎𝑡 corresponds to the acceleration of the skyrmion. After long enough time, the velocity of skyrmion becomes where the same as that of SW 𝐯(𝑠)(𝑡) = 𝐯(𝑑)(𝑡). The velocity of a skyrmion also decays exponentially 𝐯(𝑠)(𝑡) ∝ 𝑒−𝑏𝑡 since SW decays exponentially 𝐯(𝑑)(𝑥) = 𝑐𝑒−𝑑𝑥. Accordingly we obtain the fitting function 𝐯(𝑠)(𝑡) = 𝑎𝑡𝑒−𝑏𝑡, as shown in Fig. 3. The fitting parameters are showed in Table I. We find that 𝑎 ∝ 𝑐 and 𝑏 ∝ 𝑑. The former relation implies that the initial acceleration is proportional to the amplitude of SW, while the latter relation implies the exponential decay of the velocity of a skyrmion due to the SW decay. The acceleration a is proportional to both the magnitude of the spin wave c and the radius of the skyrmion (See Supplementary Note 6), 𝑅Sk = 𝐷𝜋2 8 𝜋 𝜇0𝐻+2𝐾𝜋 . (4) The skyrmion radius becomes larger with increasing D and decreasing K. This is in good agreement with Table I, where a increases with increasing D and decreasing K. We also find that a is proportional to the amplitude and the frequency of the spin wave. This is because spin wave has large energy for large amplitude and large frequency and the skyrmion radius does not change by changing the amplitude and frequency of spin wave. For larger K, the spins are harder to flip, the SW propagates shorter and decays faster, resulting in a smaller speed of the skyrmion. Namely, b increases with increasing K. On the other hand, it can be seen that b is not sensitive to the other parameters in Table. I within the margin of error. This intrinsic tendency to turn left (right) of a skyrmion with Q = 1 (Q = -1) can be understood by the Thiele equation [60-62] which yields 𝐆 × (𝐯(𝑠) − 𝐯(𝑑)) − 𝒟𝛼𝐯(𝑑) − 𝐅(𝐱) = 0, (5) −𝐺(𝐯𝑦 (𝑠) − 𝐯𝑦 (𝑑)) − 𝒟𝛼𝐯𝑥 (𝑑) = 𝐹𝑥(𝐱), 𝐯𝑥 (𝑠) − 𝐯𝑥 (𝑑) − 𝒟𝛼𝐯𝑦 (𝑑) = 𝐹𝑦(𝐱). (6) They are explicitly solved as (𝑑) = 𝐯𝑥 (𝑑) = 𝐯𝑦 and summarized into 1 𝐺2+𝒟2𝛼2 [𝐺2𝐯𝑥 𝐺2+𝒟2𝛼2 [𝐺2𝐯𝑦 1 (𝑠) − 𝐺𝒟𝛼𝐯𝑦 (𝑠) + 𝐺𝒟𝛼𝐯𝑥 (𝑠) − 𝒟𝛼𝐹𝑥(𝐱) − 𝐺𝐹𝑦(𝐱)], (7) (𝑠) − 𝒟𝛼𝐹𝑦(𝐱) + 𝐺𝐹𝑥(𝐱)], (8) 1 𝒟𝛼 𝐯(𝑑) = 1+𝒟2𝛼2/𝐺2 𝐯(𝑠) + 𝐺2+𝒟2𝛼2 (𝐆 × 𝐅(𝐱)). (9) The first term is dominant since G ≫ 𝒟𝛼. In this limit, a skyrmion moves at the same velocity as the SW i.e. 𝐯(𝑑) = (𝑠) = 0, and 𝑉 = 0, and 𝐯(𝑠). However, for the higher order, the Hall effect of a skyrmion emerges. We set 𝐯𝑥 get 𝐺2+𝒟2𝛼2 𝐅(𝐱) + (𝑠) ≠ 0, 𝐯𝑦 𝐺2+𝒟2𝛼2 𝐆 × 𝐯(𝑠) − 𝒟𝛼 1 (𝑑) = 𝐯𝑥 1 1+𝒟2𝛼2/𝐺2 𝐯𝑥 (𝑠), 𝐯𝑦 (𝑑) = −𝐺𝒟𝛼 𝐺2+𝒟2𝛼2 𝐯𝑥 (𝑠). (10) The Hall angle is proportional to α. The third term − 𝒟𝛼 𝐺2+𝒟2𝛼2 𝐅(𝐱) in Eq. (9) represents a confining potential, while the Page 8 of 10 a Nanotechnology 26 (2015) 225701 DOI:10.1088/0957-4484/26/22/225701 1 forth term 𝐺2+𝒟2𝛼2 (𝐆 × 𝐅(𝐱)) represents the motion of a skyrmion when it approaches an edge. This equation shows that a skyrmion detours the confining potential V(x). The direction of the detour depends on the Pontryagin number since the forth term is proportional to G. Along the edge ∇𝑉(𝐱) is very large. Hence, the third and fourth terms are dominant over the first and the second terms. The skyrmion cannot touch the edge when ∇𝑉(𝐱) is strong enough, while it touches the edge if ∇𝑉(𝐱) is not so strong. A skyrmion detour the edge due to the fourth term. Let us consider the case where the edge exists at x = 0, toward which a skyrmion is moving along the y axis from the x < 0 side. We have 𝜕𝑥𝑉(𝐱) > 0 and 𝜕𝑦𝑉(𝐱) = 0. Then, a skyrmion turns left for G = 1 since 𝐯(𝑑) > 0, while a skyrmion turns right for G = −1 since 𝐯(𝑑) < 0. TABLE I. Constants of the fitting functions of the velocity curves showed in Fig. 4. D (mJ m-2) 3.25 3.50 3.75 4.00 a b 21.7807 36.4434 46.7089 53.0071 0.1973 0.2578 0.2827 0.2885 K (MJ m-3) 0.800 0.825 0.850 0.900 53.0071 51.3847 37.3514 31.0713 0.2885 0.3215 0.3231 0.3267 14 15 16 17 58.4507 53.0071 48.7456 40.7391 0.2951 0.2885 0.2908 0.2948 560 580 600 620 41.3331 53.0071 42.9825 35.3391 0.3161 0.2885 0.2659 0.2603 450 500 550 600 31.0865 37.6614 46.6487 53.0071 0.2939 0.2931 0.2974 0.2885 6.25 12.5 25 a b A (pJ m-1) a b MS (kA m-1) a b Amplitude (mT) a b Frequency (GHz) 3.125 a b 6.9819 0.2385 16.1533 27.8073 53.0071 0.2573 0.2889 0.2885 4. Conclusion In conclusion, we have presented micromagnetic simulations and analysis that demonstrate the feasibility of spin wave-driven skyrmions in constricted geometries with the Dzyaloshinskii-Moriya interaction such as nanotracks, L-corners, T- and Y-junctions. We have found a skyrmion can turn a sharp corner without touching edges even in the case of the L-corner. A skyrmion always turns left (right) at the T- or Y-junctions when the topological number is positive (negative). Our results will pave a way to future applications to skyrmionics driven by spin wave in constricted geometries. Acknowledgments Y.Z. thanks the support by the Seed Funding Program for Basic Research and Seed Funding Program for Applied Research from the University of Hong Kong, ITF Tier 3 funding (ITS/171/13), the RGC-GRF under Grant HKU 17210014, and University Grants Committee of Hong Kong (Contract No. AoE/P-04/08). M.E. thanks the support by the Grants-in-Aid for Scientific Research from the Ministry of Education, Science, Sports and Culture, No. 25400317. Page 9 of 10 Nanotechnology 26 (2015) 225701 DOI:10.1088/0957-4484/26/22/225701 Y.W.L. thanks the support by the National Natural Science Foundation of China (Grant Nos. 10974142, 51471118). G.P.Z. thanks the support by the National Natural Science Foundation of China (Grant Nos. 11074179, 10747007). M.E. is very much grateful to N. Nagaosa and J. Iwasaki for many helpful discussions on the subject. X.C.Z. thanks M. Beg, J. Iwasaki and J. Xia for useful discussions on this work. References [1] Qi X L and Zhang S C 2012 Phys. Today 63 33 [2] Kane C L and Mele E J 2005 Phys. Rev. Lett. 95 226801 [3] Kane C L and Mele E J 2005 Phys. Rev. Lett. 95 146802 [4] Brauna H-B 2012 Adv. Phys. 61 1 [5] Brown G E and Rho M, The Multifaced Skyrmions (World Scientific: Singapore, 2010). [6] Rajaraman R, Solitons and Instantons, Volume 15: An introduction to solitons and instantons in quantum field theory. (North-Holland: North Holland, 1987). [7] Skyrme T H R 1961 Proc. R. Soc. A 260 127 [8] Skyrme T H R 1962 Nucl. Phys. 31 556 [9] Fert A, Cros V and Sampaio J 2013 Nat. Nanotech. 8 152 [10] Nagaosa N and Tokura Y 2013 Nat. Nanotech. 8 899 [11] Mühlbauer S, Binz B, Jonietz F, Pfleiderer C, Rosch A, Neubauer A, Georgii R and Böni P 2009 Science 323 915 [12] Münzer W, et al. 2010 Phys. Rev. B 81 041203 [13] Yu X Z, Onose Y, Kanazawa N, Park J H, Han J H, Matsui Y, Nagaosa N and Tokura Y 2010 Nature 465 901 [14] Peiderer C and Rosch A 2010 Nature 465 880 [15] Yu X Z, Kanazawa N, Onose Y, Kimoto K, Zhang W Z, Ishiwata S, Matsui Y and Tokura Y 2011 Nat. Mater. 10 106 [16] Heinze S, von Bergmann K, Menzel M, Brede J, Kubetzka A, Wiesendanger R, Bihlmayer G and Blugel S 2011 Nat. Phys. 7 713 [17] Romming N, Hanneken C, Menzel M, Bickel J E, Wolter B, von Bergmann K, Kubetzka A and Wiesendanger R 2013 Science 341 636 [18] Tonomura A, Yu X, Yanagisawa K, Matsuda T, Onose Y, Kanazawa N, Park H S and Tokura Y 2012 Nano Lett. 12 1673 [19] Yu X Z, DeGrave J P, Hara Y, Hara T, Jin S and Tokura Y 2013 Nano Lett. 13 3755 [20] Iwasaki J, Koshibae W and Nagaosa N 2014 Nano Lett. 14 4432 [21] Du H F, DeGrave J P, Xue F, Liang D, Ning W, Yang J Y, Tian M L, Zhang Y H and Jin S 2014 Nano Lett. 14 2026 [22] Moreau-Luchaire C, et al. 2015 arXiv 1502.07853 http://arxiv.org/abs/1502.07853 [23] Jiang W J, et al. 2015 arXiv 1502.08028 http://arxiv.org/abs/1502.08028 [24] Woo S, et al. 2015 arXiv 1502.07376 http://arxiv.org/abs/1502.07376 [25] Tchoe Y and Han J H 2012 Phys. Rev. B 85 174416 [26] Iwasaki J, Mochizuki M and Nagaosa N 2013 Nat. Nano. 8 742 [27] Finazzi M, Savoini M, Khorsand A R, Tsukamoto A, Itoh A, Duò L, Kirilyuk A, Rasing T and Ezawa M 2013 Phys. Rev. Lett. 110 177205 [28] Ezawa M 2010 Phys. Rev. Lett. 105 197202 [29] Zhou Y and Ezawa M 2014 Nat. Commun. 5 4652 [30] Iwasaki J, Mochizuki M and Nagaosa N 2013 Nat. Commun. 4 1463 [31] Iwasaki J, Beekman A J and Nagaosa N 2014 Phys. Rev. B 89 064412 [32] Sampaio J, Cros V, Rohart S, Thiaville A and Fert A 2013 Nat. Nano. 8 839 [33] Tomasello R, Martinez E, Zivieri R, Torres L, Carpentieri M and Finocchio G 2014 Sci. Rep. 4 6784 [34] Lin S Z, Reichhardt C, Batista C D and Saxena A 2013 Phys. Rev. Lett. 110 207202 [35] Mochizuki M 2012 Phys. Rev. Lett. 108 017601 [36] Vogt K, Schultheiss H, Jain S, Pearson J E, Hoffmann A, Bader S D and Hillebrands B 2012 Appl. Phys. Lett. 101 042410 [37] Xing X J, Yu Y L, Li S W and Huang X H 2013 Sci. Rep. 3 2958 [38] Kong L Y and Zang J D 2013 Phys. Rev. Lett. 111 067203 [39] Lin S Z, Batista C D, Reichhardt C and Saxena A 2014 Phys. Rev. Lett. 112 187203 [40] Donahue M J and Porter D G 1999 OOMMF user's guide, version 1.0. National Institute of Standards and Technology, Interagency Report NISTIR 6376 [41] Boulle O, Buda-Prejbeanu L D, Jué E, Miron I M and Gaudin G 2014 J. Appl. Phys. 115 17D502 [42] Rohart S and Thiaville A 2013 Phys. Rev. B 88 184422 [43] http://www.lps.u-psud.fr/spip.php?article2252 [44] Brown W F, Jr., Micromagnetics (Krieger: New York, 1978) [45] Gilbert T L 1955 Phys. Rev. 100 1243 [46] Landau L and Lifshitz E 1935 Physik. Z. Sowjetunion 8 153 [47] Gawronski P, Merazzo K J, Chubykalo-Fesenko O, Real R P d and Vázquez M 2014 Nanotechnology 25 475703 [48] Thiaville A, Rohart S, Jué É, Cros V and Fert A 2012 Europhys. Lett. 100 57002 [49] Schellekens A J, Deen L, Wang D, Kohlhepp J T, Swagten H J M and Koopmans B 2013 Appl. Phys. Lett. 102 082405 [50] Devolder T, Ducrot P H, Adam J P, Barisic I, Vernier N, Kim J-V, Ockert B and Ravelosona D 2013 Appl. Phys. Lett. 102 022407 [51] Venkat G, Franchin M, Fangohr H and Prabhakar A 2014 arXiv 1405.4615 http://arxiv.org/abs/1405.4615 [52] Hillebrands B and Thiaville A, Spin Dynamics in Confined Magnetic Structures III. (Springer: Berlin, 2006). [53] Bance S, Schrefl T, Hrkac G, Goncharov A, Allwood D A and Dean J 2008 J. Appl. Phys. 103 07E735 [54] Zhang X C, Ezawa M and Zhou Y 2014 Sci. Rep. 5 9400 [55] Zhang X C, Zhao G P, Fangohr H, Liu J P, Xia W X, Xia J and Morvan F J 2014 Sci. Rep. 5 7643 [56] Zhang Y, Zhao W S, Ravelosona D, Klein J O, Kim J V and Chappert C 2012 J. Appl. Phys. 111 093925 [57] Zhao W S, Romdhane N B, Zhang Y, Klein J O and Ravelosona D 2013 Faible Tension Faible Consommation (FTFC), IEEE pp 1-4. [58] Garcia-Sanchez F, Borys P, Vansteenkiste A, Kim J-V and Stamps R L 2014 Phys. Rev. B 89 224408 [59] Jamali M, Kwon J H, Seo S M, Lee K J and Yang H 2013 Sci. Rep. 3 3160 [60] Thiele A A 1972 Phys. Rev. Lett. 30 230 [61] Everschor K, Garst M, Binz B, Jonietz F, Mühlbauer S, Pfleiderer C and Rosch A 2012 Phys. Rev. B 86 054432 [62] Schulz T, et al. 2012 Nat. Phys. 8 301 Page 10 of 10
1102.3440
2
1102
2011-04-06T14:41:41
Efficient numerical computation of the Pfaffian for dense and banded skew-symmetric matrices
[ "cond-mat.mes-hall", "cs.MS", "math.NA", "physics.comp-ph" ]
Computing the Pfaffian of a skew-symmetric matrix is a problem that arises in various fields of physics. Both computing the Pfaffian and a related problem, computing the canonical form of a skew-symmetric matrix under unitary congruence, can be solved easily once the skew-symmetric matrix has been reduced to skew-symmetric tridiagonal form. We develop efficient numerical methods for computing this tridiagonal form based on Gauss transformations, using a skew-symmetric, blocked form of the Parlett-Reid algorithm, or based on unitary transformations, using block Householder transformations and Givens rotations, that are applicable to dense and banded matrices, respectively. We also give a complete and fully optimized implementation of these algorithms in Fortran, and also provide Python, Matlab and Mathematica implementations for convenience. Finally, we apply these methods to compute the topological charge of a class D nanowire, and show numerically the equivalence of definitions based on the Hamiltonian and the scattering matrix.
cond-mat.mes-hall
cond-mat
Efficient numerical computation of the Pfaffian for dense and banded skew-symmetric matrices M. Wimmer Instituut-Lorentz, Universiteit Leiden, P.O. Box 9506, 2300 RA Leiden, The Netherlands Computing the Pfaffian of a skew-symmetric matrix is a problem that arises in various fields of physics. Both computing the Pfaffian and a related problem, computing the canonical form of a skew- symmetric matrix under unitary congruence, can be solved easily once the skew-symmetric matrix has been reduced to skew-symmetric tridiagonal form. We develop efficient numerical methods for computing this tridiagonal form based on Gauss transformations, using a skew-symmetric, blocked form of the Parlett-Reid algorithm, or based on unitary transformations, using block Householder transformations and Givens rotations, that are applicable to dense and banded matrices, respectively. We also give a complete and fully optimized implementation of these algorithms in Fortran, and also provide Python, Matlab and Mathematica implementations for convenience. Finally, we apply these methods to compute the topological charge of a class D nanowire, and show numerically the equivalence of definitions based on the Hamiltonian and the scattering matrix. PACS numbers: 02.10.Yn, 02.60.Dc, 03.65.Vf I. INTRODUCTION A. Pfaffians and reduction to tridiagonal form A real or complex matrix A is called skew-symmetric (or anti-symmetric), if A = −AT , where T denotes the transpose. The determinant det(A) of such a skew-symmetric matrix is the square of a polynomial in the matrix entries, the Pfaffian Pf(A): det(A) = Pf(A)2 . (1) In other words, the Pfaffian of a skew-symmetric matrix is a unique choice for the sign of the root of the determinant: (cid:112) Pf(A) = ± (cid:88) σ∈S2n n(cid:89) i det(A) (2) Pfaffians arise in various fields of physics, such as in the definition of topological charges [1–3], electronic structure quantum Monte Carlo [4], the two-dimensional Ising spin glass [5], or in the definition of a trial wave function for the ν = 5/2 fractional quantum Hall state [6]. It also arises naturally from Gaussian Grassmann integration, and as such finds applications for example in quantum chaos [7] or lattice quantum field theory [8]. The Pfaffian for a 2n × 2n skew-symmetric matrix is defined as Pf(A) = 1 2nn! sgn(σ) aσ(2i−1),σ(2i) (3) where S2n is the group of permutations of sets with 2n elements. The Pfaffian of an odd-dimensional matrix is defined to be zero, as in this case also det(A) = 0 (det(A) = det(AT ) = det(−A) = (−1)2n−1 det(A)). While Eq. (3) can be used to compute the Pfaffian directly for small matrices, its computational cost O(n!) is prohibitively expensive for larger matrices. Analogous to the numeric computation of the determinant, a promising strategy is thus to find a transformation of the original matrix into a form that allows an easier evaluation of the Pfaffian. Particularly useful in this context is the recursive definition of the Pfaffian, 2n(cid:88) (−1)ia1iPf(A1i) , i=2 Pf(A) = (4) where A1i is the matrix A without the rows and columns 1 and i. (Note that the Pfaffian of a 0 × 0 matrix is defined as 1). Further, for an arbitrary 2n × 2n real or complex matrix B, Pf(BABT ) = det(B)Pf(A) . (5) 1 1 0 2 r p A 6 ] l l a h - s e m . t a m - d n o c [ 2 v 0 4 4 3 . 2 0 1 1 : v i X r a From the recursive definition of the Pfaffian (4) it is obvious that the Pfaffian of a 2n×2n skew-symmetric tridiagonal matrix T = is given as 0 −a1   a1 0 −b1 b1 0 −a2 a2 . . . . . . . . . 0 −bn−1 n(cid:89) i=1 ai . Pf(T ) = bn−1 0 −an an 0 2 (6) (7) (8) Furthermore, a closer inspection of Eq. (4) shows that also a matrix that has only a partial tridiagonal form with tij = tji = 0 only for odd i and j > i + 1 (i.e. a matrix that would be tridiagonal, if every even row and column would be removed), 0 −a1  T = a1 0 t23 −t23 0 −t24 −a2 −t25 ... t24 a2 . . . . . . t25 . . . 0 . . . t2n−2,2n−1 t2n−2,2n −t2n−2,2n−1 −t2n−2,2n 0 −an an 0  allows for an easy evaluation of the Pfaffian, as Pf( T ) = Pf(T ). Our goal is therefore to find for a skew-symmetric matrix A a suitable transformation B such that A = BT BT (9) with T tridiagonal or tridiagonal in every odd row and column. It has been known for a while that the Pfaffian of a skew-symmetric n × n matrix A can be computed in O(n3) time, using a skew-symmetric form of Gaussian elimination (adding multiples of rows and columns in a symmetric fashion) [4, 9–11]. Such an skew-symmetric Gaussian elimination computes a factorization of the matrix in the form (9) with B = P L where P is a permutation matrix and L a unit lower triangular matrix. For brevity, we will refer to this type of decomposition as LT LT decomposition. Gaussian elimination requires pivoting for numerical stability, hence the need for the permutation P . Below, we will formulate this approach in a way that allows for an efficient computer implementation. Another Gaussian based elimination technique is the LDLT decomposition where A is reduced to D, a matrix with only skew-symmetric 2 × 2-blocks on the diagonal [12, 13]. This approach has also been suggested for computing the Pfaffian recently [5, 14]. We will not persue this approach here, but show that the LT LT decomposition allows for computing the Pfaffian in the same number of operations and can be formulated more easily to use level-3 matrix operations. As an alternative to the Gaussian elimination based techniques, we also develop algorithms using unitary (orthogonal in the real case) transformations that are also known to allow for a stable numerical computation in O(n3) for dense matrices. This approach doe not require pivoting for numerical stability and can more easily make use of the bandedness of a matrix. We will describe how to compute a unitary matrix Q such in order to tridiagonalize (either fully or partially) A, (10) or equivalently T = Q†AQ∗, where † denotes the Hermitian conjugate and ∗ complex conjugation. Note that such a unitary congruence transformation is for the complex case quite different from the usual unitary similarity transfor- mations usually encounters, which are of the form A = QT Q†. In the real case, the transformation reduces to the usual orthogonal similarity transformation. A = QT QT , B. Tridiagonalization and the canonical form of skew-symmetric matrices Apart from computing allowing for an efficient computation of the Pfaffian, the tridiagonal form of a skew-symmetric matrix under unitary congruence is also relevant for computing the canonical form of this matrix. A skew-symmetric matrix has a particularly simple canonical form under a unitary congruence transformation. For every skew-symmetric matrix A there exists a unitary matrix U such that [15, 16] 3 where rank(A) = 2k, ⊕ denotes the direct sum, and A = U ΞU T , where Ξ = Σ1 ⊕ Σ2 ⊕ ··· ⊕ Σk ⊕ 0 ⊕ ··· ⊕ 0 (cid:19) (cid:18) 0 σj −σj 0 Σj = , σj > 0. This canonical form has been used in the physics context for example to prove the Kramer’s degeneracy of transmission eigenvalues [17] and the degeneracy of Andreev reflection eigenvalues [18]. The problem of computing the canonical form of an even-dimensional skew-symmetric tridiagonal matrix has been discussed in [19–21], the reduction of the problem with on odd-dimensional matrix to the even-dimensional case in [19]. For a 2n × 2n skew-symmetric tridiagonal matrix as defined Eq. (6), the values of σi, i = 1..k are given by the k non-zero singular values of the bidiagonal matrix a1 −b1 (11) (12) (13)  J = a2 −b2 . . . . . . an−1 −bn−1 an  . For completeness, we give details and a self-contained derivation in appendix A. The canonical form of a skew-symmetric matrix under unitary congruence is also connected to certain eigenvalue problems: In the real case, the eigenvalues of A are given by ±iσj. In the complex case, the matrix A∗A = −A†A has doubly degenerate eigenvalues σ2 j . C. Skew-symmetric tridiagonalization and existing approaches Both the computation of the Pfaffian and of the canonical form are ultimately connected to the problem of tridiag- onalizing a skew-symmetric matrix. Here we give an overview of existing solutions (with implementations) that could be used to solve parts of the problem, and discuss the need for a new comprehensive implementation. For real skew-symmetric matrices, the unitary congruence transformation reduces to an ordinary orthogonal sim- ilarity transformation and hence established decompositions can be used [20]: The Hessenberg decomposition of a skew-symmetric matrix reduces to tridiagonal form (6), and the real Schur decomposition to the canonical form (11) (implemented, for example in LAPACK [22]). However, none of these decompositions make use of the structure of the problem which would be desirable for precision and speed, nor can they be used for complex skew-symmetric matrices. Ward and Gray have developed and implemented algorithms to compute the tridiagonal form and the eigenvalues (and as an intermediate step, the canonical form) of a real dense, skew-symmetric matrix, making use of the structure of the problem [23]. A complex version is however not available. The accompanying Matlab code [24] to [13] contains a skew-symmetric LDLT decomposition that can be used to compute Pfaffians, but according to the authors is not designed for efficiency. Very recently, Gonz´alez-Ballestero, Robledo and Bertsch have developed a library for the numerical computation of the Pfaffian of a dense skew-symmetric matrix [25], but do not give access to the transformation matrix (e.g. needed for computing the canonical form). They present algorithms based on a LDLT decomposition (called Aitken block diagonalization in [25]) and on Householder tridiagonalization. However, their approach does not make use of the full symmetry of the problem. None of the existing approaches (with the exception of LAPACK that does not exploit the skew-symmetry of the problem) makes use of block algorithms that are rich in level-3 operations and desirable for a more favorable memory access pattern. Below we will show that such block algorithms can give rise to a considerable increase in speed. Moreover, none of the above approaches makes use of the sparsity of a banded matrix, a structure that however often arises in practice. Below we will also consider this case in particular. The goal of this work is thus to develop and implement algorithms for tridiagonalizing a real or complex skew- symmetric matrix, making use of the skew-symmetry and possibly the bandedness of the matrix. D. Outline 4 The remainder of the paper is organized as follows. In Sec. II we discuss algorithms to tridiagonalize a dense or banded skew-symmetric matrix using Gauss transformations, Householder reflections and Givens rotations. Further, in Sec. III we discuss the details of our implementation, and present benchmarks and an exemplary application in Sec. IV. In the appendix, we give a self-contained derivation on the computation of the canonical form of a tridiagonal, skew-symmetric matrix. Moreover, we discuss blocked versions of our tridiagonalization algorithms for dense matrices and give technical details about the Fortran implementation. II. SKEW-SYMMETRIC NUMERICAL TRIDIAGONALIZATION A. Statement of the problem Summarizing the discussion above, for a given skew-symmetric n× n matrix A we seek a (invertible) transformation B such that A = BT BT with T in tridiagonal form tridiagonal (or in partial tridiagonal for). Below we consider first an algorithm for dense matrices based on Gauss transformations requiring pivoting. Then we focus on algorithms bases on unitary transformations where we consider both dense and banded matrices. The discussion is presented for the case of complex matrices, but it carries over to the real case unchanged. B. LT LT decomposition of dense matrices using the Parlett-Reid algorithm For symmetric or Hermitian matrices there exist efficient algorithms to compute a LT LT or LDLT decomposition (for an overview, see [20]). It has been shown by Bunch that those decompositions can in principle also be generalized and computed stably for skew-symmetric matrices [12]. Below we reformulate the algorithm for the LT LT decom- position of a symmetric matrix due to Parlett and Reid [26] such that it is suitable for skew-symmetric matrices. The Parlett-Reid algorithm is usually not the method of choice in the symmetric case, as there are more efficient alternatives [27, 28]. However, as we will discuss below, the Parlett-Reid algorithm can be used to compute the Pfaffian just as effective. A n × n matrix of the form Mk = En − αk(e(n) k )T the k-th unit vector in Cn, is called a Gauss transformation if the where En is the n × n identity matrix and e(n) first k entries of αk are zero. Given a vector x = (x1 . . . xn)T and taking αk = (0 . . . 0 xk+1/xk . . . xn/xk)T , Mk can be used to eliminate the entries k + 1 . . . n in x, Mkx = (x1 . . . xk 0 . . . 0), provided that xk (cid:54)= 0. A Gauss transformation can thus be used to zero the entries in a column or row of A below a chosen point k. In order to avoid divisions by a small number or zero, a permutation Pk interchanging entry k with another nonzero, typically the largest entry in k + 1 . . . n is performed. The numerical stability of this pivoting strategy is discussed in [12]. (14) k Hence, a series of Gauss transformations and permutations can be used to tridiagonalize a skew-symmetric matrix A. To demonstrate the mechanism, assume that after applying k − 1 Gauss transformations and permutations, the matrix A(k−1) = MkPk . . . M2P2 A P T k is already in tridiagonal form in the first k− 1 columns and rows and hence has the form 2 . . . P T 2 M T k M T A11 A12 A21 0 0 A23 0 A32 A33  k − 1 1 n − k A(k−1) = (15) with A11 ∈ Ck−1×k−1, A21 ∈ C1×k−1, A32 ∈ Cn−k×1, A33 ∈ Cn−k×n−k, and A12 = −AT 32 (transforma- tions of the form BABT maintain skew-symmetry). Now choose a permutation matrix Pk+1 such that the maximal en- try in A32 = (ak+1 . . . an)T is permuted to the top, i.e. Pk+1A32 = (ak+1 . . . an)T where ak+1 = max(ak+1 , . . .an). If the maximal element at this step is zero, A32 = 0 and A(k−1) is already tridiagonal in the first k columns and we set Mk+1 = Pk+1 = En. Otherwise, we take Pk+1 = diag(Ek, Pk+1) and Mk+1 = diag(Ek, Mk+1) with 21, A23 = −AT  0 × × 0 × (cid:0) (cid:0) (cid:0) × 0 × × × (cid:0) × 0 × × (cid:0) × × 0 × (cid:0) × × × 0  5 FIG. 1: Example of the structure induced by applying a Gauss or Householder transformation from left and right. × represents nonzero entries and (cid:0) those entries that are zeroed by the Gauss or Householder transformation. In this example the first column and row have already been reduced, and the transformation is applied to A(1) from the left and the right. The parts of the matrix that are changed in the process are marked with a frame. Mk+1 = En−k − αk+1(e(n−k) 1 )T with αk+1 = (0 ak+2/ak+1 . . . an/ak+1)T . Then we obtain  . (16) A11 A21 0 A(k) = Mk+1Pk+1A(k−1)P T k+1M T k+1 = A12 0 Mk+1 Pk+1A32 0 A23 P T M T Mk+1 Pk+1A33 P T k+1 k+1 M T k+1 k+1 Then Mk+1 Pk+1A32 ∝ e(n−k) 1 we find and A(k) is tridiagonal in its first k rows and columns. Defining w = Pk+1A33 P T k+1e(n−k) 1 Mk+1 Pk+1A33 P T k+1e(n−k) k+1 M T k+1 = Pk+1A33 P T k+1 + αk+1wT − wαT k+1 . (17) 1 )T Pk+1A33 P T The cross-term (e(n−k) vanishes due to the skew-symmetry of A33. Note that in this skew- symmetric outer product update, the matrix Pk+1A33 P T k+1 remains actually unchanged in the first column and row due to the structure of αk+1 and w. The outer product update is dominating the computational cost of each step and can be computed in 2(n − k)2 flops, if the symmetry is fully accounted for. Fig. 1 shows the structure of a tridiagonalization step schematically for a particular example. 1 After n − 2 steps, the decomposition can be written as P AP T = LT LT (18) with permutation P = Pn−1 . . . P2, skew-symmetric tridiagonal T = Mn−1Pn−1 . . . M2P2 A P T lower unit triangular matrix 2 M T 2 . . . P T n−1M T n−1, and L = (Mn−1Pn−1 . . . M2P2P T ) −1 . (19) As in the symmetric Parlett-Reid algorithm, the first column of L is e(n) permuted version of αk. 1 , and the k-th column below the diagonal a The computation of the updated matrix, Eq. (17), is a level-2 matrix operation. It is possible to regroup these updates in a way that allows to operate with level-3 matrix operations that have a more favorable memory access pattern. The details of this block version of the Parlett-Reid algorithm are given in appendix B. The full skew-symmetric LT LT decomposition can be computed in 2n3/3 flops. It is however readily generalized to compute only a partial tridiagonal form as in Eq. (8) by skipping every other row and column elimination. This partial LT LT decomposition can thus be computed in n3/3 flops. Since det(L) = 1 and det(P ) can be computed in n steps, computing the Pfaffian of a skew-symmetric matrix with the Parlett-Reid algorithm thus requires a total of n3/3 flops. This is a factor of 10 less than the unsymmetric Hessenberg decomposition. For computing a full tridiagonalization, the Parlett-Reid algorithm requires twice as many flops as other approaches: Aasen’s algorithm [27] computes a (complete) LT LT decomposition using a different order of operations in n3/3 flops, as does the Bunch-Kaufmann algorithm [28] for computing a (complete) LDLT decomposition. Both algorithms can be generalized to the skew-symmetric case. Given the fact that computing the Pfaffian requires less information than a full tridiagonalization, it might seem feasible to compute the Pfaffian in n3/6 flops. However, neither Aasen’s algorithm (which is based on the fact that T LT is upper Hessenberg and hence T fully tridiagonal), nor the Bunch- Kaufmann algorithm (which relies on the block-diagonal structure of D) are easily amended to compute a suitable partial factorization. Thus, for computing the Pfaffian, the Parlett-Reid algorithm is competitive. It remains an open question if it is possible to compute the Pfaffian of a dense skew-symmetric matrix in less than n3/3 flops. C. Tridiagonalization of dense matrices with Householder reflections Dense symmetric or Hermitian matrices are commonly reduced to tridiagonal form by Householder transformations [20], and we adopt this approach to the skew-symmetric case here. An order m Householder transformation H is a matrix of the form where τ ∈ C and v ∈ Cm chosen such that H = 1 − τ vv† 6 Hx = αx2e(m) is the first unit vector in Cm and α ∈ C. For for a given x ∈ Cm. Here · 2 denotes the norm of a vector, e(m) example, α = −eiφ, if one chooses v = x + eiφx2e(m) 1 when x1 = eiφx1, but there is a certain degree of freedom in choosing the Householder vector v which can be exploited to maximize stability (for an overview, see [29]). Note that H is unitary (though not necessarily Hermitian) and can also be numerically calculated such that it is unitary up to machine precision [20]. 1 1 Householder transformations can thus be applied to a matrix to zero all the elements of a column (or row) below a chosen point, just as Gauss transformations, but without the need for pivoting. As a consequence, the structure of the tridiagonalization procedure is analogous to the LT LT decomposition. Assume that after step k − 1 the matrix Hk−1 . . . H1AH T k−1 is already tridiagonal in the first k − 1 columns and rows and partitioned as defined in Eq. (15). Then an order n − k Householder matrix Hk is chosen such that HkA32 ∝ e(n−k) and the full transformation is set to Hk = diag(Ek, Hk). Writing Hk = 1 − τ vv† and defining w = τ A33v∗ we find 1 . . . H T 1 HkA33 H T k = A33 + vwT − wvT . (20) The main difference to the LT LT decomposition is the fact that the computation of w now involves a full matrix-vector multiplication. Hence, the total computational cost of the outer product update in Eq. (20) is 4(n − k)2 flops. The structure of a Householder tridiagonalization step is also shown schematically in Fig. 1. The outer product updates of Eq. (20) can be rearranged to increase the fraction of level-3 matrix operations. The block version of the Householder algorithm is detailed in App. B. Complete tridiagonalization with Householder matrices requires in total 4n3/3 flops. This can reduced to 2n3/3 for computing the Pfaffian by skipping every other row/column elimination to compute only a partial tridiagonal form. For the computation of the Pfaffian we also need to compute the determinant of the transformation matrix Q = † 1H † n−2. The determinant of a single Householder transformation H† = 1 − τ∗vv† is given as † 2 . . . H H † det(H (21) ∗v†v . ) = 1 − τ For the particular choice τ = 2/v†v, det(H) = det(H†) = −1, i.e. P is a reflection, but other choices of τ are equally viable. In particular, if the matrix is already tridiagonal in certain column and row (which can happen frequently for very structured matrices), it is advantageous to use H = En. Moreover, any complex skew-symmetric matrix may be reduced to a purely real tridiagonal matrix using appropriate Householder transformations with complex τ [29]. Because of this, the determinant of each Householder reflection must be computed separately. The task of computing det(Q) still only scales as ∝ n2 and is thus negligible compared to the tridiagonalization cost. In summary, for computing the Pfaffian Householder tridiagonalization is twice as costly as the Parlett-Reid al- gorithm and thus usually not competitive. It has however a right on its own given its connection to computing the canonical form of a skew-symmetric matrix. D. Tridiagonalization of band matrices with Givens rotations The dense algorithms of the previous two sections are not easily amended to matrices with a finite band width. In the case of the Parlett-Reid algorithm, the symmetric pivoting can lead to an uncontrolled growth of the band width depending on the details of the matrix. In the Householder tridiagonalization, the outer product matrix update always introduces values outside the band, leading to a fast-growing band width. For symmetric matrices, LT LT or LDLT decomposition algorithms respecting the band width have only been introduced recently [30, 31]. In contrast, banded tridiagonalization with unitary transformations is well established for symmetric matrices, and we adopt this approach for the skew-symmetric case below. Gi,j =  i j  1 ··· 0 ... ... . . . 0 ··· c ... ... 0 ··· −s∗ ... ... 0 ··· 0 (cid:19)(cid:18)xi (cid:18) c −s∗ c xj s ... ... ··· 0 ··· 0 ... ··· s ··· 0 ... . . . ··· c ··· 0 ... ··· 0 ··· 1 . . . ... (cid:19) (cid:18)xi (cid:19) 0 = (22) (23) 7  0 × × (cid:0) × 0 × × × × × 0 × × × (cid:2) (cid:0) × × 0 × × × × × × 0 × × × × × × 0 × × (cid:2) × × × 0 × × × × 0  . . . FIG. 2: Example for the structure induced by applying a Givens rotation G2,3 to a skew-symmetric, banded matrix from the left and right: × denotes nonzero entries, (cid:0) the entry that is eliminated by the Givens transformation, and (cid:2) the entries that are introduced outside band (fill-in). The Givens rotation only affect the second and third row and column (marked by frames). Instead of zeroing a whole column or row as is done in the Householder approach, for banded matrices it is of advantage to use a more selective approach. The method of choice for this case in the symmetric or Hermitian case are Givens rotations [32], and we will extend this approach to th skew-symmetric case. A Givens rotation Gi,j is a modification of the identity matrix that is only different in the ith and jth row and column. It is defined as with c ∈ R, s ∈ C and c2 + s2 = 1 and thus clearly unitary. Choosing c and s such that it is possible to selectively zero one element of a vector. Again, a Givens rotation can be computed numerically such that it is orthogonal up to machine precision. A banded skew-symmetric matrix can be brought into tridiagonal form by Givens rotations of the form Gi,i+1. The structure induced in the process of applying Gi,i+1 from the left and right is shown schematically in fig. 2. Applying a Givens rotation Gi,i+1 (GT i,i+1) from the left (right) only modifies the ith and (i + 1)th rows (columns). Due to the skew-symmetry, if Gi,i+1 zeroes the (i + 1) entry in column j, GT i,i+1 zeroes the (i + 1) entry in row j. Furthermore, each Givens rotation only introduces at most one additional nonzero entry outside the band in a row and column k > i + 1. This nonzero entry can thus be moved further down the band by a sequence of Givens transformations until it is “chased” beyond the end of the matrix. The structure of the skew-symmetric tridiagonalization routine is thus identical to the symmetric or Hermitian case. The main difference is in the update of the diagonal 2 × 2-block that is affected by both Givens rotations from left and right: Due to the skew-symmetry, the diagonal blocks are invariant under these transformations, (cid:18) c −s∗ c s (cid:19)(cid:18) 0 a −a 0 (cid:19)(cid:18)c −s∗ (cid:19) s c (cid:18) 0 a (cid:19) −a 0 = . (24) Kaufman [33, 34] has presented a variant of the symmetric band matrix approach of Ref. [32] that allows to work on more data in a single operation, which allows a more favorable memory access pattern. These modifications carry over unchanged to the skew-symmetric case. The tridiagonalization of an n × n skew-symmetric matrix with bandwidth b using Givens transformations scales as O(bn2). The determinant of any single Givens rotation det(Gi,j) = 1, and thus the determinant of the full transformation det(Q) = 1, too. In the complex case the resulting tridiagonal matrix can be chosen to be purely real, in this case the determinant of total unitary transformations (the Givens transformations and row/columns-scalings with a phase factor) obey det(Q) = 1. 8 SKTRF Skew-symmetric tridiagonal decomposition of a dense matrix using the block Parlett-Reid algorithm. SKTF2 Skew-symmetric tridiagonal decomposition of a dense matrix using the Parlett-Reid algorithm (unblocked version). SKTRD Skew-symmetric tridiagonalization of a dense matrix using block Householder reflections. SKTD2 Skew-symmetric tridiagonalization of a dense matrix using Householder reflections (unblocked version). SKPFA Compute the Pfaffian of a dense skew-symmetric matrix (makes use of either SKTRD SKPF10 Compute the Pfaffian of a dense skew-symmetric matrix (makes use of either SKTRD or SKTRF). or SKTRF). The result is returned as a × 10b to avoid over- or underflow. SKBTRD Skew-symmetric tridiagonalization of a banded matrix using Givens rotations. SKBPFA Compute the Pfaffian of a banded skew-symmetric matrix (makes use of SKBTRD). SKPF10 Compute the Pfaffian of a banded skew-symmetric matrix (makes use of SKBTRD).The result is returned as a × 10b to avoid over- or underflow. TABLE I: Overview of the computational routines in the Fortran implementation. In the Fortran77 interface the routine name must be preceded by either S (single precision), D (double precision), C (complex single precision), or Z (complex double precision). III. NOTES ON THE IMPLEMENTATION A. Fortran We have implemented the algorithms described in this manuscript as a comprehensive set of Fortran routines for real and complex variables as well as single and double precision. Because of the conceptional similarity of the skew-symmetric problem to the symmetric and Hermitian problem, these routines are designed analogous to to the corresponding symmetric and Hermitian counterparts in LAPACK. Moreover, our implementation also makes use of the LAPACK framework for computing, applying, and accumulating Householder and Givens transformations, which was designed for numerical stability and which is available in an optimized form for any common computer architecture. Dense skew-symmetric matrices are stored as ordinary two-dimensional Fortran matrices, but only the strictly lower or upper triangle needs to be set (for differences in the implementation between lower and upper triangular storage see App. C). For banded skew-symmetric matrices, only the strictly upper or lower diagonals are stored in a K × N array AB, where K is the number of non-zero off-diagonals and N the size of the matrix. The j-th column of the matrix A is stored in the j-th column of AB as • AB(K + 1 + i − j, j) = Ai,j for max(1, j − K) <= i <= j, if the upper triangle is stored, • AB(1 + i − j, j) = Ai,j for j <= i <= min(N, j + kd), if the lower triangle is stored. Note that in this scheme, also the zero diagonal is explicitly stored. This was done to keep the design identical to the storage scheme of symmetric and Hermitian band matrices in LAPACK. Our library includes stand-alone routines for the tridiagonalization of a skew-symmetric dense matrix (SKTRF and SKTF2 using the Parlett-Reid algorithm, SKTRD and SKTD2 using the Householder approach) and banded matrices (SKBTRD). We also include functions to compute the Pfaffian of a skew-symmetric dense (SKPFA and SKPF10) and banded matrices (SKBPFA and SKBPF10), which are based on the tridiagonalization functions. As the determinant, the Pfaffian of a large matrix is prone to floating point over- or underflow. Because of that, we have included routines that return the Pfaffian in the form a× 10b, where a is real or complex, and b is always real and integer (SKPFA10 and SKBPF10). Both a Fortran95 and a Fortran77 interface are provided. In the Fortran77 version of the code the routine name is preceded by either S (single precision), D (double precision), C (complex single precision), or Z (complex double precision). The computational routines and their purpose are summarized in Table I. The block versions of the algorithm have an internal parameter controlling the block size. By default, the routines use the same block sizes as their symmetric counterpart from the LAPACK library. However, this internal parameter may be changed by the user to optimize for a specific architecture. Apart from the documentation here, all routines (including the auxiliary ones) are documented extensively in the respective files. Due to our routines using LAPACK and BLAS, both libraries must be also linked. Block Parlett- Reid Regular Parlett- Reid Block House- holder Regular House- holder Givens for band matrix DGEHRD (Lapack) [22] TRIZD from [23] PfaffianH from [25] PfaffianF from [25] 9 (a) benchmark for AMD Opteron 6174 2.2 Ghz (b) benchmark for Intel Core 2 Duo E8135 2.66 Ghz real 3000 × 3000, real 3000 × 3000, banded, k = 100 dense complex 2000 × 2000, complex 2000 × 2000, dense banded k = 100 real 3000 × 3000, real 3000 × 3000, banded, k = 100 dense complex 2000 × 2000, complex 2000 × 2000, dense banded, k = 100 5.1 0.9 3.5 0.8 5.9 0.7 4.4 0.7 9.4 9.3 7.6 7.6 3.5 0.7 3.5 0.8 8.3 0.5 5.0 0.7 8.4 8.4 7.5 7.5 10.5 10.5 8.2 8.1 12.4 12.2 8.3 8.2 - 2.1 - 2.0 - 1.4 - 3.0 24.7 24.8 - - 30.7 30.4 - - 383.4 383.2 - - 105.3 105.4 - - 54.5 54.3 32.2 31.9 76.3 75.9 48.3 49.3 120.8 121.7 50.1 50.2 49.4 48.5 28.3 26.3 TABLE II: Benchmark comparison of the implementation of this work and other numerical approaches to compute the Pfaffian of a skew-symmetric matrix. The table shows the time needed to compute the Pfaffian for the various methods (time given in seconds) on two different architectures [(a) and (b)]. The first five columns of benchmark results correspond to the methods discussed in this work. For the banded matrices, k denotes the strictly upper or lower bandwidth, the full bandwidth is hence 2k + 1. B. Python, Matlab and Mathematica While most compiled languages (including C and C++) are easily interfaced with a Fortran library, interpreted languages such as Python or programs such as Matlab or Mathematica require somewhat more effort. For this reason we have included stand-alone versions of the tridiagonalization of dense skew-symmetric matrices using Householder reflections implemented in Python, Matlab and Mathematica. Those implementations, being of course slower than the Fortran counterpart, are useful especially for situations where speed is not critical. Both implementations also make use of the fact that for computing the Pfaffian, only the odd rows and columns need to be tridiagonalized, but always work on the full matrix instead of a single triangle. Again, more extensive documentation for both implementations may be found in the respective files. IV. EXAMPLES A. Benchmarks To demonstrate the effectiveness of our methods, we have performed benchmark computations of the Pfaffian of large, random matrices on various architectures. In Table II we compare our approach with the other available software that can also be used to calculate the Pfaffian in certain situations (see Sec. I C). For this benchmark we have compiled our Fortran implementation, and the implementations of Refs. [23] and [25] using the same compiler and compilation options, and chose a machine-optimized version of the LAPACK library [22]. From the benchmark results we can see that the block approach is always faster than the unblocked version. The relative speed-up depends strongly on the architecture, but can reach up to 60%. We also observe that the relative speed-up of the Parlett-Reid algorithm is larger than of the Householder tridiagonalization, reflecting the fact that the level-3 content of the former is larger (see App. B). For the banded random matrices we observe that the Parlett-Reid algorithm performs surprisingly well. Although it is not designed to make use of the bandedness of the matrix, the implementation of the skew-symmetric outer product update takes into account zeros in the vectors of the update. The Householder tridiagonalization does not benefit as much, as for the matrices here the band width growth in the Householder approach is faster than in the Parlett-Reid algorithm. It should be stressed however that the performance of these algorithms in the banded case depends on the 10 actual values of the matrix. For example, band width growth is stronger in the Parlett-Reid algorithm, if the largest entries sit at the edge of the band. The specialized approach for banded matrices using Givens transformations is still slightly slower than the Parlett- Reid algorithm for the matrix sizes considered here. The main benefit of the specialized algorithm for Pfaffian calculations is hence its much lower memory requirement. In fact, typically memory limits the matrix sizes that can be handled, not computational time. The banded Givens-based approach however is considerably faster than the Householder tridiagonalization which makes it very attractive for computing the canonical form (or eigenvalues in the real case). Comparing to other approaches, we observe that our routines are always faster, typically by a factor of about 10 or more. In particular in the real case, our specialized approach is considerably faster than using the real Hessenberg reduction, although we do not always reach the full speed-up of a factor of 10 that can be expected from the operation count, which is due to the optimization of the LAPACK library used. The implementation for real matrices of Ref. [23] is particularly slow as its memory access pattern is somewhat unfavorable for modern computer architectures. B. Application: topological charge of a disordered nanowire Finally, we apply our approach to computing the Pfaffian to a physical example, namely the numerical computation of the topological charge of a disordered nanowire. A nanowire made out of a topological superconductor supports at its two ends Andreev bound states pinned at the Fermi energy [1, 35–38]. Because of particle-hole symmetry, those states are Majorana fermions – particles that are their own anti-particle – and may allow for topologically protected quantum computing [1]. In contrast, an ordinary (trivial) superconducting wire does not support such states. The recent proposal to realize a topological superconductor using ordinary semiconducting and superconducting materials [36–38] has stirred a lot of interest towards Majorana physics in condensed matter. A topological charge Q is a quantity that indicates whether a system is in the trivial or topological state, and hence signifies the absence or existence of Majorana bound states. A superconducting system exhibits particle-hole symmetry which allows the Hamiltonian to be written in the form [1] (cid:88) i,j H = i 4 Aijcicj (25) where A is a skew-symmetric matrix, and ci, cj Majorana operators with c i = 1 and cicj + cjci = δij. Below we further specialize to the case where particle-hole symmetry is the only remaining symmetry, i.e. broken time-reversal and spin-rotation symmetry, which puts the system into class D of the general symmetry classification scheme [39]. † i = ci, c2 Kitaev has shown that for a translationally invariant wire, Q = sign Pf[A(0)]Pf[A(π/auc)] (26) is a topological charge that signifies the absence (Q = 1) or existence (Q = −1) of Majorana bound states at the ends. In this expression, A(k) is the Hamiltonian in (Bloch) momentum space written in the Majorana basis. A(k) is a matrix with a size corresponding to the size of the unit cell, the unit cell length is denoted by auc. Note that the Pfaffian needs only be evaluated at two values of momenta which correspond to closing the unit cell with periodic (k = 0) and anti-periodic (k = π/auc) boundary conditions. For a clean system, the size of A(k) is ∝ W , where W is the width of the wire, and Eq. (26) has been used previously to compute the topological charge [1, 36]. A disordered system can be considered (up to finite size effects, see below) in Eq. (26) as a large, disordered supercell repeated periodically. In this case, the size of A(k) is ∝ W L, where L is the length of the supercell. This implies that A(k) in a disordered system will be a very large matrix, and we are not aware of any application of Eq. (26) for such as system. However, the sparse structure of A, in particular its bandedness, allows the application of the special algorithms developed in this work, and allows for the first time applying (26) to large, disordered systems. Recently, an alternative definition of the topological charge for class D systems has been shown [40]. In contrast to Eq. (26) which is based on properties of the Hamiltonian, this alternative definition is based on transport properties: where r is the reflection matrix. This definition is equally applicable to clean and disordered systems. Below we show numerically the equivalence of the definitions (26) and (27). Q = sign det r , (27) 11 FIG. 3: Topological charge of a semiconductor nanowire in proximity to a superconductor for various disorder strengths as a function of the Fermi energy, computed from the Pfaffian of the Hamiltonian in the Majorana basis (26) (solid lines) and from the determinant of the reflection matrix (27) (dashed lines). Parameters of the calculation were W = lso, L = 10lso, a = lso/20, ∆ = 10Eso, and geff µBB = 21. For this we use the model of Refs. [36, 37] with a normal state Rashba Hamiltonian H0 = p2 2meff + U (r) + αso  (σxpy − σypx) + 1 2 geff µBBσx , (28) where meff is the effective mass of the two-dimensional electron gas, α the Rashba spin-orbit coupling, and geff µBB the Zeeman splitting due to an external magnetic field. Characteristic length and energy scales are lso = 2/meff αso and so/2. The electrons are then confined into a nanowire of width W and length L in the x − y-plane. For Eso = meff α2 the numerical treatment, the Hamiltonian is discretized on a square grid with lattice constant a and thus represented by a matrix Hij,µν, where i, j denote lattice sites, and µ, ν the spin degrees of freedom. Disorder is introduced as a random on-site potential taken from the uniform distribution [−U0, U0]. The Hamiltonian of the system in contact with a s-wave superconductor then reads (cid:88) (cid:88) H = † Hij,µνa i,σaj,ν + † † i,↓ + ∆ai,↓ai,↑ , i,↑a ∆a (29) i,j,µ,ν i where ∆ is the proximity-induced pair potential. Defining Majorana operators as c2i−1,µ = 1√ c2i,µ = i√ bandwidth is reduced for the numerics with a bandwidth reduction algorithm [41]). † (ai,µ + a i,µ) and † (ai,µ − a i,µ) we can transform Eq. (29) into the form (25) with a skew-symmetric matrix A (whose 2 2 In Fig. 3 we show the numerical results for the topological charge as defined in Eqs. (26) and (27). For the computation of the Pfaffian in (26) we apply the Givens based method from Sec. II D, for computing the reflection matrix the numerical method of Ref. [42]. In all cases, clean and disordered, both definitions of Q agree very well. In particular, both definitions predict a vanishing of the topological phase for the largest disorder in the region 10 < EF/Eso < 25. There are only very small differences in the exact value of EF where the topological charges change sign. These differences can be explained by finite size effects: At these points the bulk of the nanowire has a significant conductance ( det r (cid:28) 1) which in turn means that the different geometry of Eqs. (26) (infinite repetition of a supercell) and (27) (single supercell connected to metallic leads) matter. In contrast, in the regime where the bulk of the wire is fully insulating ( det r ≈ 1), both definitions agree fully. The algorithmic developments in this work have allowed to evaluate Eq. (26) for a fairly large disordered system. The bandwidth of the respective skew-symmetric matrix A scales ∝ W , and hence the cost of tridiagonalization as ∝ W 3L2. In contrast, the definition of the topological charge from transport properties (27) scales ∝ W 3L [42]. Hence, from a computational viewpoint, Eq. (27) is more favorable. It is thus reassuring that our numerical experiments showed the equivalence of both definitions. We have shown that both the computation of the Pfaffian and the canonical form of a skew-symmetric matrix can be solved easily once the matrix is reduced to skew-symmetric (partial) tridiagonal form. To find this form, we have then V. CONCLUSIONS −50510152025EF/Eso−1−0.500.51sign[Pf(A)],det(r)U0=0U0=60U0=80 12 developed tridiagonalization algorithms based on Gauss transformations, using a skew-symmetric, blocked version of the Parlett-Reid algorithm, and based on unitary transformations, using block Householder reflections and Givens rotations, applicable to dense and skew-symmetric matrices, respectively. These algorithms have been implemented in a comprehensive numerical library, and its performance has been proven to be superior to other approaches in benchmark calculations. Finally, we have applied our numerical method for computing the Pfaffian to the problem of computing the topological charge of a disordered nanowire, showing numerically the equivalence of different methods based on the Hamiltonian or the scattering matrix of the system. MW acknowledges stimulating discussions with F. Hassler, I.C. Fulga, A.R. Akhmerov, C.W. Groth and C.W.J. Beenakker, as well as support from the German academic exchange service DAAD. Acknowledgments Appendix A: The computation of the canonical form of a skew-symmetric matrix The problem of computing the canonical form of an even-dimensional skew-symmetric matrix has been discussed in [19–21] (the odd-dimensional problem can be reduced to an even-dimensional one by a series of Givens rotations [19]). Here we give a self-contained derivation for completeness. A 2n× 2n skew-symmetric matrix A can be reduced to tridiagonal form A = QT QT with Q unitary (orthogonal in the real case) and T tridiagonal as given in Eq. (6). This tridiagonal matrix can be rewritten as (cid:18) (cid:19) T = P J T −J P T (cid:18)1 2 . . . P = 1 3 . . . 2n − 1 n n + 1 n + 2 . . . 2n . . . 2n 4 2 (cid:18)W T (cid:19)(cid:18) (cid:19)(cid:18)W Σ T = P V −Σ (cid:19) P T . V T (cid:19) . (A1) (A2) From the singular value decomposition (SVD) of J = V ΣW , with Σ = diag(σ1, . . . , σk, 0, . . . , 0), σi > 0, k = rank(J), and U , V unitary (orthogonal in the real case) matrices, we then obtain with J as given in Eq. (13) and P the permutation (cid:19) Σ (cid:18) −Σ But since P T P = 1 and P with P T = Ξ with Ξ defined in Eq. (11), we find the canonical form of A as A = U ΞU T (cid:18)W T (cid:19) P T . V U = QP (A3) (A4) (A5) In practice, it suffices to implement the SVD for real J, as any complex matrix can be reduced to real tridiagonal form using unitary transformations. For the computation of the SVD one can make use of the computational routines for bidiagonal matrices from LAPACK [22]. Appendix B: Block versions of the Parlett-Reid and Householder tridiagonalization algorithms The application of the Gauss and Householder transformations in the update operations Eqs. (17) and (20) are inherently level-2 matrix operations. It is however possible to accumulate transformations and apply those simul- taneously in a block representations [20] which has a higher level-3 fraction. This procedure is also used for the tridiagonalization of symmetric matrices [43] and we describe its application to the skew-symmetric case below. Both algorithms are based on transformations of the form and the update operation is of the form with wk = A(k−1)uk. En − vkuT k , A(k) = A(k−1) + vkwT k − wkvT k , Assume that the matrix after the k-th update is given as A(k) = A + VkW T k − WkV T k 13 (B1) (B2) (B3) where Vk and Wk are n × k-matrices. For k = 1, V1 = v1 and W1 = w1. The k + 1-th update can then be written as A(k+1) = A(k) + vk+1wT = A + VkW T = A + Vk+1W T k − WkV T k+1 − W T k+1 − wk+1vT k+1 k + vk+1wT k+1V T k+1 , k+1 − wk+1vT k+1 where Vk+1 = (Vk, vk+1) and Wk+1 = (Wk, wk+1), and wk+1 = A(k)uk+1 = Auk+1 + VkW T k uk+1 − WkV T k uk+1 (B4) (B5) can also be computed without forming A(k) explicitly. Of course, while it may not be necessary to compute the full A(k) explicitly, the determination of the vectors vk+1 and uk+1 requires the knowledge of the k + 1-th row or column of A(k). In practice, the matrix A is therefore partitioned into panels of r rows and columns. r successive Householder reflections are then computed and applied one by one to the r rows and columns in the current panel, but not to the remaining matrix. Instead, they are accumulated as described above and the remaining part of the matrix is updated in one block update of the form (B3) which is rich in level-3 matrix operations. In the case of the Parlett-Reid algorithm, uk is a unit vector and hence the computation of wk has little cost. In this case the computational cost is dominated by the outer product update and hence the block version consists almost entirely of level-3 matrix operations. This is not the case for the Householder tridiagonalization where the matrix-vector multiplication to compute wk remains inherently a level-2 matrix operation. Appendix C: Upper versus lower triangle storage in the Fortran implementation In order to make full use of the skew-symmetry of the problem, it is essential that an algorithm only works with either the lower or upper triangle of the matrix. This is done in the Fortran implementation. However, in this case it is also mandatory to use mainly column instead of row operations, as Fortran matrices are stored contiguously in memory column-by-column. For this reason, the Fortran code implements the algorithms described in this paper verbatimly only if the lower triangle of the matrix is provided. Below we briefly describe the differences when the upper triangle is used. Instead of starting the tridiagonalization in the first column of the matrix, the versions using the upper triangle start in the last column. If a partial tridiagonalization is computed, it is not of the form (8), but has tij = tji = 0 only for i even and j < i − 1. This amounts to interchanging rows and columns 1 and n, 2 and n − 1, . . . , n/2 and n/2 + 1 in Eq. (8). However, since the determinant of this permutation is equal to one, the value of the Pfaffian does not change. In the case of the Parlett-Reid algorithm, a U T U T decomposition is computed, where U is an upper unit triangular matrix. [1] A. Y. Kitaev, Physics-Uspekhi 44, 131 (2001). [2] L. Fu and C. L. Kane, Phys. Rev. B 76, 045302 (2007). [3] I. C. Fulga, F. Hassler, A. R. Akhmerov, and C. W. J. Beenakker, arXiv:1101.1749v1. 14 [4] M. Bajdich and L. Mitas, Acta Phys. Slov. 59, 81 (2009). [5] C. K. Thomas and A. A. Middleton, Phys. Rev. E 80, 046708 (2009). [6] G. Moore and N. Read, Nucl. Phys. B 360, 362 (1991). [7] F. Haake, Quantum Signatures of Chaos (Springer, Berlin, Heidelberg, 2004). [8] I. Montvay, Nucl. Phys. B 466, 259 (1996). [9] G. Galbiati and F. Maffioli, Discrete Appl. Math. 51, 269 (1994). [10] G. Rote, pp. 119–135 in Computational Discrete Mathematics (Springer, Berlin, Heidelberg, 2001). [11] W. Krauth, Statistical mechanics: algorithms and computations (Oxford University Press, Oxford, 2006). [12] J. R. Bunch, Math. Comp. 38, 475 (1982). [13] N. J. Higham, Accuracy and stability of numerical algorithms (Society for Industrial and Applied Mathematics, Philadel- phia, 2002). [14] J. Rubow and U. Wolff, A factorization algorithm to compute pfaffians, arXiv:1102.3576v1. [15] L.-K. Hua, Amer. J. Math. 66, 470 (1944). [16] J. W. Stander and N. A. Wiegman, Can. J. Math 12, 438 (1960). [17] J. H. Bardarson, J. Phys. A: Math. Theor. 41, 405203 (2008). [18] B. B´eri, Phys. Rev. B 79, 245315 (2009). [19] R. C. Ward and L. J. Gray, ACM Trans. Math. Software 4, 278 (1978). [20] G. H. Golub and C. F. Van Loan, Matrix Computations, 3rd edition (The John Hopkins University Press, 1996). [21] M. B. Hastings and T. A. Loring, arXiv:1012.1019v1. [22] E. Anderson, Z. Bai, C. Bischof, S. Blackford, J. Demmel, J. Dongarra, J. Du Croz, A. Greenbaum, S. Hammarling, A. McKenney, and D. Sorensen, LAPACK Users’ Guide, 3rd edition (Society for Industrial and Applied Mathematics, Philadelphia, PA, 1999). [23] R. C. Ward and L. J. Gray, ACM Trans. Math. Software 4, 286 (1978). [24] N. J. Higham, The Matrix Computation Toolbox, http://www.ma.man.ac.uk/~higham/mctoolbox. [25] C. Gonz´alez-Ballestero, L. Robledo, and G. F. Bertsch, arXiv:1012.5022v1. [26] B. N. Parlett and J. K. Reid, BIT 10, 386 (1970). [27] J. O. Aasen, BIT 11, 233 (1971). [28] J. R. Bunch and L. Kaufman, Math. Comp. 31, pp. 163 (1977). [29] R. Lehoucq, The computation of elementary unitary matrices, Technical Report 72, LAPACK Working Note, 1995. [30] D. Irony and S. Toledo, SIAM. J. Matrix Anal. & Appl. 28, 398 (2006). [31] L. Kaufman, Numer. Linear Algebra Appl. 14, 237 (2007). [32] H. Schwarz, Numer. Math. 12, 231 (1968). [33] L. Kaufman, ACM Trans. Math. Softw. 10, 73 (1984). [34] L. Kaufman, ACM Trans. Math. Softw. 26, 551 (2000). [35] M. Wimmer, A. R. Akhmerov, M. V. Medvedyeva, J. Tworzyd(cid:32)lo, and C. W. J. Beenakker, Phys. Rev. Lett. 105, 046803 (2010). [36] R. M. Lutchyn, J. D. Sau, and S. Das Sarma, Phys. Rev. Lett. 105, 077001 (2010). [37] Y. Oreg, G. Refael, and F. von Oppen, Phys. Rev. Lett. 105, 177002 (2010). [38] A. C. Potter and P. A. Lee, Phys. Rev. Lett. 105, 227003 (2010). [39] F. Evers and A. D. Mirlin, Rev. Mod. Phys. 80, 1355 (2008). [40] A. R. Akhmerov, J. P. Dahlhaus, F. Hassler, M. Wimmer, and C. W. J. Beenakker, Phys. Rev. Lett. 106, 057001 (2011). [41] N. E. Gibbs, J. William G. Poole, and P. K. Stockmeyer, SIAM J. Num. Anal. 13, 236 (1976). [42] M. Wimmer and K. Richter, J. Comput. Phys. 228, 8548 (2009). [43] J. J. Dongarra, S. Hammarling, and D. C. Sorensen, Block reduction of matrices to condensed forms for eigenvalue computations, Technical Report 2, LAPACK Working Note, 1987.
0907.3585
4
0907
2010-09-07T09:23:21
AFM Dissipation Topography of Soliton Superstructures in Adsorbed Overlayers
[ "cond-mat.mes-hall", "cond-mat.stat-mech" ]
In the atomic force microscope, the nanoscale force topography of even complex surface superstructures is extracted by the changing vibration frequency of a scanning tip. An alternative dissipation topography with similar or even better contrast has been demonstrated recently by mapping the (x,y)-dependent tip damping but the detailed damping mechanism is still unknown. Here we identify two different tip dissipation mechanisms: local mechanical softness and hysteresis. Motivated by recent data, we describe both of them in a onedimensional model of Moire' superstructures of incommensurate overlayers. Local softness at "soliton" defects yields a dissipation contrast that can be much larger than the corresponding density or corrugation contrast. At realistically low vibration frequencies, however, a much stronger and more effective dissipation is caused by the tip-induced nonlinear jumping of the soliton, naturally developing bistability and hysteresis. Signatures of this mechanism are proposed for experimental identification.
cond-mat.mes-hall
cond-mat
AFM Dissipation Topography of Soliton Superstructures in Adsorbed Overlayers Carlotta Negri1, Nicola Manini1,2, Andrea Vanossi2,3, Giuseppe E. Santoro2,4, and Erio Tosatti2,4 1Dipartimento di Fisica, Universit`a degli Studi di Milano, Via Celoria 16, 20133 Milano, Italy 2International School for Advanced Studies (SISSA) and CNR-INFM Democritos National Simulation Center, Via Beirut 2-4, I-34151 Trieste, Italy 3CNR-INFM National Research Center S3 and Department of Physics, University of Modena and Reggio Emilia, Via Campi 213/A, 41100 Modena, Italy 4International Centre for Theoretical Physics (ICTP), Strada costiera 11, I-34151 Trieste, Italy (Dated: January 6, 2010) In the atomic force microscope, the nanoscale force topography of even complex surface superstruc- tures is extracted by the changing vibration frequency of a scanning tip. An alternative dissipation topography with similar or even better contrast has been demonstrated recently by mapping the (x, y)-dependent tip damping: but the detailed damping mechanism is still unknown. Here we iden- tify two different tip dissipation mechanisms: local mechanical softness, and hysteresis. Motivated by recent data, we describe both of them in a one-dimensional model of Moir´e superstructures of incommensurate overlayers. Local softness at "soliton" defects yields a dissipation contrast that can be much larger than the corresponding density or corrugation contrast. At realistically low vibration frequencies, however, a much stronger and more effective dissipation is caused by the tip-induced nonlinear jumping of the soliton, naturally developing bi-stability and hysteresis. Signatures of this mechanism are proposed for experimental identification. PACS numbers: 46.55.+d, 07.79.Lh, 07.79.Sp, 81.40.Pq, 62.20.Qp INTRODUCTION The tip-based scanning force microscopes of the atomic force microscope (AFM) family constitute perhaps the single most important tool bag in nanotechnology. The substrate topography is extracted from a map of the oscil- lation frequency of a tip, hovering a short distance above the surface. Besides the frequency shift however, the tip also develops a damping, reflecting a position dependent mechanical dissipation. Maier et al. [1, 2] showed re- cently that AFM dissipation -- whose general occurrence has been widely discussed by several groups a decade ago [3 -- 7] but whose potential importance was still underesti- mated -- is able to map exquisitely delicate features such as the Moir´e superstructure pattern formed by misfit dis- locations ("solitons") of incommensurate KBr adsorbate islands (Fig. 1a) on NaCl(100). Surprisingly, the exper- imental dissipation map, Fig. 1b, showed similar or bet- ter contrast than the corresponding topographic map, with a characteristic reversed contrast (higher dissipa- tion at the soliton, where topographic height is minimal [8]). Given also the great importance of achieving newer routes toward high-quality imaging, this is more than a mere curiosity, and deserves a proper understanding. Existing linear-response theory and other approaches to AFM dissipation [3, 9] and to general frictional dissipa- tion [10 -- 12] suggest a larger tip damping above softer substrates, and that provides an initial and valuable clue. Local tip dissipation can effectively reveal the underlying superstructure, since the local mechanical compliance is higher for example at surface soliton lines, where atoms sit at metastable positions. However at the relatively low AFM oscillation frequencies, the current understanding rules out linear response as the chief dissipation mecha- nism. A typical energy dissipation as large as 0.01 − 1 eV per oscillation can only be accounted for by a hysteretic response of the interacting tip-substrate system, as was understood by theoretical analysis [13 -- 15], and demon- strated experimentally [16, 17]. Such nonlinear effects of hysteresis are most likely involved in the surprisingly large AFM dissipation contrast of adsorbate superstruc- tures too. Yet, it is unclear how inert systems (such as for example alkali halide overlayers) could give rise to hys- teretic phenomena, and in particular how they would be connected to the presence of misfit superstructures ("soli- tons") . This is the issue which we address here by means of dynamical simulations of the simplest one-dimensional model. Our main result is the identification of an unex- pected soliton-related hysteretic mechanism. During the first part of its swing, the tip can locally drag or push an underlying defect -- here a soliton portion -- causing it to jump across a (Peierls-Nabarro) energy barrier. During the return journey, the defect follows only sluggishly, and remains trapped somewhat longer on the wrong side of the energy barrier, thus opening a hysteresis loop. The area enclosed in the hysteretic force-displacement dia- gram represents a large tip energy dissipation, one that can survive down to realistically low AFM vibration fre- quencies, a regime where the linear-response dissipation is quantitatively irrelevant. This mechanism is likely to play a significant role every time a "softness pattern" is present, and should be easier to observe for horizontal than for vertical tip oscillations. 2 sub period of the substrate potential [19, 20]. The two peri- odicities a0 and asub define the coverage θ = asub/a0. For convenience we take asub as the unit length, Fsub as the force unit, and the mass m of the particles as the mass unit. (To get a feeling for quantities, the frequency units F 1/2 sub m−1/2 a−1/2 should be typical of an atomic vibra- tion or a Debye frequency ωD, typically 1 THz or more). The general lack of commensuration between adsorbate and substrate periodicities gives rise to two-dimensional misfit dislocations, sometimes called solitons, which form a regular superstructure with the beat periodicity be- tween the two. Fixed boundary conditions (BCs) are chosen in order to prevent the advancing tip to drag the entire pattern along, that would occur if, e.g., periodic BCs were used instead. UT(t) = Pi uT(xi, t) is the time-dependent oscillating potential describing the tip action on the overlayer. We represent the AFM tip as a Gaussian-shaped oscillating potential, with uT(x, t) = u(x − xT(t) ), xT(t) = ¯xT + ∆T cos(ωTt), and u(x) = AT exp(cid:0)−x2/σ2 T(cid:1) . (4) Here AT represents the repulsive (contact AFM, AT > 0) or attractive (noncontact AFM, AT < 0) tip-atom interaction strength, σT is the tip width, ∆T and ωT are the tip oscillation amplitude and angular frequency around its central position ¯xT. The equation of motion for the i-th overlayer atom is mxi = −v′(xi) + K(xi+1 + xi−1 − 2xi) + fT(xi, t) − γ xi , (5) where v′(x) = 1 2 Fsub sin(ksubx), and the tip force fT(x, t) = − ∂ ∂x uT(x, t) (6) is given by a straightforward analytical expression. A damping force term −γ xi is introduced to represent all dissipation phenomena which remove energy and allow the attainment of a stationary frictional state. We integrate the equations of motion (5) by means of a standard adaptive fourth-order Runge-Kutta routine [21] starting each simulation from a stationary fully re- laxed overlayer, as obtained by a preliminary relaxation of equally-spaced adatoms xi(0) = i · a0 and xi(0) = 0. All simulations are carried out at a nearly commensurate coverage θ = 1.06 = 53 50 , realized by means of a chain of N = 107 particles in a region of length L = 100 asub. A fi- nite temperature T is implemented by adding a standard Langevin random force to Eq. (5), and averaging over a long simulation time, usually at least 100 tip-oscillation periods. The extreme simplicity of the model allows us to extend simulations down to the realistic AFM frequency in the MHz range, which requires exceedingly long inte- gration times. (b) 2σ T ∆ T a0 soliton AT { asub (a) (c) FIG. 1: (Color online) AFM Moir´e superstructures of in- commensurate KBr bilayers islands/NaCl(100), adapted from Ref. [2]: (a) topography; (b) dissipation. Note the opposite phase: dissipation is largest at the soliton, where topographic height is minimal [8]. (c) 1D simulation model with a rigid substrate potential of period asub, a harmonic chain of rest length a0 (the adsorbate overlayer), and the localized tip po- tential uT (here attractive) oscillating horizontally (in exper- iments the oscillation is usually vertical). THE MODEL To emphasize the basic and general aspects of the phe- nomena, rather than a realistic model targeted on the chemical detail of a specific tip/sample configuration [13 -- 15, 18], we use the simplest possible model -- a tip po- tential oscillating over a one-dimensional harmonic chain (the overlayer) moving in a rigid incommensurate peri- odic potential (the substrate), Fig. 1c. The Hamiltonian of the mobile overlayer atoms is H = Ek + Uat−at + Usub + UT(t) , (1) where Ek = m i is the kinetic energy, 2 Pi x2 K 2 Xi Uat−at = (xi+1 − xi − a0)2 , (2) is the mutual (harmonic) interaction potential, and Usub = Pi v(xi) is the substrate potential, which we take of a pure cosine form: v(x) = − Fsub asub 4π cos(ksub x) . (3) Here a0 is the mean spacing between adatoms, K is their mutual spring constant, ksub = 2π/asub, and asub is the (a) (b) r e b m u n y t i s n e d 1.0 0.5 n o n o h p S O D L 6×10-6 4×10-6 2×10-6 4×10-14 (c) P 2×10-14 0 20 30 50 60 40 xT FIG. 2: (Color online) The linear-response regime. (a) Over- layer atom density (dashed), rest positions (circles), in the periodic substrate potential (solid). (b) The density of zero- frequency overlayer vibrational modes peaks at the soliton positions. (c) Dissipated power during tip vibration for a tip width σT = ∆T = asub, weakly attractive potential of ampli- tude AT = −10−4, γ = 0.2 (underdamped regime), K = 5 (fairly rigid overlayer), and oscillation frequency ωT = 10−4 π (roughly 1 GHz). This regime is described well by linear- response theory. Note a huge dissipation peak contrast at the soliton position relative to the terrace between solitons of about 104. The instantaneous power drained away by the damping term amounts to Pdiss = Xi xi · (γ xi) = γXi x2 i = 2γ m Ec , (7) and is thus proportional to the total kinetic energy of the overlayer. The power pumped by the tip into the chain is PT = Xi fT(xi, t) · xi . (8) While these two quantities fluctuate separately, they must of course coincide on average over a period τ = 2π/ωT in the dynamical steady state P = 1 τ Zτ dt PT(t) = 1 τ Zτ dt Pdiss(t) , (9) also indicating how the work done by the tip oscillation is eventually dissipated entirely by the viscous friction term. RESULTS: LINEAR RESPONSE AND BEYOND Figure 2 displays the dissipation results obtained in simulation for a weakly attractive tip potential, very high 3 oscillation frequency, and general parameters that fall well inside the linear-response regime [3, 9 -- 12]. The lin- ear response results show (i) strong dissipation enhance- ment at solitons, with ¯P (¯x) several orders of magnitude stronger than in a terrace between two of them, closely mirroring the phonon local density of states (LDOS); (ii) dissipated power which is proportional to A2 T, indepen- dent of the attractive/repulsive sign of the tip-overlayer interaction, i.e. of the noncontact or contact mode of the AFM; (iii) absolute dissipation values that are very weak everywhere, and dropping with decreasing AFM frequency as (ωT/ωD)2. Summing up, the predicted rel- ative contrast of the soliton pattern in linear response dis- sipation is indeed very large. However, the exceedingly low value of realistic AFM frequencies (. MHz) relative to microscopic frequencies (∼ THz) renders this linear- dissipation mechanism entirely academic. We reach a more realistic regime by enhancing the tip-overlayer interaction strength, while still remaining in a moderate-interaction regime representing noncon- tact AFM. This new regime is dominated by nonlinear effects, where dissipation no longer drops as ω2 T, but at most linearly in ωT (apart from logarithmic corrections). Comparison of Fig. 3a with Fig. 2c shows that, nonlinear dissipation is again much larger near the solitons than in between them. A two-order of magnitude increase in AT would in linear regime imply a dissipation increase by a factor 104, whereas we find a much larger factor of about 106 already at this large frequency (ωT is here 10−4π, cor- responding to the gigahertz range). Decreasing frequency down to realistic AFM values, the increase will become gigantic, because the nonlinear dissipation lacks the extra power of ωT appearing in the linear-regime dissipation. The new element brought in by nonlinearity is mechan- ical. A strongly interacting tip is now able to drag, or to push, the soliton -- a mobile entity -- forward or back- ward during the oscillation cycle. As the soliton must overcome the (Peierls-Nabarro) barrier in order to move, its motion is sluggish, and can follow the tip only with hysteresis and, as anticipated, hysteresis entails a large dissipation. As shown in detail in Fig. 3a,b, the higher dissipation point A is found to corresponds to two succes- sive Peierls-Nabarro barriers being overcome in the oscil- latory process, the smaller dissipation point B to a single barrier. The dissipation at point C, where the tip poten- tial is unable to "grab" the soliton, is negligible by com- parison. The onset of this large-dissipation region, domi- nated by hysteresis, is rather sharp. Fig. 4 illustrates this point, by showing the average power P at location A, di- vided by the linear-regime factor AT2, as a function of the tip amplitude AT. Beyond a value of AT of order 4 × 10−3, the linear regime behavior is abruptly aban- doned, and the dissipation increases rapidly by several orders of magnitude. 4 A B 2×10-8 P 1×10-8 A xT=24 B xT=24.5 C 0 20 a 30 xT C 40 xT=37 -0.5 -1 b 0 xT(t) - xT 0.5 1 0.001 0 -0.001 0.001 0 -0.001 0.001 0 -0.001 t o t T F p i t e h t n o g n i t c a e c r o f r e y a l r e v o FIG. 3: (Color online) The strong-interaction hysteretic regime. (a) Mean power dissipated in the steady regime by a strongly interacting tip (AT = −0.01, all other parameters the same as in Fig. 2) scanning the same overlayer (K = 5). (b) Force- displacement response at three typical scanning points marked in the left panel. The strong dissipation at the solitons is now due to hysteretic jumps of the solitons (kinks) across their Peierls-Nabarro barriers. The dissipated energy in a cycle equals the area of the hysteretic loop in the force-displacement plane (shaded). When the potential is strong enough to drag or push a soliton (points A, B), this occurs with hysteresis and the dissipation is large. With the selected oscillation amplitude, the soliton is dragged across two barriers (point A) or a single barrier (point B), depending on the center of tip position ¯xT. When the tip grabs no soliton (point C) there is no hysteresis and dissipation drops. 2 T A / P 10-3 10-4 10-5 10-6 xT = 24 hysteresis region linear-response region 0.0001 linear extrapolation 0.001 AT 0.01 (b) 0.4 (a) 10-6 xT = 24 0.001 0 t o t T F -0.001 xT(t) - xT 0.6 10-3 T ω / π 2 P ⋅ T=0 T=10-6 T=2.5⋅10-6 T=5⋅10-6 T=10-5 T=2⋅10-5 10-4 10-5 10-4 ω T 10-3 10-2 FIG. 4: (Color online) The dissipated power normalized to the linear regime scaling, P /AT2, versus the strength of the tip-overlayer interaction AT, at point A of Fig. 3 and for the same parameters as in Fig. 2. Notice the sharp onset of the non-linear regime where the hysteretic mechanisms starts to play a role, leading to a strong enhancement of dissipation. PREDICTIONS AND DISCUSSION Our simulated example strongly suggests that a large hysteretic component should be present in the existing dissipation maps [22] of Moir´e patterns. More generally, hysteretic defect dragging should dominate the AFM dis- sipation maps. What are the predicted signatures of this mechanism? Our model study suggests two main signa- tures. FIG. 5: (Color online) The dissipation reduction due to ther- mal shrinking of the hysteretic loop, for ωT = 10−4 π at the soliton location A of Fig. 3. (a) The frequency dependence of the energy dissipated in one period ¯P 2π/ωT, computed for several temperatures, exhibiting a clear reduction of ¯P 2π/ωT due to a rise in temperature. (b) Detail of the right-side area of the force-displacement dependency following two typical tip-oscillation periods for T = 10−5, solid, and 10−6, dotted, compared to T = 0, dashed: the hysteretic area is shrink- ing due to a randomly anticipated thermally activated barrier crossing. (i) Abruptness of AFM friction onset with increas- ing strength of tip-surface interaction. As suggested by Figs. 3-4, dragging sets in abruptly only above a cer- ✛ ✛ ✛ ✛ ✛ ✛ ✛ ✛ ✛ ✛ ✛ ✛ ✛ ✛ ✛ ✛ ✛ ✛ ✛ ✛ ✛ ✛ tain threshold, which means below a certain tip-surface or tip-soliton distance. (ii) Anomalously mild (linear with logarithmic correc- tions) frequency dependence of AFM friction at finite temperature. It is a rather general property of all hys- teretic friction phenomena to heal away at sufficiently low frequencies, where adiabatic motion allows sufficient time to jump thermally over barriers. For instance, thermol- ubricity experiments [23 -- 26] and detailed calculations within the Tomlison model [27] show an average fric- tion force F = Fc − A T 2/3 log(αv/T )2/3, where v is the driving velocity, and A and α are system-dependent di- mensional constants. In incommensurate overlayers, the soliton nearest to the tip behaves similarly to a Tomlin- son particle, as is driven across a Peierls-Nabarro bar- rier. In Fig. 5a we do observe a thermal reduction of dissipation, due to a shrinkage of the hysteretic loop, il- lustrated in Fig. 5b. When driving is oscillatory as in AFM dissipation, the role of v is taken by ∆T ωT. We find our data to be compatible with a similar relation P (T ) = P (0)−A′ T 2/3 log(α′ωT/T )2/3. The parameters A′ and α′ are here related to the effective soliton proper- ties (mass, damping, barrier height...), and are nontrivial functions of the "bare" model parameters. We conclude that AFM dissipation maps of incommen- surate overlayer superstructures can in principle achieve an extremely high contrast resolution of soliton defects relative to commensurate terraces. The most important theoretically predicted dissipation mechanism is the non- linear dragging or pushing of some local portion of the defect, where the large tip damping is associated with hysteresis of defect motion. Besides a sharp threshold in the tip-surface interaction and oscillation magnitudes, this mechanism predicts a very characteristic logarithmic dependence (eventually turning to linear at extremely low frequencies) of dissipation upon frequency and tem- perature. More generally, the nonlinear dragging of soft defects or features (e.g. a floppy residue in a biomolecule) should give rise to a strong visibility in AFM dissipation topography, of considerable potential impact for applica- tions. This work was supported by CNR, as a part of the Eu- ropean Science Foundation EUROCORES Programme FANAS. [1] S. Maier, E. Gnecco, A. Baratoff, R. Bennewitz, and E. Meyer, Phys. Rev. B 78, 045432 (2008). [2] S. Maier, O. Pfeiffer, Th. Glatzel, E. Meyer, T. Filleter, and R. Bennewitz, Phys. Rev. B 75, 195408 (2007). [3] M. Gauthier and M. Tsukada, Phys. Rev. Lett. 85, 5348 5 (2000). [4] R. Bennewitz, A. S. Foster, L. N. Kantorovich, M. Bammerlin, C. Loppacher, S. Schar, M. Guggisberg, E. Meyer, and A. L. Shluger, Phys. Rev. B 62, 2074 (2000). [5] C. Loppacher, R. Bennewitz, O. Pfeiffer, M. Guggisberg, M. Bammerlin, S. Schar, V. Barwich, A. Baratoff, and E. Meyer, Phys. Rev. B 62, 13674 (2000). [6] C. Loppacher, M. Bammerlin, M. Guggisberg, S. Schar, R. Bennewitz, A. Baratoff, E. Meyer, and H.-J. Guntherodt, Phys. Rev. B 62, 16944 (2000). [7] P. M. Hoffmann, S. Jeffery, J. B. Pethica, H. Ozgur Ozer, and A. Oral, Phys. Rev. Lett. 87, 265502 (2001). [8] J. Baker and P.-A. Lindgard, Phys. Rev. B 54, R11137 (1996). [9] M. Gauthier and M. Tsukada, Phys. Rev. B 60, 11716 (1999). [10] E. Granato and S. C. Ying, Phys. Rev. B 59, 5154 (1999). [11] B. N. J. Persson, Sliding Friction: Physical Princi- ples and Applications (NanoScience and Technology) (Springer-Verlag, Berlin 1998). [12] B. N. J. Persson, E. Tosatti, D. Fuhrmann, G. Witte, and Ch. Woll, Phys. Rev. B 59, 11777 (1999). [13] L. N. Kantorovich and T. Trevethan, Phys. Rev. Lett. 93, 236102 (2004). [14] T. Trevethan and L. Kantorovich, Nanotechnology 17, S205 (2006). [15] S. A. Ghasemi, S. Goedecker, A. Baratoff, T. Lenosky, E. Meyer, and H. J. Hug, Phys. Rev. Lett. 100, 236106 (2008). [16] A. Schirmeisen and H. Holscher, Phys. Rev. B 72, 045431 (2005). [17] R. Hoffmann, A. Baratoff, H. J. Hug, H. R. Hidber, H v Lohneysen, and H.-J. Guntherodt, Nanotechnology 18, 395503 (2007). [18] V. Caciuc, H. Holscher, D. Weiner, H. Fuchs, and A. Schirmeisen, Phys. Rev. B 77, 045411 (2008). [19] O. M. Braun and Yu. S. Kivshar, The Frenkel-Kontorova Model: Concepts, Methods, and Applications (Springer- Verlag, Berlin, 2004). [20] A. Vanossi and O. M. Braun, J. Phys.: Condens. Matter 19, 305017 (2007). [21] W. H. Press, S. A. Teukolsky, W. T. Vetterling and B. P. Flannery, Numerical Recipes in Fortran. The Art of Par- allel Scientific Computing (Cambridge University Press, Cambridge, 1996). [22] B. J. Albers, T. C. Schwendemann, M. Z. Baykara, N. Pilet, M. Liebmann, E. I. Altman, and U. D. Schwarz, Nature Nanotech. 4, 307 (2009). [23] E. Gnecco, R. Bennewitz, T. Gyalog, Ch. Loppacher, M. Bammerlin, E. Meyer, and H.-J. Guntherodt, Phys. Rev. Lett. 84, 1172 (2000). [24] E. Riedo, E. Gnecco, R. Bennewitz, E. Meyer, and H. Brune, Phys. Rev. Lett. 91, 084502 (2003). [25] E. Gnecco, R. Bennewitz, A. Socoliuc, and E. Meyer, Wear 254, 859 (2003). [26] S. Sills and R. M. Overney, Phys. Rev. Lett. 91, 095501 (2003). [27] Y. Sang, M. Dub´e, and M. Grant, Phys. Rev. Lett. 87, 174301 (2001).
1507.04286
5
1507
2016-03-22T15:52:58
High fidelity ac gate operations of the quantum dot hybrid qubit
[ "cond-mat.mes-hall", "quant-ph" ]
Semiconductor quantum dots in silicon are promising qubits because of long spin coherence times and their potential for scalability. However, such qubits with complete electrical control and fidelities above the threshold for quantum error correction have not yet been achieved. We show theoretically that the threshold fidelity can be achieved with ac gate operation of the quantum dot hybrid qubit. Formed by three electrons in a double dot, this qubit is electrically controlled, does not require magnetic fields, and runs at GHz gate speeds. We analyze the decoherence caused by 1/f charge noise in this qubit, find the parameter regime for tunnel couplings and detuning that minimize the charge noise dependence in the qubit frequency, and determine the optimal working points for ac gate operations that drive the detuning and tunnel coupling.
cond-mat.mes-hall
cond-mat
a High fidelity ac gate operations of a three-electron double quantum dot qubit Department of Physics, University of Wisconsin-Madison, Madison, Wisconsin 53706, USA (Dated: November 8, 2018) Clement H. Wong Semiconductor quantum dots in silicon are promising qubits because of long spin coherence times and their potential for scalability. However, such qubits with complete electrical control and fidelities above the threshold for quantum error correction have not yet been achieved. We show theoretically that the threshold fidelity can be achieved with ac gate operation of the quantum dot hybrid qubit. Formed by three electrons in a double dot, this qubit is electrically controlled, does not require magnetic fields, and runs at GHz gate speeds. We analyze the decoherence caused by 1/f charge noise in this qubit, find parameters that minimize the charge noise dependence in the qubit frequency, and determine the optimal working points for ac gate operations that drive the detuning and tunnel coupling. I. INTRODUCTION Silicon semiconductor quantum dot qubits are promis- ing for quantum information processing because of their long spin coherence times and their potential for scala- bility and integration with classical electronics.1 While high fidelity spin qubits in silicon have recently been achieved,2,3 for a practical quantum computer, it is also desirable to have a qubit that is purely electrically con- trolled, that does not require magnetic fields, and pos- sess fast gate speeds. The quantum dot hybrid qubit4 -- 7 has the potential to meet these criteria. This qubit, which consists of three electrons in a double dot, has a qubit frequency of 11.5 GHz, set by the single dot singlet triplet splitting, and allows complete qubit control via the detuning -- the voltage bias between the dots, and the tunnel coupling between the dots. Recent experiments have demonstrated ∼> 85% gate fidelities for dc (direct current) operation and ∼> 93% for resonant ac (alternat- ing current) operation that drives the detuning. The gate operations that limit the qubit fidelity in the these exper- iments involve transitions between qubit states, which we call X rotations. While ac gate operations, which drive these transition in a manner similar to electron spin reso- nance, have resulted in a significant improvement in qubit fidelity, fidelities above the threshold for quantum error correction have not yet been achieved. To understand the limiting factors in ac gate oper- ations, one needs to examine decoherence for driven qubits, which is substantially modified from the case of free qubit evolution. This subject has been studied ex- tensively both theoretically and experimentally for su- perconducting qubits.8 -- 10 In contrast to free evolution, where typically all noise power below the precession fre- quency contribute to dephasing, during driven evolution, the effect of low frequency noise on the qubit dynamics is mitigated, resulting in significantly improved coherence times. On the other hand, the driven qubit is exposed to noise at specific high frequency components in the noise spectrum. In particular, dephasing of ac resonant gates for the hybrid quantum dot qubit is sensitive to the noise power at the qubit frequency. In this paper, we perform a systematic analysis and numerical optimization of ac resonant gate fidelities for the quantum dot hybrid qubit. We develop a decoher- ence model for the hybrid qubit that fully takes into ac- count the 1/f charge noise spectrum, which is the domi- nant source of decoherence in double quantum dots. Our model also takes into account nonlinear qubit dynamics that occur under strong driving conditions. While we consider only the hybrid qubit in this paper, our model can be readily applied to other semiconductor qubits. Our approach to optimization has two parts. First, we consider dephasing effects due to the detuning de- pendence of the qubit excitation frequency, which we call charge dispersion, borrowing terminology from the superconducting qubit literature. Charge dispersion de- scribes the sensitivity of the qubit frequency to charge noise fluctuations in the detuning and associated dephas- ing. In a spirit similar to the transmon superconducting qubit,11,12 we reduce the charge dispersion of the quan- tum dot hybrid qubit by tuning the static tunnel cou- plings. Recent experiments with parameters approaching this optimal parameter regime has improved X-rotation coherence times from 33 ns to 177 ns.13 However, because detuning is both the dominant noise source14 -- 17 and a drive parameter in the hybrid qubit, minimizing charge dispersion while maintaining fast gate speeds, necessary for high fidelity operation, is problem- atic. We show that this problem can be circumvented by driving instead the tunnel coupling, which is more effi- cient, and results in higher gate speeds and fidelities than driving the detuning. Second, we numerically optimize the X-rotation fi- delity as a function of the ac drive amplitude and de- tuning. In this optimization, we simulate qubit dynam- ics using an effective two-dimensional Hamiltonian, to which we apply the Bloch-Redfield master equation for driven qubits and analytic formulas for dephasing rates due to 1/f noise.8 -- 10,18,19 We consider both the case of detuning and coupling drive, and find that X-gate fideli- ties exceeding 99% can be achieved in both cases, and fidelities of 99.8% can be achieved by driving the tunnel couplings. This optimal fidelity agrees with the result of simulations using a three state Hamiltonian that includes the nearest leakage state, averaged over numerically gen- 2 FIG. 2. (Color online) Qubit energy levels E0 and E1 and the leakage energy level E2 as a function of detuning  com- puted with the three state Hamiltonian in Eq. (1) for param- eters (EST, ∆1, ∆2) = (50, 10.8, 30.6) µeV taken from Ref. 21. Black dashed lines: qubit energy levels computed from the static effective Hamiltonian Eq. (A10) ters of the quantum dot hybrid qubit are the double dot detuning , defined as the energy difference between (2, 1) and (1, 2) charge states, and the tunnel coupling ∆1 (∆2) which cause charge transitions between S·(cid:105) and ·S(cid:105) (S·(cid:105) and ·T(cid:105)). The Hamiltonian in the basis {·S(cid:105),·T(cid:105),S·(cid:105)} is given by  , (1) H(, ∆1, ∆2) = −  2 +  0 0 ∆1 0 EST −∆2 ∆1 −∆2  where EST is the energy splitting between the lowest ly- ing singlet and triplet states on the right dot. The en- ergy levels of the Hamiltonian Eq. (1), denoted by 0(cid:105), 1(cid:105) and 2(cid:105), ordered from low to high energy, are plot- ted in Fig. 2 as function of detuning, for the parameters (EST, ∆1, ∆2) = (50, 10.8, 30.6) µeV taken from Ref. 21. The qubit logical states are 0(cid:105) and 1(cid:105), while 2(cid:105) is a leakage state. We will represent the qubit on a Bloch sphere where Z(cid:105) = 1(cid:105) and −Z(cid:105) = 0(cid:105) are located on the north and south pole, respectively. In this work, we con- sider operations of this qubit in the (1, 2) charge regime,  > ∆1 and  − EST > ∆2, where it is useful to think of the qubits states as mainly comprising of singlet-triplet states on the right dot, 0(cid:105) (cid:39) ·S(cid:105) and 1(cid:105) (cid:39) ·T(cid:105), with a small hybridization of the S·(cid:105) state. The tunneling couplings ∆1, ∆2 generally have expo- nential dependence on detuning4,22. In order to fit the experimentally observed resonant frequencies in Ref. 21, we find it necessary to introduce such a dependence into the second tunnel coupling as ∆2 → ∆0 2() = ∆0 2e−−EST /2 , with 2 = 400 µeV, while ∆0 1 has a sufficiently long expo- nential decay length that it can be regarded a constant independent of . This detuning dependence is included in Fig. 2 and in all results reported in this paper. FIG. 1. (Color online) Illustration of the ac driven hybrid double quantum dot qubit. The spin configuration of the state ·S(cid:105) and the single dot energy levels corresponding to singlet and triplet state occupation on the right dot are shown. The electrostatic confinement potential is characterized by the detuning 0, the potential energy difference between the left and right wells, and the barrier height, which sets the tunnel coupling (∆1, ∆2). Detuning and tunnel coupling drive are implemented by modulation of the detuning (ac) and the barrier height, respectively, shown in dashed lines. erated 1/f detuning noise. This paper is organized as follows. In section II, we summarize the relevant features of the quantum dot hy- brid qubit. In section III, we explain our approach to finding the optimal static tunnel couplings that minimize charge dispersion. In IV and V, we describe our model- ing of ac resonant gates and decoherence, respectively. In section VI, we present the results of our numerical sim- ulations on ac gate fidelities as a function of detuning and drive amplitudes. A general procedure for deriving the effective Hamiltonian for a driven qubit is given in appendix A, and a summary of pure dephasing and re- laxation rates due to 1/f noise, as well as a numerical procedure for numerically generating such noise, is given appendix C. II. QUANTUM DOT HYBRID QUBIT (cid:112) (cid:112) The "quantum dot hybrid qubit"4,5,7 is formed by the manifold of three-electron states in a double quan- tum dot with total spin quantum number S = 1/2 and z-projection Sz = −1/2. The three-electron dou- ble dot Hubbard Hamiltonian is given in Ref. 4. A basis for the relevant states in the hybrid qubit regime can be chosen as ·S(cid:105) ≡ ↓S(cid:105), S·(cid:105) ≡ S↓(cid:105), and 2/3↑T−(cid:105), where S(T ) refers to ·T(cid:105) ≡ singlet (triplet) states on one dot. In our nota- ↓S(cid:105) = ↓(cid:105)LS(cid:105)R denotes spin down electron on tion, the left dot and a singlet on the right dot, and ↑T−(cid:105) = ↑(cid:105)LT−(cid:105)R, etc. The spin states of ·S(cid:105) and ·T(cid:105) are identical to those of the exchange-only logical qubit,20 which consists of three electrons in a triple dot, instead of a double dot. This qubit is operated typically at an electron temperature of T = 140 mK.21 1/3↓T0(cid:105) + Fig. 1 illustrates the basis states {·S(cid:105),·T(cid:105),S·(cid:105)} in a gate-defined electrostatic potential. The control parame- E0E1E2fZ(GHz)~!Z(Δ1,Δ2)(μeV)(10.7,30)(30,30)0i1ia-100-500Energy(μeV)b5913ωZ/2π(GHz)c050100150200050100150ϵ(μeV)T2*(Z)(ns)E1222210i1i2iEST·Ti·SiS·i✏acS12ESTT✏022210i1i2iE12EST·Si·TiS·i 3 quadratic order δω2 Z, where δωZ = δ∂ωZ/∂, δ denotes detuning noise, as discussed in section V D. Z rotations are also important because they can be combined with ac driven X rotations to achieve universal single qubit control. As evident from the energy level diagram, deep in the (1,2) charge regime ( (cid:29) ∆1, ∆2), the qubit frequency is insensitive to the detuning. Charge dispersion is minimal in this regime because here logical states differs mainly in their spin instead of charge character. As a result, free precession is protected from dephasing due to charge noise fluctuations in the detuning. A recent experiment in this regime demonstrated free induction decay at a frequency of 11.5 GHz with a dephasing time of 10 ns, resulting in a Z-gate fidelity of 96%.24 We next show that this fidelity can be significantly improved by tuning the static tunnel couplings. To find the optimal static tunnel couplings parame- ter regime, consider the following qualitative argument. Charge dispersion comes from level repulsion at the an- ticrossings due to the tunnel couplings. Specifically, as one moves towards  = 0 from large detunings  (cid:29) ∆1, the energy level E1 is repelled downwards at  = EST by ∆2 and then repelled upwards at  = 0 by ∆1, see Fig. 2. We thus expect the net effect of level repulsion to be minimized when ∆0 1 (cid:39) ∆0 2. By performing an empirical search, we find minimal charge dispersion at the tunnel couplings ∆0 2 = 30 µeV. Fig. 3 a -- c compares the qubit energy levels, excitation frequency ωZ/2π, and the quasistatic dephasing time scale T ∗2 (Z) for the optimal tunnel couplings with the ones reported in Ref. 24. The curvature in the energy levels near the anticrossing and associated charge dispersion is greatly reduced, and, as a result, T ∗2 (Z) is increased by an order of magnitude from 10 ns to 180 ns. 1 = ∆0 In principle, the high frequency components of the 1/f noise spectrum can also cause dephasing, due to quadratic charge dispersion δ2∂2ωZ/∂2.19 The result- ing decay envelop is exponential with a decay time τ2 inversely proportional to the curvature of the charge dis- persion τ2(Z) ∝ (∂2ωZ/∂2)−1. However, this decay is strongly suppressed for the optimal tunnel couplings, as τ2 > 1 µs in the far detuned regime, as shown in appendix C 3 c. Since the the Z-gate times are about tg(Z) ∼ 0.1 ns, this decay should lead to a Z-gate infidelities less than tg(Z)/τ2(Z) (cid:39) 0.01%, which is very small. Henceforth, we set static tunnel couplings at their op- timal values, and optimize ac X gates as a function of remaining parameters. IV. AC RESONANT GATES Ac resonant techniques for producing transitions be- tween the logical qubit states (X rotations) are similar to electron spin resonance (ESR), where the logical qubit plays the role of the electron spin and oscillations at the qubit frequency ωZ/2π in the electrostatic control param- FIG. 3. (Color online) As function of detuning : (a) qubit energy levels E0 and E1, (b) qubit frequency ωZ /2π = E10/h, and (c) the quasistatic dephasing time scale T ∗ 2 , for (∆0 1, ∆0 2) = (30, 30) µeV (Solid, Maroon). 2) = (10, 30) µeV (Dashed, blue) and (∆0 1, ∆0 III. OPTIMAL STATIC TUNNEL COUPLINGS In this section, we tune the static tunnel coupling to minimize charge dispersion, defined as the detuning de- pendence of the qubit frequency ωZ/2π = E10/h, where E10 = E1 − E0. In the first instance, this will suppress the pure dephasing rate of free qubit precession (Z rota- tions) due to quasistatic detuning noise, given to leading order by √2/T ∗2 (Z) = σ∗∂ωZ/∂, where23 (cid:115) (cid:18) c (cid:19) σ∗ = c 1 π ln ωl = 5.7 µeV (2) is an effective quasistatic noise variance, c = 2.38 µeV is the parameter in the 1/f detuning noise spectral density S(ω) = c2  /ω [c.f. Eq. (19)], determined from experi- mental data on T1 relaxation, as described in appendix C 2, and ωl ≈ 1 Hz is the low frequency cutoff for the 1/f noise. This σ∗ value is consistent with the static noise variance previously used to model the experiment of Ref. 7. A detail discussion of pure dephasing rates due to quasistatic noise, including logarithmic corrections char- acteristic of the 1/f noise, is given in appendix C 3 and Eq. (2) is derived in appendix C 3 b. At the same time, minimizing charge dispersion im- proves the coherence time of ac-driven X rotation, which also suffers quasistatic dephasing from low frequency fluc- tuations of the Rabi frequency due to charge dispersion at eters plays the role of the resonant driving field. Even in the absence of decoherence, several complications arise in "logical qubit resonance" (LQR)25 which do not occur in ESR. In implementing LQR, one has indirect control over the direction and magnitude of the driving field, which is determined by the qubit response to perturba- tions in the control parameters. Furthermore, to achieve fast gate speeds, one may have to enter the strong driv- ing regime where the qubit's nonlinear response can spoil gate fidelity. While this problem could be addressed by properly shaping the driving pulse, as demonstrated with diamond NV centers,26 -- 28 we do not consider these tec- niques in this paper. LQR for the hybrid qubit is performed by adiabatically initializing to a detuning 0, and then applying ac oscilla- tions of the detuning (voltage bias between the quantum dots) (t) = 0 + ac(t) , ∆1(t) = ∆0 1 2e−((t)−EST )/2 , ∆2(t) = ∆0 or tunnel couplings (DQD barrier height), ∆1(t) = ∆0 ∆2(t) = ∆2(0) + ∆ac(t) , 1 + ∆ac(t) (3) (4) where we will consider the specific drive signals, (cid:18) ac(t) (cid:19) ∆ac(t) (cid:18) A (cid:19) A∆ = cos ωZt , ωZ = E01/ . where A and A∆ are the drive amplitudes of detuning and coupling drive, respectively. These two types of driv- ing are illustrated in Fig. 1. Note that, due to the energy dependent tunnelling, detuning drive will also result in an ac signal in the second tunnel coupling, as well. The total Hamiltonian now comprises of a dc and ac compo- 1, ∆0 nent, H(t) = Hdc + Hac(t), where Hdc = H(0, ∆0 2) is the dc component, and Hac(t) = H[(t), ∆1,2(t)] − Hdc is the ac component. Tunnel coupling driving should enable faster, more effi- cient (fast speed per drive amplitude), and higher fidelity gates than detuning drive, because of stronger transition matrix elements between qubit logical states at the large detunings where the qubit is protected from dephasing. There, charge hybridization between (1, 2) and (2, 1) are minimal, so detuning drive, which do not couple definite charge states, cannot be effective, while transitions be- tween 0(cid:105) (cid:39) ·S(cid:105) and 1(cid:105) (cid:39) ·T(cid:105), mediated by tunneling (see Fig. 1), have matrix elements of the order ∆1∆2/. This drawback of detuning drive is quite generic: since the gate speed scales as the double dot susceptibility to detuning fluctuations, increasing drive efficiency also in- creases sensitivity to detuning noise. This is particularly 4 To model ac gates, important for finite frequency noise, because the rotating frame pure dephasing rate 1/T (cid:48)φ for ac X rotation scales quadratically with the detuning drive Rabi frequency, as shown in section V C, Eq. (23). This problem is evi- dent in the experiment of Ref. 21, where high speed (∼ 1 GHz) ac gates were achieved at detunings near the (1, 2) to (2, 1) charge transition, but the decay times were only 1-2 ns, even at the charge noise sweet spot, limiting gate fidelities to ∼ 86%. it is more convenient to ex- press the Hamiltonian in the basis of energy eigen- states, H(0) = U†(0)HU(0), where U is the unitary transformation between eigenstates {n(cid:105)} of the static Hamiltonian at 0 and {·S(cid:105),·T(cid:105),S·(cid:105)}. Using this Hamiltonian, we can estimate the leakage probabilities for short times from qubit states m(cid:105) to the leakage state 2(cid:105) by pm→2 (cid:39) ( Hm2/E2m)2, where m = 0, 1 and E2m = E2 − Em are the energy gaps to the leakage state. The leakage is very small at large detunings, < 0.1% for  > 200 µeV, due to the large energy gap to the leakage state, E2m > 150 µeV. We have also verified this leak- age estimate by numerical solution of the density matrix equation using the three-state Hamiltonian Eq. (1). Since the leakage is negligible, the qubit dynamics is governed by an effective two dimensional Hamiltonian, presented in section IV A. A. Effective Hamiltonian for the driven qubit In this section, we analyze the qubit dynamics and noise using the effective Hamiltonian for the driven qubit, which is useful for both numerical and analytical calcula- tions, for providing intuition, and for applying techniques of electron spin resonance to the driven qubit. Applying standard techniques29,30 described in appendix A, we find an effective Hamiltonian given by a perturbative expan- sion in the inverse energy gaps between the qubit and the leakage state, E−1 20 , and can generally be written as 21 and E−1 h = b(0, ac(t) + δ(t), ∆1,2(t)) · σ/2 , (5) where δ(t) is the detuning noise, σ are the Pauli matri- ces, b is the effective magnetic field that is a nonlinear function of the qubit control parameters (, ∆1, ∆2). We retain the leading order term in the effective Hamilto- nian, given in Eq. (A8). The static effective Hamiltonian hdc, given in Eq. (A10), was derived in Ref. 31, and the qubit energy levels computed with it, shown in Fig. 2, agrees very well with the ones calculated with the static three-state Hamiltonian Hdc [Eq. (1)]. However, h gener- ally has a different functional dependence on 0 and ac, as indicated in Eq. (5), due to 0-dependent transforma- tions used to approximately block diagonalizes the static Hamiltonian (Hdc) between qubit and leakage states. These transformations define the basis in which hdc is derived, which is related to the basis {·S(cid:105),·T(cid:105),S·(cid:105)} of Eq. (1) by Eq. (A11). In particular, we emphasize that one cannot derive Eq. (5) by simply taking 0 → 0 + ac in hdc. In the far detuned limit ( → ∞), the matrix elements of Eq. (5) are given by 5 h00 = − h01 =  2 − ∆1∆2 2 , ∆2 1  (cid:18) 1  − h11 = EST − (cid:19) 1 EST −   2 + ∆2 2 EST −  , . (6) The quadratic terms describe virtual transitions to the leakage state, which would otherwise appear as second order terms in the time evolution operator for the 3D Hamiltonian Eq. (1).32,33 The effective magnetic field Eq. (5) has three compo- nents b(t) = b0 + bac(t) + δb(t) , (7) as illustrated in Fig. 4a, where b0 = b(0) is the static, dc field corresponding to Hdc, which will define the "lon- gitudinal" direction in the lab frame, bac is the ac field corresponding to Hac, and δb is the effective field due to noise, defined by b(0 + δ) − b(0). We can generally parametrize the static field as b0 = sin θ0 x + cos θ0z , where the detuning dependence of the angle θ0 = θ(0), along with the relative angles between the drive field Bac and b0, for both types of driving, denoted by θ() ac and θ(∆) ac , are plotted in Fig. 4b. Unlike conventional spin res- onance, bac has both longitudinal and transverse compo- nent, but only the transverse field b⊥(t) = b0× b(t)× b0 drives qubit transitions. We next present a general analysis based an expansion of the effective Hamiltonian up to second order in drive and noise fields that captures all the relevant physics in the parameter regime of interest. The results reported below in section VI, however, follow from numerical sim- ulations with the effective Hamiltonian Eq. (A8) that in- clude higher order nonlinear effects. The noise field due to fluctuations in the detuning  and the singlet-triplet energy splitting EST is given by, δb (cid:39) δ ∂b ∂(δ) + δEST ∂b ∂EST . (8) Note that this linear expansion will lead to second order noise correlations and associated relaxation terms in the density matrix master equation, see section V, which is derived by solving for the system-bath interaction to sec- ond order in perturbation theory.34 The driving field up to quadratic order is given by bac = b(1) ac + b(2) ac b(1) ac = u(t) ∂b ∂u + δbac , δbac = u(t)δ b(2) ac = u2(t) 2 ∂2b ∂u2 ∂2b ∂u∂(δ) (9) (10) FIG. 4. (Color online) (a) At a fixed detuning 0, X − Z axes of the Bloch sphere, representing the qubit logical basis, and the x − z axes, representing the basis in which the effective Hamiltonian Eq. (6) is derived. The Z axes lies along the static field b0, at an angle θ0 rotated from the z axis. The ac drive field bac lies at an angle θac from the Z axis, and has the transverse component BacX (blue arrow). δb is the noise field with transverse component δBX , shown in green. (b) Detuning dependence of the static field angle θ0 and the ac drive field angles for detuning (θ() ac ) and tunnel coupling (θ(∆) ac ) drive. (c and d) Effective fields in the rotating wave approximation when a phase φ is included in the drive ampli- tude, which goes as cos(ωZ t + φ). (c) Lab frame: the trans- verse resonant component of the ac drive field B⊥ ac (blue ar- row) and the noise in its drive amplitude δB⊥ ac (green arrow) [Eq. (9)], is rotated at an angle ωZ t + φ from the X axis at time t. The X and Z components of the noise field, δBX and δωZ [Eq. (12)], respectively, are shown in green. (d) Rotat- ing frame: The transverse ac drive field is fixed at an angle φ and has magnitude ωR (blue arrow) with a noise component δωR (green arrow). The tranverse noise field δB(cid:48) ⊥ (green arrow) [Eq. (17)] counter-rotates at the qubit frequency ωZ . where u = ac or ∆ac, and b(1) ac are the term linear and quadratic in u. In the second equation, the term proportional to δ represent fluctuations in the drive amplitudes due to detuning noise. ac and b(2) In the Bloch sphere representation of Eq. (5), the qubit logical states, defined by the eigenstates of b0 · σ, lies along the axis defined by b0. It will be convenient to use instead a basis in which the logical states always lie along the Z-axis. To this end, we apply the unitary transfor- mation (cid:18)cos(θ0/2) − sin(θ0/2) (cid:19) sin(θ0/2) cos(θ0/2) , U0 = which diagonalizes the static Hamiltonian, ✓XZY⌦Y-rotations with drive phase ZXYBXLab Frame (LF), RWA!ZacdRotating frame (RF), RWA!Z!RZXYB0?!Zt!R!Zt+bB?acB?acθ0θac(ϵ)θac(Δ)0200400-π20π2πϵ(μeV)Angleb0ZXzxBacX✓acbacb✓0b0BX 6 where we used ∂b/∂(δ) = ∂b/∂ac,35 see Eq. (5), and neglected terms that are independent of ωR which comes from ∂2BZ/∂2 0 and the difference between ∂b/∂0 and ∂b/∂ac, see Eq. (A9) in appendix A. The charge disper- sion thus scales quadratically with ωR. B. Rotating wave approximation While we will simulate the unitary qubit dynamics gov- erned by the full effective Hamiltonian in section VI, it will be instructive to analyze the qubit dynamics in the rotating wave approximation (RWA). The leading correc- tions to the RWA are of order ωR/ωZ, see, e.g. , Ref. 10. The rotating frame (RF) Hamiltonian, defined by HRF = −iU†Z∂tUZ + U†ZHUZ where UZ=eiωZ tσZ /2, is given in the RWA by H (RW A) RF =  2 + B(1) acZσZ + B(2) ac · σ 2 [(ωR + δωR)σX + δωZσZ] + δB(cid:48) ⊥ · (15) σ 2 (16) where the transverse noise field has additional time de- pendence (indicated by (cid:48)) corresponding to Z rotations at the driving frequency ωZ δB(cid:48) ⊥(t) = δBX (t)(cos ωZteX − sin ωZteY ) , (17) while δωZ and δωR are unaffected by the transformation. Note that the addition of a phase φ in the ac drive signal (cos ωZt → cos(ωZt + φ)) enables rotations in the RF about an axis in the XY plane at an angle φ rotated from the X axis, as illustrated in Fig. 4d. Since only two axis control of the qubit is required for universal gate operations, this qubit could be operated entirely with ac gates. Fig. 4d illustrates the relevant effective fields in the rotating frame. In addition to the standard spin resonance Hamilto- nian in the rotating frame, Eq. (16) has a longitudinal drive BacZ and a nonlinear drive term B(2) ac . The longi- tudinal driving term does not affect our results because we operate the qubit in the regime ωR (cid:39) ωZ.36 Details about the magnitude and effects of this term are given in appendix B. The second harmonic of the driving field is given by B(2) ac = A2 u 1 + cos 2ωZt 4 ∂2B ∂u2 . The ac term with frequency 2ωZ is off-resonant, so it can be neglected consistently in the RWA. On the other hand, the dc term drives rotations at a frequency set by A2 u(∂2b/∂u2)/4, which can cause beating with oscil- lations driven by the linear transverse ac driving field BacX . This beating pattern can be seen in oscillations of the infidelities as a function of  for large drive ampli- tudes, as seen in Fig. 8d of section V C. FIG. 5. (Color online) Rabi frequency as a function of detun- ing , computed from Eq. (13), for detuning drive amplitude A = 30 µeV and coupling drive amplitude A∆ = 15 µeV. U†0 (b0 · σ)U0 = σZ. We write the effective Hamil- tonian in the local basis as H = U†(θ)hU (θ) = 1 2 (BZ(t)σZ + BX (t)σX ) , (11) where Bi(t) = b(t) · ei, {ei} are detuning-dependent qubit pseudospin basis vectors given by eZ(0) = b0, eX (0) = cos θ0 x − sin θ0z, and eY = y, and indices in capital letters denote local frame axes. These local axes are illustrated in Fig. 4a. Up to the expansions Eq. (10), the effective field com- ponents in (A8) are given by BZ(t) = −(ωZ + δωZ) + B(1) BX (t) = 2(ωR + δωR) cos ωZt + δBX + B(2) acZ + B(2) acZ acX . (12) where the Rabi angular frequency ωR and its fluctuation δωR are given by ωR = Au 2 ∂BX ∂u , δωR = δ ∂ωR ∂δ . (13) The noise term δωR is a nonlinear effect that comes from second order processes in which ac drives a transition from the qubit subspace into the leakage state, and then noise δ drives transition back into the qubit subspace, or vice versa, as illustrated in Fig. 7a. The Rabi frequency ωR/2π is plotted for detun- ing drive with A = 30 µeV and coupling drive with A∆ = 15 µeV in Fig. 5. As expected from our argument in section IV, tunnel coupling driving is more efficient and stronger at large detunings. On the other hand, fast detuning drive gate speeds can be attained at lower de- tunings where charge dispersion is larger. We can quan- tify this relationship by considering the scaling of the charge dispersion curvature with the detuning drive effi- ciency ∂BX /∂ac = 2ωR/A. From Eq. (13), Eq. (C5), and Eq. (C11), we find ∂2E10 ∂2 ∝ 2(ωR/A)2 ωZ , (14) Δ-drive,AΔ=15μeVϵ-drive,Aϵ=30μeV01002003000123ϵ(μeV)Rabifrequency(GHz) V. DECOHERENCE In the following, we apply the decoherence model for the driven qubit in the presence of 1/f noise, previously developed for superconducting qubits8 -- 10,19, to the quan- tum dot hybrid qubit. In section V A, we discuss the rel- evant noise sources and their power spectrum. In section V B, we show how ac driving avoids the low frequency noise in δBX . In V C, we apply the Bloch-Redfield equa- tions in the rotating frame to the driven qubit, and com- pute the associated relaxation rates. In V D, we estimate the effect of the low frequency noise in the Rabi frequency δωR. The results derived from our decoherence model are checked with simulations using the three state Hamilto- nian with numerically generated 1/f detuning noise in section VI. A. Noise sources, power spectrum, and relaxation tensors As we mentioned in the introduction, charge noise with 1/f type noise spectrum is the dominant cause of de- coherence for double quantum dots in Si. Electrostatic coupling to this charge noise causes fluctuations in the detuning δ and the singlet triplet splitting δEST , which are completely characterized by the classical noise auto- correlation S(t − t(cid:48)) = (cid:104)δ(t)δ(t(cid:48))(cid:105) SST (t − t(cid:48)) = (cid:104)δEST (t)δEST (t(cid:48))(cid:105) . Their noise power spectrum S(ω) = (cid:82) dte−iωtS(t) are (18) given by37 S(ω) = c2  ω , SST (ω) = c2 ST ω ωl < ω < ωh , (19) where we impose a sharp high and low frequency cutoff, ωh and ωl, respectively. The low frequency cutoff ωl is 9,38, which set by the total measurement time ωl = 2π/τm is τm = 200 ms in the present experiment, corresponding to a low frequency cutoff of τ−1 m = 5 Hz.39 Dephasing and relaxation of qubit dynamics, described by the master equation in section V C, is not determined directly by the noise power in Eq. (19), but the power spectrum of the noise field that appears in the effective Hamiltonian Eq. (5), given by40 Sij(ω) = (cid:104)δBi(ω)δBj(−ω)(cid:105) = GijS(ω) + FijSST (ω) (20) where we define the relaxation tensors Gij() = ∂Bi ∂(δ) ∂Bj ∂(δ) , Fij() = ∂Bi ∂EST ∂Bj ∂EST . (21) These tensors, which are anisotropic and detuning de- pendent, describe the qubit susceptibility to fluctuations 7 in  and EST . They are plotted as a function of detuning in Fig. 6 a and b. The decrease in Gij() as a function of detuning is consistent with our discussion in secion II and III: when the logical states have the same charge char- acter, δ cannot cause changes in the qubit frequency or transitions between logical states. On the other hand, as the qubit logical states acquire different spin character as  increases, they become more sensitive to δEST noise, so that Fij increases. We will next make some approximations to Sij ap- propriate for the optimal parameters considered in this work. First, detuning noise is by far the dominant noise parameter, with c = 2.38 µeV, while an estimate in Ref. 41 gives cST ∼ 10−3 µeV. The large difference in noise strength occurs because the detuning couples to charge noise via the double dot electric dipole moment, but while the single-triplet splitting EST couples via a single dot quadrapole moment.41 Since even the largest relaxation rate associated δEST , set by the noise power at the ωZ or ωR as discussed in section V C, is given by ST /2ωR ∼< 100 Hz, negligibly small compared to FZZc2 other relaxation rates considered in this work, we can neglect δEST altogether in the decoherence of ac gates.42 Second, we can neglect the anisotropic relaxation term GXZ which represent noise correlations in different direc- tions on the Bloch sphere, as it is an order of magnitude smaller than the diagonal term GXX as shown in Fig. 6a. Note that GZZ and GXZ are strongly suppressed because we already tune the parameters to minimize charge dis- persion (∂BZ/∂). While phonons cannot cause transitions between dif- ferent spins, they could potentially cause decoherence as they couple to the difference in the charge distri- butions between singlet and triplet states in the dou- bly occupied dot with an interaction term that goes as Hep ∼ (aph + a†ph)(·S(cid:105)(cid:104)·S − ·T(cid:105)(cid:104)·T), where aph is the phonon destruction operator.43 However, averaging the fluctuations of the relative phase factor between ·S(cid:105) and ·T(cid:105) [see Eq. (C14)] over an incoherent thermal bath does not cause decay because the phonon density of states van- ishes at low frequencies,43 in contrast to the pure dephas- ing by 1/f detuning noise. On the other hand, according to Ref. 43, when the phonon dissipative dynamics and coherent interaction with the qubit is fully taken into ac- count, phonon relaxation can cause exponential decay of the singlet triplet coherence. To model this effect, we will take the decay time Tph (cid:39) 1 µs calculated in Ref. 41 for silicon with a lateral electron confinement length of 40 nm. Since the phonon coupling has the same form as the charge noise coupling to EST , the phonon relaxation tensor is Fij. In particular, for the detunings of interest, only FZZ (cid:39) 1 is relevant. Therefore, in the following, we will directly incorporate the decay due to the phonon de- phasing in the off-diagonal density matrix elements by taking ρ01(t) → ρ01(t)e−t/Tph. verse field 8 B⊥(t) = (ωR cos ωZt + δBX (t))eX + ωR sin ωZteY . The relative phase δφ accumulated due to fluctuations of the instantaneous rotation frequency is given by (cid:90) (cid:90) δφ = dt(cid:48)δB⊥(t(cid:48))/ (cid:39) dt(cid:48) cos ωZt(cid:48)δBX (t(cid:48)) , which averages to zero for the low frequency components (ω (cid:28) ωZ) of δBX . C. ac Bloch equations In the section, we review the master equation in the Bloch-Redfield approximation in the rotating frame, valid when the Rabi frequency is much faster than the RF longitudinal relaxation rates,10 which is satisfied in the parameter regime of interest in this work. These equations include the dissipative qubit dynamics due to the noise term δB(cid:48) ⊥ and and δωZ in Eq. (16). The qubit master equation for the pseudospin s = (cid:104)ψσψ(cid:105)/2, where ψ(cid:105) the qubit state vector, is given by the Bloch equations8,10,18, ds dt B  × s = − DX (sX − ¯sX )eX − DY sY eY − DZsZ eZ (24) where B is the effective field whose dominant term is ωReX (see Eq. (16)), and the relaxation rates are given by DX = DY = DZ = 1 T1ρ 1 T (cid:48)φ 1 T (cid:48)φ + + SZZ(ωR) 22 S(cid:48)Y Y (ωR) 22 . The RF T1 and T2 relaxation times are given by44 1 T1ρ 1 T2ρ = = S(cid:48)Y Y (ωR) + SZZ(ωR) 22 D(cid:48)Y + D(cid:48)Z 2 = 1 2T1ρ + 1 T (cid:48)φ , (25) (26) where the RF pure dephasing time T (cid:48)φ is given in Eq. (23). Unlike the relaxation rates for dc rotation, these rates depend on both the Rabi and qubit frequency. A plot of the relaxation times (T (cid:48)φ, T1ρ, T2ρ) for both types of driving as a function of detuning is shown in Fig. 6c and an illustration of the associated relaxation processes is shown in Fig. 6d. For optimal parameters, GZZ (cid:28) 1 as shown in Fig. 6a, so that T2ρ, the decay 1, ∆0 (Color online) For (∆0 FIG. 6. 2) = (30, 30) µeV and as a function of detuning : (a and b) The relaxation tensors Eq. (21) (a) Gij for detuning noise and (b) Fij for noise in the singlet-triplet splitting EST . (c) For the drive ampli- tudes (A, Ag) = (30, 10) µeV, relaxation times (T (cid:48) φ, T1ρ, T2ρ) Eq. (26) in the Bloch equations for both types of ac drive, and the phonon relaxation time Tph. (d) Illustration of the longitudinal and transverse relaxation process in the rotating frame, relative to the drive field (ωR), governed by T1ρ, and T2ρ, respectively, and the phonon induced relaxation (Tph). B. Mitigating dephasing from low frequency noise A key advantage of ac gate operations is that it is in- sensitive to low frequency transverse noise in δBX , re- sulting in improved coherence during driven evolution compared to free induction decay. Quantitative analysis of this effect is more convenient in the rotating frame, where the qubit simply undergoes free rotation about the X axis, see Fig. 4d. Applying the pure dephasing rate formula given in Eq. (C8), and noting that due to Eq. (17), the transverse noise δB(cid:48) ⊥(t) has a shifted noise spectrum given by10 S(cid:48)XX (ω) = S(cid:48)Y Y (ω) = SXX (ω + ωZ) + SXX (ω − ωZ) , 4 one finds the pure dephasing rate, 1 T (cid:48)φ = S(cid:48)XX (0) 22 = SXX (ωZ) 42 . (22) (23) Thus, dephasing due to the low frequency transverse noise in δBX is avoided. The physical origin for this effect can be understood by considering the RWA in the lab frame as illustrated in Fig. 4c, where the qubit pseu- dospin precesses about the instantaneous, rotating trans- aGXXGZZGXZ10-410-310-210-1100cΔdriveT1ρT2ρϵdriveT1ρT2ρϵandΔdriveTϕTph0510152025RelaxationTime(μs)bFXXFXZFZZ010020030010-210-1100ϵ(μeV)Relaxation tensorsdZYXT2⇢T1⇢TphcΔdriveT1ρT2ρϵdriveT1ρT2ρϵandΔdriveTϕTph0100200300100101102103104ϵ(μeV)RelaxationTime(ns)Δ-driveϵ-drive010020030000.0050.01ϵ(μeV)σR*2/ωR2timeϵac!R1i0i2i✏ac,ac✏drive amplitudeabcbac0 9 (cid:19) Fig. 4d and Eq. (C11). An explicit calculation of the resulting low frequency decay envelope is given in ap- pendix C 3 b. Since we have already tuned the tunnel coupling to minimize δωZ = δ∂ωZ/∂ (GZZ (cid:28) 1), the Z/2ωR = GZZδ2/22ωR, is negligi- quadratic term in δω2 bly small.45 The main threat comes from low frequency noise in δωR, which causes decay of RF X rotations given by [cf. Eq. (C19)] = Wlf (t) , Wlf = exp (cid:18)sY (t) (cid:19) sZ(t) where (cid:18) t2σ2 R(t) 2 − (27) (28) ∂ωR ∂δ σ(t) , (cid:18)sY (0) (cid:19) (cid:113) sZ(0) (cid:90) 2π/t σR(t) = (cid:104)δω2 R(cid:105)lf = the angular brackets (cid:104) . . .(cid:105)lf denote an average over low frequency noise, and the quasistatic detuning noise vari- ance is defined by [cf. Eq. (C15)] σ2  (t) = (cid:104)δ2(cid:105)lf = 2 ωl dω 2π S(ω) = c2  π ln (29) In the limit ωlt (cid:28) 1 relevant to the qubit gate times t ∼ 1 − 10 ns, the logarithmic corrections dominate the decay. Eq. (29) will be included exactly in the simulations of section VI. Here, we first give an estimate for the size of its effect on the gate infidelity, given approximately by (cid:18) 2π (cid:19) ωlt . 1 − Wlf (tg) (cid:39) (30) where tg ∝ 1/ωR is the gate time, the effective detun- ing noise variance, σ∗R = σ∗ ∂ωR/∂δ is related to the de- phasing time scale T ∗2 (X) = √2/σ∗R, where σ∗ is given in Eq. (2). This infidelity estimate, for the optimal tun- nel coupling parameters, is plotted in Fig. 7. It shows that for large detunings  (cid:39) 200 µeV, low frequency noise causes < 1% infidelity for detuning drive and < 0.5% infidelity for coupling drive. We note this estimate is most likely an overestimate, since recent experiments in- dicate that the charge noise spectrum has a milder low frequency singularity than 1/f . Specifically, Ref. 14 finds a spectrum of the form S(ω) ∝ 1/ωβ, with β = 0.7. (cid:19)2 (cid:18) σ∗R ωR FIG. 7. (Color online) (a) Illustration of drive amplitude noise (solid line ) mediated by virtual transitions (dashed lines) due to a combination of ac drive and detuning noise, described by second order term δbac Eq. (9) in the effective Hamiltonian. (b) Conceptual illustration of the fluctuations in the drive amplitude and associated Rabi frequency δωR due to low fre- quency detuning noise δ. (c) For (∆0 2) = (30, 30) µeV, estimate of the mean square relative noise fluctuations in the Rabi frequency, (σ∗ R/ωR)2 [Eq. (28)]. Blue, solid (gold, dashed) curve indicate detuning (coupling) drive. 1, ∆0 time of ac X rotations, is mainly due to noise power in the transverse components SXX ∝ GXX , see Eq. (21), which scales quadratically with the detuning drive Rabi frequency ω2 R, as mentioned in section IV as a draw- back of detuning drive. This relaxation rate dominate the decay near the detuning sweet spot at ∗ (cid:39) 20 µeV, where T2ρ (cid:39) 10 ns. As experimental evidence for this relaxation mechanism, we find that for the parameters of Ref. 21, T2ρ(∗) = 5 ns, consistent with the short relax- ation times found therein. On the other hand, beyond about  = 200 µeV, decoherence from detuning noise is strongly suppressed, with all relaxation times above 1 µs. At this point, phonon dephasing with Tph = 1 µs becomes the limiting relaxation mechanism. The equilibrium pseudospin ¯s in general depends on the response of the noise bath to the driven qubit. Ana- lytic expressions relating ¯s to the noise power spectrum are given in Ref. 8 and 10. For the numerical simula- tions in section VI, we take the RF equilibrium condi- tion ¯s = −eX ,10,18 corresponding to equal populations of qubit states ±Z(cid:105) in the lab frame, which is valid in the low temperature limit 2kBT (cid:28) ωZ,R, appropriate for typical temperatures T ∼ 0.1K of experiments, and the regime T −1 ρ,2 (cid:28) ωR (cid:28) ωZ, appropriate near the optimal working point. ρ1 , T −1 VI. GATE FIDELITIES D. Low frequency dephasing from noise in Rabi frequency In this section, we present our model for quasistatic dephasing due to noise in δωR and δωZ that is not cap- tured by the Bloch relaxation rates Eq. (26) of section V C. These noise terms cause low frequency fluctuations of the instantaneous Rabi frequency δωR + δω2 Z/2ωR that is linear and quadratic in δ, respectively,8,9,19 see In this section, we compute and optimize the quan- tum process fidelity of the ac Xπ (NOT) gate for both detuning and coupling driving. We first consider the state fidelity of an Xπ gate, defined as the probability of reaching the target state rotated by Xπ from the initial state −Z(cid:105). Since the total decay can be factorized into the product of the low frequency decay envelop Wlf (t) Eq. (30) and the exponential decay envelop associated aGXXGZZGXZ10-410-310-210-1100cΔdriveT1ρT2ρϵdriveT1ρT2ρϵandΔdriveTϕTph0510152025RelaxationTime(μs)bFXXFXZFZZ010020030010-210-1100ϵ(μeV)Relaxation tensorsdZYXT2⇢T1⇢TphcΔdriveT1ρT2ρϵdriveT1ρT2ρϵandΔdriveTϕTph0100200300100101102103104ϵ(μeV)RelaxationTime(ns)Δ-driveϵ-drive010020030000.0050.01ϵ(μeV)σR*2/ωR2timeϵac!R1i0i2i✏ac,ac✏drive amplitudeabcbac 10 FIG. 8. (Color online) (a) Rabi frequency as a function of detuning , from Fig. 5, shown here for comparison with infidelity. (b) Estimate of the state infidelity of Xπ rotations (31) based on the gate time, RF Bloch dephasing time T2ρ Eq. (26), and the dephasing envelop Wlf (tπ) Eq. (27). (c and d) Process infidelities for the ac Xπ gate 1 − F (Xπ), where the fidelity F is defined above Eq. (35), as a function of detuning  and drive amplitudes A and A∆, with colors scaled to Log (1 − F (Xπ)). For detuning drive with amplitude A (c), an optimal point occurs at (, A) = (316, 30) µeV with F (Xπ) = 99.1%. For tunnel coupling drive with amplitude A∆ (d), an optimal point occurs at (, A∆) = (400, 10) µeV with F (Xπ) = 99.8%. Both plots in (c) and (d) are computed with the static tunnel couplings ∆0 1 = ∆0 2 = 30 µeV. with the RF Bloch relaxation time T2ρ is given by 9, the state fidelity FS(Xπ) = e−tπ/T2ρ Wlf (tπ) (31) where tπ = π/ωR is the Xπ gate time. The infidelity 1 − FS(Xπ) as a function of detuning for both type of driving are plotted in Fig. 8(b), which suggests that fi- delities exceeding 99% for both types of drive, and that coupling drive could yield fidelities near 99.9%. We next describe our procedure for numerical simula- tions of the Xπ gate in the rotating frame. For comput- ing the process fidelity, it will be more convenient to use density matrix master equation, ρ = − i  [HRF , ρ] − D (32) where HRF is the RF Hamiltonian Eq. (15), without tak- ing the RWA, D is the dissipator given by D = DX (sX − ¯sX )σX + DY sY σY + DZsZσZ , (33) is the and s(t) = Tr(ρ(t)σ)/2 qubit pseudospin, [cf. Eq. (24)]. After obtaining the density matrix ρ(tπ) after an Xπ gate by numerical integration of Eq. (32), we incorporate phonon-induced singlet triplet dephasing described in section V A and low frequency dephasing due to δωR described in section V B, which cause the pseudospin components to decay as sX (tπ) → e−tπ/TphsX (tπ) sY (tπ) → e−tπ/TphWlf (tπ)sY (tπ) sZ(tπ) → Wlf (tπ)sZ(tπ) (34) where tπ = π/ωR. Equivalently, we implement Eq. (34) on the density matrix as ρ(tπ) → e−tπ/Tph (sX σX + Wlf (tπ)sY σY ) + Wlf (tπ)sZσZ . To find the optimal working point, we compute the quantum process fidelity of the Xπ gate as a func- tion of detuning and ac drive amplitudes. For a gen- eral quantum process E, the process fidelity is given by F (E) = Tr[χ(E)χ(E0)], where χ is the process matrix de- fined by46 (cid:88) m,n E(ρ) = Emρ Enχmn . (35) In our case, the process E is the Xπ gate, E(ρ) is the final density matrix after an Xπ gate computed from the simulation procedure described above, for an arbi- trary initial density matrix ρ, while the ideal process, denoted by E0, is a perfect Xπ gate in the rotating frame E0(ρ) = σX ρσX . We follow the procedure for comput- ing χmn given in Ref. 46, with a basis set given by Em = {1, σX ,−iσY , σZ}. The Xπ gate infidelities for detuning and tunnel cou- pling drive are plotted as a function of detuning () and drive amplitude (Au) in Fig. 8c and d. In large regions of the parameter space (, Au), detuning drive fidelities ex- ceed 99% and tunnel coupling drive fidelity reach 99.8%. These optimal regions are determined by the competition between i) gate speed, which favors small  and large Au, ii) decoherence, which favors large , and iii) nonlinear ef- fects, which favors small Au. The regions of high fidelity occur along the diagonal because as  increases the drive amplitude needs to increase to maintain the same gate speed, which would otherwise decrease at fixed drive am- plitude as shown in Fig. 8a The maximum fidelities are 0123Rabifreq.(GHz)Δ-drive,AΔ=15μeVϵ-drive,Aϵ=30μeV010020030040050010-310-210-1ϵ(μeV)InfidelityCoupling driveDetuning driveabcdInfidelity,1-F(Xπ)0.0020.0060.020.06 reached at (, A) = (316, 30) µeV with F (Xπ) = 99.1% for detuning drive and (, A∆) = (400, 10) µeV and F (Xπ) = 99.8% for tunnel coupling driving. As a check on our decoherence model, we performed simulations of qubit dynamics using the three state Hamiltonian Eq. (A4), with 1/f detuning noise numeri- cally generated by the procedure described in appendix C 4. The maximum fidelity for tunnel coupling driving computed with these simulations agree with the result based on Eq. (32) and (34). VII. DISCUSSIONS AND CONCLUSIONS The theoretical framework we have developed for an- alyzing and optimizing ac gate fidelities in this work are quite general, and can be applied to a generic noise power spectrum and to other semiconductor quantum dot qubits, such as the singlet triplet qubit.47 While we con- sidered silicon, the three-electron double dot hybrid qubit can be implemented in other materials such as Germa- nium or Gallium arsenide.48 Our theory will be applica- ble in these materials provided that the qubit and noise parameters are adjusted appropriately. For example, the qubit frequency will be set by the single dot singlet triplet splitting in these materials. The phonon relaxation rates depends on the character of phonon excitations, attenua- tion rates, and the lateral dot radius, which is set by the transverse effective mass and gate-defined confinement potential.41,43 While we were focused in this work on how charge noise affect qubit fidelities, the decoherence model we have developed can be used to turn the question around, to probe the charge noise spectrum by measuring qubit dynamics.8 The information thus gained about the na- ture of the environment causing the charge noise can be helpful in developing experimental and fabrication tech- niques to reduce it. In summary, we have systematically analyzed gate fi- delities of the ac driven quantum dot hybrid qubit, in- cluding decoherence due to 1/f charge noise, determined the optimal parameter regime for the tunnel coupling, detuning, and ac drive strengths, and showed that gate fidelities up to 99.8% can be achieved by driving the tun- nel coupling. The fidelities computed in this work are exponentially sensitive to the 1/f detuning noise param- eter (c), so that we expect even modest reduction in charge noise could result in significant further improve- ments in qubit fidelity. The ac driven single qubit opera- tions studied in this work are a crucial part of two-qubit gate sequences such as the one proposed in Ref. 49, and should thus enable a high fidelity universal gate set for quantum dot hybrid qubit. acknowlegements -- We thank Mark Friesen and S. N. 11 Coppersmith for guidance and stimulating discussions. This work was supported by the Intelligence Community Postdoctoral Research Fellowship Program. Appendix A: Derivation of effective Hamiltonian In this appendix, we derive the effective Hamiltonian for the qubit, following the standard procedure,29,30 in- cluding modifications due to dynamics. We first identify the leakage state that is separated from qubit subspace by a finite gap at all detunings. To this end, we first diag- onalize the { · T(cid:105),S · (cid:105)} subspace, where the tunnel cou- pling ∆2 causes hybridization of the S(2, 1) and T (1, 2) states. The charge and spin hybridized eigenstates are (cid:18) (cid:19) 1(cid:105) L(cid:105) where(cid:18)cos η0 (cid:19) = sin η0 cos η0 cos η0 − sin η0 (cid:19)(cid:18) (cid:18)sin η0 (cid:32)(cid:112) E12 + EST − 0 (cid:112) E12 − EST + 0 · T(cid:105) S · (cid:105) (cid:19) (cid:33) 2 E12 , (A1) η0 is the 0 dependent mixing angle, and = 1(cid:112) (cid:113) E12 = 4 ∆2 2(0) + (EST − 0)2 , is the energy splitting, and we define the detuning de- pendent tunnel coupling ∆2(0) = ∆0 2e−(0−EST )/2. The mixing amplitudes (cos η, sin η) as functions of detuning are plotted in Fig. 9. With this change of basis at 0, defined by the following transformation Uη(0) = 1  0 0 0 sin η0 cos η0 0 cos η0 − sin η0 (A2) the Hamiltonian becomes FIG. 9. (Color online) The mixing amplitudes cos η = (cid:104)S·1(cid:105) and sin η = (cid:104)·T1(cid:105) in Eq. (A1) as a function of detuning . cosηsinη010020030040050000.51ϵ(μeV)Mixingamplitude Hη(, ∆1, ∆2) = U†η H0Uη =  − (t) 2 ∆1(t) cos η0 −∆1(t) sin η0 ∆1(t) cos η0 (t) 2 cos 2η0 − ∆2(t) sin 2η0 sin 2η0 − ∆2(t) cos 2η0 EST −(t) 2 EST −(t) −∆1(t) sin η0 sin 2η0 − ∆2(t) cos 2η0 ∆2(t) sin 2η0 − (t) 2 cos 2η0 2 where, turning on both detuning and coupling drive, (t) = 0 + ac(t) , ∆1(t) = ∆0 1 + ∆ac 1 (t) , ∆2(t) = ∆2(0) + ∆ac 2 (t) . Writing Hη = H η dc + H η ac, the dc Hamiltonian is given by H η dc(0, ∆0 1, ∆0 2) = 1 cos η0 1 2 1 sin η0 (cid:16) ∆0 1 cos η0 EST − E0 12 (cid:17) 0 1 2 (cid:16) −∆0 1 sin η0 0 EST + E0 12  , (cid:17) 2  − 0  −ac/2 ∆0 −∆0 12  , (A3) (A4)  . and the ac part is given by ac(t) = Hη((t); ∆1(t), ∆2(t))−H η H η dc = 1 cos η0 (ac/2) cos 2η0 − ∆ac 1 cos η0 1 sin η0 −(ac/2) sin 2η0 − ∆ac 1 sin η0 2 sin 2η0 −(ac/2) sin 2η0 − ∆ac 2 cos 2η0 ∆ac 2 cos 2η0 2 sin 2η0 − (ac/2) cos 2η0 ∆ac −∆ac −∆ac ∆ac Detuning noise can be included simply by taking ac → ac + δ. So far, no approximations have been taken. Note that Hη has different dependences on ac and 0, due to the 0-dependent transformation Eq. (A2). Next, we perform a canonical transformation to de- rive the effective Hamiltonian for the ac driven qubit. This transformation is a perturbative expansion orga- nized as follows. We first separate Hη into a diago- nal Hd = diag(H) and an off-diagonal V (0) = H − Hd part, which is considered to be a perturbation, so that Hη = Hd + V (0). The off-diagonal part is further sep- arated into V (0) = VQ + VL, where VQ is off-diagonal within qubit subspace, VL is off-diagonal between qubit and leakage space. VQ is justified as a perturbation even for large ∆1 because in the far detuned regime cos η0 (cid:28) 1 (see Fig. 9). We then perform the canonical transforma- tion ψ(cid:105) = US ψ(cid:105) , US = eS , where S is anti-Hermitian (S† = −S) and a is purely leakage term. The transformed Hamiltonian, up to O(S3) terms, is given by l , Eη n − Eη rameters αn = V (0)/∆Enl and βn = ωZ/∆Enl, where ∆Enl = Eη n,l are the diagonal elements of H η, n(l) labels states in the qubit (leakage) subspace. For static Hamiltonians, αn is sufficient to parametrize the perturbation theory. In the dynamic case, the additional expansion parameter β comes from the dynamics de- scribed by S. We will denote the kth order of the pertur- bative series as the term of power αp n, with k = p + q. The decoupling procedure is iterative:29 S =(cid:80)∞k=1 S(k) mβq is a perturbative series with each term of order k, chosen to eliminate leakage terms of order αk−1 , and produces corrections in the effective Hamiltonian as well as leakage terms of order k. n In the leading order, S = S(1) is chosen to satisfy [Hd, S(1)] = −VL , S(1) = − V (0) ∆Enl , The leakage term to the next order, generated by S(1) is given by H ≡ U†SHUS − iU†S∂tUS i = H − i S + [S, H + 2 = Hd + VQ + [VL, S] + S] + 1 2 1 2 [S, [S, H + i 2 [[Hd, S], S] + [S, S] + VL + [Hd + VQ, S] + i S + 1 2 [[V, S], S] (A5) leakage The of Eq. (A5), are eliminated perturbatively in the pa- given in the terms, last line i 3 S]] V (1) = [VQ, S(1)] + i∂tS(1) , which can be eliminated with S(2) = −V (1)/∆Enl, re- sulting in terms of order αmαn and αmβn in the effective Hamiltonian. We will keep the perturbation theory to leading order, where the nonzero matrix elements of S(1) = Sdc +Sac(t), Sdc being the static part and Sac the time dependent part, are given by The effective Hamiltonian is then given by 13 (cid:104)2Sdc 0(cid:105) = (cid:104)2Sac(t)1(cid:105) = − 2∆0 , 1 sin η0 ∆E02 (¯/2) sin 2η0 − ∆ac (cid:104)2Sac(t)0(cid:105) = 2 cos 2η0 ∆E12 ∆ac 1 sin η0 ∆E02 (A6) h = Pη HPη = Hd + VQ + 1 2 [VL, S(1)] , (A7) where Pη = η1(cid:105)(cid:104)η1 +η2(cid:105)(cid:104)η2 is the projection operator onto the qubit subpsace, defined as the lowest two energy eigenstates η0,1(cid:105) of Hη at t = 0. The matrix elements are given by h00(t) = − h01(t) = h10 = (t) 2 − 1 4 (cid:18) (cid:18) cos 2η0(EST − (t)) + 2∆2(t)sin 2η0 + EST + (t) cos η0(EST − (t)) + 2∆2(t)sin η0 ∆1(t) cos 2η0(EST − (t)) + 2∆2(t)sin 2η0 cos η0 + + 2∆2 1(t)sin2 η0 4cos η0EST + 4∆2(t)sin η0 cos 2η0(EST − (t)) + 2∆2(t)sin 2η0 + EST + (t) (cid:19) (cid:19) h11(t) = 1 4 2EST − ∆2 2(t) + (EST − (t))2 cos 2η0(EST − (t)) + 2∆2(t)sin 2η0 We note here that the difference in the 0 and ac depen- dence comes from the implicit 0 dependence in η0, and in particular the difference in the respective derivatives is given by ∂h ∂0 − ∂h ∂ac = ∂η ∂0 ∂ ∂η , (A9) where η is the mixing angle defined in Eq. (A1). This difference is important at low detunings, where η has strong detuning dependence, as shown in Fig. 9. The static effective Hamiltonian that follows by taking (t) → 0 is given by (cid:32) −0/2 − 2∆2 ∆1 cos η0 1 sin2 η0 E12+EST + (cid:33) ∆1 cos η0 EST − E12/2 Hdc = (A10) and the qubit basis is Qn(cid:105) (cid:39) (1 − Sdc)Uηqn(cid:105) (A11) where qn(cid:105) labels the basis states { · S(cid:105),S · (cid:105), · T(cid:105)}. Appendix B: longitudinal driving The longitudinal driving field in Eq. (10) is given by B(1) acZ(t) = Au cos ωZt + δ ∂2BZ ∂(δ)∂u . (B1) (cid:18) ∂BZ ∂u (cid:19) Consider first the dominant contribution in Eq. (B1) proportional to ∂BZ/∂u, plotted in Fig. 10a as a func- tion of  for both types of driving, This term can cause modulations and changes in Rabi frequency when ωR (cid:39) ωZ. However, near the optimal working point of this qubit, ωR (cid:28) ωZ, so that these effects are strongly + cos 2η0((t) − EST ) − 2∆2(t)sin 2η0 , (A8) FIG. 10. (Color online) As a function of detuning : (a) The longitudinal drive coefficient for detuning and tunnel coupling drive, ∂BZ /∂g. (b) The coefficient of the noise fluctuation the longitudinal drive amplitude ∂2BZ /∂∂u for both types of driving. suppressed. For detuning driving, this term, given by ∂BZ/∂ = ∂E10/∂, was already minimized in III, and is essentially zero, As shown in Fig. 10(a). For coupling driving, ∂BZ/∂∆ac ∼ 0.1. The second term in Eq. (B1) represent noise in the drive amplitude that has the same origin as δωR, the noise in the Rabi frequency. In prin- ciple, this noise term should be added to δBZ, which could cause T1ρ relaxation. However, it is strongly sup- pressed because: i) Due to the prefactor cos ωZt, the noise spectrum is shifted similarly to δBX . In particu- lar, the noise power that would contribute to T1ρ occurs at S(ωZ ± ωR), which is reduced by an order of mag- nitude from S(ωR), and ii) The drive noise coefficient ∂2BZ/∂u∂(δ), plotted in Fig. 10b, is of order 10−3. a∂BZ∂ϵ∂BZ∂Δac0100200300-0.200.20.40.6ϵ(μeV)longitudinaldrivecoefficientb∂2BZ∂ϵ2∂2BZ∂ϵ∂Δac0100200300-0.004-0.0020ϵ(μeV)longitudinaldrivenoise Appendix C: 1/f noise In this section, we summarize properties of 1/f noise. 1. Noise correlations A parameter V with Gaussian noise δV is completely characterized by its autocorrelation function, defined by SV V (t − t(cid:48)) = (cid:104)δV (t)δV (t(cid:48))(cid:105) = (cid:48) eiω(t−t )SV (ω) , dω 2π where SV (ω) = (cid:104)δV (ω)2(cid:105) is the noise power density. The variance isgiven by (cid:90) ∞ −∞ (cid:90) ∞ V = (cid:104)δV 2(t)(cid:105) = SV (t = t(cid:48)) = σ2 −∞ and the correlation time is defined by dω 2π SV (ω) , (C1) (cid:90) ∞ 0 τV = 1 σ2 V dt(cid:48)S(t(cid:48)) . (C2) source with exponential correlations, For a noise V e−t/τV . For 1/f noise, a high (ωh) and low S(t) = σ2 (ωl) frequency cutoff is necessary to make τV and σV fi- nite. The low frequency cutoff sets the correlation time, τV = 2π/ωl. 2. Experimental fit of detuning noise strength We fit the single parameter c in the noise spectrum using the T1 relaxation time for decay from 1(cid:105) → 0(cid:105), measured to be 7 ns at the sweet spot in Ref. 21. A sim- ilar time scale was measured in Ref. 15, which attributes it to charge noise. We thus fit the detuning noise strength to the T1 relaxation time using the Bloch formula 1 T1 SXX (ωZ) 22 = GXX S(ωZ) 22 , from which we find = (cid:115) c = 22ωZ(∗) GXX (∗)T1(∗) = 2.38 µeV2 , (C3) where the detuning sweet spot for parameters in21 is lo- cated at ∗ (cid:39) 20 µeV. 3. Pure dephasing rates due to 1/f noise In this section, we review the relevant formulae for pure dephasing rates for 1/f noise. Consider two quantum states denoted generically as ± (cid:105), with an energy splitting E = E+ − E− and noise fluctuations δE = δE+ − δE−, which for definiteness we assume in 14 the section to come from detuning noise δ. Pure de- phasing refers to the decay in the off-diagonal element of the density matrix ρ+− = (cid:104) + ρ − (cid:105) = e−iφ(cid:104)e−iδφ(cid:105)/2, where φ = (E+ − E−)t/ is the relative phase and (cid:90) t δφ(t) = 0 dt(cid:48)δE(t(cid:48))/ , (C4) is the accumulated phase due to noise fluctuations, which typically causes decay when averaged over noise realiza- tions. We keep to quadratic order in detuning noise, so the energy fluctuation is given by (a1, a2) = . (C5) δE(t) = a1δ(t) + δ(t)2 , (cid:19) a2 2 ∂2E ∂(δ)2 , ∂(δ) (cid:18) ∂E (cid:104) −Γ(1) (cid:105) , (C6) The decay envelope is given by W (t) ≡ (cid:104)e−iδφ(t)(cid:105) = exp φ (t) − Γ(2) φ (t) φ (t) and Γ(1) where Γ(1) φ (t) are due to noise averaging over the linear (δ) and quadratic (δ2) term, respectively. The linear term can be expressed in a well known, closed form (cid:90) t (cid:90) t (cid:90) t (cid:90) t (cid:90) ∞ 0 0 1 2 a2 1 2 a2 1t2 22 0 0 −∞ Γ(1) φ (t) = = = dt1dt2(cid:104)δE(t1)δE(t2)(cid:105) dt1dt2(cid:104)δ(t1)δ(t2)(cid:105) dω 2π S(ω)sinc2(ωt/2) , (C7) (C8) where sinc(x) = sin(x)/x. Note that due to the sinc func- tion, the integral is dominated by the quasistatic part of the spectrum (ω < 1/t). Physically, this stems from the fact that the phase accumulation δφ coming from noise at frequencies higher than 1/t tends to time-average to zero in Eq. (C4). The decay exponent due to quadratic fluctuations can- not in general be expressed in closed form, but it can be expressed in terms of a functional determinant Γ(2) φ = − ln [det(1 + ia2S/)]−1/2 tr ln(1 + ia2S/) 1 n tr[(−ia2S/)n] (C9) where the multiplicaton of noise correlation functions de- notes integration over functional kernals = = 1 2 1 2 (cid:88) n (cid:90) (cid:90) tr S = tr S2 = dt(cid:48)S(t(cid:48), t(cid:48)) , dt(cid:48)dt(cid:48)(cid:48)S(t(cid:48), t(cid:48)(cid:48))S(t(cid:48)(cid:48), t(cid:48)) . The series expression in Eq. (C9) was previously derived in Ref. [19], and it can be simply generalized to include dynamical decoupling sequences such as spin echo or CPMG38. At a fixed gate time tg, the summation Eq. (C9) can be evaluated for high and low frequency ranges relative 19. In contrast to the linear term Eq. (C8), both to 1/tg high and low frequency ranges contribute at all times, but the decay is dominated at short (long) times by the low (high) frequency contribution. Here, the short (long) time regime is defined relative to the time scale,9,19 For the optimal parameters considered in this work, we will be in the short time regime t (cid:28) τ2, and the quadratic noise terms are strongly suppressed. However, these higher order effects may be important in the exper- iments that operate away from these optimal parameters, for example, in Ref. 21 and 24. a. Linear and quadratic noise couplings In this section, we determine the linear (a1) and quadratic (a2) couplings to detuning noise [Eq. (C5)] coming from the leading order expansion in δ of the noise field given in Eq. (8). These coefficients can be used in the formulae given in appendix C 3 b and C 3 c to compute dephasing envelops for dc Z rotations in the lab frame and ac X rotations in the rotating frame as described In the lab frame, the fluctuations in the qubit fre- quency (dc Z rotation frequency) is given to quadratic order by δE = (BZ + δBZ)2 + δB2 X − BZ = δBZ + δB2 X 2BZ , where BZ = ωZ, and we define δf ≡ δ∂f /∂(δ) for a generic function of detuning f (δ). It follows that a1(Z) = ∂BZ ∂(δ) , a2(Z) = (∂BX /∂(δ))2 BZ . (C11) For ac X-rotations, in the RF and RWA, the fluctuations of the Rabi frequency is given by (cid:113) (cid:113) δE  = so that 15 b. Dephasing due to low frequency noise Next, we consider the quasistatic contributions to de- phasing for linear Eq. (C8) and quadratic Eq. (C9) terms in turn. Due to 1/f singularity, the decay exponent in Eq. (C8) is dominated by low frequency noise at ω (cid:28) 1/t, so that we can take sinc(ωt/2) (cid:39) 1 in the integrand. This yields a Gaussian-like decay given by Γ(1) φ (t) = 1σ(cid:48)2 (cid:115) t2 (cid:90) 2π/t 22 a2  (t) , (cid:114) (cid:16) τm (cid:17) t 1 π ln (C14) . (C15) (cid:112) (cid:112) When the low frequency term (C14) dominates the decay (C6), the dephasing time scale is defined by 1 = Γ(1) φ (T ∗2 ), and is given approximately by19 T ∗2 ≈ τ1/ ln(τm/τ1), where τ1 = √2/a1c. If we define a variance by the time scale T ∗2 = √2/a1σ∗ , then (cid:112) σ∗ = c (1/π) ln(τm/τ1) (cid:39) c (1/π) ln(cτm/h) = 5.5 µeV, (C16) For the ac gates times of tg ∼ 1 ns, the σ(cid:48)(tg) = 5.9 µeV, which is approximately equal to σ∗ . The dephasing exponent due to the quadratic term for a 1/f noise spectrum Eq. (C9) is evaluated in detail in Ref. 19, where it is shown that the low frequency contri- bution to Γ(2) φ is given by ln(cid:0)1 + ia2tσ(cid:48)2  (t)/(cid:1) . Γ(2) φ (t) = − 1 2 (C17) This is the dominate contribution for times up to t ∼ τ2/ ln(τm/τ2). For short times t (cid:28) τ2, it gives a Gaussian-like decay similar to Eq. (C15). To summarize, the low frequency (quasistatic) contri- butions to the total decay envelope Eq. (C6) is given by Wlf (t) =  (t)/ For t (cid:28) τ2, the decay envelop is given by 1 + ia2tσ(cid:48)2 −(a1tσ(cid:48)(t)/√2)2(cid:3) exp(cid:2) (cid:112) Wlf (t) = exp(cid:2) −(γφ(t)t)2(cid:3) , (cid:115)(cid:18) a1σ(cid:48)(t) (cid:18) a2σ(cid:48)2 (cid:19)2 (cid:19)2 where the decay rate is given by √2 +  (t) 2 1  (C18) (C19) (C20) τ2 =  a2c2  . (C10) σ(cid:48)(t) = 2 ωl dω 2π S(ω) = c (ωR + δωR)2 + δω2 Z − ωR ≈ δωR + δω2 Z 2ωR (C12) γφ(t) = a1(X) =  a2(X) = Au 2 ∂2BX ∂(δ)∂u (∂BZ/∂(δ))2 , = δωR δ δω2 Z δ2 =  ωR ωR where u = ac or ∆ac. , (C13) When the high frequency cutoff is below the relevant gate speeds, ωh (cid:28) 2π/t, the decay envelop Eq. (C18) is equivalent to the one computed from a Gaussian aver- age over static noise with the variance given by the total integrated noise power, σ = (cid:104)δ2(t)(cid:105) = c (1/π) ln(ωh/ωl) , (cid:112) (C21) 16 FIG. 12. (Color online) (a) 1/f detuning noise generated nu- merically. (b) The probability of occupying 1(cid:105) as a function of time (dots), computed by numerical integration of the mas- ter equation with detuning noise generated as shown in (a). (Solid line) Fit to an exponential decay with T1 = 7 ns. τ2(Z) ∝ GXX ∝ ω2 R, [cf. Eq. (C11)] scales quadratically with the detuning driven Rabi frequency, which was in- creased in going from the tunnel coupling ∆1 = 10 µeV (Ref. 24) to ∆1 = 30 µeV (optimal) in this work. 4. Simulations with numerically generated 1/f noise In this appendix, we describe our simulations of qubit dynamics using the three state Hamiltonian Eq. (A4), in the presence of numerically generated 1/f detuning noise, following the procedure described in50. We first generate a white noise time series f (t), whose Fourier component (cid:90) fω = (cid:48) dt(cid:48)eiωt f (t(cid:48)) is Gaussian distributed with a ω independent variance, which we set equal to the detuning noise variance Sf (ω) = (cid:104)fω2(cid:105) = σ2 f . We then multiply the stochastic Fourier components by 1/√ω, and construct a 1/f noise time series (cid:90) dω δ(t) = eiωt fω√ω , (C23) 2π FIG. 11. (Color online) The exponential decay time scale τ2 as a function of detuning: (a) For ac X rotations, with the tunnel couplings(∆0 2, r) = (30, 30) µeV, for detuning () and tunnel coupling (∆) drive. (b) For dc Z rotations, with the tunnel couplings (∆0 2, r) = (30, 30) µeV used in this work and (∆0 2) = (10, 30) µeV used in Ref. 24. 1, ∆0 1, ∆0 1, ∆0 which can be significantly larger than σ∗ . For example, for ωh = 100 GHz, σ = 9 µeV. However, as discussed in appendix C 2, the noise spectrum is finite at GHz fre- quencies, so the limit ωh (cid:28) 2π/t is not satisfied. c. Dephasing due to high frequency noise The high frequency component of the quadratic noise term δ2 (Eq. (C9)) causes an exponential decay e−t/τ2 , where τ2 is given in Eq. (C10), so that the total decay envelop in Eq. (C6) is given by W (t) = e−t/τ2 Wlf (t) . (C22) We plot the exponential decay time scale τ2(X) for ac X rotations in Fig. 11. This decay time is > 10 µs near the optimal working point ( > 200µeV), which, for the typical ac gate times considered in this work of < 10 ns, causes infidelities of < 0.1%, and is thus negligible. The exponential decay time scale τ2(Z) for dc Z ro- tations are plotted in Fig. 11, for both optimal tunnel couplings considered in this work and that of Ref. 24. Although this decay time τ2(Z) = 1 µs near  ∼ 200 µeV is significantly shorter than τ2(X) rotations, due to the short Z gate periods ∼ 1 ns, Z the gate infidelity, which can be estimated as tg(Z)/τ2(Z) ∼ 0.01%, is still very small, as noted in section III. Note that this de- cay time is actually shorter for the optimal tunnel cou- plings in this work then that of Ref.24. This is because aϵ-driveΔ-drive050100150200101102103104ϵ(μeV)τ2(ns)Xrotationsb(Δ1,Δ2)=(10.7,30)μeV(Δ1,Δ2)=(30,30)μeV050100150200101102103104ϵ(μeV)τ2(ns)ZrotationsΔ-driveϵ-drive010020030000.0050.01ϵ(μeV)σR*2/ωR2timeϵac!R1i0i2i✏ac,ac✏B(t)/✏ac(t)✏(t)drive amplitudeab010203040500.40.50.60.70.80.91.0t(ns)PnP1T1=7nsab020406080100-15-10-50510t(ns)δϵ(μeV) that has a noise spectrum S(ω) = (cid:42)(cid:12)(cid:12)(cid:12)(cid:12) fω√ω (cid:12)(cid:12)(cid:12)(cid:12)2(cid:43) = σ2 f ω , which gives the desired noise spectrum if we set white noise variance equal to the noise strength, c = σf . To check that this is the correct noise strength, we simulate T1 relaxation by initiallizing the qubit in the state 1(cid:105) and computing the probability to remain in 1(cid:105) as a function time. Fitting this probability to an exponential decay 17 P1 = e−t/T1 + (1 − e−t/T1)P1f yields the relaxation time T1 = 7 ns, consistent with the experimental result used to determine c, see Fig. 12. In our simulations, we find it convenient to use the discrete cosine transform, where all Fourier components are real from the outset (instead of the discrete Fourier transform). In computing the qubit fidelity, the ideal gate is defined as the Xπ ac gate operation without any noise, see Eq. (35). We find that the qubit fidelity con- verge after averaging the solution to the density matrix equation of motion over 20 realization of the δ(t) time series. 1 F. A. Zwanenburg, A. S. Dzurak, A. Morello, M. Y. Sim- mons, L. C. L. Hollenberg, G. Klimeck, S. Rogge, S. N. Coppersmith, and M. A. Eriksson, Rev. Mod. Phys. 85, 961 (2013). 2 E. Kawakami, P. Scarlino, D. R. Ward, F. R. Braakman, D. E. Savage, M. G. Lagally, M. Friesen, S. N. Copper- smith, M. A. Eriksson, and L. M. K. Vandersypen, Nat Nano 9, 666 (2014). 3 M. Veldhorst, J. C. C. Hwang, C. H. Yang, A. W. Leenstra, B. de Ronde, J. P. Dehollain, J. T. Muhonen, F. E. Hudson, K. M. Itoh, A. Morello, and A. S. Dzurak, Nat Nano 9, 981 (2014). 4 Z. Shi, C. B. Simmons, J. R. Prance, J. K. Gamble, T. S. Koh, Y.-P. Shim, X. Hu, D. E. Savage, M. G. Lagally, M. A. Eriksson, M. Friesen, and S. N. Coppersmith, Phys. Rev. Lett. 108, 140503 (2012). 5 T. S. Koh, J. K. Gamble, M. Friesen, M. A. Eriksson, and S. N. Coppersmith, Phys. Rev. Lett. 109, 250503 (2012). 6 Z. Shi, C. B. Simmons, D. R. Ward, J. R. Prance, X. Wu, T. S. Koh, J. K. Gamble, D. E. Savage, M. G. Lagally, M. Friesen, S. N. Coppersmith, and M. A. Eriksson, Nat Commun 5 (2014). 7 D. Kim, Z. Shi, C. B. Simmons, D. R. Ward, J. R. Prance, T. S. Koh, J. K. Gamble, D. E. Savage, M. G. Lagally, M. Friesen, S. N. Coppersmith, and M. A. Eriksson, Na- ture 511, 70 (2014). 8 F. Yan, S. Gustavsson, J. Bylander, X. Jin, F. Yoshihara, and W. D. D. G. Cory, Y. Nakamura, T. P. Orlando, Oliver, Nat Commun 4 (2013). 9 G. Ithier, E. Collin, P. Joyez, P. J. Meeson, D. Vion, D. Es- teve, F. Chiarello, A. Shnirman, Y. Makhlin, J. Schriefl, and G. Schon, Phys. Rev. B 72, 134519 (2005). 10 A. Y. Smirnov, Phys. Rev. B 67, 155104 (2003). 11 J. A. Schreier, A. A. Houck, J. Koch, D. I. Schuster, B. R. Johnson, J. M. Chow, J. M. Gambetta, J. Majer, L. Frun- zio, M. H. Devoret, S. M. Girvin, and R. J. Schoelkopf, Phys. Rev. B 77, 180502 (2008). 12 J. Koch, T. M. Yu, J. Gambetta, A. A. Houck, D. I. Schus- ter, J. Majer, A. Blais, M. H. Devoret, S. M. Girvin, and R. J. Schoelkopf, Phys. Rev. A 76, 042319 (2007). 13 B. Thorgrimsson, (private communications) (2015). 14 Dial, O. E. and Shulman, M. D. and Harvey, S. P. and Bluhm, H. and Umansky, V. and Yacoby, A., Physical Re- view Letters 110 (2013). 15 K. D. Petersson, J. R. Petta, H. Lu, and A. C. Gossard, Phys. Rev. Lett. 105, 246804 (2010). 16 C. Buizert, F. H. L. Koppens, M. Pioro-Ladri`ere, H.-P. Tranitz, I. T. Vink, S. Tarucha, W. Wegscheider, and L. M. K. Vandersypen, Phys. Rev. Lett. 101, 226603 (2008). 17 X. Hu and S. Das Sarma, Phys. Rev. Lett. 96, 100501 (2006). 18 J. Jing, P. Huang, and X. Hu, Phys. Rev. A 90, 022118 (2014). 19 Y. Makhlin and A. Shnirman, Phys. Rev. Lett. 92, 178301 (2004). 20 D. P. DiVincenzo, D. Bacon, J. Kempe, G. Burkard, and K. B. Whaley, Nature 408, 339 (2000). 21 D. Kim, W. R., S. B., J. K. Gamble, R. Blume-Kohout, E. Nielsen, S. E., L. G., M. Friesen, C. N., and E. A., Nat Nano 10, 243 (2015). 22 G. Rastelli, Phys. Rev. A 86, 012106 (2012). 23 Y. Makhlin, G. Schon, Physics 296, 315 (2004). and A. Shnirman, Chemical 24 D. Kim, D. R. Ward, C. B. Simmons, D. E. Savage, M. G. Lagally, M. Friesen, S. N. Coppersmith, and M. A. Eriksson, ArXiv e-prints (2015), arXiv:1502.03156 [cond- mat.mes-hall]. 25 T. S. Koh, S. N. Coppersmith, and M. Friesen, Pro- ceedings of the National Academy of Sciences 110, 19695 (2013). 26 F. Motzoi, J. M. Gambetta, P. Rebentrost, and F. K. Wilhelm, Phys. Rev. Lett. 103, 110501 (2009). 27 J. M. Gambetta, F. Motzoi, S. T. Merkel, and F. K. Wil- helm, Phys. Rev. A 83, 012308 (2011). 28 G. D. Fuchs, V. V. Dobrovitski, D. M. Toyli, F. J. Here- mans, and D. D. Awschalom, Science 326, 1520 (2009). 29 L. L. Foldy and S. A. Wouthuysen, Phys. Rev. 78, 29 (1950). 30 R. Winkler, Spin-Orbit Coupling Effects in Two- Dimensional Electron and Hole Systems, Vol. 191 (Springer-Verlag, 2003). 31 M. Friesen, C. H. Wong, and S. Coppersmith, Unpub- lished. 32 J. Sakurai, Modern Quantum Mechanics (Addison-Wesley, Reading, MA,, 1994). 33 C. Kittel, Quantum Theory of Solids (Wiley, 1987). 34 C. Gardiner and P. Zoller, Quantum Noise: A Handbook of Markovian and Non-Markovian Quantum Stochastic Meth- ods with Applications to Quantum Optics, Springer Series in Synergetics (Springer, 2004). 35 Note that because the basis vectors ei do not depend on 18 the driving parameters u or noise δ, we have ∂Bi/∂u = ei · ∂b/∂u, and ∂Bi/∂δ = ei · ∂b/∂δ. 36 R. Glenn, M. E. Limes, B. Pankovich, B. Saam, and M. E. Raikh, Phys. Rev. B 87, 155128 (2013). 37 The noise strengths coefficients c and cST are proportional to the temperature51. 38 L. Cywi´nski, R. M. Lutchyn, C. P. Nave, and S. Das Sarma, Phys. Rev. B 77, 174509 (2008). 39 We assume here that the physical microscopic cutoff is not higher than ωl. 40 We neglect here any correlations between δEST and δ. 41 J. K. Gamble, M. Friesen, S. N. Coppersmith, and X. Hu, Phys. Rev. B 86, 035302 (2012). 42 This is true for ac gates because the dephasing rates are set by noise power at specific high frequencies. However, for dc gates, the dephasing rate due to δEST includes all qua- sistatic noise, and is about 10 MHz41, which can become the limiting relaxation rate for dc gates at large detuning, where detuning noise is strongly suppressed. 43 X. Hu, Phys. Rev. B 83, 165322 (2011). 44 C. Slichter, Principles of Magnetic Resonance, Lecture Notes in Computer Science (World Publishing Company, 1990). 45 Dephasing due to high frequency noise in δω2 Z also gives a negligible contribution to gate infidelities, as discussed in appendix C 3 c. 46 M. Nielsen and I. Chuang, Quantum Computation and Quantum Information: 10th Anniversary Edition (Cam- bridge University Press, 2010). 47 C. H. Wong, M. A. Eriksson, S. N. Coppersmith, and M. Friesen, Phys. Rev. B 92, 045403 (2015). 48 G. Cao, H.-O. Li, G.-D. Yu, B.-C. Wang, B.-B. Chen, X.- X. Song, M. Xiao, G.-C. Guo, H.-W. Jiang, X.-D. Hu, and G.-P. Guo, ArXiv e-prints (2015), arXiv:1510.00895 [cond-mat.mes-hall]. 49 S. Mehl, ArXiv e-prints (2015), arXiv:1507.03425 [cond- mat.mes-hall]. 50 W. H. Press, Comments on Astrophysics 7, 103 (1978). 51 D. Culcer, X. Hu, and S. Das Sarma, Applied Physics Letters 95, 073102 (2009).
1302.5623
2
1302
2013-05-06T10:02:01
Klein paradox for a pn junction in multilayer graphene
[ "cond-mat.mes-hall" ]
Charge carriers in single and multilayered graphene systems behave as chiral particles due to the particular lattice symmetry of the crystal. We show that the interplay between the meta-material properties of graphene multilayers and the pseudospinorial properties of the charge carriers result in the occurrence of Klein and anti-Klein tunneling for rhombohedral stacked multilayers. We derive an algebraic formula predicting the angles at which these phenomena occur and support this with numerical calculations for systems up to four layers. We present a decomposition of an arbitrarily stacked multilayer into pseudospin doublets that have the same properties as rhombohedral systems with a lower number of layers.
cond-mat.mes-hall
cond-mat
epl draft Klein paradox for a pn junction in multilayer graphene B. Van Duppen(a) and F. M. Peeters(b) Department of Physics, University of Antwerp, Groenenborgerlaan 171, B-2020 Antwerp, Belgium PACS 72.80.Vp -- Electronic transport in graphene PACS 73.21.Ac -- Multilayers PACS 73.22.Pr -- Electronic structure of graphene Abstract -- Charge carriers in single and multilayered graphene systems behave as chiral particles due to the particular lattice symmetry of the crystal. We show that the interplay between the meta-material properties of graphene multilayers and the pseudospinorial properties of the charge carriers result in the occurrence of Klein and anti-Klein tunneling for rhombohedral stacked multi- layers. We derive an algebraic formula predicting the angles at which these phenomena occur and support this with numerical calculations for systems up to four layers. We present a decomposi- tion of an arbitrarily stacked multilayer into pseudospin doublets that have the same properties as rhombohedral systems with a lower number of layers. Introduction. -- The electronic properties of the one atom thick graphene crystal has been the subject of sev- eral recent papers [1, 2] since its experimental isolation. [3] Not only is the crystal a promising candidate for semi- conductor physics, the electron behavior also mimics that of Dirac particles and can therefore be seen as an inter- esting table top realization of a two dimensional quantum relativistic system. The Klein paradox, a unit transmis- sion probability through potential barriers of any height or width, was one of the first characterizing phenomena from QED predicted [4] and subsequently observed ex- perimentally [5]. But also optical properties as Fabry- P´erot resonances [6] and the negative refraction index that makes graphene a metamaterial [7] are remarkable proper- ties of the crystal. The stacking of two layers of graphene, the so called bilayer graphene (BLG), although being only weakly bound, changes fundamentally the electronic prop- erties. For example, the Klein paradox as observed in monolayer graphene (MLG) is replaced by the suppres- sion of transmission which is called anti-Klein tunneling [8]. This suppressed transmission is remarkable since there are hole states available inside a potential barrier that are cloaked from the continuum of states outside [9]. For tri- layer graphene (TLG), Klein tunneling is present if the layers are orthorhombic stacked. For Bernal stacking how- ever Klein tunneling is absent [10, 11]. The occurrence of these tunneling phenomena is the (a) E-mail: [email protected] (b)E-mail: [email protected] consequence of the lattice induced chiral nature of the charge carriers. When the Fermi energy of the electrons is low enough, in MLG the dispersion of the electrons in the vicinity of one of the Dirac points K is linear in recipro- cal space. This allows for the introduction of pseudospin, which is the lattice induced analogue of conventional spin from the Dirac theory. Extending this concept to BLG, the dispersion near the Dirac point can be approximated as being parabolic but due to symmetry arguments still leads to a spinorial Hamiltonian describing the electrons as chiral particles with a pseudospin [12, 13]. Some recent papers have discussed the electronic struc- ture [14, 15] and known concepts such as trigonal warping and the Berry phase [16 -- 18] of graphene multilayers. In this paper we generalize the discussions of Katsnelson et al. [4] and Gu et al. [9] to an arbitrary number of lay- ers and to an arbitrary stacking order for a pn junction. We find that the low energy behaviour can be expressed as a system of non interacting pseudospin doublets, each with a specific chirality and derive a simple algebraic ex- pression for the angles at which Klein tunneling (KT) and anti-Klein tunneling (AKT) can be expected. Structure of the multilayer. -- A system of n lay- ers of graphene can be stacked using a multitude of differ- ent stacking sequences. Graphene consists of two trigonal sublattices, called α and β, and it therefore suffices to consider only the relative position of these sublattices of the different layers. Due to the periodicity of the crystal, there are only three ways a layer can be placed with re- p-1 B. Van DuppenE-mail: [email protected] F. M. PeetersE-mail: [email protected] spect to the bottom one which we label as A, B and C. It is possible to place it directly above the bottom layer (A), to shift it once (B) or twice (C) by the interatomic distance in the direction of the vector between the α and β atoms. Bernal stacking (ABA) [19,20] is found to be the most stable combination. Rhombohedral stacking (ABC) is however also possible and is observed for a small number of layers [21,22]. In between these two stacking structures, each different combination leads to a different electronic structure. To find the low energy electronic spectrum of the different possible stackings for an arbitrary number of layers, one can use the decomposition method as described by Min et al. [14]. Notice however that they do not dif- ferentiate between structures that are symmetric under in plane mirroring and thus represent the same system. The total number N (n) of physically different stacking possi- bilities for n > 3 layers is given by N (n) = 2n−2 − n−4 Xi=⌊(n−3)/2⌋ 2i, (1) where ⌊a⌋ refers to the nearest integer smaller than a. The second term of this expression takes into account the mirror symmetry of a stacking sequence and is absent in the discussion of Ref. [14]. In Fig. 1 we show for n = 4 the 3 stacking possibilities together with their respective energy spectrum near the K point. Rhombohedral multilayers. -- For n rhombohedral (ABC) stacked graphene layers, the spectrum consists of two bands that touch at the K point and 2n−2 bands that are located at higher energies. If we only include the near- est neighbour interlayer hopping, so only hopping between the βi and αi+1 sublattices, the effective Hamiltonian of such system near the K point is given by a 2n × 2n matrix Hn = vF ~σ · ~k τ † τ ~σ · ~k 0 ... 0 τ † ... 0   0 τ ~σ · ~k . . . 0 · · · · · · . . . . . . τ † 0 0 0 τ ~σ · ~k   . (2) with ~σ = (σx, σy) a vector of Pauli matrices, vF ≈ 106m/s the Fermi velocity in MLG, ~k the wave vector and τ is given by τ = 1 vF (cid:20) 0 γ1 0 0 (cid:21) , (3) where γ1 = 377meV is the interlayer hopping parameter [23]. For this kind of stacking it is possible to introduce a two band low energy approximation which yields the Hamiltonian [14, 24] 2 1 0 1 Γ  E -1 -2 -0.10 2 1 0 1 Γ  E -1 -2 -0.10 2 1 0 1 Γ  E -1 -2 -0.10 ABCA Α4 Β4 A Α3 Β3 Α2 Β2 0.05 0.10 Α1 Β1 -0.05 0.00 k a ABAB Α4 Β4 Α3 Β3 Α2 Β2 0.05 0.10 Α1 Β1 -0.05 0.00 k a ABCB Α4 Β4 Α3 Β3 Α2 Β2 -0.05 0.00 k a 0.05 0.10 Α1 Β1 C B A B A B A B C B A Fig. 1: (Colour online) (left) Electronic energy spectrum of the three physically different stacking possibilities of the graphene tetralayer with only nearest neighbour interlayer hopping. The energy is expressed in units of the interlayer hopping energy γ1 and the wave vector is expressed in units of a−1, the inverse of the nearest neighbour interatomic distance. (right) Schematic representation of the relative positions of the sublattices α and β of each layer for the stacking orders shown on the right. The yellow line corresponds to the interlayer hopping taken into account in the calculations. where φk = arctan (ky/kx) is the angle of the wave vector ~k with the normal chosen perpendicular to the pn junction and σx(y) are the components of the pseudospin associated with this two dimensional Hamiltonian which are the re- spective Pauli matrices. The validity of this approxima- tion is shown in Fig. 2 for n = 2, 3, 4, 5. In this figure we show the dispersion relation obtained by the Hamiltonian in Eq. (2) which consists of 2n bands. Superimposed we have plotted the dispersion relation from the Hamiltonian in Eq. (5) as dashed curves. The two band spectrum is in good agreement with the 2n band spectrum for low energy and near the K point. The two band Hamiltonian in Eq. (5) describes a chiral particle for which the pseudospin spins n times as fast as the wave vector ~k. Notice that for the angles φm k = m π 2n for m ∈ {1 − n, . . . , 0, . . . , n − 1} , (6) (5) vanish for m even or the sine or the cosine in Eq. odd respectively. At these angles the Hamiltonian com- mutes respectively with σx or σy making them conserved quantities. When electrons impinge on a pn junction with an angle of incidence given by Eq. (6), conservation of pseudospin H ′ n = (vF )n (−γ1)n−1 (cid:20) 0 ∼ kn [cos (nφk) σx + sin (nφk) σy] , (kx + iky)n 0 (kx − iky)n (cid:21) , (4) (5) p-2 1.0 0.5 0.0 -0.5 -1.0 1.0 0.5 0.0 -0.5 -1.0 1 / E 1 / E n=2 n=3 n=4 n=5 -0.05 0.00 ka 0.05 -0.05 0.05 0.00 ka Fig. 2: (Colour online) Energy spectrum near the Dirac point of rhombohedral stacked graphene multilayers up to five lay- ers. The black solid curves correspond to the tight binding energy with only nearest neighbour and next to nearest neigh- bour hopping and the red dashed curves correspond to the two band approximation. The energy is expressed in units of the interlayer hopping energy γ1 and the wave vector is expressed in units of a−1, the inverse of the nearest neighbour interatomic distance. allows the electron to be reflected only if the reflected state has the same pseudospin as the incident state. The angle of the reflected state is given by θr k = π − φk and therefore the wave vector of the reflected electron must rotate by an angle of ∆φ = π − 2φk. Since the pseudospin rotates n times as fast as the wave vector, we must have n∆φ = l2π, l ∈ N, in order that reflection is allowed by pseudospin conservation. Using Eq. (6) yields that the pseudospin of the reflected state is parallel with that of the incident state for angles φm k when the difference n − m is even, while the pseudospin is opposite when n − m is odd. When the Fermi energy (E) of the incident electron is less than the potential step (V ) of the pn junction, the sign of the wave vector of the propagating hole state inside the junction is opposite to the sign of the incident electron wave vector. This is the result of charge conservation at the steps' edge and gives rise to a negative refraction index as found for MLG making it a meta-material [6, 7]. Due to the change in sign of the wave vector, the angle of the wave inside the potential region also flips sign, making the pseudospin inside the junction to rotate in the opposite direction. This is schematically illustrated in Fig. 3. In this case the angle of refraction is given by Klein paradox for a pn junction in multilayer graphene (a) n=2 Region I Region II ky kx ky kx (b) n=3 Region I Region II ky kx ky kx Fig. 3: (Colour online) Schematic representation of the pseu- dospin matching at a pn junction for (a) bilayer and (b) trilayer graphene with Fermi energy E = V /2. The red arrows show the rotation of the pseudospin with respect to the incident an- gle in the reciprocal plane outside (left) and inside (right) the junction. The blue arrows indicate the angles for which the conservation of pseudospin results in Klein tunneling (arrows directed to the right) or anti-Klein tunneling (arrows directed to the left). where kx (Th) is the wave vector of the hole state and Th = E − V corresponds to the kinetic energy of the hole. Due to electron-hole symmetry, when E = V /2, the refractive angle is exactly opposite to the angle of inci- dence. Following a similar argument as before, one finds that for angles φm k the pseudospin is opposite to that of the incident state when n − m is even, but it is the same when n − m is odd. A mismatch in the pseudospin inside and outside the po- tential step was invoked earlier to explain Klein tunneling in MLG and anti-Klein tunneling in BLG [4, 9]. Follow- ing the above argument, one can conclude that for an n layered rhombohedral stacked system, AKT is present at angles given by Eq. (6) when n − m is even, while KT is present for angles given by Eq. (6) when n − m is odd. Table 1 lists the special angles for n up to 5. For arbitrary stacking, Min et al. [14] showed that the Hamiltonian can be decomposed in a set of independent pseudospin doublets of the form θt k = − arctan(cid:18) ky kx (Th)(cid:19) , HJi ∼ kJi i [cos (Jiφki ) σx + sin (Jiφki ) σy] , (8) (7) p-3 B. Van DuppenE-mail: [email protected] and F. M. PeetersE-mail: [email protected] Table 1: Angles for Klein tunneling (KT) and anti-Klein tun- neling (AKT) for multilayer graphene with n = 1, . . . , 5 layers. n 1 2 3 4 5 φKT (rad) 0 ± π 4 0, ± π 3 ± 3π 0, ± 2π 8 , ± π 5 , ± π 8 5 φAKT (rad) − 0 ± π 6 0, ± π 4 ± 3π 10 , ± π 10 where ki is the wave vector corresponding to the propagat- ing low energy band of the ith pseudospin doublet and Ji is the number of layers taking part in the doublet which corresponds to the chirality of this doublet. The num- ber of pseudospin doublets ND depends on the details of the stacking, but the sum of the chiralities equals the to- tal number of layers in the system. In this way one can decompose the low energy structure of any multilayered system in a set of ND non interacting doublets. There- fore, there are ND modes of propagation for low energy. As long as the symmetry of the system remains intact, it is not possible to scatter between different modes. The Hamiltonian given in Eq. (8) is that of a system of Ji rhombohedral stacked layers of graphene and because the different modes do not interact, the occurrence of KT and AKT is the same as before for a multilayer with n = Ji. Transmission probability. -- The two band Hamil- tonian in Eq. (5) has a plane wave solution given by two propagating waves, one right and one left moving, and 2n − 2 evanescent waves. The wave vectors of these plane waves are the solutions of the equation y(cid:1)n j + k2 (cid:0)k2 = ε2, (9) where ε = E (−γ1)n−1 / (vF )n and ky is the transverse wave vector. The solution of this equation is ±kj with n different values for kj. The plane wave solution can be written as a two spinor Ψn (x, y) = n Xj=1 j (cid:18) a± 1 (±kj +iky)n ε (cid:19) e±ikj x+ikyy =PE (x) Ceikyy, (10) (11) where the latter is a matrix formulation of the spinor with matrices P =(cid:20) 1 (k1+iky )n ε 1 (−k1+iky )n . . . 1 (−kn+iky )n ε ε (cid:21) , To find the transmission probability for a pn junction, one has to equate the plane wave solutions and all the deriva- tives up to n − 1th order of the region before the junction (region I) with those of the region behind it (region II) at the junction's edge at x = 0. This leads to a set of n two component equations: PI EI CI = PII EIICII ∂EII PI ∂x CII ∂EI ∂x CI = PII ... PI ∂n−1EI ∂xn−1 CI = PII ∂n−1EII ∂xn−1 CII,   (13) where the matrix E is evaluated at x = 0. Normalizing the incident wave on the right propagating wave before the junction by putting a+ 1,I = 1 and applying boundary conditions a− j,I = 0 and a+ j,II = 0 for j 6= 1 to suppress the non normalizable plane wave functions, the transmission (T ) and the reflection probability (R) are given by 2 T = (cid:12)(cid:12)(cid:12) a+ j,II(cid:12)(cid:12)(cid:12) 2 and R = (cid:12)(cid:12)(cid:12) a− j,I(cid:12)(cid:12)(cid:12) . (14) The numerical results for the transmission probability for multilayers with n = 1 up to 4 are depicted in Fig. 4 as function of the energy of the incident electron and the incident angle. The expected angles for KT and AKT are confirmed by our calculations. Conclusions and remarks. -- The combination of the chiral nature of the charge carriers and their meta- material properties induce Klein tunneling and anti-Klein tunneling at specific angles for rhombohedral stacked graphene multilayers. For an arbitrary stacking sequence, the low energy behavior of the electrons can be decom- posed in independent chiral doublets with a chirality J that act as if it is a rhombohedral multilayer with n = J layers. In this way, for any arbitrary stacking sequence, one can predict the occurrence of Klein tunneling and anti- Klein tunneling. Note however that we limited ourselves to nearest neighbour hoppings and neglected other less important hoppings that are present in a real multilayer. The latter results in e.g. trigonal warping [18] that will effect our results for very small energies. Furthermore, the use of the two band approximation limits the energy range to about 300meV. At high energies, additional modes of propagation need to be taken into account, changing the transmission properties for high junctions and high Fermi energy [13]. ∗ ∗ ∗ E (x) =Diag(cid:2)eik1x, e−ik1x, . . . , eiknx, e−iknx(cid:3) , and 1 , a− 1 , . . . , a+ C =(cid:2)a+ n(cid:3)T n , a− . (12a) (12b) (12c) We thank S. Gillis for valuable discussions. This work was supported by the European Science Foundation (ESF) under the EUROCORES Program Euro-GRAPHENE within the project CONGRAN, and the Flemish Science Foundation (FWO-Vl). p-4 Klein paradox for a pn junction in multilayer graphene Fig. 4: (Colour online) Transmission probability through a pn junction in multilayer graphene for n = 1, . . . , 4 layers. The top row shows the angle dependend transmission for electrons with E = V /2. The bottom row gives countourplots of the angle and energy dependence of the transmission. REFERENCES [15] Koshino M. and McCann E., Physical Review B, 87 (2013) 045420. [16] Morimoto T. and Koshino M., Physical Review B, 87 (2013) 085424. [17] Mikitik G. P. and Sharlai Yu. V., Low Temperature Physics, 34 (2008) 794. [18] Koshino M. and McCann E., Physical Review B, 80 (2009) 165409. [19] Bernal J. D., Proceedings of the Royal Society A: Math- ematical, Physical and Engineering Sciences, 106 (1924) 749. [20] Partoens B. and Peeters F. M., Physical Review B, 75 (2007) 193402. [21] Shih C.-J., Vijayaraghavan A., Krishnan R., Sharma R., Han J.-H., Ham M.-H., Jin Z., Lin S., Paulus G. L., Reuel N. F., Wang Q. H., Blankschtein D. and Strano M. S., Nature Nanotech- nology, 6 (2011) 439. [22] Craciun M. F., Russo S., Yamamoto M., Oostinga J. B., Morpurgo A. F. and Tarucha S., Nature Nan- otechnology, 4 (2009) 383. [23] Partoens B. and Peeters F. M, Physical Review B, 74 (2006) 075404. [24] Nakamura M. and Hirasawa L., Physical Review B, 77 (2008) 045429. [1] Castro Neto A. H., Guinea F., Peres N. M. R., Novoselov K. S. and Geim A. K., Reviews of Modern Physics, 81 (2009) 109. [2] Rozhkov A. V., Giavaras G., Bliokh Y. P., Frei- likher V. and Nori F., Physics Reports, 503 (2011) 77. [3] Novoselov K. S., Geim A. K., Morozov S. V., Jiang D., Zhang Y., Dubonos S. V., Grigorieva I. V. and Firsov A. A., Science, 306 (2004) 666. [4] Katsnelson M. I., Novoselov K. S. and Geim A. K., Nature Physics, 2 (2006) 620. [5] Stander N., Huard B. and Goldhaber-Gordon D., Physical Review Letters, 102 (2009) 026807. [6] Ramezani Masir M., Vasilopoulos P. and Peeters F. M., Physical Review B, 82 (2010) 115417. [7] Cheianov V. V., Fal'ko V. and Altshuler B. L., Sci- ence, 315 (2007) 1252. [8] Campos L.C., Young A.F., Surakitbovorn K., Watanabe K., Taniguchi T. and Jarillo-Herrero P., Nature communications, 3 (2012) 1239. [9] Gu N., Rudner M. and Levitov L., Physical Review Letters, 107 (2011) 156603. [10] Kumar S. B. and Guo J., Applied Physics Letters, 100 (2012) 163102. [11] Van Duppen B. and Peeters F. M., Applied Physics Letters, 101 (2012) 226101. [12] McCann E. and Fal´ko V., Physical Review Letters, 96 (2006) 086805. [13] Van Duppen B. and Peeters F. M., Physical Review B, (2012) Four band tunneling in bilayer graphene (Submit- ted). [14] Min H. and MacDonald A. H., Physical Review B, 77 (2008) 155416. Please note that the ABCB- and the ABAC-tetralayer are considered to be different systems, while it is the same under mirror symmetry and the permutation A → C → B → A. p-5
1101.1303
2
1101
2011-05-26T16:24:33
Electric field control of spins in bilayer graphene: Local moment formation and local moment interactions
[ "cond-mat.mes-hall", "cond-mat.mtrl-sci" ]
We study local moment formation for adatoms on bilayer graphene (BLG) within a mean-field theory of the Anderson impurity model. The wavefunctions of the BLG electrons induce strong particle-hole asymmetry and band dependence of the hybridization, which is shown to result in unusual features in the impurity model phase diagram. We also study the effect of varying the chemical potential, as well as varying an electric field perpendicular to the bilayer; the latter modifies the density of states of electrons in BLG and, more significantly, shifts the impurity energy. We show that this leads to regimes in the impurity phase diagram where local moments can be turned on or off by applying modest external electric fields. Finally, we show that the RKKY interaction between local moments can be varied by tuning the chemical potential (as has also been suggested in monolayer graphene) or, more interestingly, by tuning the electric field so that it induces changes in the band structure of BLG.
cond-mat.mes-hall
cond-mat
Controlling local moment formation and local moment interactions in bilayer graphene Matthew Killi1, Dariush Heidarian1 and Arun Paramekanti1,2 1 Department of Physics, University of Toronto, Toronto, Ontario, Canada M5S 1A7 2 Canadian Institute for Advanced Research, Toronto, Ontario, Canada M5G 1Z8 E-mail: [email protected] Abstract. We study local moment formation for adatoms on bilayer graphene (BLG) within a mean field theory of the Anderson impurity model. The wavefunctions of the BLG electrons induce strong particle-hole asymmetry and band dependence of the hybridization, which is shown to result in unusual features in the impurity model phase diagram. We also study the effect of varying the chemical potential, as well as varying an electric field perpendicular to the bilayer; the latter modifies the density of states of electrons in BLG, and, more significantly, shifts the impurity energy. We show that this leads to regimes in the impurity phase diagram where local moments can be turned on or off by applying modest external electric fields. Finally, we show that the RKKY interaction between local moments can be varied by tuning of the chemical potential (as has also been suggested in monolayer graphene) or, more interestingly, by tuning the electric field so that it induces changes in the band structure of BLG. PACS numbers: 75.20.Hr, 75.75-c, 73.20.-r, 75.30.Et Submitted to: New J. Phys. 1 1 0 2 y a M 6 2 ] l l a h - s e m . t a m - d n o c [ 2 v 3 0 3 1 . 1 0 1 1 : v i X r a Controlling local moment formation and interactions in bilayer graphene 2 1. Introduction Single layer graphene hosts a plethora of phenomena that arise from the Dirac- like band dispersion and chirality of its low-energy quasiparticle excitations [1, 2]. It is interesting to explore how these unusual single particle properties impact the physics of adatoms on graphene. The combination of adatom-graphene hybridization and Hubbard-like interactions on the adatom has been studied in the context of local moment formation [3, 4], Kondo physics [5, 6, 7, 8, 9], RKKY interactions [10, 11, 12, 13, 14, 15, 16], and adatom positional ordering [17, 18, 19, 20]. The study of adatoms on monolayer graphene is also of interest to the nanoscience and quantum computation communities given the possibility to control local moment physics, and adatom-adatom spin and density interactions, by varying the carrier concentration via gating [21]. In contrast to monolayer graphene, bilayer graphene (BLG), which has Bernal stacking of single layers, has an extra tuning parameter. Using a dual-gate geometry, shown in figure 1a, enables one to separately tune the chemical potential and an electric field perpendicular to the layers, which is equivalent to separately tuning the potential on each of the two layers of BLG. While tuning the chemical potential modifies the carrier concentration, applying an electric field normal to the layers generates a gap in the band structure of BLG [22, 23, 24, 25, 26, 27] (Figure 1c). (We will refer to the potential difference between the two layers, induced by this electric field, as the 'bias'.) Such a tunable gap system enables one to envision device applications and the ability to dynamically control various states in BLG [28, 29] This tunability also allows for the study of interesting fundamental physics -- for instance, it has been shown that engineering the electric field to flip direction (from pointing up to pointing down) as a function of position leads to localized one-dimensional modes at the kink in the bias [30, 31, 32]. We have shown in recent work that incorporating interaction effects converts this 'nanowire' into a 2-band Tomonaga-Luttinger liquid whose properties, such as Luttinger parameters and mode velocities, can be controlled by the bias strength [33]. In this paper, we study adatoms in BLG. We examine local moment formation on the adatoms, RKKY interaction between such local moments, and how these effects can be controlled by tuning the chemical potential and a applying perpendicular electric field. Our work goes beyond Ref.[34], which studied local moment formation for site- centered adatoms on BLG, in several important respects. (i) We consider adatoms that are positioned at the center of a hexagonal plaquette on one of the layers. The study of this configuration is motivated by a recent ab initio study of adatoms in monolayer graphene that indicates plaquette centered impurities are generally more energetically favourable than on-site impurities [35]. We expect a similar situation to hold in BLG. (ii) An applied electric field is shown to directly tune the impurity energy. This is because an impurity position will, in general, be located closer to the top layer of BLG. Accounting for this impurity energy shift allows us to identify regions of the phase diagram where local moment formation can be turned on and/or off by the application of a perpendicular electric field. (iii) For a particular impurity level chosen so that its renormalized (with self-energy corrections) energy level lies in the middle of gap in presence of the bias, we construct phase diagrams at zero, positive and negative bias by sweeping the chemical potential. The resulting phase diagram exhibits the onset of a Coulomb-blockade phase where any arbitrarily small U results in the formation Controlling local moment formation and interactions in bilayer graphene 3 b) a) c) (a) Bilayer graphene in a dual-gate configuration. Figure 1. (b) Schematic diagram of a plaquette-centered (large, red) adatom impurity on the top layer of bilayer graphene. (c) Cross-section of the dispersion relation for unbiased (Left) and biased (Right) graphene close along ky = 0 through the K-point (∆ = 0 and ∆ = 0.025t, respectively). Inset: The two unique K-points and the cross-sectional cut are indicated in the Brillioun zone. of local moments. (iv) As a consequence of the chiral wavefunctions of BLG and the fact that the plaquette centered impurity adatom couples to many sites, the coupling between the impurity and the quasiparticles of BLG has strong momentum and band dependence. This affects many of the details of the phase diagram. For instance, the self-energy develops a large real part that has nontrivial frequency dependence, and substantially renormalizes the position of the impurity spectral peak in a manner that depends on the chemical potential and the applied bias. We provide detailed a physical explanation for how this affects the resulting phase diagrams, which were not provided in reference [34]. Furthermore, to better illustrate the effect of the wavefunctions and chirality of BLG on the phase diagrams, the BLG system is contrasted with a fictitious system of non-chiral fermions with the same DOS and dispersion relation. (v) We go beyond the issue of local moment formation to address the tunable RKKY interactions between such local moments on BLG. We begin, in Section II, by introducing the Anderson impurity model specific to BLG. Section III summarizes the Anderson mean field theory formalism. Armed with this background, in Section IV we construct the impurity model phase diagrams for plaquette-centered adatoms (shown schematically in figure 1b). To highlight some of the unusual features of these phase diagrams, we contrast it with an impurity model of a fictitious system of electrons that have an identical dispersion but a band- Controlling local moment formation and interactions in bilayer graphene 4 independent coupling to the adatom. Finally, in Section V, we discuss the RKKY interaction, and its tunability, for local moments on BLG. 2. Adatom model in bilayer graphene Consider an adatom on BLG, described by the Anderson impurity model [36], HBLG = (cid:88) † (ks − µ)c (cid:88) ksσcksσ, (d − µ)d† Hmix = −(cid:88) χr(c† Himp = σdσ + U nd↑nd↓, rσdσ + d† σcrσ). k,s,σ σ rσ Here ks is the BLG electron dispersion for electrons labelled by momentum k and band index s. We assume a minimal model for the BLG dispersion that includes a nearest-neighbor hopping amplitude, t, to sites on the same layer, and an interlayer hopping amplitude, t⊥, between the two sites that sit one on top of the other. Henceforth, we set t = 1 and note that t ≈ 3 eV and t⊥/t ≈ 0.15 in BLG. In Himp, we denote the impurity energy by d, while U denotes the electron-electron repulsion on the impurity site. BLG electrons at sites r can hop on or off the adatom impurity with an amplitude χr. We assume a common equilibrium chemical potential µ for the impurity and BLG electrons. The complete Hamiltonian for unbiased BLG is then given by H = HBLG + Himp + Hmix. Electronic structure studies of transition metal adatoms on monolayer graphene suggest that the low-energy configuration of many types of impurities corresponds to the adatom residing at the center of a hexagonal plaquette [35]. We therefore fix the adatom position to be at the plaquette center on the top layer (labelled (cid:96) = 1) of BLG, as shown in the schematic diagram on the right in figure 1. For simplicity, we assume that χr=χ for the set of sites {rn}, which includes the six nearest neighbor plaquette sites in layer-1 and the site on layer-2 that lies directly below the adatom, and χr=0 for all other sites. This simplifying assumption about the impurity model allows us to focus on unconventional features of local moment formation intrinsic to bilayer graphene. Future density functional studies would be useful in incorporating details of the impurity atomic orbitals. Turning to the mixing Hamiltonian Hmix which allows the impurity electrons to hybridize with the BLG electrons, let us set φks(r), (4) (cid:88) r={rn} Vks ≡ χ where φks(r) denotes the wave function at site r for electrons in band-s and momentum k. We then obtain (1) (2) (3) (5) (cid:88) (cid:16) k,s,σ H = (cid:17) † † (ks − µ)c ksσdσ + V ∗ (cid:88) ksσcksσ + Vksc (d − µ)d† σdσ + U nd↑nd↓. + ksd† σcksσ σ While the impurity model Hamiltonian in BLG looks similar to that in conventional systems or monolayer graphene, there are two important new ingredients in the impurity physics of BLG with plaquette centered impurities. Controlling local moment formation and interactions in bilayer graphene 5 Figure 2. Coupling of the impurity to the four bands (ordered from lowest to highest energy and scaled by system size), (a) Vk1, (b) Vk2, (c) Vk3, and (d) Vk4, with impurity hopping strength χ = 0.3t. Dotted line indicates the Brillouin zone. First, for BLG (or multilayer graphene), as opposed to monolayer graphene, one can tune the density of states by applying an electric field perpendicular to the layers. Let ∆ denote the potential imbalance between the top and bottom layer induced by the electric field. Assuming that the adatom is at the same height as the top layer, this leads to an extra term in the Anderson Hamiltonian d† σdσ (−1)(cid:96)c† (cid:88) r(cid:96)σcr(cid:96)σ + (6) Hbias = − ∆ 2 (cid:96),r(cid:96),σ (cid:88) σ ∆ 2 where r(cid:96) denotes the sites in the top ((cid:96) = 1) and bottom ((cid:96) = 0) layers. In writing this modification to the Hamiltonian, we have assumed that χ and t remain unchanged in the presence of an electric field. If intercalation of the impurity occurs, this will reduce the shift in the impurity energy, but will always be nonzero on grounds of the crystal symmetry. Incorporating the bias in this way thus has three effects: (i) a renormalization of the BLG dispersion; (ii) a modification of the hybridization Vks through a change in the BLG quasiparticle wavefunctions; and (iii) a shift the impurity energy to d + ∆/2. We will refer to the renormalized BLG dispersion and the hybridization as ks(∆) and Vks(∆) respectively. It is well-known that such a bias in BLG can open a band gap and significantly change the low-energy density of states; what is perhaps not appreciated is that this also effectively tunes the impurity energy in multilayer graphene. The last term in equation 6 describing this effect was not present in reference [34] and it will be shown to have a remarkable effect on local moment formation in presence of a bias. A second important difference arises from the tunneling matrix elements, Vks, for the four bands of the bilayer. As shown in figure 2, these matrix elements display Controlling local moment formation and interactions in bilayer graphene 6 strong band- and momentum-dependence, which does not appear for the site-centered impurities discussed in reference [34]. The rich structure of the coupling between the chiral BLG quasiparticles and the impurity site leads to a number of differences in the impurity model phase diagram when compared with conventional non-chiral fermions with a similar density of states, where we simply replace φks(r) ∼ exp(ik· r) in equation 4. 3. Mean field theory A mean field treatment of the adatom impurity model is obtained, following Anderson [36], by setting where ρd =(cid:80) (cid:88) U nd↑nd↓ = U σ=± σ(cid:104)ndσ(cid:105), and md = 1 2 ≡ d − µ + U ( ξdσ ξks(∆) ≡ ks(∆) − µ. ( 1 2 ρd − σmd)ndσ (cid:80) σ σ(cid:104)ndσ(cid:105). Let us then define ρd 2 − σmd) (9) With this mean field approximation, the entire Hamiltonian splits into two single particle impurity Hamiltonians, one for each spin, with ∆ 2 )d† σdσ † ξks(∆)c ksσcksσ H σ H σ imp = (ξdσ + (cid:88) mix = −(cid:88) BLG = k,s H σ † ksσdσ +V ∗ (Vks(∆)c ks(∆)d† σcksσ). (7) (8) (10) (11) (12) (15) (16) These are coupled together by the self-consistency conditions that fix ξdσ via md and ρd. The single particle Green function for the impurity is given by k 2 ) − Σd(iωn) , (13) Gσ dd(iωn) = 1 iωn − (ξdσ + ∆ (cid:88) where the impurity self-energy is given by Vks(∆)2 iωn − ξks(∆) Σd(iωn) = (14) We can analytically continue this to the real frequency axis by setting iωn→ ω + i0+ to obtain the real and imaginary parts of the self-energy Σd(ω). We can then compute at T = 0 ks . (cid:90) 0 (cid:90) 0 −∞ (cid:88) (cid:88) σ dω −∞ σ ρd =− 1 π md=− 1 2π dω Im Gσ dd(iωn→ ω + i0+), σIm Gσ dd(iωn→ ω + i0+). Within this mean field approach, the presence of a local moment on the impurity is signalled by a self-consistent solution with a nonzero md. Alternatively, it is possible to self-consistently solve the mean field Hamiltonian using exact diagonalization for small system sizes. All of the phase diagrams in the next section were checked for consistency using this method. Controlling local moment formation and interactions in bilayer graphene 7 4. Local moment formation Using the above mean field theory enables us to study local moment formation on an impurity atom residing on BLG. Since the BLG band structure can be tuned by the electric field, we choose to define Γ0 ≡ πχ2/t as a rough scale for the impurity level broadening in the absence of interactions. Thus, Γ0 remains fixed for a given χ even as the electric field and chemical potential are varied. In this section, we begin by discussing the case when ∆ = 0 (i.e. without an applied electric field perpendicular to the layers). Phase diagrams are constructed by varying d and U for fixed χ = 0.3t (which implies χ ∼ 1 eV in conventional units) with various choices of the chemical potential. Next, we consider how varying ∆ can be used to tune the phase diagrams. After which, we discuss an alternative phase diagram for an impurity with a fixed bare energy level (although the actual energy level will be modified in the presence of a bias) with various choices of ∆. To construct these phase diagrams, µ and U are varied, while d and χ (= 0.3t) are kept fixed. We have checked that varying χ modestly makes no qualitative changes to various features in the phase diagram, although it does shift the phase boundaries as expected. We ascribe the complexities of the impurity model phase diagram in BLG to the effective momentum- and band-dependent mixing Vks. As we discuss below, the strong variation of this coupling between different bands results in particle-hole asymmetry of the impurity model phase diagram via the impurity self-energy. This is despite the fact that in the simplest tight-binding parameterization, which we have considered, the BLG band dispersion itself is particle-hole symmetric for µ = 0. 4.1. Phase diagram in the unbiased case: ∆ = 0 The T = 0 mean field phase diagram for a plaquette-centered impurity embedded in 'intrinsic' (µ = 0) bilayer graphene with ∆ = 0 is shown in figure 3(a). The phase diagram shares some qualitative features with that of local moment formation in a typical host metal. Namely, there exists a critical ratio of Γ0/U before the onset of mean field magnetization and a clear Coulomb staircase in the small Γ0/U limit. Despite these similarities, there are two unusual aspects to this phase diagram. We next start by highlighting these novel features and then clarify their physical origin. (i) As seen from figure 3(a), there is an extreme skewing of the magnetic regime from being centered at (µ − d)/U ∼ 0.5 for small Γ0/U to being centered around large positive values of (µ − d)/U with increasing Γ0/U . This strong particle-hole asymmetry arises from the fact that the impurity couples asymmetrically to the two layers of BLG, leading to a significant real part of the impurity self-energy Σ(cid:48) d(ω). The effect of which is to strongly renormalize d, which causes the observed skewing. In order to eliminate this large skewing in later plots, we split the real part of the impurity self-energy as and absorb Σ(cid:48) d(0) into the impurity energy, defining a renormalized impurity energy ¯d = d + Σ(cid:48)(0). The resulting renormalized self-energy Σ(cid:48) d(0)) then vanishes at ω = 0, and remains small but nonzero away from ω = 0. Plotting the impurity model phase diagram in terms of the renormalized impurity energy ¯d, to a large degree but not completely, removes the strong particle-hole asymmetry for µ = 0; this can be seen in figure 3(b). Of course, strong particle-hole asymmetry continues to d(ω) = (Σ(cid:48) d(ω)− Σ(cid:48) Σ(cid:48) d(ω) = Σ(cid:48) d(0) + (Σ(cid:48) d(ω) − Σ(cid:48) d(0)) (17) Controlling local moment formation and interactions in bilayer graphene 8 Figure 3. Phase diagram of local moment formation on plaquette centered impurities in terms of d for (a) µ = 0, and in terms of d = d + Σ(cid:48) d(0) for (b) µ = 0, (c) µ = −0.05t, and (d) µ = 0.05t d). χ = 0.3t in all figures. Grey- scale measures the local moment md. exist away from µ = 0 even after accounting for the impurity energy renormalization, as shown in figure 3(c),(d); this can be ascribed to the particle-hole asymmetry of the BLG dispersion at nonzero µ. (ii) As seen from figure 3(a), there is a dramatic elongation of the magnetic region to large values of Γ0/U ∼ 10, which one can partially attribute the small density of states at µ = 0. However, the phase diagram is also influenced by the wavefunctions of the BLG quasiparticles. A close inspection of the phase boundaries reveals that they are not symmetric about µ = 0 even after accounting for the self- energy correction discussed above. We understand that this residual particle-hole symmetry breaking arises from the asymmetric broadening of impurity level caused by the disparate effective hybridizations with the different bands. This effect is also seen in the phase diagrams for systems by comparing the µ = 0.05t and µ = −0.05t phase diagrams. While one might naıvely expect that the symmetry between the valence and conduction dispersions would lead to symmetric phase diagrams for positive and negative chemical potential, subtle di fferences between the two regions again reflect the influence of the wavefunctions of the electrons that hybridize with the impurity level. It is, in fact, extremely instructive to compare the complete impurity phase √ diagram of bilayer graphene with a fictitious system of electrons obtained by setting φks(r) = exp(ik · r)/ Ns in equation 4, where Ns is the total number of sites in the bilayer. These fictitious electrons are chosen to have the same dispersion as the BLG quasiparticles, but their coupling to the impurity does not account for the chirality or the band dependence of the quasiparticle wavefunctions. We find that some of the unusual features of the BLG impurity phase diagram, discussed above, are eliminated upon making this change. Most noticeably, the phase diagram of the fictitious fermions is not skewed when µ = 0 even when plotted in terms of the unrenormalized impurity energy, indicating that ¯d = d, so that Σd(0) = 0. This stems from a symmetry Σd(−ω) = −Σ∗ d(ω) in the expression for the self-energy in equation 14 upon assuming a band-independent Controlling local moment formation and interactions in bilayer graphene 9 Figure 4. The phase diagram of local moment formation for fictitious fermions with the same dispersion as bilayer graphene for (above) µ = 0, and (below) µ = −0.05t, plotted in terms of ¯d. (Note, ¯d = d when µ = 0.) The phase diagram for µ = 0.05t is related to that of µ = −0.05 by a reflection about µ − ¯d/U = 0.5. Vks. Moreover, it also follows that the phase diagram for the fictitious fermions is exactly particle-hole symmetric, in contrast to the case of BLG. Similar arguments also exactly relate the non-chiral phase diagrams of systems with corresponding chemical potential µ and −µ by noting that the self-energy at finite chemical potential can be obtained by Σd(ω + µ) of the self-energy at µ = 0. Consequently, the phase diagram of the −µ system is obtained by reflecting the phase diagram of the µ system. This is again in contrast to the phase diagram of BLG where there is no such relation between systems with positive and negative µ. In BLG, particle-hole excitations in a system with positive chemical potential favour different bands than those of a system with negative chemical potential. Since each band has a unique effective coupling to the impurity in BLG, the hybridization of the impurity states will depend on the sign of the chemical potential and so the phase diagrams will be different. Finally, the other major distinction between the finite chemical potential phase diagrams of the two systems is that, once again, the BLG phase diagram is more strongly skewed, even when plotted in terms of ¯d. This confirms that the band- and momentum-dependence of the hybridization to the BLG quasiparticles is responsible for sizeable shift in the impurity energy via a sizeable real self-energy. 4.2. Phase diagram in the biased case: ∆ (cid:54)= 0 We now turn our attention towards a BLG system in a dual-gate configuration. This setup allows one to continuously tune the layer bias and the average chemical potential independently by applying an external electric field perpendicular to the layers. In the presence of a symmetric interlayer bias, the chemical potential remains fixed while a Controlling local moment formation and interactions in bilayer graphene 10 Figure 5. Phase diagram of local moment formation in plaquette centered impurities with ∆ = 0.0t, 0.2t, −0.2t as a function of d = d + Σ(cid:48) d (ω = 0, ∆ = 0). In both figures χ = 0.3t and µ = 0. band gap opens in the bulk electronic spectrum of BLG. In the context of local moment formation, this modification to the density of states is expected to substantially change the extent to which an impurity state hybridizes with the BLG electrons. In addition to this, the impurity energy levels also shift up or down depending on the potential of the layer in which it resides. This remarkable ability to alter the energy of an impurity level with respect to the chemical potential through the application of an external electric field is unique to multilayer systems, and has no analog in monolayer graphene. In the first part of this section, we explore how biasing the layers affects local moment formation by reconstucting phase diagrams similar to those above, but for gated systems with different layer bias and fixed µ = 0. Doing so allows us to identify regions of impurity parameters where local moment formation can be turned on and/or off by the electric field. In the subsequent part of this section, we consider the ability to tune both the chemical potential and bias by constructing alternative phase diagrams where µ and U are varied and it is the bare impurity energy which is fixed. This is again done for a selection of values for the bias. 4.2.1. Impurity energy variation Figure 5 is the phase diagram of the impurity model for experimentally accessible values of ∆ plotted in terms of the redefined impurity energy ¯d introduced above (χ = 0.3t and µ = 0). The bias has two effects on the impurity model: (i) it opens a band gap ∼ ∆ in the BLG dispersion, and (ii) it shifts the impurity energy by ∆/2. Let us discuss, in turn, the impact of these two effects on the phase diagram. (i) First consider restricting the effect of turning on a bias to opening a gap in the BLG spectrum so that the impurity energy level remains unaltered. Then, the Controlling local moment formation and interactions in bilayer graphene 11 dominant effect of a large ∆ is the elongation of the phase boundary to large Γ0/U , regardless of the parity of ∆. This occurs because the bias induces a large band gap and, when the impurity spectral peak lies in this gap, the coupling between the impurity and the extended states becomes negligible because the density of states vanishes. (We have to be careful that the renormalized impurity energy, taking self- energy corrections into account, should lie in the gap; this renormalization is small if the band gap is large compared to Γ0.) Hence, the impurity spectral functions become simple delta functions and if we vary d for fixed Γ0/U the local moment phase boundary resembles that of a simple Coulomb staircase in the atomic limit. (ii) The effect of shifting the energy of the impurity level is similar to the effect of the real part of the self-energy in the phase diagram; it dramatically skews the local moment phase about d/U = 0.5. The direction of the skewing depends on the parity of the bias, as this determines the direction of the impurity energy shift. If the impurity energy shift and opening of a band gap are taken together, both skewing and elongation of the local moment phase boundary occur. As the electric field is increased from zero to large field strengths, the local moment phase continuously elongates and 'peels' away from the zero bias boundary. Although slight, it is important to note that the phase diagrams with opposite bias parity are not symmetric but have slight differences that arise from the breaking of layer symmetry by the impurity. One of the key new results is the identification of regions in the impurity parameter space where local moments can be turned either on and/or off by adjusting the electric field. The region where local moments survive both in the presence and absence of the electric field are simply where the phases overlap. 4.2.2. Chemical potential variation Now we explore the possibility of tuning the chemical potential of the system to control local moment formation both in the unbiased and biased cases. To do this, we construct phase diagrams for a given d and ∆, and we now vary µ and U . We do this for ∆ = 0,±0.2t, for a choice of the bare impurity energy such that the noninteracting impurity spectral peak appears in the midgap when ∆ = −0.2t, which we do by choosing d + ∆/2 = −Σ(ω = 0, ∆). In figure 6, the phase diagram is plotted in terms of a redefined impurity energy ¯d = d + Σ(ω = 0, ∆ = 0). It is important to emphasize that the location of the spectral peaks mostly do not correspond to ¯d. The real part of the self-energy has significant frequency dependence that shifts the location of the spectral peak, whose effect must also be accounted for in order to fully understand the phase diagrams. When the system is unbiased (i.e. ∆ = 0) the impurity energy level lies within the conduction bands (see reference [1] or reference [23] for details on the band structure). In this case, the phase diagram is qualitatively similar to that of a single site impurity (see reference [34]). When a positive bias is in place, ∆ = 0.2t, a band gap opens and the impurity energy shifts deeper into the conduction band. Consequently, the phase boundaries for local moment formation are significantly reduced because of the enhanced broadening due to the increase in the density of states at higher energy in the conduction bands. The more interesting case is when ∆ = −0.2t and the impurity spectral peak shifts down into the middle of the gap. Then, if U is small enough so that the doubly occupied state also lies at sub-gap energies, both the singly and doubly occupied states can no longer hybridize with the BLG states and the impurity spectral function reduces to delta functions. Hence, we again recover local moment formation very similar to the atomic limit, but now in the large Γ0/U limit. However, in this limit Controlling local moment formation and interactions in bilayer graphene 12 Figure 6. Local moment phase diagram for biased bilayer graphene. The impurity level at ∆ = −0.2t bias was chosen so that its spectral peak lies in the middle of the gap. the upper and lower phase boundaries of the Coulomb-staircase are not separated by (µ − ¯d)/U = 1 because of level repulsion. The doubly occupied state shifts down in energy due to Σ(cid:48) d(ω). Thus, this phase diagram is unusual in the sense that it has two regimes resembling the atomic limit at large and small Γ0/U . Separating these regimes is the part of the phase diagram where the doubly occupied state's energy lies beyond the band edge and hybridizes with the conduction states. This occurs at about Γ0/U ∼ 2.5 when U ∼ 0.1t, precisely where the unusual 'hump'-like feature is seen in the upper phase boundary. The cause of the feature can again be attributed to level repulsion, as it becomes very strong for states close to the the gap edge and Σ(cid:48)(ω) exhibits a large peak. 5. RKKY interaction between local moments In this Section, we explore the RKKY coupling between local moments [37, 38, 39] and study how it can be tuned by varying the band gap and chemical potential using a dual-gate configuration. We have seen in the previous section that such variations will, in general, modify the local moment. Here, we focus on changes to the RKKY coupling induced purely by changes in the bulk band structure and filling. We consider two classical local moments that couple to the set of sites {r} and {r(cid:48)}, respectively, H(cid:48) = r S1 · sr + J (1) r(cid:48) S2 · sr(cid:48), J (2) (18) (cid:88) {r} (cid:88) {r(cid:48)} r where J (a) is the strength of the exchange coupling of an electron's spin, sr, at site r with the magnetic impurity Sa. Upon integrating out the itinerant electrons and retaining only those terms that are second order in J (a) , one obtains a reduced Hamiltonian for the local moments, r Hef f = JRKKY S1 · S2. (19) Controlling local moment formation and interactions in bilayer graphene 13 Figure 7. Crystal structure of bilayer graphene and site labelling convention. The primitive lattice vectors are a and b and the armchair and zigzag directions are indicated by the arrows. The local moments considered here are plaquette centered and reside on the same layer above an A2 atom. (cid:88) The coupling JRKKY is given by Mij(q) φ∗n JRKKY = 1 2N qkijnm k (i)φn k+q) − nF (ξn × nF (ξm k ) k+q − ξn ξm k k(j)φ∗m k+q(j)φm k+q(i) eiq·(r1−r2) , (20) where m/n are band indices, i/j are the combined sublattice and layer label, nF is the Fermi distribution, and Mij(q) is a matrix describing the Fourier transform between different sites weighted by J (1) . The explicit form of Mij for the case of interest is provided below. r J (2) r(cid:48) For monolayer graphene, it has been shown that a perturbative treatment in the continuum low-energy theory [13] produces approximate results that match closely with exact diagonalization [14] and lattice Green's functions methods [16], as long as an appropriate high-energy cutoff scheme is applied. In the above perturbative treatment, the entire band structure is used in the calculation so as to avoid any cutoff dependence and the RKKY coupling is accurately reproduced for monolayer graphene. We therefore expect this perturbative calculation to also be a reasonable approach to study the RKKY coupling in BLG in the dual-gate configuration. We analyzed various moment configurations such as single site (AA, BB, AB) and plaquette coupled moments both along the zigzag and armchair directions (see figure for labelling conventions). The effects of varying the chemical potential and layer bias were seen to be qualitatively similar for each case, so we have chosen to present only the results for plaquette centered moments that lie along the zigzag direction. The impurity atom is taken to lie above an A2 in the center of a hexagonal plaquette in layer 1. For simplicity, we assume the coupling to each of the seven sites is equal r ≡ J, although we have checked that the results are qualitatively so that J (1) unaffected if there is an unequal coupling to the site below the impurity on the other layer (A2). In this case, the components of Mij are r = J (2) (cid:17) 4 + 2 (cos(qa) + cos(qb) + cos(qa − qb)) MA1A1 = J 2(cid:16) Controlling local moment formation and interactions in bilayer graphene (cid:16) cos(qa) + cos(qb) + ei(qa−qb) + e−i(2qa−qb)(cid:17) MA1B1 = J 2(cid:16) MA1A2 = J 2(cid:16) MB1A2 = J 2(cid:16) 2 + 2 1 + eiqb + ei(qa−qb)(cid:17) eiqb + ei(qa−qb) + ei(qa−2qb)(cid:17) MA2A2 = J 2, Mij = M∗ ji, where qa = q · a, qb = q · b and a = x and b = x/2 + √ 3y/2. 14 (21) To demonstrate the ability to tune the RKKY interaction using the dual-gate configuration, the JRKKY coupling, normalized to its value at µ = 0 and ∆ = 0, is plotted in figure 8 as a function of the interlayer bias ∆ for two moments separated by 10 lattice spacings. This is done for µ = 0 and µ = 0.05t. For experimental considerations, one must keep in mind that the RKKY coupling is quite small in BLG. As an example, a bare exchange term equal to J (1) = J (2) = 0.2t produces an effective coupling JRKKY = 1.3 × 10−4t (∼ 4.4 K) at 4 lattice spacings, and just JRKKY = 7.5 × 10−6t (∼ 0.3 K) at 10 lattice spacings. However, similar to monolayer graphene, electron interactions are expected to make the coupling strength more long ranged [15]. At shorter distances, the RKKY interaction is enhanced, but the tunability is reduced. Before describing the tunable features of the RKKY coupling, it is important to first understand that the wavefunctions of a given band are sensitive to the parity of the bias between the layers, even though the dispersion is not. Their dependancy on the parity can significantly influence how JRKKY changes with bias, as explained below. When a positive bias is present, states in the upper two bands are more heavily weighted to layer 1 sites, while states in the lower band are more heavily weighted to the layer 2 sites. This weighting is reversed when the parity of the bias is negative. In contrast, when there is no bias the weighting of the wavefunction is the same for each layer. With this background, it is possible to explain the symmetry/asymmetry between the two curves. When µ = 0, the chemical potential lies between the valence and conduction bands, and so particle-hole excitations can only occur between them. This corresponds to one of the states being localized to layer 1 and the other localized to layer 2. It follows that the coupling strength JRKKY is parity invariant and so it is symmetric for positive and negative biases. In contrast, when µ (cid:54)= 0, the coupling is sensitive to the parity of the bias. If µ = 0.05t, ∆ > 0 and µ is less than the band gap, the chemical potential lies in the third band where the states tend to localize to layer 1, the layer in which the moments reside. The finite chemical potential causes some of the particle-hole excitations between the lower and upper two bands to be suppressed by Pauli-blocking, and also introduces low-energy excitations between the two upper bands where the wavefunctions are weighted to layer 1. If however, µ = 0.05t, ∆ < 0 and µ is less than the band gap, the chemical potential lies in the third band, but now these states tend to localize to layer 2. Although the energetics of the scattering processes remain the same, the matrix elements do not. The excitations between the upper two bands now have matrix elements whose weighting on layer 1 is much less. Thus, the coupling is dependent on the relative parity o f µ to ∆. Hence, if we consider a system with µ = −0.05t, the JRKKY curve will be reflected about ∆ = 0. In addition to the effects described above, the density of states about the chemical Controlling local moment formation and interactions in bilayer graphene 15 Figure 8. Normalized RKKY coupling strength between to classical plaquette centered moments at a distance of 10 lattice spacings along the zigzag direction. Both moments are located on the same layer and the chemical potential is chosen to be µ = 0 and µ = 0.05t at a temperature T = 0.002t (∼ 70 K). potential tends to increase for small biases, as the band edge flattens and is pushed closer to the chemical potential. At large bias strengths, the dispersion close to the band-edge resembles that of a 'mexican-hat', leading to further complexity in the density of states. Furthermore, at finite chemical potential, the Fermi points extend out to form a Fermi-surface symmetric about the K-points. The combination of all these effects lead to the non-trivial changes seen the RKKY coupling in figure 8. Interestingly, at a distance of 10 lattice spaces, the coupling remains antiferromagnetic when µ = 0.05t and ∆ > 0 and tends to increase with bias strength. However, when ∆ becomes increasingly negative, the antiferromagnetic coupling strength is reduced to zero then switches to a ferromagnetic coupling about ∆ ∼ −0.12. For the case of µ = 0.05t considered here, the ability to fully turn off the coupling and switch the sign of JRKKY with an applied electric field sets in when the moments are separated by at least 9 lattice spacings and persists to about 20 lattice spacings. This window of tunability may be augmented by carefully adjusting µ. Regardless of the sign of the bias, once the band gap exceeds the chemical potential, the µ = 0.05t curve begins to merge with the µ = 0 curve, as expected at low temperature. This ability to dynamically tune the strength of the RKKY interaction, as well as its sign from being antiferromagnetic to being ferromagnetic, for two fixed moments using just an electric field (rather than doping) is perhaps the most interesting feature of this system. 6. Discussion In this paper, we have discussed the physics of local moments on bilayer graphene. We have, in our discussion, ignored the effects of electron-electron interactions among the BLG electrons. These are known to be important for quadratic band touching [40, 41, 42, 43, 44], leading to symmetry breaking and many-body gaps for zero doping and zero electric field. However, so long as there is nonzero doping or the presence of an electric field that gaps out the low-energy BLG states, the perturbative effects of electron-electron interactions are benign and will not lead to qualitatively new many- Controlling local moment formation and interactions in bilayer graphene 16 body effects. Using a clean substrate may also be a viable route to mitigating the effects of rippling and disorder. Moreover, the substrate may partially screen the BLG electron-electron interactions and reduce the many-body gap recently observed in suspended BLG [45]. The competition between impurity physics and many-body interactions in BLG deserves a careful separate investigation. The ability to turn on/off local moments, and the ability to tune the sign and magnitude of the RKKY coupling between local moments using electric fields perpendicular to the bilayer, which we have studied, constitutes physics beyond what has been discussed for monolayer graphene. The experimental realization of such tunable local moments in BLG is a compelling prospect. It would be interesting to study such a system using scanning tunnelling spectroscopy and to probe the quantum dynamics of interacting local moments in experiments. We expect that thermal fluctuations will only slightly alter the phase boundaries as long as the temperature is below the Hubbard gap. Quantum fluctuations are expected to lead to Kondo screening or valence fluctuations in points of the phase diagram - this is an interesting direction for future research. Acknowledgments This research was supported by NSERC of Canada, an Ontario Early Researcher Award, and the DST (Government of India). AP and MK acknowledge the hospitality of the Indian Institute of Science and the International Center for Theoretical Sciences while this manuscript was in preparation. [1] Castro Neto, A. H., Guinea, F., Peres, N. M. R., Novoselov, K. S. & Geim, A. K. The electronic properties of graphene. Rev. Mod. Phys. 81, 109 -- 162 (2009). [2] Abergel, D. S. L., Apalkov, V., Berashevich, J., Ziegler, K. & Chakraborty, T. Properties of graphene: a theoretical perspective. Adv. Phys. 59, 261 -- 482 (2010). [3] Uchoa, B., Kotov, V. N., Peres, N. M. R. & Castro Neto, A. H. Localized magnetic states in graphene. Phys. Rev. Lett. 101, 026805 (2008). [4] Venezuela, P. et al. Emergence of local magnetic moments in doped graphene-related materials. Phys. Rev. B 80, 241413 (2009). [5] Uchoa, B., Rappoport, T. G. & Castro Neto, A. H. Kondo Quantum Criticality of Magnetic Adatoms in Graphene. ArXiv e-prints (2010). 1006.2512. [6] Zhu, Z.-G., Ding, K.-H. & Berakdar, J. Single- or multi-flavor kondo effect in graphene. Europhys. Lett. 90, 67001 (2010). [7] Dell'Anna, L. Kondo impurity on the honeycomb lattice at half-filling. J. Stat. Mech. 2010, P01007 (2010). [8] Wehling, T. O., Balatsky, A. V., Katsnelson, M. I., Lichtenstein, A. I. & Rosch, A. Orbitally controlled kondo effect of co adatoms on graphene. Phys. Rev. B 81, 115427 (2010). [9] Jacob, D. & Kotliar, G. Orbital selective and tunable kondo effect of magnetic adatoms on graphene: Correlated electronic structure calculations. Phys. Rev. B 82, 085423 (2010). [10] Vozmediano, M. A. H., L´opez-Sancho, M. P., Stauber, T. & Guinea, F. Local defects and ferromagnetism in graphene layers. Phys. Rev. B 72, 155121 (2005). [11] Dugaev, V. K., Litvinov, V. I. & Barnas, J. Exchange interaction of magnetic impurities in graphene. Phys. Rev. B 74, 224438 (2006). [12] Brey, L., Fertig, H. A. & Das Sarma, S. Diluted graphene antiferromagnet. Phys. Rev. Lett. 99, 116802 (2007). [13] Saremi, S. Rkky in half-filled bipartite lattices: Graphene as an example. Phys. Rev. B 76, 184430 (2007). [14] Black-Schaffer, A. M. Rkky coupling in graphene. Phys. Rev. B 81, 205416 (2010). [15] Black-Schaffer, A. M. Importance of electron-electron interactions in the rkky coupling in graphene. Phys. Rev. B 82, 073409 (2010). [16] Sherafati, M. & Satpathy, S. RKKY Interaction in Graphene from Lattice Green's Function. ArXiv e-prints (2010). 1008.4834. [17] Cheianov, V., Fal'ko, V., Sylju Asen, O. & Altshuler, B. Hidden kekul`e ordering of adatoms on graphene. Solid State Commun. 149, 1499 -- 1501 (2009). Controlling local moment formation and interactions in bilayer graphene 17 [18] Berashevich, J. & Chakraborty, T. Tunable band gap and magnetic ordering by adsorption of molecules on graphene. Phys. Rev. B 80, 033404 (2009). [19] Shytov, A. V., Abanin, D. A. & Levitov, L. S. Long-range interaction between adatoms in graphene. Phys. Rev. Lett. 103, 016806 (2009). [20] Abanin, D. A., Shytov, A. V. & Levitov, L. S. Peierls-type instability and tunable band gap in functionalized graphene. Phys. Rev. Lett. 105, 086802 (2010). [21] Wolf, S. A. et al. Spintronics: A spin-based electronics vision for the future. Science 294, 1488 -- 1495 (2001). [22] McCann, E. & Fal'ko, V. I. Landau-level degeneracy and quantum hall effect in a graphite bilayer. Phys. Rev. Lett. 96, 086805 (2006). [23] Castro, E. V. et al. Biased bilayer graphene: Semiconductor with a gap tunable by the electric field effect. Phys. Rev. Lett. 99, 216802 (2007). [24] Oostinga, J. B., Heersche, H. B., Liu, X., Morpurgo, A. F. & Vandersypen, L. M. K. Gate- induced insulating state in bilayer graphene devices. Nat. Mater. 7, 151 -- 157 (2008). URL http://dx.doi.org/10.1038/nmat2082. [25] Zhang, Y. et al. Direct observation of a widely tunable bandgap in bilayer graphene. Nature 459, 820 -- 823 (2009). [26] Jing, L. et al. Quantum transport and field-induced insulating states in bilayer graphene pnp junctions. Nano Letters 10, 4000 -- 4004 (2010). URL http://pubs.acs.org/doi/abs/10. 1021/nl101901g. [27] Taychatanapat, T. & Jarillo-Herrero, P. Electronic transport in dual-gated bilayer graphene at large displacement fields. Phys. Rev. Lett. 105, 166601 (2010). [28] Castro, E. V., L´opez-Sancho, M. P. & Vozmediano, M. A. H. Pinning and switching of magnetic moments in bilayer graphene. New Journal of Physics 11, 095017 (2009). URL http://stacks.iop.org/1367-2630/11/i=9/a=095017. [29] Castro, E. V., L´opez-Sancho, M. P. & Vozmediano, M. A. H. New type of vacancy-induced localized states in multilayer graphene. Phys. Rev. Lett. 104, 036802 (2010). [30] Martin, I., Blanter, Y. M. & Morpurgo, A. F. Topological confinement in bilayer graphene. Phys. Rev. Lett. 100, 036804 (2008). [31] Xavier, L. J. P., J. M. Pereira, J., Chaves, A., Farias, G. A. & Peeters, F. M. Topological confinement in graphene bilayer quantum rings. Appl. Phys. Lett. 96, 212108 (2010). [32] N´unez, A. S., Su´arez Morell, E. & Vargas, P. Trigonal distortion of topologically confined channels in bilayer Graphene. ArXiv e-prints (2010). 1012.4318. [33] Killi, M., Wei, T.-C., Affleck, I. & Paramekanti, A. Tunable luttinger liquid physics in biased bilayer graphene. Phys. Rev. Lett. 104, 216406 (2010). [34] Ding, K.-H., Zhu, Z.-G. & Berakdar, J. Localized magnetic states in biased bilayer and trilayer graphene. J. Phys.: Condens. Matter 21, 182002 (2009). [35] Chan, K. T., Neaton, J. B. & Cohen, M. L. First-principles study of metal adatom adsorption on graphene. Phys. Rev. B 77, 235430 (2008). [36] Anderson, P. W. Localized magnetic states in metals. Phys. Rev. 124, 41 -- 53 (1961). [37] Ruderman, M. A. & Kittel, C. Indirect exchange coupling of nuclear magnetic moments by conduction electrons. Phys. Rev. 96, 99 (1954). [38] Kasuya, T. A theory of metallic ferro- and antiferromagnetism on zener's model. Progr. Theor. Phys. 16, 45 -- 57 (1956). [39] Yosida, K. Magnetic properties of cu-mn alloys. Phys. Rev. 106, 893 -- 898 (1957). [40] Sun, K., Yao, H., Fradkin, E. & Kivelson, S. A. Topological insulators and nematic phases from spontaneous symmetry breaking in 2d fermi systems with a quadratic band crossing. Phys. Rev. Lett. 103, 046811 (2009). [41] Vafek, O. & Yang, K. Many-body instability of coulomb interacting bilayer graphene: Renormalization group approach. Phys. Rev. B 81, 041401 (2010). [42] Zhang, F., Min, H., Polini, M. & MacDonald, A. H. Spontaneous inversion symmetry breaking in graphene bilayers. Phys. Rev. B 81, 041402 (2010). [43] Nandkishore, R. & Levitov, L. Dynamical screening and excitonic instability in bilayer graphene. Phys. Rev. Lett. 104, 156803 (2010). [44] Nandkishore, R. & Levitov, L. Quantum anomalous hall state in bilayer graphene. Phys. Rev. B 82, 115124 (2010). [45] Weitz, R. T., Allen, M. T., Feldman, B. E., Martin, J. & Yacoby, A. Broken-symmetry states in doubly gated suspended bilayer graphene. Science 330, 812 -- 816 (2010).
1701.08846
1
1701
2017-01-25T09:54:34
Attachment of colloidal nanoparticles to boron nitride nanotubes
[ "cond-mat.mes-hall", "cond-mat.mtrl-sci" ]
There is a strong interest to attach nanoparticles non-covalently to one-dimensional systems like boron nitride nanotubes to form composites. The combination of those materials might be used for catalysis, in solar cells, or for water splitting. Additionally, the fundamental aspect of charge transfer between the components can be studied in such systems. We report on the synthesis and characterization of nanocomposites based on semiconductor nanoparticles attached directly and non-covalently to boron nitride nanotubes. Boron nitride nanotubes were simply integrated into the colloidal synthesis of the corresponding nanoparticles. With PbSe, CdSe, and ZnO nanoparticles a wide range of semiconductor bandgaps from the near infrared to the ultra violet range was covered. A high surface coverage of the boron nitride nanotubes with these semiconducting nanoparticles was achieved, while it was found that a similar in-situ approach with metallic nanoparticles does not lead to proper attachment. In addition, possible models for the underlying attachment mechanisms of all investigated nanoparticles are presented. To emphasize the new possibilities that boron nitride nanotubes offer as a support material for semiconductor nanoparticles we investigated the fluorescence of BN-CdSe composites. In contrast to CdSe nanoparticles attached to carbon nanotubes, where the fluorescence is quenched, particles attached to boron nitride nanotubes remain fluorescent. With our versatile approaches we expand the library of BN-nanoparticle composites that present an interesting, electronically non-interacting complement to the widely applied carbon nanotube-nanoparticle composite materials.
cond-mat.mes-hall
cond-mat
Attachment of colloidal nanoparticles to boron nitride nanotubes Mirjam Volkmann,# Michaela Meyns, #,& Rostyslav Lesyuk,# Hauke Lehmann,# and Christian Klinke#* # Institute of Physical Chemistry, University of Hamburg, Martin-Luther-King-Platz 6, 20146 Hamburg, Germany & Current address: Catalonia Institute for Energy Research-IREC, Jardins de les Dones de Negre 1, 08930 Sant Adrià de Besòs, Spain ABSTRACT: There is a strong interest to attach nanoparticles non-covalently to one-dimensional systems like bo- ron nitride nanotubes to form composites. The combination of those materials might be used for catalysis, in solar cells, or for water splitting. Additionally, the fundamental aspect of charge transfer between the components can be studied in such systems. We report on the synthesis and characterization of nanocomposites based on semiconduc- tor nanoparticles attached directly and non-covalently to boron nitride nanotubes. Boron nitride nanotubes were simply integrated into the colloidal synthesis of the corresponding nanoparticles. With PbSe, CdSe, and ZnO nano- particles a wide range of semiconductor bandgaps from the near infrared to the ultra violet range was covered. A high surface coverage of the boron nitride nanotubes with these semiconducting nanoparticles was achieved, while it was found that a similar in-situ approach with metallic nanoparticles does not lead to proper attachment. In addi- tion, possible models for the underlying attachment mechanisms of all investigated nanoparticles are presented. To emphasize the new possibilities that boron nitride nanotubes offer as a support material for semiconductor nano- particles we investigated the fluorescence of BN-CdSe composites. In contrast to CdSe nanoparticles attached to carbon nanotubes, where the fluorescence is quenched, particles attached to boron nitride nanotubes remain fluo- rescent. With our versatile approaches we expand the library of BN-nanoparticle composites that present an inter- esting, electronically non-interacting complement to the widely applied carbon nanotube-nanoparticle composite materials. INTRODUCTION Due to their extraordinary properties freestanding tubular nanomaterials have attracted an increasing interest af- ter the discovery of carbon nanotubes (CNTs) in 1991.1, 2 Apart from CNTs, hollow cylindrical structures of BN,3 CuS4, TiO2 5, and NiCl2 6 have been successfully synthesized. Hexagonal BN gained attention since it is isoelectric to gra- phitic carbon. It also exists as three-, two-, and one-dimensional material like the carbon allotropes graphite, gra- phene, and CNTs. However, in contrast to the carbon allotropes, BN structures are insulators with a bandgap larger than 5.5 eV 7 and the corresponding nanomaterials are not subject to significant confinement effects. This is due to the partially ionic character of the crystal lattice. Compared to CNTs, boron nitride nanotubes (BNNTs) are interest- ing due to their uniform electronic bandgap that is independent of the tube chirality and its diameter. Furthermore, BNNTs exhibit a high chemical stability and resistance against oxidation and they display very high thermal conduc- tivity and mechanical stability making them ideal nanoparticle (NP) supports for catalytic processes8-10. In general, NTs have been shown to be promising candidates for applications in nanoelectronics, optoelectronics, or biomedi- cine.11 Especially their low dimensionality in combination with the high volume to surface ratio opens many new possibilities. Another branch of nanotechnology concerns the synthesis of inorganic NPs. Colloidal synthesis has proven to be an efficient method for the production of high-quality NPs of various sizes and shapes.12, 13 Combina- tions of NPs and NTs represent promising systems due to synergetic effects, such as phototransistor or solar cell.14, 15 Thus, a wide range of different strategies has been developed for the fabrication of NP-NT composites.16 One key approach is based on the indirect contact between the two components. Therefore, the NT sidewalls are first covalently functionalized with so-called anchor or linker groups to which the synthesized NPs couple in a sec- ond step. The disadvantage of this method is that this surface modification does not preserve the chemical structure of the surface. In an experiment following this approach, BNNTs were covalently modified with short chain linker molecules that are terminated by thiol groups, allowing for a strong binding to Au NPs.17 In a second more gentle strategy of indirect attachment, the BNNTs are first wrapped with suitable molecules. In contrast to the covalent modification, weak interactions such as π-π, van der Waals, or electrostatic ones play an important role in this non-covalent approach. Thereby, it prevents the disruption of the intrinsic sp2-conjugation of the NT and thus, the BNNT maintains its structural integrity. Examples are Pt decorated BNNTs using PANI as wrapping agent,18 Ag attached to biotin functionalized BNNTs,19 or CdS NPs on BNNTs decorated with nucleotides.20 Unfortunately, all these approaches have no direct attachment of the NPs to the NTs. The third approach involves direct attachment of NPs onto the surface of the NTs, either through integration of the NTs into the synthesis or by attaching the produced NPs post-synthetically. Up to now, very few studies have reported on the direct sidewall functionalization of BNNTs with NPs, examples are SnO2,21, 22 Fe3O4,23 or TiO2.24 Based on our works on CNT composites,15, 25 we examined the possibility of transferring the procedures of in situ attachment of NPs to BNNTs. Furthermore, we developed new methods to decorate BNNTs not only with oxide materials but also with selenide based semiconductor NPs covering a broad absorption spectrum from the NIR to the UV. For CdSe NPs we compared the effect of attachment to BN on the NP photoluminescence. -2- EXPERIMENTAL SECTION Materials. Multi-wall boron nitride nanotubes (MW-BNNTs; 70%, NTL-Composites), lead oxide (PbO; ≥99.9%, Sigma-Aldrich), oleic acid (OA; 90%, Sigma-Aldrich), 1-octadecene (ODE; 90%, Sigma-Aldrich), selenium shots (Se; amorphous, 1-3 mm, 0.04-0.1 in, 99.99+%, Alfa Aesar, stored in a glovebox under nitrogen atmosphere), tri-n- octylphosphine (TOP; 97%, abcr, stored in a glovebox with nitrogen atmosphere), zinc acetate dihydrate (99%, Sig- ma–Aldrich), 2-phenyl ethanol (PhEt; ≥99.9%, Sigma-Aldrich), potassium hydroxide (KOH; ≥85%, Carl Roth), cad- mium oxide (CdO; 99.99+%, abcr), octadecylphosphonic acid (ODPA; 98%, Alfa Aesar), trioctylphosphine oxide (TOPO; 98%, Merck), 1,2-dichloroethane (DCE; 99.5%, Merck), platinum acetylacetonate (Pt(acac)2; 99%, abcr), hexadecanediol (HDD; 90%, Sigma–Aldrich), oleylamine (OAm; 80-90% C18 content, Acros Organics), diphenyl ether (DPE; 99%, Sigma–Aldrich), dicobalt octacarbonyl (Co2(CO)8; stabilized with 1-10% of hexane, ≥90% Sigma– Aldrich), 1,2-dichlorobenzene (DCB; 99%, Acros Organics), silver acetate (99.99+%, Sigma–Aldrich), gold(III) chlo- ride (AuCl3; 99%, Sigma–Aldrich), methanol (MeOH; p.A., VWR), toluene (p.A., VWR). All chemicals were used without further purification. Methods. Synthesis of PbSe-BNNT composites. For the reaction 45 mg (0.20 mmol) lead oxide and 128 L (0.40 mmol) oleic acid (OA) were dissolved in 8 mL 1-octadecene (ODE). The mixture was heated to a temperature of 180 °C until an optically clear solution was obtained. Afterwards, the reaction mixture was allowed to cool down to 80 °C and stirred for 1 h under vacuum conditions. Then, 2 mL of a BNNT suspension in ODE obtained by soni- cation of 10 mg BNNTs in 10 mL ODE for 5 min were added and conditioned again for 1 h. Further, it was heated up to 140 °C and 0.42 mL (0.42 mmol Se) pure selenium dissolved in tri-n-octylphosphine (TOP) (1 M) was rapidly in- jected. For the growth process the temperature was kept constant at 130 °C. After 24 h the reaction was stopped by cooling down and the obtained composites were washed several times with toluene. Synthesis of CdSe-BNNT composites. For the reaction 25 mg (0.19 mmol) cadmium oxide and 193 L (0.61 mmol) OA were dissolved in 8 mL ODE. The mixture was heated to a temperature of 280 °C until an optically clear solution was obtained. Afterwards, the reaction mixture was allowed to cool down to 80 °C and stirred for 1 h under vacuum conditions. Then, 2 mL of a BNNT suspension in ODE obtained by sonication of 10 mg BNNTs in 10 mL ODE for 5 min were added and conditioned again for 1 h. Further, it was heated up to 235 °C and 0.42 mL (0.42 mmol Se) pure selenium dissolved TOP (1 M) was rapidly injected. For the growth process the temperature was kept constant at 225 °C. After 24 h the reaction was stopped by cooling down and the obtained composites were washed several times with toluene. Synthesis of ZnO-BNNT composites. For the reaction 45 mg (0.20 mmol) zinc acetate dihydrate and 65 L (0.20 mmol) OA were dissolved in 8 mL 2-phenyl ethanol (PhEt). The mixture was heated to a temperature of 115 °C until an optically clear solution was obtained. Afterwards 2 mL of a BNNT suspension in PhEt obtained by soni- cation of 10 mg BNNTs in 10 mL PhEt for 5 min were added. Also at this temperature 1.1 mL (0.4 M) potassium hy- droxide dissolved in PhEt was rapidly injected. For growth process the temperature was kept constant at 160 °C. After 24 h the reaction was stopped by cooling down and the obtained composites were washed several times with toluene. -3- Transfer synthesis of CdSe-BNNT composites. The preparation of the CdSe-BNNT nanocomposites was carried out by introducing adaptations to a method published by Juárez et. al.25 For the reaction a mixture of 25 mg (0.19 mmol) cadmium oxide, 0.14 g (0.42 mmol) octadecylphosphonic acid (ODPA) and 3.0 g trioctylphosphine oxide (TOPO) was heated to a temperature of 120 °C for 30 min to degassing the mixture. To form the Cd-ODPA-complex the mix- ture was heated further to 280 °C under nitrogen flow. After 1 h the developed optically clear solution was cooled down to 80 °C to inject 2 mL of a BNNT suspension in toluene. The NT suspension was prepared by sonication of 10 mg BNNTs in 10 mL toluene for 5 min. The solvent was fully removed under vacuum before 10 L (0.13 mmol) 1,2- dichloroethane (DCE) was inject. The mixture was then heated to a temperature of 265 °C and 0.42 mL (0.42 mmol Se) of pure selenium dissolved in TOP (1 M) was rapidly injected. For the growth of the particles the temperature was lowered to 255 °C. After 24 h the reaction was stopped by cooling down and the obtained composites were washed several times with toluene. Transfer synthesis of ZnO-BNNT composites. The preparation of the ZnO-BNNT nanocomposites was conducted similarly to the synthesis described by Chanaewa et. al.15 For the reaction 270 mg (1.23 mmol) zinc acetate dihydrate was mixed with 8 mL methanol (MeOH) followed by the injection of 2 mL of a BNNT suspension in MeOH. The NT suspension was prepared by sonication of 10 mg BNNTs in 10 mL MeOH for 5 min. Afterwards the mixture was heat- ed to 60 °C. At this temperature 6.5 mL (0.4 M) potassium hydroxide dissolved in MeOH was injected. A color change from colorless to milky white was observed immediately. After a reaction time of 24 h the reaction was stopped by cooling down and the obtained composite was washed several times with MeOH. Synthesis of Pt-BNNT composites. Pt NPs were synthesized according to a method of Ritz et al.26 with minor modi- fications. For the reaction 66 mg (0.17 mmol) platinum acetylacetonate, 44 mg (0.17 mmol) 1,2-hexadecanediol (HDD), (0.05 mL (0.23 mmol) oleylamine (OAM), and 2 mL (6 mmol) OA as well as 8 mL diphenyl ether (DPE) were mixed together. This mixture was heated to a temperature of 80 °C for 60 min under vacuum conditions. After- wards, 2 mL of a BNNT suspension in DPE obtained by sonication of 10 mg BNNTs in 10 mL DPE for 5 min were added and conditioned again for 30 min. Then, the temperature was raised to 160 °C under nitrogen atmosphere and 64 mg (0.18 mmol) dicobalt octacarbonyl dissolved in 0.6 mL 1,2-dichlorobenzene (DCB) was injected under vigor- ous stirring. The resulting black dispersion was stirred for 24 h and then cooled to room temperature. The obtained composites were washed several times with toluene. Synthesis of Ag-BNNT composites. Ag NPs were synthesized according to a method of Peng et al.27 with minor modifications. For the reaction 50 mg (0.3 mmol) silver acetate were mixed with 1.1 mL (3.3 mmol) OAm in 8 mL toluene. Then, 2 mL of a BNNT suspension in toluene obtained by sonication of 10 mg BNNTs in 10 mL toluene for 5 min were added. Under nitrogen protection, the mixture was heated to 110 °C under magnetic stirring. The solu- tion was kept at this temperature for 24 h and cooled down to room temperature. The obtained composites were washed several times with toluene. Synthesis of Au-BNNT composites. Au NPs were synthesized according to a method of Shen et al.28 with minor modifications. For the reaction 98 mg (0.29 mmol) HAuCl4 · 3 H2O were mixed with 1.1 mL (3.3 mmol) OAm in 8 mL toluene. Then, 2 mL of a BNNT suspension in toluene obtained by sonication of 10 mg BNNTs in 10 mL toluene for 5 min were added. Under nitrogen protection, the mixture was heated to 65 °C under magnetic stirring. The solution -4- was kept at this temperature for 24 h and cooled down to room temperature. The obtained composites were washed several times with toluene. Characterization. Transmission electron micrographs were obtained with a JEOL JEM 1011 microscope with a thermal emitter operated at an accelerating voltage of 100 kV. Powder X-ray Diffration measurements were carried out with a Philips X'Pert PRO MPD with Bragg Brentano geometry and a Cu(K) X-ray source emitting at 0.154 nm. Optical measurements were performed with a confocal laser scanning microscope (CLSM) FV 1000 from Olympus. RESULTS AND DISCUSSION Syntheses of semiconductor NPs on BNNTs by the oleate approach. We studied the attachment of metal chalcogenide NPs to BNNTs. Exemplarily, we investigated PbSe and CdSe as semiconductors (SC) with a small bandgap in the near IR of Egap, PbSe = 0.26 eV29 and one in the visible range of Egap, CdSe = 1.7 eV30 respectively, as well as the metal oxide ZnO as an example for a semiconductor absorbing in the UV range with a bandgap of Egap, ZnO = 3.3 eV.31 In general, the different composites were synthesized in one-pot reactions based on metal-oleate complexes as il- lustrated in Scheme 1. Scheme 1. Schematic illustration of the synthetic route of SC-NP-BNNT composites. All SC NPs were synthesized in a one-pot synthesis from previously formed metal-oleate-complexes. After the integration of the BNNTs, NP nuclea- tion is induced by injecting Se-TOP. In case of ZnO hydrolysis of the precursor is induced by injecting KOH. The selenides were obtained by a hot-injection reaction.32 Briefly, BNNTs were added to PbO or CdO complexed by OA in ODE followed by the rapid injection of Se dissolved in TOP at 140 °C for PbSe or 235 °C for CdSe. The growth temperature was set 10 °C lower than the injection temperature and the reaction was terminated after 24 h. The Pb/Se/OA ratios were 1:2:2, whereas the Cd/Se/OA ratios were 1:2:3. The Zn-(oleate)2 precursor was formed by reacting zinc acetate dihydrate with OA in PhEt at a temperature of 115 °C. The Zn/OA ratios were 1:1. BNNTs were added to this solution followed by basic hydrolysis and NPs nuclea- tion induced by injecting KOH in PhEt at a temperature of 115 °C to promote the NP formation. Soon after injection -5- the temperature was set to 160 °C and stirred also for 24 h. In all cases the obtained composites were separated from the free NPs by several washing steps in toluene. Figure 1 depicts representative transmission electron microscopy (TEM) images of PbSe, CdSe and ZnO attached to BNNTs after 1 h and at the end of the reaction after 24 h, respectively and the corresponding X-ray powder dif- fraction (XRD). Low resolution TEM images are provided in the Supporting Information, Figure S1. Figure 1. TEM images of NP-NT-composites obtained at different reaction times and the corresponding XRD. BNNTs covered with PbSe obtained after (a) 1 h and (b) 24 h (Pb:OA 1:2, 130 °C). (c) XRD patterns of pure, cubic phase PbSe NPs (green), pristine BNNTs (blue), and PbSe-BNNT-composites (red). With CdSe obtained after (d) 1 h and (e) 24 h (Cd:OA 1:4, 225 °C). (f) XRD patterns of pure hexagonal phase CdSe NPs (green), pristine BNNTs (blue), and CdSe- BNNT-composites (red). With ZnO obtained after (g) 1 h and (h) 24 h (Zn:OA 1:1 and 160 °C). (i) XRD patterns of pure hexagonal phase ZnO NPs (green), pristine BNNTs (blue), and ZnO-BNNT-composites (red). To optimize the degree of coverage of BNNTs by NPs, various parameters were investigated. In the following, the influence of reaction time, OA concentration and temperature are discussed for the three different systems. Since PbSe and CdSe were synthesized via the same synthesis route both systems are discussed simultaneously. For ZnO it -6- is different due to the utilization of zinc acetate and the NP formation by hydrolysis. Therefore, it will be discussed separately later on. In the early stages (1 h) of the PbSe reaction the BNNTs are coated with a thin layer of nanostructures, as shown in Figure 1a. Both, the crystalline structure and the degree of coverage, strongly depend on the reaction time. After 24 h at a growth temperature of 130 °C and a ratio between Pb/Se/OA of 1:2:2 crystalline NPs covered fully the BNNTs. A typical TEM image of those composites is displayed in Figure 1b. The crystalline nature of the pure BNNTs, pure PbSe NPs, and PbSe-BNNT composites after 24 h was confirmed by recording XRD. The XRD patterns are depicted in Figure 1c. All the diffraction peaks of PbSe NPs, in solution and attached, belong to the cubic structure (JCPDS-ICDD card no. 00-006-0354). It is difficult to see the characteristic BN (JCPDS-ICDD card no. 00-034-0421) peaks in the composite diffractogram because they overlap with the main peaks of PbSe. Only the (002) peak at 2 = 26.7 ° is clearly visible and indicates the existence of BNNTs in the sam- ple. Furthermore, the XRD patterns show no significant shift in the signal of the composite compared to those of pure PbSe or pure BNNTs. In comparison, Han et al. observed a notable shift of XRD peaks for SnO2 functionalized BNNTs.21 They suggested the formation of Sn-N bonds or electrostatic tube-particle interactions. In order to minimize the effect of a decreasing concentration of Pb2+ and Se2- (ripening) and to achieve a high cov- erage by NPs these two species were used in large excess compared to the amount of BNNTs. As a consequence thereof, the NPs grow not only on the BNNTs but also in solution (this is true also for the CdSe and the ZnO synthe- ses). Free NPs and composites can be separated by centrifugation. Remarkably, the particles which grow in solution and thus can be separated during sample cleaning have a more cubic shape (see Supporting Information Figure S2a) while the attached particles grow into pyramids during the synthesis, despite their identical cubic crystal structures. The reason for the different shape can thus be attributed to the preference of different surface facets ((100) for cubes and (111) for pyramids). This suggests two different growth mechanisms. Free NPs evolve to their thermodynamically favored cubic shape due to the rock salt crystal structure of PbSe. However, the attached NPs nucleate on the sur- face of the BNNTs and growth is governed by the mutual interface. We observed slightly different growth with CdSe. At a reaction time of 1 h, the BNNTs often formed bundles wrapped with organics. This prevents the successful direct attachment of the NPs on the BNNTs. Therefore, the deposition was limited to a few distributed NPs on the BNNT walls, shown in Figure 1d. The organics wrapped around the BNNTs disappear with increasing reaction time. After a reaction time of 24 h at 225 °C and a ratio be- tween Cd/Se/OA of 1:2:3 a uniform layer of NPs around the BNNTs is formed that finally covers the entire surface of the BNNTs, compare Figure 1e. Under these conditions the attached NPs do not grow in their preferential lattice structure. The NPs grown in solution are polydisperse and form bigger agglomerates due to ripening (see Fig- ure S2b), which is also reflected in absorption spectroscopy (Figure S3): The excitonic shoulder of the CdSe-BNNT composites is a bit more distinct, which might be an indication for a more narrow size distribution of CdSe NCs on BNNTs. The XRD pattern obtained for pristine BNNTs, pure CdSe NPs, and CdSe-BNNT composites, displayed in Figure 1f, again show no significant shift in the signal of the composite compared to those of the pure components. The dif- fractogram of CdSe (JCPDS-ICDD card no. 01-077-2307) exhibits the typical peak at 2 = 45.8°, which is the (103) -7- peak indicating that the crystals grew in a hexagonal lattice. The BNNT (JCPDS-ICDD card no. 00-034-0421) peaks around 2 = 26.7 °, 41.5 °, 55.0 °, and 75.9 ° can be assigned to the typical (002), (100), (004), and (110) facets. For ZnO we observed that a few pyramidally shaped NPs are attached to the outer surface of the BNNTs 1 h after nucleation, as shown in Figure 1g. Compared to Figure 1h after 24 h at a growth temperature of 160 °C and a ratio between Zn/OA of 1:1 these NPs have neither changed in size nor in shape. However, a longer reaction time increas- es the degree of coverage. NPs grown freely in solution are also pyramidally shaped but bigger and polydisperse in comparison to the attached NPs (see Figure S2c). The corresponding XRD patterns for samples of pristine BNNTs, pure ZnO NPs, and ZnO-BNNT composites are displayed in Figure 1i. According to JCPDS card No. 01-79-2205 the ZnO NPs exhibit a hexagonal wurtzite structure. Only the (002) peak at 2 = 26.7 ° is clearly visible and indicates the existence of BNNTs in the sample here, too. Also no significant shift of the peak is observed. In all three cases the crystal structure of the attached and the free nano- particles is the same. Nevertheless the BN surface seems to possess a shape selective influence. This might be due the hexagonal structure of the BN nanotubes which harmonizes with the hexagonal (111) facet in PbSe and the (001) facets in CdSe and ZnO, respectively. Thus differences between shape and homogeneity of attached and free parti- cles may be explained by preferential attachment of similar sized and shaped particles with facets that provide max- imum interaction between the atoms of the crystal lattices. In all cases the semiconductor NPs were synthesized by a wet chemical hot-injection processes during which they are stabilized by ligands. These ligands may shield the NPs due to surface passivation so they do not attach directly to the tube. In this context two facts might play a crucial role for a successful attachment. On the one hand, the BNNT surfaces should be free from organics as those would in general prevent attachment. Although at the begin- ning of the reaction, an amorphous substance is visible on the surface of the BNNTs, as shown in Figure S4a, at later stages of the reaction the surface of the BNNTs appeared to be free of organics. This is one reason for choosing such a long reaction time. On the other hand a reduced passivation of the NPs surfaces with capping ligands is preferred such that the BNNTs are able to serve as a further ligand for the NPs.33 Indeed, when we used higher ligand concen- trations the BNNTs were not fully covered by NPs. In washed samples, ultrasound treatment (ultrasound bath) can- not remove the NPs from the BNNTs. In contrast, upon addition of a large amount of ligands (OA) the NPs are re- movable from the NTs. This hints to strong mechanical forces which can be overcome by mild chemical treatment. This is in agreement with electrostatic interactions. Covalent bonds would not allow this kind of behavior. In addi- tion, we observed in the PbSe and CdSe syntheses with OA as capping ligand that smaller NPs attached to a higher extend to the BNNTs than larger ones. To investigate the amorphous substance around the NTs in more detail we performed an experiment without add- ing Se. After 1 h the BNNTs are separated from the solvent by washing the samples in toluene. TEM inspection showed that some areas are covered with these organic products. There seem to be strong interactions between these two materials because several washing steps have not removed the organic products from the BNNTs. To as- sure that the organic wrapping is not composed of the Pb-(oleate)2, the BNNTs were washed and reintroduced into pure ODE before injection of the Se-precursor. However, no PbSe formation could be observed, not even after sev- eral hours. Since the reaction did not take place, the wrapping polymer seems not to contain the Pb-precursor. -8- Figure 2. TEM images of the PbSe- and CdSe- BNNT-composite with different metal to OA ratios. PbSe (a) 1:4 and (b) 1:6. CdSe (c) 1:4 and (d) 1:6. A higher ligand concentration reduces the activity of the monomer which results in a smaller amount of nuclei, and consequently in bigger particles.34, 35 According to other publications, the size of the NPs strongly depends on the concentration of OA. Also in our case, if the ratio between the Pb and OA increases to 1:4, or to 1:6 the size of the obtained NPs increases as well, compare Figure 2a and b. A molar ratio of 1:2 between Pb2+ and OA turned out to be ideal to achieve a high degree of coverage (Figure 1b). On the one hand, the amount of ligand is sufficient to form the Pb-(oleate)2 complex. On the other hand, it is not too much, such that the BNNTs can act as a further stabilizer for the PbSe NPs. In the CdSe synthesis a minimum molar ratio from 1:3 between CdO and OA was necessary as otherwise the complexation to Cd-(oleate)2 would not be complete as visible in form of remaining CdO powder. Again, an increased OA concentration lead to bigger NPs which showed no significant tendency to attach to the BNNTs. We investigated Cd:OA ratios of 1:4, and 1:6. Representative TEM images are depicted in Figure 2c-d. Furthermore, when more ligands are present to stabilize the NPs the chance of the also available BNNTs to act as a further ligand for the NPs might decrease. In order to investigate this hypothesis, the composites were mixed with an excess of OA and treated in an ultrasonic bath for a couple of seconds. After the separation by centrifugation TEM images show that the majority of the NPs was removed from the BNNTs. This is not the case for an ultrasoni- cation treatment without additional ligands. -9- These investigations on the ligand concentration dependency demonstrate that the attachment is favored for min- imum metal to ligand ratios that keep the NP size small and that do not cover the NP surface too strongly so that it can be understood as a ligand exchange. The influence of the reaction temperature on the formation of PbSe NPs and the attachment was studied in the range between 110 °C and 150 °C. Syntheses at a temperature of 110 °C led to inhomogeneously covered BNNTs with very small NPs of less than 2 nm in diameter which exhibit neither a uniform shape nor a uniform size as shown in Figure S5a. In comparison, a synthesis temperature of 150 °C resulted in bigger and increasingly cubic NPs, which do not show a strong tendency to attach, see Figure S5b. To achieve successful attachment, with a high degree of cover- age, 130 °C turned out to be the best growth temperature. For CdSe at growth temperatures less than 140 °C no attachment could be observed. An increase to 205 °C resulted in nearly spherical NPs which show a tendency to attach to the BNNTs, see Figure S5c. Parts of the tube sidewalls are fully covered with adsorbed NPs while other areas are only partially covered. At a reaction temperature of 245 °C the degree of coverage is remarkably reduced again while the resulting NPs grow bigger with a strong tendency to form agglomerates, compare Figure S5d. An optimal growth temperature leading to a high degree of coverage has been found to be 225 °C. In case of ZnO NPs we utilized zinc acetate dihydrate as precursor. This leads to a different condition for growth in comparison to the metal chalcogenides syntheses. Herein the NPs are formed in a base hydrolyzed colloidal syn- thesis with only OA as stabilizing agent. We investigated Zn to OA ratios of 1:0.5, 1:1.5, and 1:2, illustrated in Fig- ure 3a-c. Figure 3. TEM images of the ZnO- BNNT-composite with different metal to OA ratios and growth temperature: (a) 1:0.5, (b) 1:1.5 and (c) 1:2 at a growth temperature of 160°C. ZnO-BNNT obtained after 24 h at growth temperatures of (d) 115 °C and (e) 200 °C. -10- OA is able to substitute the acetate to form Zn-(oleate)2 which crucially influences the course of the reaction. Us- ing a ratio of 1:2 the acetate can be completely substituted by oleate. As a result, a faster nucleation and growth takes place which favors large agglomerates that do not attach to the BNNTs.36 By decreasing the amount of OA not all acetate can be replaced. In this case, we observe pyramidal NPs which are able to attach. Further decreasing the amount of OA results in smaller NPs. The highest degree of coverage is observed in case of a mixed ligand system obtained with a Zn to OA ratio of 1:1. The importance of the acetate is also confirmed by a synthesis during which the acetate was purposely removed as acidic acid by an applied vacuum. The resulting ZnO nanostructures with rod- like shape are not well attached to the BNNTs, as illustrated in Figure S4b. Performing the ZnO synthesis at 115 °C resulted in BNNTs which are fully covered, as shown in Figure 3d. Anyhow, the attached NPs show more undefined shapes compared to Figure 1f. When the same reaction was carried out at 200 °C, the ZnO crystallization takes place very quickly in the solution. Less NPs were attached to the BNNTs while most of them stay in solution. Only after a while a higher surface coverage of the tubes with particles from the solu- tion was achieved, illustrated in Figure 3e for a reaction time of 24 h. These results show that it is possible to produce composites of BNNTs with attached PbSe, CdSe, or ZnO NPs by the same approach using oleate. To further investigate possibilities and limitations of NP-BNNT composite for- mation, we examined the transferability of the methods for the semiconducting NP-CNT composite formation de- veloped in previous work15,25 and the attachment of metal NPs26 to BNNTs. Applying syntheses of SC NP-CNT composites to BNNT. Regarding the fact that one material is a semiconduc- tor (NPs) and the other one not (BNNTs), it is interesting to examine the possibility of adopting the well-established composite preparation of SC NPs with CNTs to BNNTs. In order to compare the attachment of CdSe NPs, the previously described composite synthesis using CNTs was transferred without modifications to BNNTs.25 Therefore, they were added to a solution consisting of CdO com- plexed by ODPA in TOPO followed by the injection of 10 µL DCE and the subsequent addition of the Se-TOP solu- tion. The synthesis required 24 h at a growth temperature of 255 °C. Furthermore, we applied the attachment method of previously investigated ZnO-CNT composites to BNNTs.15 Therefore, the BNNTs were suspended with zinc acetate dihydrate in MeOH. A hydrolysis of the precursor was car- ried out at 60 °C by adding a KOH in MeOH. After 24 h of stirring the synthesis was terminated. Representative TEM images at various times of BNNTs coated with CdSe NPs obtained by the integration of the BNNTs into the hot-injection synthesis described above is shown in Figure 4a-b. -11- Figure 4. TEM images of the applying syntheses of SC NP-CNT composites to BNNT. (a) Covered with CdSe obtained after 1 h and (b) a single BNNT covered with well pyramidally shaped CdSe NPs after 24 h. BNNTs covered with ZnO obtained after (c) 1 h and (d) 24 h. The surface of the BNNTs is fully covered with pyramidally shaped CdSe NPs. For the CNT composite synthesis method it has been reported earlier that CdSe NPs growing in solution undergo a shape transformation from rods to pyramids during the reaction in the presence of chloride and then get attached to the CNT surface.33 This behavior is similar to our observations. In strong analogy to the CdSe-CNT composites we observe that mainly pyramidal shaped particles are capable to attach to the BNNTs. In comparison to the free NPs in the solution, those which are attached to BNNTs exhibit a more uniform size and shape. In a recent study, the role of chlorine during the reaction was investigated in more detail.37 It turned out, that chlorine not only does influence the transformation of the par- ticles from rods to pyramids but also plays a key role for the attachment. Chlorine ions as an atomic X-type ligand partially replace the original long chained organic ligands thereby allowing the tight attachment of especially the (000-1) facet of CdSe NPs to the CNTs. Furthermore, it was possible to transfer our previous results on ZnO-CNT composites to BNNTs.15 Figure 4c-d shows TEM images of ZnO BNNT composites at various stages of the growth. Already 1 h after the beginning of the hydrolysis a ZnO layer was formed around the BNNTs. With progressing reaction the NPs evolve. This suggests that the nucleation takes place directly on the walls of the BNNTs. It has also been found that particles grown freely in the solution lack uniformity and look more rod-like compared to the spherical ones grown on the surface of the BNNTs. This behavior is identical to the CNT composite synthesis. Hence, the kind of employed NTs does not seem to make any difference. -12- Syntheses of noble metal NPs on BNNTs. Again, the composite preparation approach was the integration of BNNTs into the respective colloidal syntheses. In order to ensure equal conditions, all NPs were synthesized by well- documented methods for OAm capped metal NPs.26-28 NPs made of noble metals such as Pt, Ag, or Au are known to show enhanced catalytic activities. An attachment to a support material with a large surface like BNNTs could be of great advantage. Additionally, BNNTs possess a high robustness against oxidation and heating. Inspired by achievements in the field of metal CNT composites 26, 38 we tried to transfer this to BNNTs where only a few studies have been reported.17-19 All of these have in common that they are based on covalent functionalization of the BNNT walls with linker molecules. In contrast, we follow a non- covalent approach of attachment. Figure 5 depicts representative TEM images of the synthesized composites with spherical and small NPs. Compared to the SC NP investigations the degree of coverage is rather low. Figure 5. TEM images of BNNTs obtained after integrating them into the respective synthesis for (a) Pt, (b) Ag, and (c) Au NPs. In all case the composites exhibit a low degree of coverage. This might be due to the rather small absolute binding energies between the tubes and the metal NPs, as only the nitrogen atoms of the BNNTs could bind to them.39 It was found that the NPs are attached to the BNNTs only by chance or by van-der-Waals forces. Variation of the synthesis parameters such as time, amount of ligand, or temper- ature over a broad range does not improve the coverage. For the attachment of alloyed metallic NPs to CNTs it was found that a charge transfer is responsible for the strong adhesion between the two parts.26 BNNTs are insulators -13- with a large bandgap (the exact levels of the conduction and the valence band are still under debate; even negative electron affinities are discussed). Thus, it is improbable that a charge transfer from band-edge to band-edge takes place. Confocal microscopy investigation. Exemplarily, we investigate the fluorescence behavior of the CdSe-OA NPs attached to BNNTs in comparison to CdSe-OA NPs attached to multiwall CNTs by confocal microscopy. Figure 6a shows an optical micrograph image of the two different NT composites. From the confocal micrograph in Figure 6b it is clearly observed that only CdSe NPs on BNNTs show strong luminescence. Figure 6. Assembled CdSe-CNT-composites (left) and CdSe-BNNT-Composites (right). (a) Optical microscopy image showing both composites. (b) Confocal fluorescent microscopy image of the same region and (c) overlay of the transmission with the fluorescent image. The reason for the difference in the quenching behavior between CNTs and BNNTs must lie in the difference of their electronic structure. In other words, it is based on the higher charge delocalisation in the sp2 lattice of carbon compared to boron nitride where the difference in electronegativity and the filling of atomic orbitals lead to electron localization preferably on nitrogen atoms. If excitons are generated in the SC NP at atomic distance from the carbon -14- lattice, charge transfer and its transport towards the surrounding medium can occur, thus separating electrons and holes before they are able to recombine and photoluminescence is quenched. Similar charge transport cannot occur along the insulating boron nitride structure with the result that charge recombination and thus photoluminescence occurs. CONCLUSIONS To conclude, BNNTs have been decorated successfully with semiconducting NPs of various band gaps in a non- covalent fashion. Based on similar components but slightly different conditions NP-BNNT composites can be pro- duced by adding NTs to metal-oleate based colloidal syntheses. Such an in-situ synthesis is an effective approach to attach both, the metal chalcogenides PbSe and CdSe as well as the metal oxide ZnO to the BNNT surfaces. Critical factors for a high coverage of BNNTs were the ligand to metal salt ratio, the reaction temperature and time. Longer reaction times were necessary to attach NPs to the NT surface. Higher ligand contents lead to increased NP sizes that prevent effective attachment. Temperature deviations cause higher polydispersity which in turn reduces the coverage. Well-established synthesis methods for the attachment of CdSe pyramids or ZnO NPs to CNTs have been applied successfully to the BNNT attachment. On the other hand, BN substrates behave differently to those of carbon when it comes to the attachment of metal NPs (Pt, Ag, Au) to BNNTs, where a much lower tendency to composite for- mation was observed. We assume that the attachment of the NPs to BNNTs is a non-covalent ligand-NP-interaction, providing an additional stabilization for the NPs. This was confirmed by ultrasonication experiments in which the addition of ligands to the purified sample combined with sonication resulted in de-attachment while composites without additional ligands remained intact. Via fluorescence investigations, exemplarily performed on comparably produced composites of MW-CNTs and BNNTs with CdSe NPs, we found that the fluorescence of the NPs is quenched when attached to CNTs while at- tached to BNNTs it is conserved. This indicates that charge transfer occurs in composites with CNT while BN solely acts as a substrate or stabilizer for the NPs. With these properties the two types of composites are complementary in terms of substrate-NPs interaction that can now be chosen depending on the application and desired interaction. Based on our methods it will be possible to create composites with a large variety of materials and eventually substi- tute the substrate materials for other allotropes such as two-dimensional flakes. These materials may find applica- tion in various energy conversion and storage applications, especially catalysis and optoelectronics. -15- ASSOCIATED CONTENT This material is available free of charge via the Internet at http://pubs.acs.org. Additional TEM micrographs and absorption spectroscopy. AUTHOR INFORMATION Corresponding Author *[email protected] Present Addresses & Catalonia Institute for Energy Research-IREC, Jardins de les Dones e Negre 1, 08930 Sant Adrià de Besòs, Spain Notes The authors declare no completing financial interest. ACKNOWLEDGMENT Financial support of the European Research Council via the ERC Starting Grant "2D-SYNETRA" (Seventh Framework Program FP7, Project: 304980) as well as via the Heisenberg scholarship KL 1453/9-2 of the Deutsche Forschungs- gemeinschaft (DFG) is gratefully acknowledged. The authors thank Alina Chanaewa for fruitful discussions. -16- REFERENCES 1. Iijima, S., Helical Microtubules of Graphitic Carbon. Nature 1991, 354, 56-58. 2. Dai, H., Carbon Nanotubes: Synthesis, Integration, and Properties. Acc. Chem. Res. 2002, 35, 1035-1044. 3. Chopra, N. G.; Luyken, R.; Cherrey, K.; Crespi, V. H., Boron Nitride Nanotubes. Science 1995, 269, 966. 4. Nath, M.; Rao, C. N. R., New Metal Disulfide Nanotubes. J. Am. Chem. Soc. 2001, 123, 4841-4842. 5. Satishkumar, B.; Govindaraj, A.; Vogl, E. M.; Basumallick, L.; Rao, C., Oxide Nanotubes Prepared Using Carbon Nanotubes as Templates. J. Mater. Res. 1997, 12, 604-606. 6. Hacohen, Y. R.; Grunbaum, E.; Tenne, R.; Sloan, J.; Hutchison, J., Cage Structures and Nanotubes of Nicl2. Nature 1998, 395, 336-337. 7. Watanabe, K.; Taniguchi, T.; Kanda, H., Direct-Bandgap Properties and Evidence for Ultraviolet Lasing of Hexagonal Boron Nitride Single Crystal. Nat. Mater. 2004, 3, 404-409. 8. Ishigami, M.; Aloni, S.; Zettl, A. In Properties of Boron Nitride Nanotubes, AIP Conf. Proc., 2003; pp 94-99. 9. Chopra, N. G.; Zettl, A., Measurement of the Elastic Modulus of a Multi-Wall Boron Nitride Nanotube. Solid State Commun. 1998, 105, 297-300. 10. Golberg, D.; Costa, P. M.; Lourie, O.; Mitome, M.; Bai, X.; Kurashima, K.; Zhi, C.; Tang, C.; Bando, Y., Direct Force Measurements and Kinking under Elastic Deformation of Individual Multiwalled Boron Nitride Nanotubes. Nano Lett. 2007, 7, 2146-2151. 11. Calucci, L.; Ciofani, G.; De Marchi, D.; Forte, C.; Menciassi, A.; Menichetti, L.; Positano, V., Boron Nitride Nanotubes as T 2-Weighted Mri Contrast Agents. J. Phys. Chem. Lett. 2010, 1, 2561-2565. 12. Tao, A. R.; Habas, S.; Yang, P., Shape Control of Colloidal Metal Nanocrystals. Small 2008, 4, 310-325. 13. Jun, Y. w.; Choi, J. s.; Cheon, J., Shape Control of Semiconductor and Metal Oxide Nanocrystals through Nonhydrolytic Colloidal Routes. Angew. Chem. Int. Ed. 2006, 45, 3414-3439. 14. Borchert, H.; Witt, F.; Chanaewa, A.; Werner, F.; Dorn, J.; Dufaux, T.; Kruszynska, M.; Jandke, A.; Holtig, M.; Alfere, T.; Bottcher, J.; Gimmler, C.; Klinke, C.; Burghard, M.; Mews, A.; Weller, H.; Parisi, J., Vertically Oriented Carbon Nanostructures and Their Application Potential for Polymer-Based Solar Cells. J. Phys. Chem. C 2011, 116, 412-419. 15. Chanaewa, A.; Juárez, B. H.; Weller, H.; Klinke, C., Oxygen and Light Sensitive Field-Effect Transistors Based on Zno Nanoparticles Attached to Individual Double-Walled Carbon Nanotubes. Nanoscale 2012, 4, 251-256. 16. Zhi, C.; Bando, Y.; Tang, C.; Huang, Q.; Golberg, D., Boron Nitride Nanotubes: Functionalization and Composites. J. Mater. Chem. 2008, 18, 3900-3908. 17. Sainsbury, T.; Ikuno, T.; Okawa, D.; Pacile, D.; Frechet, J. M.; Zettl, A., Self-Assembly of Gold Nanoparticles at the Surface of Amine-and Thiol-Functionalized Boron Nitride Nanotubes. J. Phys. Chem. C 2007, 111, 12992-12999. 18. Wu, J.; Yin, L., Platinum Nanoparticle Modified Polyaniline-Functionalized Boron Nitride Nanotubes for Amperometric Glucose Enzyme Biosensor. ACS Appl. Mater. Inter. 2011, 3, 4354-4362. 19. Huang, Q.; Bando, Y.; Zhao, L.; Zhi, C.; Golberg, D., Ph Sensor Based on Boron Nitride Nanotubes. Nanotechnology 2009, 20, 415501. 20. Gao, Z.; Sawada, T.; Zhi, C.; Bando, Y.; Golberg, D.; Serizawa, T., Nucleotide-Assisted Decoration of Boron Nitride Nanotubes with Semiconductor Quantum Dots Endows Valuable Visible-Light Emission in Aqueous Solution. Soft Matter 2011, 7, 8753-8756. 21. Han, W.-Q.; Zettl, A., Functionalized Boron Nitride Nanotubes with a Stannic Oxide Coating: A Novel Chemical Route to Full Coverage. J. Am. Chem. Soc. 2003, 125, 2062-2063. 22. Zhi, C.; Bando, Y.; Tang, C.; Golberg, D., Sno2 Nanoparticle-Functionalized Boron Nitride Nanotubes. J. Phys. Chem. B 2006, 110, 8548-8550. 23. Huang, Y.; Lin, J.; Bando, Y.; Tang, C.; Zhi, C.; Shi, Y.; Takayama-Muromachi, E.; Golberg, D., Bn Nanotubes Coated with Uniformly Distributed Fe3O4 Nanoparticles: Novel Magneto-Operable Nanocomposites. J. Mater. Chem. 2010, 20, 1007-1011. 24. Tang, C.; Li, J.; Bando, Y.; Zhi, C.; Golberg, D., Improved Tio2 Photocatalytic Reduction by the Intrinsic Electrostatic Potential of Bn Nanotubes. Chem. Asian J. 2010, 5, 1220-1224. 25. Juárez, B. H.; Klinke, C.; Kornowski, A.; Weller, H., Quantum Dot Attachment and Morphology Control by Carbon Nanotubes. Nano Lett. 2007, 7, 3564-3568. -17- 26. Ritz, B.; Heller, H.; Myalitsin, A.; Kornowski, A.; Martin-Martinez, F. J.; Melchor, S.; Dobado, J. A.; Juárez, B. H.; Weller, H.; Klinke, C., Reversible Attachment of Platinum Alloy Nanoparticles to Nonfunctionalized Carbon Nanotubes. ASC Nano 2010, 4, 2438-2444. 27. Peng, S.; McMahon, J. M.; Schatz, G. C.; Gray, S. K.; Sun, Y., Reversing the Size-Dependence of Surface Plasmon Resonances. Proc. Natl. Acad. Sci. 2010, 107, 14530-14534. 28. Shen, C.; Hui, C.; Yang, T.; Xiao, C.; Tian, J.; Bao, L.; Chen, S.; Ding, H.; Gao, H., Monodisperse Noble- Metal Nanoparticles and Their Surface Enhanced Raman Scattering Properties. Chem. Mater. 2008, 20, 6939-6944. 29. Pietryga, J. M.; Schaller, R. D.; Werder, D.; Stewart, M. H.; Klimov, V. I.; Hollingsworth, J. A., Pushing the Band Gap Envelope: Mid-Infrared Emitting Colloidal PbSe Quantum Dots. J. Am. Chem. Soc. 2004, 126, 11752-11753. 30. Katari, J. B.; Colvin, V. L.; Alivisatos, A. P., X-Ray Photoelectron Spectroscopy of Cdse Nanocrystals with Applications to Studies of the Nanocrystal Surface. J. Phys. Chem. 1994, 98, 4109-4117. 31. Viswanatha, R.; Sapra, S.; Satpati, B.; Satyam, P.; Dev, B.; Sarma, D., Understanding the Quantum Size Effects in Zno Nanocrystals. J. Mater. Chem. 2004, 14, 661-668. 32. Murray, C.; Norris, D. J.; Bawendi, M. G., Synthesis and Characterization of Nearly Monodisperse Cde (E= Sulfur, Selenium, Tellurium) Semiconductor Nanocrystallites. J. Am. Chem. Soc. 1993, 115, 8706-8715. 33. Juárez, B. H.; Meyns, M.; Chanaewa, A.; Cai, Y.; Klinke, C.; Weller, H., Carbon Supported Cdse Nanocrystals. J. Am. Chem. Soc. 2008, 130, 15282-15284. 34. Murray, C. B.; Sun, S.; Gaschler, W.; Doyle, H.; Betley, T. A.; Kagan, C. R., Colloidal Synthesis of Nanocrystals and Nanocrystal Superlattices. IBM J. Res. Dev. 2001, 45, 47-56. 35. Li, H.; Chen, D.; Li, L.; Tang, F.; Zhang, L.; Ren, J., Size-and Shape-Controlled Synthesis of Pbse and Pbs Nanocrystals Via a Facile Method. CrystEngComm 2010, 12, 1127-1133. 36. Chanaewa, A.; Schmitt, J.; Meyns, M.; Volkmann, M.; Klinke, C.; von Hauff, E., Charge Redistribution and Extraction in Photocatalytically Synthesized Au–Zno Nanohybrids. J. Phys. Chem. C 2015, 119, 21704-21710. 37. Iacono, F.; Palencia, C.; de la Cueva, L.; Meyns, M.; Terracciano, L.; Vollmer, A.; de la Mata, M. J.; Klinke, C.; Gallego, J. M.; Juarez, B. H., Interfacing Quantum Dots and Graphitic Surfaces with Chlorine Atomic Ligands. ASC Nano 2013, 7, 2559-2565. 38. Xue, B.; Chen, P.; Hong, Q.; Lin, J.; Tan, K. L., Growth of Pd, Pt, Ag and Au Nanoparticles on Carbon Nanotubes. J. Mater. Chem. 2001, 11, 2378-2381. 39. Staykov, A.; Ooishi, Y.; Ishihara, T., Immobilizing Metal Nanoparticles on Single Wall Nanotubes. Effect of Surface Curvature. J. Phys. Chem. C 2014, 118, 8907-8916. 18
1810.00584
2
1810
2018-11-02T08:46:42
Stabilizing Mechanism for Bose-Einstein Condensation of Interacting Magnons in Ferrimagnets and Ferromagnets
[ "cond-mat.mes-hall", "quant-ph" ]
We propose a stabilizing mechanism for the Bose-Einstein condensation (BEC) of interacting magnons in ferrimagnets and ferromagnets. By studying the effects of the magnon-magnon interaction on the stability of the magnon BEC in a ferrimagnet and two ferromagnets, we show that the magnon BEC remains stable even in the presence of the magnon-magnon interaction in the ferrimagnet and ferromagnet with a sublattice structure, whereas it becomes unstable in the ferromagnet without a sublattice structure. This indicates that the existence of a sublattice structure is the key to stabilizing the BEC of interacting magnons, and the difference between the spin alignments of a ferrimagnet and a ferromagnet is irrelevant. Our result can resolve a contradiction between experiment and theory in the magnon BEC of yttrium iron garnet. Our theoretical framework may provide a starting point for understanding the physics of the magnon BEC including the interaction effects.
cond-mat.mes-hall
cond-mat
Stabilizing Mechanism for Bose-Einstein Condensation of Interacting Magnons in Ferrimagnets and Ferromagnets Naoya Arakawa∗ Department of Physics, Toho University, Funabashi, Chiba, 274-8510, Japan (Dated: November 5, 2018) We propose a stabilizing mechanism for the Bose-Einstein condensation (BEC) of interacting magnons in ferrimagnets and ferromagnets. By studying the effects of the magnon-magnon interac- tion on the stability of the magnon BEC in a ferrimagnet and two ferromagnets, we show that the magnon BEC remains stable even in the presence of the magnon-magnon interaction in the ferrimag- net and ferromagnet with a sublattice structure, whereas it becomes unstable in the ferromagnet without a sublattice structure. This indicates that the existence of a sublattice structure is the key to stabilizing the BEC of interacting magnons, and the difference between the spin alignments of a ferrimagnet and a ferromagnet is irrelevant. Our result can resolve a contradiction between experiment and theory in the magnon BEC of yttrium iron garnet. Our theoretical framework may provide a starting point for understanding the physics of the magnon BEC including the interaction effects. Bose-Einstein condensation (BEC) has been exten- sively studied in various fields of physics. The BEC is a macroscopic occupation of the lowest-energy state for bosons [1]. This phenomenon was theoretically predicted in a gas of noninteracting bosons [2], and then it was ex- perimentally observed in dilute atomic gases [3 -- 5]. This observation opened up research of the BEC in atomic physics [1]. Since the concept of the BEC is applicable to quasiparticles that obey Bose statistics, research of the BEC has been expanded, and it covers condensed-matter physics, nuclear physics, and optical physics. There is a critical problem with the magnon BEC. The magnon BEC was experimentally observed in yt- trium iron garnet (YIG), a three-dimensional ferrimag- net [6 -- 9]. However, a theory [10] showed that if low- energy magnons of YIG are approximated by magnons of a ferromagnet without a sublattice structure, the magnon BEC is unstable due to the attractive interaction between magnons. Note first, that YIG is often treated as the ferromagnet for simplicity of analyses [11, 12], second, in general, the attractive interaction between bosons desta- bilizes the BEC [13, 14]. Thus the stabilizing mechanism for the BEC of interacting magnons in a ferrimagnet re- mains unclear. To clarify it, we should understand the interaction effects in a ferrimagnet. In addition, we need to understand the essential effects of the differences be- tween a ferrimagnet and the ferromagnet in order to un- derstand the reason for the contradiction between exper- iment [6 -- 9] and theory [10]. In this Letter, we study the interaction effects on the magnon BEC in three magnets and propose a stabiliz- ing mechanism. We use the Heisenberg Hamiltonian and consider a ferrimagnet and two ferromagnets. By using the Holstein-Primakoff transformation [15 -- 17], we derive the kinetic energy and interaction for magnons. Then, we construct an effective theory to study the interaction effects on the magnon BEC in a similar way to the Bo- goliubov theory [14, 18] for Bose particles. By combining the results for the three magnets, we show that the exis- tence of a sublattice structure, not the difference in the spin alignment, is the key to the stabilizing mechanism for the BEC of interacting magnons. We also discuss the correspondence between our model and a more realistic model of YIG and several implications. We use the Heisenberg Hamiltonian as a minimal model for ferrimagnets and ferromagnets. It is given by (cid:88) (cid:104)i,j(cid:105) H = 2 JijSi · Sj, (1) We consider three cases. where Jij denotes the Heisenberg exchange energy be- tween spins at nearest-neighbor sites, and Si denotes the spin operator at site i. In the first case, we put Jij = J, (cid:104)Si(cid:105) = SA for i ∈ A, and (cid:104)Si(cid:105) = −SB for i ∈ B, where A and B denote A and B sublattices, respectively; each sublattice consists of N/2 sites. This case corre- sponds to a ferrimagnet with a two-sublattice structure [Fig. 1(a)]. In the second case, we put Jij = −J and (cid:104)Si(cid:105) = S for all i's. In the third case, we put Jij = −J, (cid:104)Si(cid:105) = SA for i ∈ A, and (cid:104)Si(cid:105) = SB for i ∈ B. The sec- ond and third cases correspond to ferromagnets without sublattice and with a two-sublattice structure, respec- tively [Figs. 1(b) and 1(c)]. As we will show below, by studying the BEC of interacting magnons in these three cases, we can clarify the stabilizing mechanism in a fer- rimagnet and the key to resolving the contradiction in the magnon BEC of YIG. (We will focus mainly on the sign of the effective interaction between magnons and its effect on the stability of the magnon BEC.) We begin with the first case of our model. We first derive the magnon Hamiltonian by using the Holstein- Primakoff transformation [15 -- 17]. After remarking on several properties in the BEC of noninteracting magnons, we construct the effective theory for the BEC of interact- ing magnons. By using this theory, we study the inter- action effects in the ferrimagnet. 2 (cid:113) 2 N (cid:80) q eiq·jb† q, and J(q) = (cid:80) δ Jeiq·δ with δ, a vector to nearest neighbors. Before formulating the effective theory for the BEC of interacting magnons, we remark on several properties in the BEC of noninteracting magnons in our ferrimagnet. To see the properties, we diagonalize Hnon by using (cid:19) (cid:18) aq b† q (cid:18) cq −sq (cid:19)(cid:18) αq (cid:19) = −sq cq β† q , (6) SASB J(q) where cq ≡ cosh θq and sq ≡ sinh θq satisfy tanh 2θq = √ 2 (SA+SB )J(0) . After some algebra, we obtain β(q)β† α(q)α† (cid:88) (cid:88) Hnon = (7) qαq + qβq, q q α(q) = (SB − SA)J(0) + ∆(q) where and β(q) = (SA − SB)J(0) + ∆(q) with ∆(q) = (cid:112)(SA + SB)2J(0)2 − 4SASBJ(q)2; in Eq. (7) we have neglected the constant terms. Hereafter, we assume SA > SB; this does not lose generality. For SA > SB α(0) = 0 is the lowest energy. Thus many magnons occupy the q = 0 state of the α band in the BEC of non- interacting magnons in the ferrimagnet for SA > SB. In addition, the low-energy excitations from the condensed state are described by the α-band magnons near q = 0. We now construct the effective theory for the BEC of interacting magnons. To construct it as simple as possi- ble, we utilize the properties in the BEC of noninteract- ing magnons. As described above, in the ferrimagnet for SA > SB the condensed state is the q = 0 state of the α band and the low-energy noncondensed states are the small-q states of the α band. Thus we can reduce Hmag to an effective Hamiltonian Heff, which consists of the ki- netic energy term of the α band and the intraband terms of the magnon-magnon interaction for the α band; Heff is given by Heff = H0 + H(cid:48), where H0 is the first term of Eq. (7), and H(cid:48) is obtained by substituting Eq. (6) into Eq. (5) and retaining the intraband terms. This Heff is sufficient for studying properties of the BEC of interact- ing magnons at temperatures lower than a Curie tem- perature, because the dominant excitations come from FIG. 1. Spin alignments on a plane of the cubic lattice in the three cases of our model; panels (a), (b), and (c) correspond to the first, second, and third cases, respectively. The direction and length of an arrow represent the direction and size of an ordered spin. The ordered spins are ferrimagnetic in panel (a) and ferromagnetic in panels (b) and (c); sublattice degrees of freedom are present in panels (a) and (c) and absent in panel (b). The magnon Hamiltonian is obtained by applying the Holstein-Primakoff transformation to the spin Hamilto- nian. In general, low-energy excitations in a magnet can be described well by magnons, bosonic quasiparticles [15 -- 17, 19 -- 23]. The magnon operators and the spin opera- tors are connected by the Holstein-Primakoff transforma- tion [15 -- 17]. This transformation for our ferrimagnet is expressed as follows: (cid:112) † iai, S− (cid:112) † jbj, S+ 2SBb , (3) i − iSy where i ∈ A, j ∈ B, S− i )†, and i = Sx † j )†; ai and a S+ j = Sx i are the operators of † magnons for the A sublattice, and bj and b j are those for the B sublattice. A substitution of Eqs. (2) and (3) into Eq. (1) gives the magnon Hamiltonian. j = −SB + b Sz i = SA − a Sz (cid:114) (cid:114) † 1 − b j bj 2SB † 1 − a i ai 2SA j = (S− i = (S+ † 2SAa i j + iSy i = j = (2) † j , In the magnon Hamiltonian, we consider the kinetic energy terms and the dominant terms of the magnon- magnon interaction. This is because our aim is to clarify how the magnon-magnon interaction affects the magnon BEC, which is stabilized by the kinetic energy terms. Since the kinetic energy terms come from the quadratic terms of magnon operators and the dominant terms of the interaction come from part of the quartic terms [16, 17], our magnon Hamiltonian is given by Hmag = Hnon + Hint [24], where Hnon = 2 J(0)(SBa† qaq + SAb† qbq) +2 J(q) SASB(aqbq + a† qb† q), (4) (cid:112) q (cid:88) (cid:88) (cid:80) q q,q(cid:48) and Hint = − 2 N + J(q)√ SASB [J(0)a† qaqb † q(cid:48)bq(cid:48) + J(q − q(cid:48))a† qaq(cid:48)b† qbq(cid:48) † † (cid:80) q(cid:48)aq(cid:48)aq)] + (H.c.).(5) q(cid:48)bqbq(cid:48) + SBbqa (SAaqb q eiq·iaq, (cid:113) 2 = † j b N We have used ai = (cid:9)(cid:66)(cid:10)(cid:9)(cid:67)(cid:10)(cid:9)(cid:68)(cid:10) (cid:88) q,q(cid:48) the small-q magnons in the α band and the interband terms may be negligible in comparison with the intra- band terms. Then we can further simplify H(cid:48). Since its main effects can be taken into account in the mean-field approximation, the leading term of H(cid:48) is given by [24] H(cid:48) = − 4 N Γαα(q, q(cid:48))nq(cid:48)αα† qαq, (8) Heff = (9) qs2 q(cid:48) + c2 q) + 2J(q − where Γαα(q, q(cid:48)) = J(0)(c2 q(cid:48)) − q(cid:48))cqsqcq(cid:48)sq(cid:48) − † J(q(cid:48)) q(cid:48)αq(cid:48)(cid:105) = √ cq(cid:48)sq(cid:48)(SAs2 SASB n[α(q(cid:48))] with the Bose distribution function n(). By qαq, we obtain combining Eq. (8) with H0 =(cid:80) J(q)√ SASB q + SBc2 q(cid:48) + SBc2 q(cid:48)s2 q α(q)α† cqsq(SAs2 q), and nq(cid:48)α = (cid:104)α (cid:88) (cid:80) q(cid:48) Γαα(q, q(cid:48))nq(cid:48)α. ∗ α(q)α† qαq, q with ∗ α(q) = α(q) − 4 N By using the theory described by Heff, we study the interaction effects on the stability of the magnon BEC. Since the magnon energy should be nonnegative, the magnon BEC remains stable even for interacting magnons as long as ∗ α(0) is the lowest energy. This is realized if H(cid:48) is the repulsive interaction. If H(cid:48) is the attractive interaction, the magnon BEC becomes un- stable. Thus we need to analyze the sign of Γαα(q, q(cid:48)) in Eq. (8). Since the dominant low-energy excitations are described by the α-band magnons near q = 0, we estimate Γαα(q, q(cid:48)) in Eq. (8) in the long-wavelength limits q,q(cid:48) → 0. For a concrete simple example we perform this estimation in a three-dimensional case on the cubic lattice. By expressing J(q) in a Taylor series around q = 0 and retaining the leading correction, we get J(q) ≈ J(0)[1 − q2 6 ]. Then, by using this expression and performing some calculations [24], we obtain the ex- pression of Γαα(q, q(cid:48)) including the leading correction in the long-wavelength limits. The derived expression is Γαα(q, q(cid:48)) ≈ − 2 9 J(0)q2q(cid:48)2 (SASB)2 (SA − SB)4 . (10) The combination of Eqs. (10) and (8) shows that the leading term of the magnon-magnon interaction is re- pulsive. Thus the magnon BEC remains stable in the ferrimagnet even with the magnon-magnon interaction. The above result differs from the stability of the magnon BEC in the ferromagnet without a sublattice structure. This can be seen by applying a similar theory to the second case of our model and compar- ing the result with the above result. The Holstein- Primakoff transformation in the ferromagnet without † i = S − c a sublattice structure is expressed as Sz ici, † i = (S− S− i )† for all i's; i = c i † ci and c i are the magnon operators. By using this † 2S − c ici, and S+ (cid:113) 3 N where Hnon = (cid:80) (cid:80) transformation and the Fourier transformations of the q eiq·icq, we ob- magnon operators, such as ci = 1√ (cid:80) tain the magnon Hamiltonian Hmag = Hnon + Hint, qcq with (q) = 2S[J(0) − q (q)c† † J(q)] and Hint = − 1 q(cid:48)cq(cid:48) + J(q − q,q(cid:48)[J(0)c† qcqc 2N † † † q(cid:48)cq − 2J(q)c q(cid:48))c† qcq(cid:48)c q(cid:48)cq] + (H.c.). Then, by ap- q(cid:48)cqc plying the mean-field approximation to Hint, the lead- ing term of the magnon-magnon interaction is reduced to H(cid:48) = − 2 qcq, where Γ(q, q(cid:48)) = J(0) + J(q − q(cid:48))− J(q)− J(q(cid:48)) and nq(cid:48) ≡ n[(q(cid:48))]. Since Γ(q, q(cid:48)) ≥ 0, the magnon-magnon interaction becomes attractive. Thus the BEC of interacting magnons be- comes unstable in the ferromagnet without a sublattice structure. (cid:80) q,q(cid:48) Γ(q, q(cid:48))nq(cid:48)c† N In order to understand the key to causing the above difference, we study the stability of the BEC of interact- ing magnons in the third case of our model. As we can see from Fig. 1, the difference between the third and first cases is about the spin alignment, and the difference be- tween the third and second cases is about the sublattice structure. Thus, by comparing the result in the third case with the result in the first or second case, we can deduce which of the two, the differences in the spin alignment and in the sublattice structure, causes the difference in the stability of the BEC of interacting magnons. The stability in the third case can be studied in a sim- ilar way to that in the first case. In the third case, the Holstein-Primakoff transformation of Si for i ∈ A is the same as Eq. (2), whereas that of Sj for j ∈ B is given † 1 − (b by Sz jbj/2SB), j )†; this difference arises from the differ- and S+ ent alignment of the spins belonging to the B sublattice. In a similar way to the first case, we obtain the magnon Hamiltonian Hmag = Hnon + Hint, where Hnon and Hint are given by j = SB − b j = (S− † jbj, S− (cid:113) j = 2SBb √ † j Hnon = 2 J(0)(SBa† qaq + SAb† qbq) J(q) SASB(aqb† q + a† qbq), (11) (cid:112) q (cid:88) (cid:88) (cid:88) q −2 and Hint = − 2 N q,q(cid:48) (SAa† qb − J(q)√ [J(0)a† qaqb † q(cid:48)bq(cid:48) + J(q − q(cid:48))a† † qaq(cid:48)b q(cid:48)bq N SASB (cid:113) 2 respectively, with ai = (cid:80) q eiq·jbq. † † q(cid:48)bq(cid:48)bq + SBbqa† (cid:80) q(cid:48)aq(cid:48))] + (H.c.), (12) qa q eiq·iaq and bj = In addition, Hnon can be diagonal- ized by using aq = cqαq − sqβq and bq = −sqαq + cqβq, where cq ≡ cosh θq and sq ≡ sinh θq satisfy √ tanh 2θq = − 2 (SA+SB )J(0) . The diagonalized Hnon is qβq] with α(q) and β(q), which are the same as those in the first case. Thus, (cid:113) 2 Hnon = (cid:80) qαq + β(q)β† q[α(q)α† SASB J(q) N q ∗ α(q)α† qαq with ∗ scribed by Heff = (cid:80) (cid:80) q(cid:48) Γαα(q, q(cid:48))nq(cid:48)α, where Γαα(q, q(cid:48)) = J(0)(c2 qs2 q) + 2J(q − q(cid:48))cqsqcq(cid:48)sq(cid:48) + J(q)√ cqsq(SAs2 q(cid:48)) + J(q(cid:48)) the ferromagnet and ferrimagnet with the two-sublattice structure have the same properties of the BEC of nonin- teracting magnons. Then we can construct the effective theory for the BEC of interacting magnons in the third case in a similar way. For SA > SB, in the third case, the BEC of interacting magnons can be effectively de- α(q) = α(q) − 4 q(cid:48) + N c2 q(cid:48)s2 q(cid:48) + SASB SBc2 q). By estimating Γαα(q, q(cid:48)) in the long-wavelength limits in a similar way, we obtain Γαα(q, q(cid:48)) ≈ − 2 (SA−SB )4 . Thus the BEC of interacting magnons is stable in the ferromagnet with the two-sublattice structure. 9 J(0)q2q(cid:48)2 (SASB )2 cq(cid:48)sq(cid:48)(SAs2 √ SASB q + SBc2 Combining the results in the three cases, we find that the difference between the interaction effects in the fer- rimagnet and in the ferromagnet without a sublattice structure arises not from the difference in the spin align- ment, but from the difference in the sublattice struc- ture. This can resolve the contradiction between exper- iment [6 -- 9] and theory [10] because that theory uses a ferromagnet without a sublattice structure. This also suggests that the existence of a sublattice structure is the key to stabilizing the BEC of interacting magnons in ferrimagnets and ferromagnets. One possible experiment to test our mechanism is to measure the stability of the magnon BEC in ferromagnets without and with a sublat- tice structure; a sublatttice structure, such as that shown in Fig. 1(c), can be realized, for example, by using two different magnetic ions. We remark on the role of sublattice degrees of free- dom. As shown above, the magnon BEC remains stable even in the presence of the magnon-magnon interaction as long as a magnet has the sublattice degrees of free- dom. This remarkable property can hardly be expected from the properties of noninteracting magnons because in all the three cases, the low-energy properties can be de- scribed by a single magnon band. The magnon-magnon interaction becomes repulsive only in the presence of the sublattice degrees of freedom because the magnons in dif- ferent sublattices give the different contributions to the intraband interaction for a single magnon band; the dif- ferent contributions arise from the different coefficients in the Bogoliubov transformation [e.g., see Eq. (6)]. Next we discuss the correspondence between our model and a model derived in the first-principles study in YIG [25]. The latter is more complicated than our model because the magnetic primitive cell of YIG has 20 Fe moments [26] and its spin Hamiltonian consists of the Heisenberg exchange interactions for three nearest- neighbor pairs and six next-nearest-neighbor pairs [25]. Note first, that all of the Fe ions are categorized into FeO and FeT ions, Fe ions surrounded by an octahedron and a tetrahedron of O ions, respectively, and second, that YIG 4 is a ferrimagnet due to the antiparallel spin alignments of the FeO and FeT ions and the 2 : 3 ratio of the FeO and FeT ions in the unit cell [27]. Although our model does not take into account all of the complex properties of YIG, our model can be regarded as a minimal model to study the stability of the BEC of interacting magnons in YIG. This is because of the following three facts: First, the largest term in the spin Hamiltonian of YIG is the antiferromagnetic nearest-neighbor Heisenberg exchange interaction between the FeO and FeT ions and the others are at least an order of magnitude smaller. Second, the low-energy magnons of YIG can be described by a single magnon band around q = 0. Third, the main effect of the terms neglected in our theory is to modify the value of Γαα(q, q(cid:48)) in Eq. (8). Since this modification may be quantitative, our mechanism can qualitatively explain why the magnon BEC is stabilized in YIG. Our work has several implications. First, our results suggest that a ferromagnet without a sublattice structure is inappropriate for describing the properties of interact- ing magnons in ferrimagnets, such as YIG. This sugges- tion will be useful for future studies towards a compre- hensive understanding of magnon physics and spintron- ics using magnons in YIG. Furthermore, it may be nec- essary to reconsider some results of YIG if the results are deduced by using a ferromagnet without a sublat- tice structure, in particular, the results depend on the sign of the magnon-magnon interaction. Our theoretical framework can then be used to study the BEC of interact- ing magnons in other magnets as long as the low-energy magnons can be described by a single magnon band. For the magnets whose low-energy magnons have degeneracy, an extension of this framework enables us to study the BEC of interacting magnons. Thus our theory may pro- vide a starting point for understanding properties of the BEC of interacting magnons in various magnets. In summary, we have studied the stability of the BEC of interacting magnons in a ferrimagnet and ferromag- nets, and we proposed the stabilizing mechanism. By adopting the Holstein-Primakoff transformation to the Heisenberg Hamiltonian, we have derived the magnon Hamiltonian, which consists of the kinetic energy terms and the dominant terms of the magnon-magnon inter- action. We then construct the effective theory for the BEC of interacting magnons by utilizing the properties for noninteracting magnons and the mean-field approx- imation. From the analyses using this theory, we have deduced that in the ferrimagnet and ferromagnet with the sublattice structure the magnon BEC remains stable even in the presence of the magnon-magnon interaction, whereas it becomes unstable in the ferromagnet without a sublattice. This result shows that the existence of a sublattice structure is the key to stabilizing the BEC of interaction magnons, whereas the difference in the spin alignments is irrelevant. In addition, this result is consis- tent with the experimental results [6 -- 9] of YIG and the theoretical result [10] of a ferromagnet without a sublat- tice structure. ∗ [email protected] [1] C. J. Pethick and H. Smith, Bose-Einstein Condensa- tion in Dilute Gases (Cambridge University Press, Cam- bridge, England, 2002). [2] A. Einstein, Sitzungsberichte der Preussischen Akademie der Wissenschaften, Physikalisch-mathematische Klasse (1924) p.261; (1925) p.3. [3] M. H. Anderson, J. R. Ensher, M. R. Matthews, C. E. Wieman, and E. A. Cornell, Science 269, 198 (1995). [4] K. B. Davis, M.-O. Mewes, M. R. Andrews, N. J. van Druten, D. S. Durfee, D. M. Kurn, and W. Ketterle, Phys. Rev. Lett. 75, 3969 (1995). [5] C. C. Bradley, C. A. Sackett, J. J. Tollett, and R. G. Hulet, Phys. Rev. Lett. 75, 1687 (1995). [6] S. O. Demokritov, V. E. Demidov, O. Dzyapko, G. A. Melkov, A. A. Serga, B. Hillebrands, and A. N. Slavin, Nature (London) 443, 430 (2006). [7] V. E. Demidov, O. Dzyapko, S. O. Demokritov, G. A. Melkov, and A. N. Slavin, Phys. Rev. Lett. 99, 037205 (2007). [8] V. E. Demidov, O. Dzyapko, S. O. Demokritov, G. A. Melkov, and A. N. Slavin, Phys. Rev. Lett. 100, 047205 (2008). [9] A. V. Chumak, G. A. Melkov, V. E. Demidov, O. Dzyapko, V. L. Safonov, and S. O. Demokritov, Phys. Rev. Lett. 102, 187205 (2009). 5 [10] I. S. Tupitsyn, P. C. E. Stamp, and A. L. Burin, Phys. Rev. Lett. 100, 257202 (2008). [11] V. Cherepanov, I. Kolokolov, and V. L'vov, Phys. Rep. 229, 81 (1993). [12] J. Barker and G. E.W. Bauer, Phys. Rev. Lett. 117, 217201 (2016). [13] A. L. Fetter and J. D. Walecka, Quantum Theory of Many-Particle Systems (Dover Publications, Inc., New York, 2003). [14] A. A. Abrikosov, L. P. Gor'kov, and I. E. Dzyaloshinski, Methods of Quantum Field Theory in Statistical Physics (Dover Publications, Inc., New York, 1963). [15] T. Holstein and H. Primakoff, Phys. Rev. 58, 1098 (1940). [16] T. Oguchi, Phys. Rev. 117, 117 (1960). [17] T. Nakamura and M. Bloch, Phys. Rev. 132, 2528 (1963). [18] N. N. Bogoliubov, Izv. Akad. Nauk SSSR, Ser. Fiz. 11, 77 (1947). [19] F. Bloch, Z. Phys. 61, 206 (1930). [20] F. Dyson, Phys. Rev. 102, 1217 (1956). [21] R. Kubo, Phys. Rev. 87, 568 (1952). [22] A. B. Harris, D. Kumar, B. I. Halperin, and P. C. Ho- henberg, Phys. Rev. B 3, 961 (1971). [23] E. Manousakis, Rev. Mod. Phys. 63, 1 (1991). [24] See Supplemental Material, which includes Refs. 16 and 17, for the details of the derivations of Eqs. (4) and (5), Eq. (8), and Eq. (10). [25] L.-S. Xie, G.-X. Jin, L. He, G. E. W. Bauer, J. Barker, and K. Xia, Phys. Rev. B 95, 014423 (2017). [26] A. Harris, Phys. Rev. 132, 2398 (1963). [27] F. Bertaut, F. Forrat, A. Herpin, and P. M´eriel, Comptes Rendus Acad. Sci. 243, 898 (1956).
1606.06957
2
1606
2017-02-04T16:27:07
Hyperbolic Metamaterial Nano-Resonators Make Poor Single Photon Sources
[ "cond-mat.mes-hall", "physics.optics", "quant-ph" ]
We study the optical properties of quantum dipole emitters coupled to hyperbolic metamaterial nano-resonators using a semi-analytical quasinormal mode approach. We show that coupling to metamaterial nano-resonators can lead to significant Purcell enhancements that are nearly an order of magnitude larger than those of plasmonic resonators with comparable geometry. However, the associated single photon output $\beta$-factors are extremely low (around 10$\%$), far smaller than those of comparable sized metallic resonators (70$\%$). Using a quasinormal mode expansion of the photon Green function, we describe how the low $\beta$-factors are due to increased Ohmic quenching arising from redshifted resonances, larger quality factors, and stronger confinement of light within the metal. In contrast to current wisdom, these results suggest that hyperbolic metamaterial nano-structures make poor choices for single photon sources.
cond-mat.mes-hall
cond-mat
Hyperbolic Metamaterial Nano-Resonators Make Poor Single Photon Sources Simon Axelrod,1 Mohsen Kamandar Dezfouli,1 Herman M. K. Wong,2 Amr S. Helmy,2 and Stephen Hughes1, ∗ 1Department of Physics, Engineering Physics and Astronomy, 2Photonics Group, Edward S. Rogers Sr. Department of Electrical and Computer Engineering, Queen's University, Kingston, Canada, K7L 3N6 University of Toronto, Toronto, Canada, M5S 3H6 We study the optical properties of quantum dipole emitters coupled to hyperbolic metamaterial nano-resonators using a semi-analytical quasinormal mode approach. We show that coupling to metamaterial nano-resonators can lead to significant Purcell enhancements that are nearly an order of magnitude larger than those of plasmonic resonators with comparable geometry. However, the associated single photon output β-factors are extremely low (around 10%), far smaller than those of comparable sized metallic resonators (70%). Using a quasinormal mode expansion of the photon Green function, we describe how the low β-factors are due to increased Ohmic quenching arising from redshifted resonances, larger quality factors, and stronger confinement of light within the metal. In contrast to current wisdom, these results suggest that hyperbolic metamaterial nano-structures make poor choices for single photon sources. Introduction. Engineered cavity structures allow for tight confinement of light and the enhancement of its in- teraction with matter. In particular, solid state structures such as photonic crystals [1, 2], slow-light waveguides [3], plasmonic nano-structures [4–6] and metamaterial res- onators [7, 8] allow for the enhancement of the photon local density of states (LDOS) of embedded quantum emitters, thereby increasing their spontaneous emission rates via the Purcell effect [9]. Such enhancement finds application in areas such as molecule sensing [10], high- resolution imaging [11, 12], energy harvesting [13, 14], nonlinear optics [15], and single photons [16]. A new class of optical materials known as hyperbolic metamaterials (HMMs) offers the possibility of achieving extreme confinement of light and increased interaction with matter over a broad spectral range [17–19]. Such materials consist of both metal and dielectric parts, and are typically described as having an anisotropic dielec- tric tensor within an effective medium description. The dielectric tensor elements εk and ε⊥ (parallel and per- pendicular to the axis of anisotropy, respectively) are of opposite sign, corresponding to metallic or dielectric properties along different axes. For an HMM that is anisotropic along the z-axis, for example, the electromag- netic dispersion relation is given by k2 x + k2 y εk + k2 z ε⊥ = ω2 c2 , (1) where k is the wavevector, ω is the angular frequency, and c is the speed of light. Since εk and ε⊥ are of opposite sign, surfaces of constant frequency are hyper- bolic, extending to very large values of k. The resulting momentum mismatch between HMM and free-space elec- tromagnetic fields results in strong confinement of light around the structure [20]. Moreover, the isofrequency dispersion implies that dipole emitters can couple to a large range of k-states at a single frequency, thereby increasing the number of possible decay paths and (a) z y x (c) (b) (d) FIG. 1: (a) Schematic of a gold nano-dimer resonator. A y- polarized quantum dipole is shown in the gap centre. (b) Schematic of an HMM dimer with 7 layers of gold and 6 layers of dielectric (blue). (c) Purcell factor for a y-polarized dipole in the gap centre of a gold nano-dimer, obtained with full dipole calculations (red circles) and a QNM expansion (solid blue). (d) Purcell factor as in (c), but for an HMM dimer of metal filling fraction fm = 0.2. thus the spontaneous emission rate [17]. Metamaterial waveguides have also been shown to provide enhanced Purcell factors and Lamb shifts through the associated slow light modes [3]. Many applications in HMM and plasmonic nanopho- tonics require Purcell enhancements that are radiative in nature [17, 21–26], and it is often of fundamental importance to minimize non-radiative metallic losses. The minimization of such losses is one of the biggest unresolved issues in plasmonics and metamaterial sci- ence, limiting nearly every potential application in these fields [27]. While several works have sought to mitigate such losses [27–30], the issue remains an outstanding concern. Despite the importance of analyzing loss in arXiv:1606.06957v2 [cond-mat.mes-hall] 4 Feb 2017 6000 3000 0 0.5 0.7 0.9 800 400 0 0.8 1.2 1.6 2 We conclude that HMM resonators are characterized by greatly enhanced Purcell factors that are always accompanied by smaller β-factors, making them poor choices for single photon sources and radiative decay engineering. are rigorously described interactions at position ra QNM Green Function Expansion. The light- in matter terms of the photon GF. For example, the LDOS enhancement ρ(ra, ω)/ρh(ra, ω) of an na-polarized emitter ratio Im{na·G(ra, ra; ω)·na}/ Im{na·Gh(ra, ra; ω)·na)} [36], where G is the GF and h denotes a homogeneous back- ground medium. Within the weak coupling regime, the LDOS enhancement represents the Purcell factor. More- over, the GF can be used to quantify the non-radiative decay rate, through [5, 37] is given by the γnr(ra, ω) = 2 ωε0ZV Re{j(r)·G∗(r, ra; ω)·da} dr, (2) where da = dna is transition dipole of the emitter, and j(r) = ω Im{ε(r, ω)}G(r, ra; ω)·da is the induced cur- rent density within the scattering geometry. The GF is known analytically in a few simple cases, but in general must be obtained numerically. Full nu- merical solutions of Maxwell's equations can be obtained for a radiating dipole emitter located at position ra in a given photonic environment. Using the electric field solution at general positions r, one can obtain the two space-point GF G(r, ra; ω), [4, 38, 39], and therefore the LDOS at the dipole location (∝ Im{G(ra, ra; ω)}). Note that one can also obtain the single photon out- put β-factor by calculating the proportion of the total dipole power that is radiated in the far field. However, the dipole approach requires another lengthy simulation to quantify the relevant physics at each new position. In- stead, the GF may be expanded in terms of the QNMs of the scattering geometry. The QNMs fµ are the source- free solution to Maxwell's equations with open bound- ary conditions [40, 41], with a discrete set of complex eigenvalues ωµ = ωµ − iγµ, and associated quality fac- tors Q = ωµ/2γµ. Due to the outgoing boundary con- ditions, QNMs diverge (exponentially) in space [40, 42], but their norm is still finite, and can be obtained in a number of equivalent ways (e.g. [43–46]) Within the res- onator of interest [40], the transverse part of the GF can be written as an expansion of its QNMs, through [41] GT(r, r0; ω) =Pµ(ω2/2ωµ(ωµ − ω))fµ(r)fµ(r0). For po- sitions near metallic resonators (but outside the regime of quasi-static quenching), the GF can be accurately ap- proximated by the same expansion [47], with the sum greatly reduced to the contribution of one or a few dom- inant modes near the main cavity resonance [35]. Thus obtaining the dominant QNMs is usually sufficient for obtaining the GF as a function of frequency and position around the resonator. The GF and QNMs can then be FIG. 2: (a) QNM field profile fy(0, y, z) for the dominant mode of a plasmonic dimer. The edges of the dimer are shown in white. (b) QNM profile for the three dominant modes of an HMM dimer with filling fraction fm = 0.2, with eigenfrequen- cies increasing from left to right. Brighter colours indicate stronger fields. plasmonic and metamaterial resonators, there has been little conclusive analysis of the latter. Theoretical studies have argued that the Purcell enhancement in simple HMM slabs is radiative in nature [17, 23, 26], and experimental work [21] has compared radiative and non-radiative decay in metal and HMM slab structures, but a thorough investigation of quenching in HMMs has not been performed. The role of Ohmic damping has been compared in HMM and metal cavities [31], but energy loss has not. The superior ability of HMMs to engineer radiative decay has also been questioned theo- retically [32, 33]. An analytical description of radiative and non-radiative decay in HMM and metal resonators is thus of great interest. In this Letter, we study metal and HMM nano- resonators for application in single photon emission, providing a representative analysis of non-radiative loss in such structures. We compare the associated spontaneous emission enhancements and single photon output β-factors (the probability of emitting a photon via radiative decay) using a semi-analytical Green function (GF) approach. We first show that the GF of a complex, multi-layered HMM resonator can be simply and accurately described in terms of its quasinormal modes (QNMs), the optical modes for an open dissipa- tive cavity structure [34, 35]. We report greatly enhanced spontaneous emission rates in HMMs (up to an order of magnitude greater than those of metal resonators with comparable geometry), but surprisingly, significantly lower β-factors. Using a QNM approach, we show that this increased quenching is due to a combination of red- shifted resonances, larger quality factors, and stronger confinement of light within the metal regions of HMMs. 3 ized by three complex eigenfrequencies contributing to the resonance of interest, ωc1/2π = 139.215− i9.847 THz (Q = 7.1), ωc2/2π = 165.335 − i10.412 THz (Q = 7.9), and ωc3/2π = 197.472 − i9.860 THz (Q = 10.0). The three-sum QNM maximum Purcell factor at the origin is approximately 5600, which is within 5% of the full dipole result of 5900 (Fig. 1d; the presence of other nearby modes makes the expansion slightly less accurate than that of the gold dimer). The HMM and gold QNM profiles are shown in Fig. 2. We remark that the dominant contri- bution at the origin is from the second QNM, which re- sembles a plasmonic mode; in contrast, modes 1 and 3 re- semble Fabry-P´erot resonances, and contribute strongly at other locations. These results suggest that there is lit- tle fundamental difference between plasmonic and HMM modes in nano-resonators, similar to slab structures [32]. Clearly the Purcell factors achievable with the HMM are much higher than those of the pure gold structure (in this case, by an order of magnitude). However, full dipole calculations yield an impressive β-factor of up to 72% for the metallic resonator, but an extremely poor β- factor of 12% for the HMM. We have found similarly low β-factors for different geometries and configurations, in- cluding HMM waveguides, and cylindrical nano-rods and dimers. We have also found low β-factors in a spherical HMM cavity, in which Ohmic damping was found to de- crease with reduced filling fractions [31], and in an HMM slab structure-see Supplementary Information (SI). To our knowledge, this is the first time that such large losses have been documented in such a wide variety of HMM resonators, and our results stand in contrast to current suggestions in the literature. QNM Description of Large Losses. We argue below that the universally low single photon β-factors asso- ciated with HMMs are attributable to three key fac- tors: (a) HMMs confine light to their metal regions more strongly than metallic resonators, (b) HMM modes have higher quality factors than plasmonic modes, and (c) HMM resonances are redshifted to regimes of higher metallic loss as the metal filling fraction is reduced. In order to understand the first two points, we consider Eq. (2) for the case of a y-polarized dipole at ra. Focus- ing on a single QNM of interest, the total decay rate is proportional to Im{Gyy(ra, ra; ω)} = Im{A(ω) f 2 y (ra)}, where we have defined A(ω) = ω2/2ωc(ωc − ω) for the cth QNM, and where we have withheld the c- dependence of the mode for ease of notation. On the other hand, the non-radiative decay rate given by Eq. (2) scales with ε00A(ω)2 fy(ra)2Rmetal f (r)2dr, where ε00 = Im{ε}, and where we have used vertical bars to indi- cate both an absolute value and the norm of a vector. For (Im{ f}/Re{ f})2 (cid:28) 1 and Im{ f}/Re{ f} (cid:28) Q, both of which are almost always satisfied in practice, the on- resonance non-radiative coupling ηnr = γnr/γ is given by ηnr ∝ fmε00Qhf2imetal, (3) FIG. 3: QNM field strength, f2(0, y, 0), for the dominant modes of the plasmonic dimer (solid blue) and HMM dimer (dashed red). The shaded region corresponds to positions within each resonator, and specifically to positions within a metal layer of the HMM dimer. Inset: zoom-in of the mode strength for positions inside the resonator. used in various quantum optics formalisms [2, 37, 48], providing the starting point for an analytical and rigor- ous description of light-matter interactions. HMM Nano-Dimers. For practical purposes we analyze a parallelepiped nano-scale HMM dimer with 7 layers of gold and 6 layers of dielectric, anisotropic along the z- axis (Fig. 1b), but our general findings below apply to all HMM geometries that we have tried (see below). The dimer configuration enhances Purcell factors in the gap through the bonding effect, and minimizes non-radiative quenching by drawing fields out of the metal [49]. The length of each parallelepiped is 95 nm (y-axis), and the width and depth are 35 nm (x- and z-axes). We set the gap size to 20 nm in order to maximize the Purcell factor while minimizing non-radiative quenching. We set ε = 2.9 for the dielectric (similar to MgO) and εh = 2.25, and use a Drude model for gold, ε(ω) = 1 − ω2 p/(ω(ω + iγ)). We set the plasmon frequency ωp = 1.202 × 1016 rad/s and collision rate γ = 1.245 × 1014 rad/s, with parameters obtained by fitting experimental data for thin film gold in the frequency regime of interest [50]. The use of a clas- sical permittivity has been shown to be valid for material layers as thin as 1 nm [51–55]. We obtain the QNMs around the resonance of inter- est for two representative cases: a plasmonic resonator (volume metal filling fraction fm = 1.0), and an HMM resonator with large dielectric character (fm = 0.2). Using COMSOL Multiphysics [56], we use an iterative frequency-domain pole search with a dipole excitation [57] to obtain the complex eigenfrequencies, and the as- sociated modes are normalized implicitly. We identify a single complex eigenfrequency for the pure gold dimer, ωc/2π = 303.29 − i24.18 THz (Q = 6.3). We obtain a maximum Purcell factor of around 720 at the origin (gap centre), in excellent agreement with full dipole calcula- tions (Fig. 1c). The HMM dimer response is character- fm = 1.0 fm = 0.2 40 80 120 160 200 y (nm) 4000 3000 2000 1000 0 0 f2(µm−3) 300 100 10 105 4 the metal volume, such that the product fmε00 appear- ing in Eq. 3 is equal to 3.16 for the HMM dimer, and to 2.59 for the gold dimer (see SI). This balancing effect, combined with increased Q-factors and enhanced light confinement within the metal, leads to lower β-factors associated with the HMM dimer. In light of the above results, we suggest that HMM res- onators make poor single photon sources, for any Purcell factor improvement over metal resonators is accompa- nied by a reduction in the β-factor (which renders the photon source increasingly non-deterministic). This sur- prising result is expected to be true of all forms of HMM nano-resonators, given the general form of the explana- tion given above, and we have found it to be true in all of the examples we have studied. Our results thus suggest that HMM structures may be limited by non-radiative loss in ways that pure metal structures are not. Comments. As seen in Fig. 3, the QNM strength of the HMM resonator is no larger than that of the metal. The increased Q-factor of the HMM yields a small en- hancement in the GF, but the effect is rather minor. In fact, the superior HMM Purcell factor is largely due to a decreased resonance frequency. Since the free-space de- cay rate of a dipole emitter scales with ω3 [36], the as- sociated spontaneous emission enhancement is larger at lower frequencies. Evidently HMMs cannot access non- perturbative quantum optics effects such as the strong coupling regime and vacuum Rabi splitting, which rely on an enhanced GF [36], unless they can also be accessed by metals. Indeed, we have found that vacuum Rabi split- ting for a typical quantum dot dipole requires Purcell factors that are orders of magnitude larger than any of the enhancements found here. These results are consis- tent with those obtained for HMM slab structures [32]. While the strong resonance redshift associated with de- creased filling fractions provides an opportunity to finely tune to dipole resonances, such tuning may also be pos- sible by modifying the size of metal resonators [32]. Conclusions. We have shown that coupling to HMM nano-resonators can lead to Purcell enhancements that are much larger than those of metals with comparable geometries. Surprisingly, however, we have found that these enhancements are associated with unusually low β-factors. Using a semi-analytical QNM approach, we have shown that these low β-factors are due to redshifted resonances, increased quality factors, and stronger con- finement of light within the metal. We conclude that HMM nano-resonators are poor choices for single photon sources and other applications requiring strong radiative coupling, though they undoubtedly have other uses and advantages in other areas of plasmonic quantum optics. This work was supported by the Natural Sciences and Engineering Research Council of Canada. We ac- knowledge CMC Microsystems for the provision of COM- SOL Multiphysics to facilitate this research, and thank Rongchun Ge for useful discussions. FIG. 4: Mid-gap on-resonance Purcell factor (solid blue) and output β-factor (dashed red) for a y-polarized dipole at the origin for varying filling fractions. An increase in the Purcell factor is always accompanied by a reduction in the β-factor. where hf2imetal = RVmetal f (r)2 dr/Vmetal denotes an averaging of the field strength over the metal volume, and we have used Vmetal ∝ fm to elucidate the scaling of the non-radiative coupling. Note that the non-radiative de- cay rate scales with G2, while the total decay rate scales with G, so that the non-radiative coupling is increased by an enhancement of Qf2 within the metal, even if the product increases at ra as well. Fig. 3 shows the mode strength f (0, y, 0)2 as a func- tion of distance y along the dimer axis, for both fm = 1.0 and fm = 0.2. Outside the dimer, the mode strength is nearly identical for both the metal and the HMM struc- tures, with the only difference occurring a few nm from the metal surface. Within the dimer, however, the mode strength of the HMM is significantly larger than that of the gold resonator. In light of the above discussion, this suggests a much-reduced β-factor. Evidently, the HMM is not characterized by a stronger modal field at all po- sitions, which would simultaneously increase the Purcell factor while diminishing the β-factor (see Comments be- low). In fact, the enhanced light confinement occurs only within the structure. We can understand this effect as arising from the increased quantity of dielectric within the resonator. Since the dielectric supports the existence of electric fields better than the metal, the field strength within the structure becomes stronger as the metal vol- ume is reduced. The field strength is enhanced in both dielectric and metal layers, and the latter effect leads to increased loss (see SI for more details). Such an explana- tion suggests that smaller metal filling fractions are as- sociated with higher loss, which is indeed observed (Fig. 4). We suggest that this effect is characteristic of all res- onators consisting of metal and dielectric layers, and it is indeed consistent with all cases we have studied. The β-factor is further reduced by an increase in qual- ity factor, QHMM/Qmetal = 1.27, and from the redshifting of the resonance frequency, since metals with a Drude-like dispersion are characterized by a loss term ε00 ∝ 1/ω3. Importantly, this latter effect balances the reduction in 6000 4000 2000 0 75 50 25 0 20 40 60 80 100 5 [29] A. Boltasseva and H. A. Atwater, Science 331, 290 (2011). [30] L. Rast, T. J. Sullivan, and V. K. Tewary. Phys. Rev. B 87, 045428 (2013). [31] C. Wu, A. Salandrino, X. Ni, and X. Zhang, Phys. Rev. X 4, 021015 (2014). [32] O. D. Miller, S. G. Johnson, and A. W. Rodriguez, Phys. Rev. Lett. 112, 157402 (2014). [33] V. V. Klimov, A. A. Pavlov, D. V. Guzatov, I. V. Zabkov, and V. D. Savinov, Phys. Rev. A 93, 033831 (2016). [34] E. S. C. Ching, P. T. Leung, A. M. van den Brink, W. M. Suen, S. S. Tong, and K. Young, Rev. Mod. Phys. 70, 1545 (1998). [35] P. T. Kristensen and S. Hughes, ACS Photonics 1, 2 (2014). [36] L. Novotny and B. Hecht, Principles of Nano-Optics (Cambridge University Press, Cambridge, England, 2006). [37] R.-C. Ge and S. Hughes, Phys. Rev. B 92, 205420 (2015). [38] C. Van Vlack and S. Hughes, Opt. Lett. 37, 2880 (2012). [39] V. S. C. Manga Rao and S. Hughes, Phys. Rev. Lett. 99, 193901 (2007). [40] P. T. Leung, S. Y. Liu, and K. Young, Phys. Rev. A 49, 3982 (1994). [41] K. M. Lee, P. T. Leung, and K. M. Pang, J. Opt. Soc. Am. B 16, 1409 (1999). [42] J. U. Nokel and R. K. Chang 2002 in Cavity-Enhanced Spectroscopies ed R. D. van Zee and J. P. Looney (San Diego, CA: Academic). [43] H. M. Lai, P. T. Leung, K. Young, P. W. Barber, and S. C. Hill, Phys. Rev. A 41, 5187 (1990). [44] C. Sauvan, J. P. Hugonin, I. S. Maksymov, and P. Lalanne, Phys. Rev. Lett. 110, 237401 (2013). [45] P. T. Kristensen, R.-C. Ge, and S. Hughes, Phys. Rev. A 92, 053810 (2015). [46] E. A. Muljarov, W. Langbein and R. Zimmermann, Eu- rophys. Lett. 92, 50010 (2010). [47] R.-C. Ge, P. T. Kristensen, J. F. Young, and S. Hughes, New J. Phys. 16, 113048 (2014). [48] C. Van Vlack, P. T. Kristensen, and S. Hughes, Phys. Rev. B 85, 075303 (2012). [49] R.-C. Ge and S. Hughes, Opt. Lett. 39, 4235 (2014). [50] P. B. Johnson and R. W. Christy, Phys. Rev. B 6, 4370 (1972). [51] R. Esteban, A. G. Borisov, P. Nordlander, and J. Aizpu- rua, Nat. Commun. 3, 825 (2012). [52] W. Rechberger, A. Hohenau, A. Leitner, J. R. Krenn, B. Lamprecht, and F. R. Aussenegg, Opt. Commun. 220, 137 (2003). [53] H. Tamaru, H. Kuwata, H. T. Miyazaki, and K. Miyano, Appl. Phys. Lett. 80, 1826 (2002). [54] K. H. Su, Q. H. Wei, X. Zhang, J. J. Mock, D. R. Smith, and S. Schultz, Nano Lett. 3, 1087 (2003). [55] J. Zuloaga, E. Prodan, and P. Nordlander, Nano Lett. 9, 887 (2009). [56] COMSOL Multiphysics: www.comsol.com. [57] Q. Bai, M. Perrin, C. Sauvan, J. P. Hugonin, and P. Lalanne, Opt. Expr. 21, 27371 (2013). ∗ Electronic address: [email protected] [1] P. Lodahl, A. F. Van Driel, I. S. Nikolaev, A. Irman, K. Overgaag, D. Vanmaekelbergh, and W. L. Vos, Nature 430, 654 (2004). [2] S. Hughes, Opt. Lett. 29, 2659 (2004). [3] P. Yao, C. Van Vlack, A. Reza, M. Patterson, M. M. Dignam, and S. Hughes, Phys. Rev. B 80, 195106 (2009). [4] P. Yao, V. S. C. Manga Rao, and S. Hughes, Laser Pho- tonics Rev. 4, 499 (2010). [5] P. Anger, P. Bharadwaj, and L. Novotny, Phys. Rev. Lett. 96, 113002 (2006). [6] M. Frimmer and A. F. Koenderink, Phys. Rev. Lett. 110, 217405 (2013). [7] Z. Jacob, J.-Y. Kim, G.V. Naik, A. Boltasseva, E. E. Narimanov, and V. M. Shalaev, Appl. Phys. B 100, 215 (2010). [8] M. A. Noginov, H. Li, Y. A. Barnakov, D. Dryden, G. Nataraj, G. Zhu, C. E. Bonner, M. Mayy, Z. Jacob, and E. E. Narimanov, Opt. Lett. 35, 1863 (2010). [9] E. M. Purcell, Phys. Rev. 69, 37 (1946). [10] J. Homola, S. S. Yee, and G. Gauglitz, Sens. Actuators B 54, 3 (1999). [11] K. Kneipp, Y. Wang, H. Kneipp, L. T. Perelman, I. Itzkan, R. R. Dasari, and M. S. Feld, Phys. Rev. Lett. 78, 1667 (1997). [12] R. Zhang, Y. Zhang, Z. C. Dong, S. Jiang, C. Zhang, L. G. Chen, L. Zhang, Y. Liao, J. Aizpurua, Y. E. Luo, J. L. Yang, and J. G. Hou, Nature 498, 82 (2013). [13] H. A. Atwater and A. Polman, Nat. Mater. 9, 205 (2010). [14] Z. Yu, A. Raman, and S. Fan, Proc. Natl. Acad. Sci. U.S.A. 107, 17491 (2010). [15] M. Kauranen and A. V. Zayats, Nat. Photon. 6, 737 (2012). [16] D. E. Chang, A. S. Sørensen, E. A. Demler, and M. D. Lukin, Nat. Phys. 3, 11 (2007). [17] C. L. Cortes, W. Newman, S. Molesky, and Z. Jacob, J. Opt. 14, 063001 (2012). [18] D. R. Smith and D Schurig, Phys. Rev. Lett. 90, 077405 (2003). [19] D. R. Smith, D. Schurig, J. J. Mock, P. Kolinko, and P. Rye, Appl. Phys. Lett. 84, 2244 (2004). [20] X. Yang, J. Yao, J. Rho, X. Yin, and X. Zhang, Nat. Photon. 6, 450 (2012). [21] J. Kim, V. P. Drachev, Z. Jacob, G. V. Naik, A. Boltas- seva, E. E. Narimanov, and V. M. Shalaev, Opt. Expr. 20, 8100 (2012). [22] Z. Jacob, J.-Y. Kim, G. V. Naik, a. Boltasseva, E. E. Narimanov, and V. M. Shalaev, Appl. Phys. B 100, 215 (2010). [23] M. A. Noginov, H. Li, Y. A. Barnakov, D. Dryden, G. Nataraj, G. Zhu, C. E. Bonner, M. Mayy, Z. Jacob, and E. E. Narimanov, Opt. Lett. 35, 1863 (2010). [24] O. Kidwai, S. V. Zhukovsky, and J. E. Sipe, Opt. Lett. 36, 2530 (2011). [25] X. Ni, G. V. Naik, A. V. Kildishev, Y. Barnakov, A. Boltasseva, and V. M. Shalaev, Appl. Phys. B 103, 553 (2011). [26] Z. Jacob, I. I. Smolyaninov, and E. E. Narimanov, Appl. Phys. Lett. 100, 181105 (2012). [27] J. B. Khurgin, Nat. Nanotech. 10, 2 (2015). [28] R. F. Oulton, Nat. Photon. 6, 219 (2012). Hyperbolic Metamaterial Nano-Resonators Make Poor Single Photon Sources: Supplementary Information Simon Axelrod,1 Mohsen Kamandar Dezfouli,1 Herman M. K. Wong,2 Amr S. Helmy,2 and Stephen Hughes1, ∗ 1Department of Physics, Engineering Physics and Astronomy, 2Photonics Group, Edward S. Rogers Sr. Department of Electrical and Computer Engineering, Queen's University, Kingston, Canada, K7L 3N6 University of Toronto, Toronto, Canada, M5S 3H6 Here we provide supplementary material that accompanies the manuscript "Hyperbolic Metamate- rial Nano-Resonators Make Poor Single Photon Sources." Specifically, we compare the Purcell and single photon β-factors for an HMM and metal slab structure, as well as an HMM sphere supporting whispering gallery resonances. We also supply the main simulation parameters and software tools used in our numerical simulations. We demonstrate that the behavior of the Purcell and β-factors is the same as for the resonators described in the main text. We also motivate our results regarding the resonance frequency scaling in the main text, using a quasi-static model of an HMM spherical res- onator. We argue that the resonance condition implies that the product fmε00 should increase as the metal filling fraction is reduced. Next, we show that the low β-factors of HMM nano-resonators are consistent with the prediction of a simple quasi-static analysis. Finally, we analyze the multi-mode behaviour of the HMM nano-resonator of the main text. I. Purcell and β-Factors for Two Different HMM Structures To provide additional generality to the results in the main text, here we present computational results for the Purcell and β-factors associated with two completely different HMM and metal structures, and show that they are consistent with those of the nano-resonators studied in the main text. We use a slab structure characterized by a continuum of modes to show that low β-factors seem to be a general feature of HMM structures, and are not limited to HMM nano-resonators in particular (though we note that the multi-mode behaviour of slab structures is problematic for single photon applications). We also examine the spherical HMM resonator studied in Ref. 1 to further support the argument that HMM nano-resonators make poor single photon sources. First we compare a gold slab with an HMM slab of 50% metal filling fraction. The width and length of the slab are one micron (x- and y-directions), and its height is 150 nm (z-direction). The HMM consists of 5 layers of gold and 5 layers of dielectric, each with a thickness of 15 nm, and we use the same parameters for the dielectric constants as in the main text. We calculate the β-factors and Purcell factors as a function of frequency for a z-polarized dipole located 10 nm from the surface, through a full dipole calculation using Lumerical finite-difference time-domain (FDTD) simulations2,3. Since we scan a large region of frequency space without an obvious modal structure it is more convenient to use FDTD for these calculations. The FDTD simulations were performed using a 5 nm mesh within a 2 µm3 computational domain, excluding the 64 perfectly matched layers (PMLs) used to simulate the outgoing boundary condition. The results are shown in Fig. S1. Well below the plasma frequency, the Purcell factor of the HMM is about double that of the gold slab, while the β-factor of the gold slab is much higher than that of the HMM (around 80% versus 40%). There are higher frequencies which the HMM Purcell factors are larger, and others for which the gold Purcell factors are larger. However, it is important to note that in these ranges the β-factors of each are vanishingly small. In all cases, any enhancement in the Purcell factor is associated with a decrease in the (a) (b) (c) arXiv:1606.06957v2 [cond-mat.mes-hall] 4 Feb 2017 FIG. S1: Comparison of single photon parameters of a gold slab and an HMM slab of 50% metal filling fraction. (a) Schematic of an HMM slab. (b) Purcell factor and β-factor for a vertically-polarized dipole located 10 nm from the gold slab surface. (c) As in (b), but for an HMM slab of 50% metal filling fraction. For both structures, we see a clear correspondence between Purcell and β-factors. In particular, the β-factors are vanishingly small near the main resonances. 60 30 0 80 40 0 2 4 6 8 60 30 0 80 40 0 2 4 6 8 (a) (b) 2 FIG. S2: (a) Schematic of an HMM micro-sphere. (b) Purcell factor (solid blue) and β-factor (dashed red) as a function of metal filling fraction for a z-polarized dipole located 10 nm from the outer surface of the sphere. For the larger Purcell factors achievable inside the HMM dielectric regions (not shown), the single photon β-factors are negligible. β-factor, which is in agreement with the conclusion made in the main text. It is also important to note that the Purcell factors obtained here are orders of magnitude smaller than those of nano-resonator structures. Moreover, it is clear that the Purcell factors represent contributions from a number of resonant modes. A typical requirement for an ideal single photon source is that dipole emitters couple to a single mode only, with β- and Purcell factors that are as large as possible. It would thus be preferable, and likely necessary, to use nano-resonators in place of slab structures for such applications. In this context, it is highly desirable to have a modal picture of the underlying physics, in much the same way that one typically analyzes microcavity-enabled cavity-QED effects. Next we investigate the HMM micro-sphere studied in Ref. 1, which supports whispering gallery resonances. For this structure, we have used COMSOL Multiphysics, as in the main text4. The COMSOL calculations for both the cylindrical resonators (studied in the main text) and spherical geometries (shown here) were performed within a 0.2 µm3 computational domain for all filling fractions. This domain size included all PML layers. The number of computational elements used for each structure was different in order to meet the different geometrical demands. A minimum of 70,000 elements were used for simulations of pure gold structures, while a maximum of 200,000 elements were used for low filling fraction HMMs. In addition, 10 layers of PML were used in all calculations, which were enough to obtain accurate numerical convergence. The HMM sphere has a radius of 100 nm, and consists of 5 layers of silver and 5 layers of dielectric; further details can be found in Ref. 1. We obtain β-factors and Purcell factors for a z-polarized dipole located at z=10 nm from the surface of the sphere, coupling to the angular momentum l = 2 mode. The results shown in Fig. S2 mirror those of the resonator studied in the main text: the Purcell factor increases and the β-factor decreases as the filling fraction is reduced. These results are consistent with our general conclusions about non-radiative decay in HMM resonators. As well, it was concluded in Ref. 1 that Ohmic damping decreases as the filling fraction is reduced, leading to increased quality factors. Evidently this does not lead to less Ohmic loss, for the β-factor is reduced for smaller filling fractions. This result is consistent with Eq. (3) in the main text, which shows that ηnr is actually proportional to Q. In the main text we argue that the enhanced Purcell factors in HMM nano-resonators are mainly due to a resonance frequency redshift. We note that this redshift leads to a larger loss term through the enhancement of the imaginary part of the dielectric constant. This enhancement is such that that the product fmε00 appearing in Eq. (3) of the main text is actually increased. Here we further motivate this result with a simple example. II. Resonance Frequency Scaling One can analyze a spherical HMM nano-resonator in the quasi-static approximation, using an effective medium description (see Ref. 1 for the form of the model used). For a Drude metal and dielectric layers with unit permittivity, the resonance condition is found to be ω0 = ωppfm/3. Clearly the resonance frequency is a decreasing function of metal filling fraction. Moreover, an application of the Drude formula shows that the product fmε00 increases as the filling fraction is reduced. This is a direct result of the fact that the imaginary part of ε scales 1/ω3, while the real part scales as 1/ω2. This implies that the redshift accompanying the increased Purcell factor yields an increased loss parameter that is large enough to balance the decrease in filling fraction. More generally, one expects that a plasmonic resonance will occur when a denominator of the form ε + αh becomes resonant, for some α that depends on the given configuration. For an HMM described as an effective medium, the metal component of the permittivity 1. Satisfying the resonance condition then implies that ω0 is a decreasing function is given as ε = fmεm + (1 − fm)εd 250 125 30 15 0 20 50 0 80 3 of fm, and an application of the Drude formula shows that the product fmε00 must increase as the filling fraction is reduced. III. Quasi-Static Picture of Diminishing HMM β-Factors We follow the approach taken in Ref. 5, which makes use of a quasi-static approximation, deemed to be valid for resonators whose dimensions are much smaller than the resonant wavelength. Such an approach becomes increasingly well-justified for HMM nano-resonators, as the size of the resonator remains constant while the resonance frequency is reduced. In the quasi-static limit, the localized modes of a resonator are bound by the following relation: ZVm −ε0m F(r)2 dr =ZVd εd(r) F(r)2 dr. (S1) Here, F(r) is a "localized field mode", ε0 = Re{ε}, Vm is the metal volume, and Vd is the total dielectric volume (including the volume of the dielectric component of the resonator). The localized mode is defined here as6 F(r) =ZVdimer Gh(r, r0; ω) · ∆ε(r0, ω) f (r0) dr0, outside the dimer inside the dimer. = f (r), (S2) Here, Gh(r, r0; ω) is the Green function of the homogeneous background medium, ∆ε(r0) is the permittivity shift within the dimer, and f (r0) is the QNM (see main text). This localized field mode is essentially a regularized QNM, which corresponds to the QNM at positions near the resonator, but does not diverge in the far field6. Invoking the Drude formula for ω (cid:28) ωp, and using Eq. (2) of the main text, we obtain the non-radiative decay rate for an na-polarized dipole emitter at position ra: γnr(ra, ω) = 2d2γcolA(ω) Fa(ra)2 ωε0 ZVd εd(r) F(r)2 dr, where γcol is the collision damping rate in the Drude formula. The on-resonance β-factor is then β = 1 − Q εd(r) F(r)2 dr. γcol ω ZVd (S3) (S4) We see that the β-factor decreases as the integrated mode strength over the total dielectric volume increases, and as the resonance frequency is reduced. This is precisely what we have observed in HMMs: as the dielectric volume increases, and the resonance frequency drops, the β-factor decreases. The physical justification for this effect is the same as the one given in the main text. The ω−1 pre-factor reflects the fact that lower frequency regimes are associated with larger loss, while the integral of the field strength over the dielectric regions reflects the fact that stronger fields in the dielectric lead to stronger fields in the metal, and thus to larger losses, as well. Note that this behaviour is different from that of a plasmonic resonator of reduced volume. As the volume is reduced in an ordinary resonator, the resonance frequency becomes blue-shifted. However, the smaller volume of the resonator leads to enhanced field strengths both inside and outside the resonator, and thus to larger loss. Both HMM and metal resonators are limited in their increased Purcell enhancement by a reduction in the β-factor, but the reasons for each are subtly different. IV. Multi-Mode Behaviour of HMM Nano-Resonators In the main text we note that the presence of nearby modes makes the QNM expansion slightly less accurate for the HMM resonator, which may seem surprising given the excellent accuracy of the plasmonic QNM result. For completeness we have included an extended view of the HMM Purcell factor in Fig. S3, as calculated through full dipole simulations. It is clear that, in addition to the main plasmonic peak near 0.7 eV, as well as the accompanying Fabry-P´erot resonances, there are also interfering modes at higher and lower frequencies. Nevertheless, the three QNM expansion used in the main text is accurate to within 5% in the region of interest near the main peak, as seen in Fig. S3b. ∗ Electronic address: [email protected] 1 C. Wu, A. Salandrino, X. Ni, and X. Zhang, Phys. Rev. X 4, 021015 (2014). 2 Lumerical Solutions: www.lumerical.com (a) (b) 4 FIG. S3: (a) Extended view of the Purcell factor associated with the HMM nano-resonator studied in the main text, as calculated through full dipole simulations. (b) Purcell factor in the resonant regime of interest, as calculated through full dipole simulatons (red circles) and an expansion of three QNMs (solid blue). 3 R.-C. Ge and S. Hughes, Opt. Lett. 39, 4235 (2014). 4 COMSOL Multiphysics: www.comsol.com. 5 F. Wang and Y. R. Shen, Phys. Rev. Lett. 97, 206806 (2006) 6 R.-C. Ge, P. T. Kristensen, J. F. Young, and S. Hughes, New J. Phys., 16, 113048 (2014). 6000 3000 0 0.5 0.7 0.9 6000 3000 0 0.2 0.7 1.2
1210.2825
2
1210
2012-12-14T15:59:39
Electromagnetic and thermal responses of Z topological insulators and superconductors in odd spatial dimensions
[ "cond-mat.mes-hall", "cond-mat.str-el" ]
The relation between bulk topological invariants and experimentally observable physical quantities is a fundamental property of topological insulators and superconductors. In the case of chiral symmetric systems in odd spatial dimensions such as time-reversal invariant topological superconductors and topological insulators with sublattice symmetry, this relation has not been well understood. We clarify that the winding number which characterizes the bulk Z non-triviality of these systems can appear in electromagnetic and thermal responses in a certain class of heterostructure systems. It is also found that the Z non-triviality can be detected in the bulk "chiral polarization", which is induced by magnetoelectric effects.
cond-mat.mes-hall
cond-mat
Electromagnetic and thermal responses of Z topological insulators and superconductors in odd spatial dimensions Ken Shiozaki and Satoshi Fujimoto Department of Physics, Kyoto University, Kyoto 606-8502, Japan (Dated: October 17, 2018) The relation between bulk topological invariants and experimentally observable physical quantities is a fundamental property of topological insulators and superconductors. In the case of chiral sym- metric systems in odd spatial dimensions such as time-reversal invariant topological superconductors and topological insulators with sublattice symmetry, this relation has not been well understood. We clarify that the winding number which characterizes the bulk Z non-triviality of these systems can appear in electromagnetic and thermal responses in a certain class of heterostructure systems. It is also found that the Z non-triviality can be detected in the bulk "chiral polarization", which is induced by magnetoelectric effects. PACS numbers: An important feature of topological insulators (TIs) and topological superconductors (TSCs) is that topolog- ical invariants characterizing the bulk states emerge as physical quantities probed by electromagnetic or thermal responses [1]. For instance, the Chern number appears as the quantized Hall conductivity in the quantum Hall effect state [2], and the Z2 invariant of a time-reversal in- variant (TRI) TI in three dimensions can be detected in axion electromagnetic responses [3]. The correspondence between bulk topological invariants and electromagnetic (or thermal) responses naturally arises from the existence of underlying low-energy effective topological field theo- ries [3]. For most classes of TIs and TSCs [2, 5], this cor- respondence has been well clarified so far. However, for the case of TIs and TSCs characterized by Z invariants in odd spatial dimensions, this point has not yet been fully understood. These classes include time-reversal symme- try broken (TRB) TIs with sublattice symmetry in one and three dimensions (class AIII), TRI TSCs in three di- mension (class DIII, e.g. 3He, CuxBi2Se3 [6, 7], Li2Pt3B [8]), and TRI TIs and TSC of spinless fermions in one dimension (class BDI, e.g. Su-Schrieffer-Heeger model [9], Kitaev Majorana chain model [10]). It is noted that all of these classes possess chiral symmetry (sublattice symmetry); i.e. the Hamiltonian H satisfied the relation ΓHΓ = −H with Γ a unitary operator. This implies that if ψi is an eigen state of H with an energy E, then, Γψi is also an eigen state with an energy −E. The chiral symmetry is indeed the origin of the bulk Z topological invariant referred to as the winding number. The chiral symmetric topological insulator with the winding num- ber N possesses N flavors of gapless Dirac (Majorana) fermions at the boundary, which are stable against dis- order and interactions, as long as the chiral symmetry is preserved [11]. To this date, however, it has not been fully elucidated how the winding number can be detected in electromagnetic or thermal responses. For instance, in the case of three-dimensional (3D) class AIII TIs, low- energy effective theory is the axion field theory as in the case of TRI Z2 TIs, the action of which is given by [3, 13], e2 Saxion = 2πcZ dtd3xP3E · B, 3 A3(cid:21) tr(cid:20)AdA − 2i 1 8π2 ZBZ (1) (2) where P3 = is the magnetoelectric polarization expressed by the Chern-Simons 3-form with Berry connection Anm(k) = i hun(k) dum(k)i for occupied states un(k)i. Because of chiral symmetry, P3 takes only two values, i.e. P3 = N3 2 (mod 1) where N3 is the integer-valued winding num- ber [14]. Thus, the above field theory captures only Z2 part of the winding number, and fails to describe the Z nontrivial character [15]. The same problem also occurs for class DIII TSCs, as previously noticed by Wang and his coworkers [16]. For this case, Wang et al. presented an argument based on an effective theory for surface Ma- jorana fermions. However, a general framework which relates the winding number to electromagnetic or ther- mal responses is still lacking, and desired. In this paper, we present two approaches for the solution of this issue. One is based on the idea that the winding number can be detected in electromagnetic and thermal responses of a certain class of heterostructure systems (see Eqs.(7)-(9) and (11)-(13) below, which constitute main new results). We clarify the condition for the heterostructure systems in which the Z non-trivial character of the bulk systems can appear. The other one is to introduce a novel bulk physical quantity which can be directly related to the winding number. This quantity is referred to as chiral charge polarization. We show that for 3D class AIII TIs, the chiral charge polarization is induced by an applied magnetic, which is in analogy with topological magne- toelectric effect, and furthermore, the winding number appears in its response function (see Eq.(16) below). Bulk winding number and magnetoelectric polarization in chiral symmetric TIs -- We, first, consider the ap- proach based on heterostructure systems. To explain i.e. our approach in a concrete way, we consider 3D chiral- symmetric (CS) TIs, a class AIII systems. The following argument is straightforwardly extended to the case of class DIII TSCs. A key idea is to consider a het- erostructure system which consists of the 3D CS TI and a chiral-symmetry-broken (CSB) trivial insulator with the Hamiltonian, as depicted in FIG. 1(a). Here, the triv- ial insulator means that P3 = 0 in the bulk [17]. For instance, we can consider the CSB trivial insulator with inversion symmetry in the bulk which ensures P3 = 0. To deal with spatially varying heterostructure systems, we utilize an adiabatic approach. That is, as long as there is a finite energy gap which separates the ground state and the first excited states, the interface struc- ture can be smoothly deformed to the slowly varying one. In the slowly varying structure, the position op- erator z in the Hamiltonian can be treated as a parame- ter (adiabatic parameter) independent of 3D momentum k, which parametrizes the spatial inhomogeneity of the heterostructure. Then, the magnetoelectric polarization P3(z) is constructed from the adiabatic Hamiltonian of the heterostructure system, H(k, z). H(k, z) interpolates between the bulk Hamiltonian of the CS TI, H(k), and that of the CSB trivial insulator, HCSB(k), when z is H(k, z) = H(k) when z is a point in the varied; i.e. bulk of the CS TI, and H(k, z) = HCSB(k) when z in the bulk of the CSB trivial insulator. The adiabatic approach was exploited before to derive electromagnetic responses of the TRI Z2 TIs from the axion field theory [3, 18]. Our strategy is to extend the adiabatic argument for the Z2 non-triviality to the Z nontrivial electromagnetic re- sponses. We, first consider the quantum anomalous Hall effect. We note that in the heterostructure junction sys- tem, the anomalous Hall effect caused by surface Dirac fermions is obtained by integrating z-direction under a z-independent electromagnetic field, Ssurf [A(t, x, y)] = e2 2πc(cid:18)Z z1 z0,C dz dP3(z) dz (cid:19)Z dtd2xǫµνρAµ∂ν Aρ. (3) Here z0 (z1) is a point in the CS TI (CSB trivial in- sulator), and C is a path of z-integral. Hence the Hall conductivity is given by, σH = e2 2πZ z1 z0,C dz dP3(z) dz = e2 h Z z1 z0,C dP3(z). (4) There are two important remarks. First, although the magnetoelectric polarization P3 is gauge-invariant only for mod 1, the line integral of a small difference of P3(z) is fully gauge-invariant. Second, σH is determined not only by the bulk magnetoelectric polarization at the point z0 and that at the point z1, but also by a homologous equiv- alence class of the path C. This means that σH depends on the microscopic structure of the interface, and is not protected solely by the bulk topology. The concrete path 2 C is determined by the signs of the mass gaps of Dirac fermions on the surface of the CS TI. In our system, the mass gaps are generated by the chiral-symmetry breaking field induced by the CSB trivial insulator at the surface [19]. Here, we consider the case that the sign of the chiral- symmetry breaking field, and hence, that of the induced mass gaps are uniform on the interface between the CS TI and the CSB trivial insulator. More precisely, the Hamil- tonian HCSB(k) satisfying this condition is generally ex- pressed as HCSB(k) = H0(k) + α(k)Γ where α(k) > 0 (or < 0) for any k, and Γ is the chiral symmetry opera- tor mentioned before, and H0(k) does not generate mass gaps of the surface Dirac fermions. It is noted that Γ itself plays the role of a chiral-symmetry breaking field. Then the winding number N3 for H(k) and the magneto- electric polarization P3(z) for the adiabatic Hamiltonian H(k, z) satisfies the following relation, Z z1 z0,C dP3(z) = ± N3 2 . (5) This relation is one of our central new findings. We present a sketch of the proof of Eq.(5) below. The de- tails are given in the supplemental materials [20]. Since the magnetoelectric polarization of the CS TI with the winding number N3 is P3 = N3 2 mod 1, the value of P3(z = z0) is fixed by chiral symmetry. Also, as men- tioned above, the value of P3(z = z1) in the CSB trivial insulator is fixed to be zero. Due to these fixed bound- z0,C dP3(z) is adiabatically invariant, which means that this quantity is not changed unless the en- ergy gap between the ground state and first excited state closes. Thus, we can deform H to a flat band sys- tem : H 2 = 1. Note that HCSB(k) mentioned above is deformed to HCSB = ±Γ without closing the energy gap. Then, the adiabatically equivalent class of flat band Hamiltonian H is given by ary values, R z1 H(k, θ) = cos θQ(k) ± sin θΓ, (6) where Q(k) = 1− 2P (k), and P (k) is a projection to the occupied bands of H(k), and θ monotonically changes from θ = 0 to θ = π/2, as z changes from z0 to z1. It 0 dP3(θ) = 2 [20], where P3(θ) is the magnetoelectric polarization is straightforward to show R z1 ± N3 of H(k, θ) defined by (2). z0,C dP3(z) = R π 2 Using Eqs.(5) and (4) together, we can readily obtain the remarkable result that the winding number N3 can appear in the quantized Hall conductivity for the het- erostructure system depicted in FIG.1(a), σH = ± e2 2h N3. (7) Hence, the Z non-triviality of CS TIs can be detected experimentally in this electromagnetic response. [13, 21, 22], S = πk2 BT 2 12v Z dtd3xP3(x)Eg · Bg 3 (10) FIG. 1: Heterostructure composed of a CS TI (or a CS TSC) and trivial insulators (or superconductors) with Hamiltonian H = ±Γ. In (b) and (c), we assume CS TI (TSC) is coated by a CSB trivial insulator (SC) so that the interface structure has a finite energy gap anywhere. We can also apply the formula (5) to the investigation on topological magnetoelectric effects which are char- acterized not by the Z2 invariant, but by the Z invari- ant N3. Let us consider the heterostructure system de- picted in FIG. 1(b) and (c), which consists of a cylin- drical CS TI with its surface coated by a CSB trivial insulators. From Eq. (5), the magnetoelectric polariza- tion of the CS TI coated by the CSB trivial insulator z0,C dP3(z) = ∓ N3 2 , hc P3B is given by P3 = P3(z = z1) − R z1 which leads the magnetoelectric effect, P = − e2 and M = − e2 hc P3E, [3] i.e., P = ± e2 2hc N3B, M = ± e2 2hc N3E. (8) (9) Here, E and B are an electric field and a magnetic field applied parallel to the axis of the cylinder. The wind- ing number successfully appears in the above magne- toelectric responses. It is noted that if the system is extended without open boundaries and possesses trans- lational symmetry, magnetoelectric polarization (2) is gauge-dependent under large gauge transformation so that P3 7→ P3 + n where n is integer. P3 = ∓ N3 in Eqs. (8) and (9) implies that the particular choice of the configuration of the heterostructure as depicted in FIG.1(b) and (c) corresponds to the particular choice of the gauge that can extract the winding number of the TI in the heterostructure system. 2 Case of TRI TSCs -- The above argument is also ap- plicable to class DIII TRI TSCs in three dimensions. In the case of TSCs with spin-triplet pairing, since both charge and spin are not conserved, it is difficult to detect the topological character in electromagnetic responses. However, instead, thermal responses can be a good probe for the topological nontriviality, because surface Majo- rana fermions still preserve energy. An effective low en- ergy theory for the thermal responses of TSCs is the gravitational axion field theory described by the action where Eg is a gravitoelectric field which play the same role as temperature gradient −∇T /T , and Bg is a gravit- omagnetic field, which is in analogy with a magnetic field of electromagnetism, and v is the fermi velocity. Because of time-reversal symmetry, P3 in the above action (10), takes only two values, i.e. 0 or 1/2, implying the Z2 non- triviality, and hence Eq.(10) is an incomplete description for the Z nontrivial TSCs. However, as in the case of class AIII TIs discussed above, the winding number N3 can be detected as thermal responses in a certain class of heterostructure system. In the case of class AIII TIs, an important role is played by the chiral-symmetry-breaking field Γ. Similarly, also in the case of TRI TSCs, the winding number appears in the heterostructure system composed of a TRI TSC and a trivial phase with broken chiral-symmetry. For a p-wave TSC which is realized in 3He, CuxBi2Se3 and Li2Pt3B, the chiral-symmetry- breaking field is nothing but an s-wave pairing gap with broken time reversal symmetry. This is easily seen from the fact that the s-wave pairing term of the Hamilto- nian is expressed as Re∆sτyσy + Im∆sΓ where ∆s is the s-wave gap, and τµ (σµ) is the Pauli matrix for particle- hole (spin) space, and the chiral symmetry operator Γ is expressed as Γ = τxσy. When the imaginary part of ∆s is nonzero, this term breaks chiral-symmetry. Thus, Eq.(5) is applicable for the heterostructure system composed of a TRI TSC and a trivial s-wave SC with broken time- reversal symmetry, as long as the real part of the s-wave gap does not yield gap-closing. For the system depicted in FIGs.1(a), (b) and (c) the quantum anomalous ther- mal Hall effect and the topological gravitomagetoelectric effects associated with the winding number are realized. Combining the gravitational axion field theory (10) and the relation (5), we obtain the quantum anomalous ther- mal Hall conductivity, κxy = π2k2 BT 12h N3, (11) realized for the system shown in FIG.1(a). This result essentially coincides with that obtained by Wang et al. from the argument based on surface Majorana fermions [16]. We can also obtain the gravitomagnetoelectric ef- fects, Pg = ± π2k2 BT 2 12hv N3Bg, Mg = ± π2k2 BT 2 12hv N3Eg, (12) (13) realized for the system shown in FIG.1(b) and (c). Eq.(12) implies that circulating energy current flows sur- rounding the axis of the cylinder induces the energy (or thermal) polarization, resulting in nonzero temperature gradient along the axis. The winding number explicitly appears in this thermal response. Chiral polarization and the winding number -- Hith- erto, we have explored the Z topological responses in heterostructure junction systems in which the winding number successfully emerges as the quantum Hall effect and the topological magnetoelectric effect. However, it is still desirable to establish a direct connection between the winding number and the bulk physical quantities, as in the case of the quantum Hall effect in a two-dimensional electron gas and Z2 TIs. We pursue this possibility here. For this purpose, we introduce the chiral polarization de- fined by, P 5 = e Vc Xn∈occupied hwn X 5wni, (14) where wni is the Wannier function, Vc is the unit cell volume, and X 5 is the projected chiral position opera- tor defined by X 5 µ = P ΓrµP with r a position operator and P the projection to the occupies states. Generally, to construct the Wannier function localized exponentially in real space, we need the absence of gauge obstruction of the Bloch wave function, i.e., vanishing of Chern number Cij /(2πi) =RBZ d3k/(2π)3trFij = 0 [28]. In chiral sym- metric systems, the Chern numbers Cij are zeroes [29], and hence the exponentially localized Wannier functions are always well defined. Eq. (14) is similar to charge polarization, but an important difference is that the chi- ral symmetry operator Γ is inserted in (14). For the class AIII TIs and the class BDI TIs with two sub-lattice struc- tures, P 5 represents a difference of charge polarization between two sub-lattices. It is noted that in contrast to charge polarization which depends on the choice of gauge, P 5 is gauge-invariant, since the gauge ambiguity cancels out between the two sub-lattice contributions [20]. As will be shown below, P 5 is a key bulk quantity which can be related to the winding number. Actually, in the case of one-dimensional (1D) systems, P 5 is expressed by the 1D winding number N1 as [20], P 5 = − N1e 2 . (15) For instance, for the 1D BDI class TIs such as the Su- Schrieffer-Heeger model of polyacetylene, Eq.(15) repre- sents fractional charges which appear at open edges of the system. Eq.(15) is derived from non-trivial algebraic properties satisfied by X 5, which can be regarded as a generalization of the commutation relation of the pro- jected position operator P rP [20]. In the 3D case, this algebra also yields an interesting result that the winding number N3 is expressed by the Nambu three bracket of X 5[20, 30], which recently attracts much attentions in connection with the density algebra in 3D TIs [31, 32]. However, we have not yet succeeded to relate the Nambu 4 bracket to any physical quantities in condensed matter systems. Thus, we here take a different approach for the 3D case. In fact, in the case of 3D AIII TIs, on the assumption that the occupied and unoccupied Wan- nier states satisfy the chiral symmetry w¯ni = Γwni (¯n ∈ unoccupied, n ∈ occupied ), a more remarkable and useful relation between P 5 and the winding number N3 can be derived; P 5 can be induced by an applied mag- netic field, in analogy with the topological magnetoelec- tric effect, and furthermore, N3 appears in the response function. From the first-order perturbative calculation with respect to a magnetic field, we obtain [20], P 5 = − e2 2hc N3B. (16) Thus, the winding number can be detected as the chiral polarization induced by a magnetic field. This is another main result of this paper. It is expected that an analogous effect may be real- ized in 3D TRI TSCs. In the case of TSCs, to explore topological characters, we need to consider thermal re- sponses, instead of electromagnetic ones. However, we have not yet succeeded to obtain thermal analogue of Eq.(16). Furthermore, it is highly non-trivial what P 5 means for the case of superconductors. These are impor- tant open issues which should be addressed in the near future. Conclusion -- We have clarified that the Z non- triviality of 3D TRI TSCs and TIs with sub-lattice sym- metry can appear in electromagnetic and thermal re- sponses of heterostructure systems which consist of the TSCs or TIs and CSB trivial s-wave superconductors or band insulators. We have also established the relation between the bulk winding number and the bulk chiral polarization, which may be utilized for experimental de- tection of the Z non-triviality. The authors thank M. Sigrist, T. Neupert, and A. Shi- tade for fruitful discussions. This work is supported by the Grant-in-Aids for Scientific Research from MEXT of Japan (Grants No. 23102714 and No. 23540406), and the Global COE Program "The Next Generation of Physics, Spun from Universality and Emergence." [1] M. Z. Hasan and C. L. Kane, Rev. Mod. Phys. 82, 3045 (2010); X. L. Qi and S. C. Zhang, Rev. Mod. Phys. 83, 1057 (2011). [2] D. J. Thouless, M. Kohmoto, M. P. Nightingale, and M. den Nijs, Phys. Rev. Lett. 49, 405 (1982). [3] X.-L. Qi, T. L. Hughes, and S.-C. Zhang, Phys. Rev. B 78, 195424 (2008). [4] A. P. Schnyder, S. Ryu, A. Furusaki, and A. W. W. Lud- wig, Phys. Rev. B 78, 195125 (2008). [5] A. Kitaev, AIP Conf. Proc. 1134, 22 (2009). [6] Y. S. Hor, A. J. Williams, J. G. Checkelsky, P. Roushan, J. Seo, Q. Xu, H. W. Zandbergen, A. Yazdani, N. P. Ong, and R. J. Cava, Phys. Rev. Lett. 104, 057001 (2010) [7] S. Sasaki, M. Kriener, K. Segawa, K. Yada, Y. Tanaka, M. Sato, and Y. Ando, Phys. Rev. Lett. 107, 217001 (2011). [8] H. Q. Yuan, D. F. Agterberg, N. Hayashi, P. Badica, D. Vandervelde, K. Togano, M. Sigrist, and M. B. Salamon, Phys. Rev. Lett. 97, 017006 (2006). [9] W. P. Su, J. R. Schrieffer, and A. J. Heeger, Phys. Rev. B22, 2099 (1980). [10] A. Kitaev, Physics-Uspekhi 44, 131 (2001). [11] As pointed out by Schnyder et al. [2], the N flavors of the gapless Dirac (Majorana) fermions on the boundary of the chiral symmetric topological insulator are robust against arbitrary static perturbations with the chiral symmetry. Since static perturbations preserving chiral symmetry are described by gauge fields (Eq.(40) in ref.[2]), their effect is just to shift the Dirac points, and hence gapless features of Dirac (Majorana) fermions are protected. (Also see ref. [12].) [12] A. LeClair and D. Bernard, J. Phys. A45, 435203 (2012). [13] S. Ryu, J. E. Moore, and A. W. W. Ludwig, Phys. Rev. B85, 045104 (2012). [14] S. Ryu, A. P Schnyder, A. Furusaki and A. W W Ludwig, New J. Phys.12, 065010 (2010). [15] P. Hosur, S. Ryu, and A. Vishwanath, Phys. Rev. B 81, 045120 (2010). [16] Z. Wang, X. L. Qi, and S. C. Zhang, Phys. Rev. B84, 014527 (2011). [17] Generally, it is possible that P3 6= 0 even for a trivial insulator when both of time-reversal symmetry and in- version symmetry are broken. We do not consider such a specific case in our scenario. [18] J. C. Y. Teo and C. L. Kane, Phys. Rev. B 82, 115120 (2010). [19] HCSB may contain terms proportional to an identity ma- trix in the sublattice space, which break chiral symme- try, but do not generate mass gaps of the surface Dirac fermions. Since such terms merely shift the chemical po- tential, and do not affect our argument, we neglect them. [20] Supplemental material. [21] K. Nomura, S. Ryu, A. Furusaki, and N. Nagaosa, Phys. Rev. Lett. 108, 026802 (2012). [22] The derivation of the gravitoelectromagnetic axion ac- tion (10) by Nomura et al.[21] is based on the anomalous 5 thermal Hall effect on the surface of TSC with a finite en- ergy gap. On the other hand, Stone pointed out that the uniform gravitational field can not produce a non-trivial Riemann curvature in gravitational instanton term which is the source of the anomalous thermal Hall current [23]. Thus, it is not yet clear how the axion action (10) can be related to the gravitational anomaly in (3+1) dimen- sions discussed in refs. [13, 16]. However, a recent careful analysis [24, 25] which includes energy magnetization cor- rections revealed that bulk thermal Hall currents in two- dimensional gapped systems can be induced by tempera- ture gradient, supporting the argument in ref. [21]. Thus, we believe that, as done in the main text, it is legitimate to discuss the thermal Hall effect and gravitomagnetoelec- tric effects as bulk effects in gapped systems on the basis of Eq. (10), though the clarification of the topological ori- gin of (10) needs further investigations. Also, we note that Hidaka et al. [26] proposed another origin of the gravita- tional axion action, which is based on the Nier-Yahn term [27]. [23] M. Stone, Phys. Rev. B 85, 184503 (2012). [24] T. Qin, Q. Niu, and J. Shi, Phys. Rev. Lett. 107, 236601 (2011). [25] H. Sumiyoshi and S. Fujimoto, arXiv:1211.5419. [26] Y. Hidaka, Y. Hirono, T. Kimura, Y. Minami, arXiv:1206.0734. [27] H. T. Nieh and M. L. Yan, J. Math. Phys. 23, 373 (1982). [28] C. Brouder, G. Panati, M. Calandra, C. Mourougane, and N. Marzari, Phys. Rev. Lett. 98, 046402 (2007). [29] From the chiral symmetry, we can choose the unoccu- pied states ¯ni = Γ ni, then the Chern number of the unoccupied state, ¯Cij is identical with one of the occupied state Cij : ¯Cij = Cij because trF (¯n) ij = i h∂i ¯n∂j ¯ni − (i ↔ j) = i h∂in∂j ni − (i ↔ j) = trF (n) ij . On the other hand, the sum of the Chern number for all bands is zero : Cij + ¯Cij = 0 because Pn trF (n) = Pn i h∂in∂j ni − (i ↔ j) = −i Pnm [hn∂imi hm∂jni − hn∂jmi hm∂ini] = 0. Hence Cij = 0. [30] Y. Nambu, Phys. Rev. D7, 2405 (1973). [31] T. Neupert, L. Santos, S. Ryu, C. Chamon, and C. Mudry, Phys. Rev. B 86, 035125 (2012). [32] B. Estienne, N. Regnault, and B. A. Bernevig, arXiv:1202.5543. SUPPLEMENTAL MATERIAL The derivation of Eq. (5) In this section, we present a detailed derivation of Eq. (5). We calculate the continuous change of the magnetoelectric polarization P3(z) between the chiral-symmetric topological insulator H(k) with winding number N3 and chiral- symmetry-broken trivial insulator HCSB(k) = H0(k) + α(k)Γ with a trivial magnetoelectric polarization P3 = 0. As noted in the main text, we assume that α(k) > 0 (or < 0) for any k, and H0(k) does not generate mass gaps. Thus all of the signs of the mass gaps of the surface Dirac fermions are determined by the sign of α(k). Since the value z0,C dP3(z) is adiabatically protected against smooth deformation of surface structure which does not close the energy gap, it is sufficient for our purpose to consider a flat band system, and assume, without loss of generality, that the spatial inhomogeneity of the heterostructure system is sufficiently slow, allowing the semiclassical treatment of the spatially varying parameter. Then, the Hamiltonian of our system is expressed in the form of Eq. (6) in the main of R z1 text, H(k, θ) = cos θQ(k) ± sin θΓ, 6 (S1) where Q(k) is the "Q-function" defined by Q(k) = 1 − 2P (k) with P (k) the projection to occupied bands of H(k). The continuous change of the magnetoelectric polarization P3(z) is given by, Z z1 z0,C dP3(z) =Z 0 π 2 dθ dP3(θ) dθ = 2 1 8π2 Z θ= π θ=0 ZBZ trF 2(k, θ), (S2) where P3(θ) is the magnetoelectric polarization of H(k, θ), F = dA + A ∧ A is the Berry curvature, and A(k, θ) = i hu(k, θ) du(k, θ)i is the Berry connection for the occupied states u(k, θ)i of the semiclassical Hamiltonian H(k, θ). Note that the Q-function of H(k, θ) is equivalent to H(k, θ) itself as shown below. The occupied states u(k, θ)i of H(k, θ) are given by u(k, θ)i = cos θ 2 u(k)i ∓ sin θ 2 Γu(k)i (S3) with u(k)i the occupied states of Q(k), Q(k)u(k)i = − u(k)i. Then the Q-function of H(k, θ) is Q(k, θ) = 1 − 2 P (k, θ) = 1 − 2 Xu∈occupied = cos θ Xu∈occupied(cid:16)Γu(k)ihu(k) Γ − u(k)ihu(k)(cid:17) ± sin θ Xu∈occupied(cid:16)Γu(k)ihu(k) + u(k)ihu(k) Γ(cid:17) = cos θQ(k) ± sin θΓ. (u(k, θ)i hu(k, θ)) Here, we have used the relation ΓP (k) + P (k)Γ = Γ obtained from the chiral symmetry. We now calculated the right-hand side of (S2). Generally, the Chern form trF n can be written in terms of the gauge invariant projection [1]. In the case of n = 2, (S4) (S5) (S6) trF 2(k, θ) = −tr(cid:20) P (k, θ)(cid:16)d P (k, θ)(cid:17)2(cid:21)2 or equivalently, with the use of d P P + P d P = d P and d Q = −2d P , we have, 25 tr(cid:20) Q(k, θ)(cid:16)d Q(k, θ)(cid:17)4(cid:21) . trF 2(k, θ) = 1 , Dividing the external differential d into (dk, dθ) = (dkx , dky, dkz , dθ), and using Eq.(S4), we rewrite the right-hand side of Eq.(S6) as, ∧ dθ Q +(cid:16)dk Q(cid:17)2 ∧ dθ Q(cid:21) tr(cid:20) Q(cid:16)d Q(cid:17)4(cid:21) = tr(cid:20) Q(cid:26)(cid:16)dk Q(cid:17)3 = 4 tr(cid:20) Q(cid:16)dk Q(cid:17)3 = 4 tr(cid:20)(cid:16) cos θQ(k) ± sin θΓ(cid:17)(cid:16) cos θdkQ(k)(cid:17)3 = ±4 tr(cid:20)ΓQ(k)(cid:16)dkQ(k)(cid:17)3(cid:21) ∧ cos3 θdθ. ∧ dθ Q ∧ dk Q + dk Q ∧ dθ Q ∧(cid:16)dk Q(cid:17)2 + dθ Q ∧(cid:16)dk Q(cid:17)3(cid:27)(cid:21) ∧(cid:16) − sin θQ(k) ± cos θΓ(cid:17)dθ(cid:21) (S7) Here, at the second line of eq. (S7), we used a cyclicity of the trace and dk Q Q = − Qdk Q, and at the forth line, we used ΓQ(k) + Q(k)Γ = 0 followed from the chiral symmetry. For the basis in which Γ is represented as Γ =(cid:18)1 0 0 −1(cid:19), Q(k) is expressed as Q(k) =(cid:18) 0 0 (cid:19) with a unitary matrix q(k). Then, from Eqs. (S6) and (S7), we have q†(k) q(k) 1 8π2 trF 2(k, θ) = ± 1 32π2 tr(cid:2)q†(k)dkq(k)(cid:3)3 ∧ cos3 θdθ. (S8) Hence the change of the magnetoelectric polarization (S2) is given by Z z1 z0,C dP3(z) = ± = ± = ± 1 32π2 ZBZ 48π2 ZBZ 1 1 2 N3, 2 tr(cid:2)q†(k)dkq(k)(cid:3)3Z θ= π tr(cid:2)q†(k)dkq(k)(cid:3)3 θ=0 cos3 θdθ 7 (S9) where N3 = 1 symmetric topological insulator [2]. 24π2 RBZ tr(cid:2)q†(k)dkq(k)(cid:3)3 is the winding number characterizing the ground state topology of the chiral The winding number in arbitrary odd spacial dimensions The winding number N2n+1 of the chiral symmetric topological insulator (superconductor) in 2n + 1 spacial di- mensions characterize the homotopy of the map from BZ ∋ k 7→ q(k) in the unitary group U (m), where q(k) is the off-diagonal part of Q(k) on the basis such that Γ is represented as Γ =(cid:18)1 0 0 −1(cid:19). N2n+1 is given by Eq. (S10) is rewritten as N2n+1 = = N2n+1 = − 1 . (2πi)n+12n(2n + 1)!!Z tr(cid:2)q†(k)dq(k)(cid:3)2n+1 (2πi)n+12n+1(2n + 1)!!Z tr Γ [Q(k)dQ(k)]2n+1 (2πi)n+12n+1(2n + 1)!!Z tr ΓQ(k) [dQ(k)]2n+1 . (−1)n 1 (S10) (S11) Here, we used Q(k)dQ(k)Q(k) = −dQ(k) from Q2(k) = 1. The derivation of Eq. (15) and some algebraic properties of projected chiral position operator In this section, we will derive Eq. projected chiral position operator X 5 (15). For this purpose, we, first, explain some important features of the µ has some similarity with the µ which hold both in 1D and 3D systems. X 5 bands. It is useful for the following argument to summarize some basic properties of Xµ here. On the basis of Bloch projected position operator Xµ = P rµP where P = Pn∈occupiedPk∈BZ φnkihφnk is a projector on the occupied states φnki, Xµ is represented as Dφnk(cid:12)(cid:12)(cid:12) ∂kµ E with nki = e−ik·r φnki is the Berry connection. Then the non-commutativity of the projected position operator Xµ yields Dφnk(cid:12)(cid:12)(cid:12)h Xµ, Xνi(cid:12)(cid:12)(cid:12) φmk′E = iFnm,µν(k)δk,k′ , where Fµν(k) = ∂µAν − ∂νAµ + [Aµ,Aν] is the non-Abelian Berry curvature arising in multi-band systems. Under a gauge transformation of the occupied Bloch states Ψ(k) = {nki ,mki ,···}n,m,···∈occ 7→ Ψ(k)U (k) with a unitary matrix U (k), the Berry curvature F is transformed as F 7→ U †F U , hence a nontrivial gauge dependence do not exist. + Anm,µ(k)(cid:17) δk,k′ , where Anm,µ(k) = iDnk(cid:12)(cid:12)(cid:12) φmk′E = (cid:16)iδnm We now apply a similar argument to the projected chiral position operator defined by Xµ(cid:12)(cid:12)(cid:12) ∂mk ∂kµ ∂ The representation of X 5 µ on the basis of Bloch states is given by X 5 µ := P ΓrµP. Dφnk(cid:12)(cid:12)(cid:12) X 5 µ(cid:12)(cid:12)(cid:12) ∂ ∂k′ µ φmk′E =(cid:28)nk(cid:12)(cid:12)(cid:12)(cid:12) = i(cid:28)nk(cid:12)(cid:12)(cid:12)(cid:12) e−ik· rΓ(cid:18)−i Γ(cid:12)(cid:12)(cid:12)(cid:12) ∂mk ∂kµ (cid:29) δk,k′ . eik′· r + ieik′· r ∂ ∂k′ µ(cid:19)(cid:12)(cid:12)(cid:12)(cid:12) (S12) (S13) mk′(cid:29) Thus, Here, we used hnk Γ mki = 0 for the n, m occupied bands. Hence, in the chiral symmetric systems, the projected chiral position X 5 µ(k) is gauge invariant in the same way as the Berry curvature Fµν (k), in sharp contrast to the projected position which is gauge-dependent. Furthermore, the commutator of the projected chiral position yields the Berry curvature, µ, X 5 h X 5 νi = −Ψ†Γ∂µΨΨ†Γ∂νΨ − (µ ↔ ν) = ∂µΨ†ΓΨΨ†Γ∂νΨ − (µ ↔ ν) = ∂µΨ†(cid:0)1 − ΨΨ†(cid:1) ∂ν Ψ − (µ ↔ ν) = −iFµν. (S15) Here we used 1 =Xn nihn = Xn∈occpied nihn + X¯n∈unoccupied ¯nih¯n = Xn∈occupiedhnihn + Γnihn Γi = ΨΨ† + ΓΨΨ†Γ. (S16) We also remark that the winding number is written as the integral of the (2n + 1)-bracket of the projected chiral position over the Brillouin zone : N2n+1 = −in πn+1(2n + 1)!!ZBZ = −inǫµ1µ2···µ2n+1 πn+1(2n + 1)!! ZBZ 1 , X 5 trh X 5 d2n+1k trh X 5 2 ,··· , X 5 µ1 , X 5 2n+1i µ2 ,··· , X 5 µ2n+1i , (S17) where [X1, X2,··· , X2n+1] = ǫµ1µ2···µ2n+1Xµ1 Xµ2 ··· Xµ2n+1 is the (2n + 1)-bracket, and µi run over 1, 2,··· , 2n + 1. Note that when n = 1 (i.e. N3), [X1, X2, X3] is the Nambu bracket [3]. This expression of the winding num- ber is analogous to the relation between the Chern number and non-commutativity of the projected position operator. Eq.(S17) directly follows from (S11). The matrix element of dQ = d(cid:16)1 − 2Pn∈occupied nihn(cid:17) = −2Pn∈occupied (dnihn + nihdn) on the basis of the occupied states ni and unoccupied states ¯ni = Γni are hn dQ n′i = 0, h¯n dQ ¯n′i = 0, hn dQ ¯n′i = 2 hn Γ dn′i , h¯n dQ n′i = −2 hn Γ dn′i . 8 (S14) (S18) (S19) Note that hφnk Γ φmk′i vanishes when both φnki and φmk′i are occupied states, because of the chiral symmetry. We denote X 5 µ(k) is referred to as the projected chiral position. Under a gauge transformation Ψ(k) 7→ Ψ(k)U (k), X 5 µ(k) transforms as µ(k) := iΨ†(k)Γ∂µΨ(k) in matrix representation, Hereafter, X 5 ∂kµ E or X 5 nm,µ(k) := iDnk(cid:12)(cid:12)(cid:12) Γ(cid:12)(cid:12)(cid:12) ∂mk X 5 µ(k) 7→ iU †(k)Ψ†(k)Γ∂µ {Ψ(k)U (k)} = iU †(k)Ψ†(k)Γ∂µΨ(k)U (k) + iU †(k)Ψ†(k)ΓΨ(k)∂µU (k) = U †(k) X 5 µ(k)U (k). Hence dQ is written as the matrix form in the space spanned by the occupied and unoccupied states, h¯n dQ n′i h¯n dQ ¯n′i(cid:19) =(cid:18) dQ →(cid:18)hn dQ n′i hn dQ ¯n′i = −2iτ2 hn Γ dn′i = −2τ2 X 5 nn′,µ dkµ 0 −2 hn Γ dn′i 2 hn Γ dn′i (cid:19) 0 where τ = (τ1, τ2, τ3) is the Pauli matrices in the occupied-unoccupied space. In the same way, Γ and Q is written as Γ →(cid:18)hn Γ n′i hn Γ ¯n′i Q →(cid:18)hn Q n′i hn Q ¯n′i h¯n Γ n′i h¯n Γ ¯n′i(cid:19) =(cid:18) 0 h¯n Q n′i h¯n Q ¯n′i(cid:19) =(cid:18)−δnn′ δnn′ 0 δnn′ 0 (cid:19) = τ1δnn′ , δnn′(cid:19) = −τ3δnn′ . 0 (S20) (S21) By using (S19), (S20) and (S21), we can write the winding number (S11) as 9 N2n+1 = = = = (−1)n (−1)n (2πi)n+12n+1(2n + 1)!!ZBZ (2πi)n+12n+1(2n + 1)!!ZBZ (−1)n+1 (πi)n(2n + 1)!!ZBZ trh X 5 (−1)n+1ǫµ1µ2···µ2n+1 ZBZ (πi)n(2n + 1)!! µ1 tr ΓQ(k) [dQ(k)]2n+1 µ1 dkµ2(cid:17)(cid:16)−2τ2 X 5 µ2n+1i dkµ1 dkµ2 ··· dkµ2n+1 trhτ1(−τ3)(cid:16)−2τ2 X 5 µ2 ··· X 5 X 5 d2n+1k trh X 5 µ2n+1i , µ2 ··· X 5 X 5 µ1 µ2 dkµ2(cid:17)···(cid:16)−2τ2 X 5 µ2n+1 dkµ2n+1(cid:17)i (S22) (S23) Thus, we arrive at (S17). In the case of the one dimensional systems, the winding number N1 = − 1 π ZBZ tr X 5(k) is directly related to the chiral polarization defined by (14). For the Wannier function localized at the site R, (14) is eikRΓxe−ik′R(cid:12)(cid:12)(cid:12) φnk′E ei(k−k′)R tr X 5(k)δk,k′ P 5 = e e = VcNc Xn∈occupiedXk,k′Dφnk(cid:12)(cid:12)(cid:12) VcNc Xn∈occupiedXk,k′ 2π ZBZ = − dk tr X 5(k) N1e = 2 e , (S24) where Nc is the number of unit cell. Thus we obtain Eq.(15). To close this section, we would like to comment on an implication of (S17) to the 3D case. In this case, from Eq.(S17), the winding number N3 is expressed in terms of the Nambu bracket. This implies that N3 may be related to a physical quantity described by the Nambu dynamics [3]. However, we do not know any examples in condensed matter systems which are described by the Nambu mechanics, and also quantum version of the Nambu mechanics is not well understood. We have not yet succeeded to obtain any insight from the study in this direction. Thus, we consider a different approach to relate the 3D winding number N3 to a physical quantity, as explained in the main text and the following section. The derivation of Eq. (16) In this section, we derive Eq.(16) on the assumption that the occupied and unoccupied Wannier states satisfy the chiral symmetry w¯nRi = ΓwnRi (¯n ∈ unoccupied, n ∈ occupied ). This is equivalent to the gauge fixing condition φ¯nki = Γφnki for unoccupied and occupied Bloch states. Our derivation of Eq.(16) is based on the perturbation formalism developed by Kita-Arai [4] for the Wannier function under the magnetic field. Generally, to construct the exponentially localized Wannier function, we need the absence of gauge obstruction of the Bloch wave function, i.e., vanishing of Chern number Cij /(2πi) = RBZ d3k/(2π)3trFij = 0. In chiral symmetric systems, the Chern numbers Cij are zeroes, and hence the exponentially localized Wannier functions are always well defined. The chiral charge polarization in the case with no magnetic field introduced in the main text is where wnRi is the Wannier function localized at a site R constructed from the n-th occupied band, P 5 (B=0) = e Vc Xn∈occ hwnR Γr wnRi , wnRi = 1 √Nc Xk e−ik·R φnki , (S25) (S26) where Nc is the number of unit cells. Now, we will calculate the first order perturbative corrections to P 5 with respect to an applied uniform magnetic field B. First, we introduce modified Wannier states w′ nRi defined by [5] 10 w′ nR(r) = eiIrR wnR(r), where IrR is the Peierls phase IrR = e cZ r R dr′ · A(r′) (S27) (S28) with dr′ the straight line path from R to r. The modified Wannier states w′ nRi form a complete set, though they are not orthonormal for the case with a finite magnetic field. To orthonormalize them, we need to include corrections from other sites and other bands to w′ nRi. Then, the orthonormal modified Wannier function is expressed as, for which hϕnRϕn′R′i = δnn′δRR′ is satisfied. Thus, the chiral charge polarization under an applied magnetic field is given by ϕnRi =Xn′R w′ n′R′i Sn′R′,nR, (S29) From Ref. [4], in the cases of a uniform magnetic field, Sn′R′,nR is expanded up to the first order in B: P 5 = e Vc Xn∈occ hϕnR Γr ϕnRi . (S30) Sn′R′,nR = δnn′ δRR′ − ie 4c Biǫijl eiIR′ R eik·(R′−R) h∂jn′ Nc Xk nRi. Then, the correction term of the chiral polarization k∂lnki , (S31) where nki = e−ik· r φnki. We denote ϕnRi = w′ is nRi + δw′ δP 5 = e Vc Xn∈occ [hw′ nR Γr w′ nRi − hwnR Γr wnRi] + e Vc Xn∈occ [hw′ nR Γr δw′ nRi + c.c.] . (S32) The first term vanishes since the Peierls phases of w′ recast into the following form with the use of (S31), nRi are canceled out in hw′ nR Γr w′ nRi. The second term is hw′ nR Γr δw′ nRi = − = − ie 4c ie 4c nR Γr w′ Biǫijl Xn′R′ hw′ n′R′i Biǫijl Xn′R′ hwnR Γr wn′R′i eiIR′ R Nc Xk Nc Xk 1 eik·(R′−R) h∂jn′ k∂lnki (S33) eik·(R′−R) h∂jn′ k∂lnki . Here, we omitted the Peierls phases since they are higher order corrections. On the other hand, the factor hwnR Γr wn′R′i is expressed as, hwnR Γr wn′R′i = = 1 Nc Xk,k′ Nc Xk,k′ 1 eik·R hφnk Γr φn′ k′i e−ik′·R′ eik·R(cid:26)−i ∂ ∂k′ (hnkΓn′ Nc Xk 1 = Rδ¯nn′ δR,R′ + eik·(R−R′)i hnkΓ∇n′ ki , ki δk,k′ ) + i hnkΓ∇n′ ki δk,k′(cid:27) e−ik′·R′ (S34) where ¯n is a label for an unoccupied state and we fixed the gauge of φ¯ni satisfying φ¯ni = Γφni with φni an occupied state. From (S33) and (S34), we have, hw′ nR Γr δw′ nRi = − ie 4c Biǫijl 1 Nc Xk "Rh∂j n′ kΓ∂lnki +Xn′ i hnkΓ∇n′ kih∂jn′ k∂lnki# . (S35) The first term vanishes because it is the total derivative : ǫijl h∂jnkΓ∂lnki = ǫijl∂j {hnkΓ∂lnki}. Hence we obtain, 11 δP 5 = = e2 4c e2 2c 1 Biǫijl kih∂jn′ hnkΓ∇n′ NcVc Xn∈occXn′ k (2π)3 tr(cid:2)Ψ†Γ∇Ψ∂jΨ†∂lΨ + Ψ†∇Ψ∂jΨ†Γ∂lΨ(cid:3) , k∂lnki + c.c. BiǫijlZ d3k where Ψ = {n1i ,n2i ,···}ni∈occ and we omit the parameter k. From this expression, we find that αij = δP 5 i δBj component, is proportional to δij. For instance, we consider an off-diagonal αxy = = e2 2c e2 ǫyjlZ d3k (2π)3 tr(cid:2)Ψ†Γ∂xΨ∂jΨ†∂lΨ + Ψ†∂xΨ∂jΨ†Γ∂lΨ(cid:3) 2cZ d3k (2π)3 tr(cid:2)Ψ†Γ∂xΨ∂zΨ†∂xΨ − Ψ†Γ∂xΨ∂xΨ†∂zΨ + Ψ†∂xΨ∂zΨ†Γ∂xΨ − Ψ†∂xΨ∂xΨ†Γ∂zΨ(cid:3) . We see that on the interior of the trace in Eq.(S37), (1st) + (4th) = tr(cid:2)Ψ†Γ∂xΨ∂zΨ†∂xΨ − Ψ†∂xΨ∂xΨ†Γ∂zΨ(cid:3) = tr(cid:2)−∂xΨ∂xΨ†Γ(cid:0)Ψ∂zΨ† + ∂zΨΨ†(cid:1)(cid:3) = tr(cid:2)−∂xΨ∂xΨ†Γ∂zP(cid:3) , and Thus, we can show αxy = (2nd) + (3rd) = tr(cid:2)−Ψ†Γ∂xΨ∂xΨ†∂zΨ + Ψ†∂xΨ∂zΨ†Γ∂xΨ(cid:3) = tr(cid:2)−∂xΨ∂xΨ†(cid:0)∂zΨΨ† + Ψ∂zΨ†(cid:1) Γ(cid:3) = tr(cid:2)−∂xΨ∂xΨ†∂zP Γ(cid:3) . (2π)3 tr(cid:2)−∂xΨ∂xΨ† (Γ∂zP + ∂zP Γ)(cid:3) = 0, e2 2cZ d3k where, P =Pn∈occ nihn is a projector to occupied bands, and we have used dΨΨ†+ΨdΨ† = dP , and Γ∂zP +∂zP Γ = ∂zΓ = 0. Hence, (S36) (S37) (S38) (S39) (S40) (S41) (S42) αij = δij = δij e2 48π3cZ tr(cid:2)Ψ†ΓdΨdΨ†dΨ + Ψ†dΨdΨ†ΓdΨ(cid:3) 24π3cZ tr(cid:2)Ψ†ΓdΨdΨ†dΨ(cid:3) , e2 The integrand of this expression is gauge-invariant under gauge transformation Ψ → ΨU with a unitary matrix U except for total derivative. This is seen as follows. tr(cid:2)Ψ†ΓdΨdΨ†dΨ(cid:3) 7→ tr(cid:2)U †Ψ†Γd(ΨU )d(U †Ψ†)d(ΨU )(cid:3) = tr(cid:2)Ψ†ΓdΨ(cid:0)dΨ†dΨ + U dU †Ψ†dΨ + Ψ†dΨU dU † − U dU †U dU †(cid:1)(cid:3) = tr(cid:2)Ψ†ΓdΨdΨ†dΨ(cid:3) + tr(cid:2)Ψ†dΨΨ†ΓdΨU dU † + Ψ†ΓdΨΨ†dΨU dU † + Ψ†ΓdΨdU dU †(cid:3) = tr(cid:2)Ψ†ΓdΨdΨ†dΨ(cid:3) + tr(cid:2)−dΨ†(cid:0)ΨΨ†Γ + ΓΨΨ†(cid:1) dΨU dU † + Ψ†ΓdΨdU dU †(cid:3) = tr(cid:2)Ψ†ΓdΨdΨ†dΨ(cid:3) + tr(cid:2)−dΨ†ΓdΨU dU † + Ψ†ΓdΨdU dU †(cid:3) = tr(cid:2)Ψ†ΓdΨdΨ†dΨ(cid:3) − d tr(cid:2)Ψ†ΓdΨU dU †(cid:3) , where, we have used ΨΨ†Γ + ΓΨΨ† =Pn∈occ (nihn Γ + Γnihn) = Γ. Hence the integral over the Brillouin zone is gauge-invariant. Now, we choose the basis, Ψ = 1 √2(cid:18) q −1(cid:19) , (S43) where, q is the off diagonal part of Q-function Q =(cid:18) 0 q e2 4π N3, q† 0(cid:19). Then 96π3Z tr(q†dq)3 e2 j αi j = −δi = −δi j 12 (S44) where N3 = 1 24π3 R tr(q†dq)3 is the winding number. Thus we arrive at Eq. (16). Note that the magnetic induced chiral polarization represented by the first line of (S36) generally depends on the gauge of the unoccupied Bloch states φ¯nki, so the gauge fixing condition φ¯nki = Γφnki is crucial for our results. [1] See for example, Y. Hatsugai, New J. Phys. 12, 065004 (2010). [2] A. P. Schnyder, S. Ryu, A. Furusaki, and A. W. W. Ludwig, Phys. Rev. B 78, 195125 (2008). [3] Y. Nambu, Phys. Rev. D7, 2405 (1973). [4] T. Kita and M. Arai, J. Phys. Soc. Jpn. 74, 2813 (2005). [5] J. M. Luttinger, Phys. Rev. 84, 814 (1951).
1210.6339
1
1210
2012-10-23T19:46:04
High-order sideband generation in bulk GaAs
[ "cond-mat.mes-hall", "cond-mat.mtrl-sci", "physics.optics" ]
When an intense THz field at frequency f_THz is applied to excitons resonantly created in bulk GaAs by a near IR laser at frequency f_NIR, sidebands are observed at frequencies f_sideband = f_NIR + 2nf_THz, where n is an integer. At temperature T=10 K, sidebands of order -4 {greater than or equal to} 2n {greater than or equal to} 16 are observed. Sidebands up to 10th order persist at 170 K.
cond-mat.mes-hall
cond-mat
High-order sideband generation in bulk GaAs B. Zaks, H. Banks and M. S. Sherwin Department of Physics and the Institute for Terahertz Science and Technology, University of California at Santa Barbara, Santa Barbara, CA 93106 Abstract: When an intense THz field at frequency fTHz is applied to excitons resonantly created in bulk GaAs by a near IR laser at frequency fNIR, sidebands are observed at frequencies fsideband = fNIR + 2nfTHz, where n is an integer. At temperature T=10 K, sidebands of order -4 ≤ 2n ≤ 16 are observed. Sidebands up to 10 th order persist at 170 K. Atomic and molecular systems in the presence of intense electromagnetic fields have been of interest for decades. In particular, the investigation of atomic and molecular systems driven by extreme optical and infrared fields has led to the discovery of high-order harmonic generation (HHG)1. This phenomenon has been successfully modeled by an electron tunneling out of an atom, accelerating in the intense optical field and recolliding with the atomic core it left behind2. The development of this phenomenon has led to significant advances in attosecond technology3 and the ability to tomographically image atomic and molecular orbitals4. Recent observation of HHG in a solid state system5 could lead to the development of similar technologies for condensed matter systems. When intense THz radiation is applied to a semiconductor, the strong ac fields can drastically alter its optical properties near the band gap. Electro-optic effects such as the dynamical Franz-Keldysh6 and Autler-Townes7 effects have been observed as changes to the absorption spectra, while the THz-induced generation of new frequencies of light is observed as sidebands8,9. Phenomena such as sideband generation and the Autler-Townes effect have required investigation in semiconductor quantum wells due to their strong formation of excitons, bound electron-hole pairs. The recent observation of high-order sideband generation (HSG) from electron-hole recollisions10 in a quantum well presents a number of physically intriguing and technologically relevant experimental opportunities. Recollisions have been predicted in any material which supports excitons.11,12 In this letter, we present our observation of high-order sideband generation in bulk GaAs. Similar to previous observations in InGaAs quantum wells10, when excitons created by a NIR laser in bulk GaAs are driven with an intense THz field, recollisions between the electrons and holes lead to the observation of high-order sidebands. The sidebands observed are separated from the NIR frequency fNIR by multiples of twice the THz frequency fTHz. The frequency of the sideband of order 2n is therefore given by f2n = fNIR + 2nfTHz. Consistent with observations in quantum wells, the intensity of the sidebands decays only weakly as the order increases, particularly for the highest order sidebands. The sample investigated was a ~10 µm thick wafer of bulk GaAs. The GaAs sample was prepared from a 350 µm semi-insulating GaAs substrate wafer which was lapped down to ~100 µm and then polished with a ~0.5 µm grit polishing paper. After thinning the sample down to ~100 µm, a thick layer of photoresist was deposited and a ~1x1.5 cm rectangular hole was developed. This rectangle on the sample was then etched down to ~10 µm using a BCl3/Cl2/Ar dry etch in a Panasonic reactive ion etcher (ICP). The sample was mounted in a closed cycle refrigerator with Apiezon N vacuum grease and cooled to temperatures as low as 10 K. 1 The experimental procedures were similar to those previously described in Ref. 10. The NIR laser was sent through the etched region of the sample and the intense THz radiation was focused such that the NIR and THz were co-propagating in the sample. The NIR and THz beams were co-polarized in all experiments presented. The strong THz radiation was provided by the UC Santa Barbara Free Electron Laser (FEL) and the NIR light was created by a continuous- wave titanium sapphire laser. After sidebands were generated in the sample, they were sent to a SPEX 1403 0.85 m double spectrometer and a Hamamatsu photomultiplier tube (PMT). The lowest order sidebands were measured as a voltage from the PMT while higher order sidebands were measured by counting the number of photons incident on the PMT using an SRS SR400 gated photon counter. Due to the limited dynamic range of our detection scheme, the bias (and hence the PMT gain) was adjusted to avoid saturation of the detector when the strongest sidebands were measured. When sidebands were measured by photon counting, the measurements were taken for 20 FEL pulses and ~1 false count per 100 pulses was observed. In order to plot the data taken as a voltage on the same figure as the data taken with the photon counter, we multiplied the measured voltages by a scaling factor. The scaling factor is taken to be the ratio of the magnitude of the sixth-order (n = 3) sideband as measured by photon counting compared to as a voltage. The same scaling factor is applied for all data measured as a voltage. All error bars shown are representative of the standard error of the mean. FIG. 1. (Color online) High-order sideband spectrum from a ~10 µm thick piece of bulk GaAs held at a temperature of 10K. The frequency of the intense field was 0.58 THz and the peak electric field was 5 kV -cm-1. The grey crosshatch pattern represents the area that is below the confidence limit for identifying a sideband. The NIR spectrum when a strong THz field was applied to the GaAs quantum well excitons is shown in Fig. 1. With a THz field of 5 kV-cm-1 applied, sidebands of up to 14th (n = 7) order were observed. The baseline value of 0.2 is representative of the noise level in the experiment and is plotted as the signal amplitude when no photons were measured. Any measured value with an error bar below the noise floor is considered too weak to confidently identify as a sideband, and the values below this confidence limit are represented by the grey crosshatch area in the figure. We note that 5 kV-cm-1 is roughly half of the field required to 2 1.491.501.511.521.531.541.550.1110100100010000100000 Sideband intensity (a. u.)NIR Energy (eV)fNIR360362364366368370372374NIR Frequency (THz)fTHz= 0.58 THz 2.4 meVETHz = 5.0±0.3 kV-cm-1 observe a similar number of high order sidebands in a quantum well10 (~10 kV-cm-1). This may arise from the fact that bulk excitons are more weakly-bound than excitons in quantum wells and thus can tunnel ionize in a weaker electric field. Similar to what is observed for HSG in quantum wells, the intensity of the highest order sidebands decays weakly with increasing order n. Though the ~10 µm thick bulk GaAs sample investigated here has orders of magnitude more active region than was present in the 15 nm QW sample (150 nm active region from 10 QWs), the magnitude of the signal observed was similar in both the bulk and QW experiments. According to the manuscript by Yan12, the increased Coulomb energy of the exciton due to the confinement in the quantum wells may increase the sideband generation efficiency. This increase in efficiency may be responsible for the comparatively strong signal from thin QW sample compared to the bulk GaAs sample. Alternatively, it may be that the sidebands we have observed are near saturation and it is not possible to produce sidebands of significantly higher intensity. It should be noted that the highest sidebands observed in this experiment are well above the band gap and higher sidebands that are not observed may have been reabsorbed while propagating through the material. More investigation is necessary to identify the optimal thickness sample to generate the strongest sidebands while minimizing sideband re-absorption above the band edge. Sidebands were only observed when the frequency of the near-IR laser was close to the onset of excitonic absorption. Figure 2 shows the dependence of sideband intensity on NIR frequency for both the positive and negative second order sidebands (Fig. 2a, n = ±1), as well as the fourth and sixth order sidebands (Fig. 2b, n = 2 and 3, respectively). The intensity of all of the sidebands investigated peaked when the NIR laser had a photon energy of ~1.505 eV. Because the sideband intensity decreased quickly as the laser was detuned from this frequency and because this is the approximate energy where we expect to observe excitonic absorption, we identify this frequency as the exciton resonance. We note that this frequency differs from where we observed the exciton peak (1.510 eV) in the NIR absorption spectrum in the absence of an intense THz field (pink shaded curve). This difference in the observed exciton frequency may be due to shifts induced by the strong THz field, but more investigation will be required to understand the nature of this difference. All of the sidebands investigated had an intensity which peaked at a N IR energy of ~1.505 eV. However, for the second order sidebands, a larger peak of the sideband intensity occurred slightly below this energy for the positive (n = 1, solid navy line) sideband and slightly above this energy for the negative (n = -1, dashed red line) sideband (Fig. 2a). The separation between the 1.505 eV peak and the larger peak for each sideband is ~5 meV, approximately twice the THz photon energy. This implies that the sideband intensity for the second order sidebands (n = ±1) is greatest when the sideband, not the NIR laser, is resonant with the exciton frequency (Fig. 2a, energy diagrams 1 and 3). Similar enhancements have been observed previously9. 3 FIG. 2. (Color online) Dependence of sideband intensity on NIR laser frequency in a GaAs sample held at 10K. (a) NIR frequency dependence of the sideband intensity for the positive and negative second order sidebands (n = ±1). The sideband intensity for both sidebands peaks when the NIR laser is at 1.505 eV and we identify this energy as the exciton resonance. An energy level representation of the sidebands generated at this NIR frequency is shown in energy diagram 2. However, we observe that the sideband intensity is enhanced if the NIR laser is tuned so that the sideband frequency, which is the NIR laser plus (or minus) twice the THz frequency, is resonant with the exciton. This is shown in energy level representation for the positive 2 nd order sideband (n = +1, energy diagram 1) as well as the negative 2nd order sideband (n = -1, energy diagram 3). In these diagrams the solid line represents the exciton energy state and the different color arrows represent the NIR and THz lasers and the sidebands generated. (b) Near infrared frequency dependence of the sideband intensity for the fourth and sixth order sidebands (n = 2 and 3, respectively). The sideband intensity is greatest when the NIR laser is at ~1.505 eV. The pink shaded region on both of the graphs is the NIR absorption of the thin GaAs sample in the absence of a THz field while at 10K. The small peak at 1.510 eV is taken to be the exciton absorption in the GaAs sample. The NIR frequency dependence of the fourth and sixth order (n = 2 and 3, respectively) sidebands was also investigated (Fig. 2b). The sideband intensity for these higher order sidebands quickly decreased as the NIR frequency was detuned from the exciton resonance. There was no enhancement observed when the fourth or sixth order sidebands were resonant with the undriven exciton line. 4 0.00.20.40.60.81.01.21.4851.4901.4951.5001.5051.5101.5150.00.20.40.60.81.01.2-2fTHz-2fTHz+2fTHzfNIRfNIRfSidebandfSideband Ground statefSideband+2fTHz Positive second order sideband (+2fTHz) Negative second order sideband (-2fTHz) 123321fNIRExciton(a) (b) Fourth order sideband (+4fTHz) Sixth order sideband (+6fTHz) GaAs NIR absorption (a.u.)Normalized sideband intensity (a.u.)NIR Energy (eV)361362363364365366NIR Frequency (THz) FIG. 3. (Color online) Graph depicting the dependence of sideband intensity on temperature in bulk GaAs. (a) Plot of the NIR laser frequency applied for high-order sideband generation as a function of temperature (black dots). The NIR frequency was adjusted to account for the red shift of the GaAs band edge, which is approximated by the solid red line (from http://www.ioffe.ru). Our NIR laser could not be tuned lower than ~350 THz. (b) A plot of the peak sideband intensity of each sideband as a function of temperature between 10K and 170K. Data was taken with the FEL at 0.58 THz and an electric field of ~11 kV/cm. Sidebands are identified by their order as opposed to their frequency for easier comparison between different temperatures. Sidebands of 10 th order or greater were observed up to 170K. Temperature dependent measurements of the sideband generation were performed between 10 K and 170 K and are shown in Fig. 3. At 170 K, the tenth order sideband was still detected. In order to create excitons at different temperatures, the frequency of the NIR laser was adjusted to track the temperature-dependent shift of the GaAs band edge. A graph of the NIR frequency applied at different temperatures is shown Fig. 3a. Figure 3b shows the peak sideband intensity for each sideband observed for temperatures between 10 K and 170 K. The NIR frequency scale is removed and the sideband intensity is plotted as a function of sideband order 2n to simplify the comparison of data taken at different temperatures. Due to the limited tuning range of the NIR laser, the exciton frequency could not be addressed at temperatures above 170 K, and it is likely that sidebands persist at higher temperatures. The sidebands plotted in Fig. 3 were taken with an applied THz field of ~11 kV-cm-1. At 10 K, sidebands of up to 16th order were observed. The electric field applied in these measurements is greater than the electric field that was applied to take the data presented in Fig. 1 and was achieved by use of the FEL cavity-dump coupler13. The most sensitive measurements made in this experiment were performed with a photon counter. Because the cavity-dumped pulse was only 40 ns and the non-cavity dumped FEL pulse was ~1 µs, the measurement time for photon counting without the cavity dump was ~25 times greater than with the cavity dump. The increase in signal and signal to noise ratio associated with this increase of measurement time allowed us to distinguish nearly as many sidebands with 5 kV-cm-1 applied as were observed with 11 kV-cm-1 applied. The grey crosshatch pattern in Fig. 3b again represents the confidence limit for identifying sidebands. 5 350360370050100150-8-6-4-202468101214161820110100100010000 NIR Laser Frequency GaAs Band Edge Temperature (K)NIR Freq. (THz)1.4501.4751.5001.525NIR Energy (eV)(a) 10 K 50 K 100 K 150 K 170 K (b) Sideband Intensity (a.u.)Sideband order 2n Our observation of high-order sidebands in bulk GaAs shows that quantum confinement is not necessary to observe high-order sideband generation. We speculate that HSG is observable in any direct-gap semiconductor. Studies of HSG in different materials systems should lead to not only an improved understanding of electron-hole recollisions but also to a better understanding of the properties of excitons in these materials. Additionally, the persistence of HSG to temperatures greater than 170 K may allow for experiments to be performed cryogen- free, which would be particularly beneficial for developing practical technology based on this phenomenon. The authors would like to thank D. Enyeart for his work in operating the free electron laser during the experiments performed. This work was supported by NSF-DMR grant 1006603. 1M. Ferray, A. L'Huillier, X. F. Li, L. A. Lompre, G. Mainfray, and C. Manus, J. Phys. B 21 (3), L31 (1988). 2J. L. Krause, K. J. Schafer, and K. C. Kulander, Phys. Rev. Lett. 68 (24), 3535 (1992); P. B. Corkum, Phys. Rev. Lett. 71 (13), 1994 (1993); F. Krausz and M. Ivanov, Rev. Mod. Phys. 81 (1), 163 (2009). 3P. B. Corkum and F. Krausz, Nat. Phys. 3 (6), 381 (2007). 4J. Itatani, J. Levesque, D. Zeidler, H. Niikura, H. Pepin, J. C. Kieffer, P. B. Corkum, and D. M. Villeneuve, Nature 432 (7019), 867 (2004); C. Vozzi, M. Negro, F. Calegari, G. Sansone, M. Nisoli, S. De Silvestri, and S. Stagira, Nat. Phys. 7 (10), 822 (2011). 5S. Ghimire, A. D. DiChiara, E. Sistrunk, P. Agostini, L. F. DiMauro, and D. A. Reis, Nat. Phys. 7 (2), 138 (2011). 6W. Franz, Z. Naturforsch. A 13A, 484 (1958); L. V. Keldysh, Sov. Phys. JETP 7, 788 (1958); K. B. Nordstrom, K. Johnsen, S. J. Allen, A. P. Jauho, B. Birnir, J. Kono, T. Noda, H. Akiyama, and H. Sakaki, Phys. Rev. Lett. 81 (2), 457 (1998). 7S. G. Carter, V. Birkedal, C. S. Wang, L. A. Coldren, A. V. Maslov, D. S. Citrin, and M. S. Sherwin, Science 310 (5748), 651 (2005); M. Wagner, H. Schneider, D. Stehr, S. Winnerl, A. M. Andrews, S. Schartner, G. Strasser, and M. Helm, Phys. Rev. Lett. 105 (16), 167401 (2010); B. Zaks, D. Stehr, T. A. Truong, P. M. Petroff, S. Hughes, and M. S. Sherwin, New J. Phys. 13 (8), 083009 (2011). 8J. Černe, J. Kono, T. Inoshita, M. Sherwin, M. Sundaram, and A. C. Gossard, Appl. Phys. Lett. 70 (26), 3543 (1997). 9J. Kono, M. Y. Su, T. Inoshita, T. Noda, M. S. Sherwin, S. J. Allen, and H. Sakaki, Phys. Rev. Lett. 79 (9), 1758 (1997); M. Wagner, H. Schneider, S. Winnerl, M. Helm, T. Roch, A. M. Andrews, S. Schartner, and G. Strasser, Appl. Phys. Lett. 94 (24), 241105 (2009). 10B. Zaks, R. B. Liu, and M. S. Sherwin, Nature 483 (7391), 580 (2012). 11R. B. Liu and B. F. Zhu, in Proceedings of the 28th International Conference on the Physics of Semiconductors, Vienna, Austria, 24-28 July 2006, edited by W. Jantsch and F Schäffler (American Institute of Physics, 2007), pp. 1455. 12J. Y. Yan, Phys. Rev. B 78 (7), 075204 (2008). 13J. P. Kaminski, J. S. Spector, C. L. Felix, D. P. Enyeart, D. T. White, and G. Ramian, Appl. Phys. Lett. 57 (26), 2770 (1990). 6
0907.4665
5
0907
2010-06-27T09:55:44
Nucleation of Ge quantum dots on the Si(001) surface
[ "cond-mat.mes-hall", "cond-mat.mtrl-sci" ]
A direct observation of nucleation of Ge hut clusters formed by ultrahigh vacuum molecular beam epitaxy is reported for the first time. The nuclei of the pyramidal and wedge-like clusters have been observed on the wetting layer blocks and found to have different structures. The growth of the clusters of both species goes on following different scenarios: Formation of the second atomic layer of the wedge-like cluster results in rearrangement of its first layer. Its ridge structure does not replicate the structure of the nucleus. The pyramidal cluster grows without phase transitions. The structure of its vertex copies the structure of the nucleus. The wedge-like clusters contain point defects on the triangular faces and have preferential directions of growth along the ridges. The derived structure of the {105} facet corresponds to the PD model. The critical epinucleation phenomenon may be responsible for hut formation.
cond-mat.mes-hall
cond-mat
APS/123-QED Nucleation of Ge quantum dots on the Si(001) surface Larisa V. Arapkina and Vladimir A. Yuryev∗ A. M. Prokhorov General Physics Institute of the Russian Academy of Sciences, 38 Vavilov Street, Moscow, 119991, Russia (Dated: May 30, 2018) A direct observation of nucleation of Ge hut clusters formed by ultrahigh vacuum molecular beam epitaxy is reported. The nuclei of the pyramidal and wedge-like clusters have been observed on the wetting layer blocks and found to have different structures. The growth of the clusters of both species goes on following different scenarios: Formation of the second atomic layer of the wedge-like cluster results in rearrangement of its first layer. Its ridge structure does not replicate the structure of the nucleus. The pyramidal cluster grows without phase transitions. The structure of its vertex copies the structure of the nucleus. The wedge-like clusters contain point defects on the triangular faces and have preferential directions of growth along the ridges. PACS numbers: 68.37.Ef, 81.07.Ta Arrays of densely packed self-assembled Ge quantum dots (QD) on the Si(001) surface (Fig. 1)1,2 due to the phenomenon of quantum confinement of carriers are cur- rently considered as a basis for development of prospec- tive devices of photoelectronics3,4. Extensive investi- gations carried out for the last two decades (see, e.g., Refs. 5 -- 12) resulted in the technological achievements of the recent years that enabled the controllable formation of Ge QD arrays with the desired cluster densities (up to 1012 cm−2, Refs. 1,2). However, the problems of uni- formity of cluster types in the arrays and the dispersion of cluster sizes are still far from solution. That is why the intensive investigations of the cluster morphology and growth process with view of reproducible formation of uniform and defectless QD arrays are strongly required. This is an issue of special importance for the ordered QD arrays12 taking into account extremely exacting restric- tions imposed on the uniformity by the aim of develop- ment of such arrays. Non-uniform ordered array com- posed of clusters of different types and sizes would not operate as 3D crystal of artificial atoms, or even would not reproduce regularity in successive QD layers if con- taining defects such as large and extended clusters or depleted regions13. Recently we showed that the {105} faceted clusters usually referred to as hut clusters5 are subdivided into two main morphologically different species -- pyramids and wedges (Fig. 1)2. In the literature, both species of hut clusters are traditionally considered as structurally identical and genetically connected types5,11. Expla- nations of transitions from square shaped to elongated islands (from pyramids to wedges in our terminology) are discussed7 -- 9 although no clear observations of such phenomenon have been described anywhere. Different models from simple coalescence of neighboring square shaped clusters7 to more sophisticated kinetic model of growth8 have been brought forward which are in satis- factory agreement with observations. We found that at moderate growth temperatures the densities of clusters of both species are equal at the initial stage of the array for- mation (Fig. 1(d)). Then, as the Ge coverage is increased, the wedges become dominating in the arrays whereas the pyramids exponentially rapidly disappear2,14. Lately we investigated by STM the structure of the {105} cluster facets together with the structure of apexes (ridges and vertices) of the clusters and built structural models of both species of huts15. We found the structure of the ridges of the wedge-like clusters to be different from the structure of the vertices of the pyramidal ones, therefore a wedge-like cluster cannot arise from a pyramidal one and vice versa2,15. Transitions between the shapes of the hut clusters are prohibited16. One can find additional ev- idences of the above strong statement investigating the cluster nucleation and the initial stage of its growth by in situ STM with high enough resolution. At present, nucleation of Ge clusters on the Si(001) sur- face is still very little-studied. Probably only two direct observations of this phenomenon were reported by Gold- farb et al.7,17 and Vailionis et al.18. Those comprehensive (a) (b) (c) (d) FIG. 1: STM images of Ge pyramidal (a) and wedge-like (b) clusters, Ge QD dense array (hGe = 10 A) on the Si(001) surface (c), and a fraction of wedges ((cid:4)) and pyramids ((cid:3)) in the arrays (d) vs Ge coverage (Tgr = 360◦C). 2 (a) (b) (c) FIG. 2: STM empty state image of Ge QD array (hGe = 6 A, Tgr = 360◦C) on the Si(001) surface (a); p(2 × 2) structure within the WL block, upper Ge atoms of the tilted dimers are resolved in the rows (b); pyramid (left) and wedge nuclei (1 ML) on the neighboring WL blocks (c), both nuclei reconstruct the WL surface, a nucleus never exceeds the bounds of a single WL block. QD arrays formed by UHV MBE at moderate tempera- tures. The atomic structure of cluster nuclei as well as the structures of very little clusters -- as small as a few monolayers (ML) high over the wetting layer (WL) -- are the issues of this study19. The results reported in the article evidence that there are two different types of nuclei on Ge wetting layer which evolve in the process of Ge deposition to pyramidal and wedge-like hut clusters. It might seem that solid proofs of this statement can be only obtained from STM measure- ments during growth7,8. Unfortunately, such experiment is hardly possible now. STM operating at the growth temperatures cannot assure atomic resolution which is necessary to reveal an atomic structure of clusters and smaller objects on WL. We have made a different experi- ment. Having assumed that nuclei emerge on WL as com- binations of dimer pairs and/or longer chains of dimers in epitaxial configuration20 and correspond to the known structure of apexes specific for each hut species2,15 we have investigated WL patches, 1 ML high formations on them and clusters of different heights (number of steps) over WL. This approach exactly simulates the above ex- periment ensuring the required high resolution. As a re- sult, we succeeded to select two types of formations differ- ent in symmetry and satisfying the above requirements, which first appear at a coverage of ∼ 5 A (Tgr = 360◦C) and then arise on WL during the array growth. We have interpreted them as hut nuclei, despite their sizes are much less than those predicted by the first principle calculations21, and traced their evolution to huts22. The experiments were carried out using an ultra high vacuum instrument consisting of the UHV MBE chamber coupled with high resolution STM which enables the sam- ple study at any stage of processing sequentially investi- gating the surface and giving additional treatments to the specimen; the samples never leave UHV ambient during experiments. Silicon substrates (p-type, ρ = 12 Ω cm) were completely deoxidized as a result of short annealing at the temperature of ∼ 925◦C23. Germanium was de- posited directly on the atomically clean Si(001) surface (a) (b) (c) (d) FIG. 3: Nuclei of Ge hut clusters: STM empty state images (a, c) and atomic structures (b, d) of the pyramid (a, b) and wedge (c, d) nuclei, 1 is WL. 7,17 or Ge2H6 in situ STM studies explored gas-source-molecular-beam- epitaxy (GS-MBE) growth of Ge on Si(001) in the atmo- 18. The chemistry of GS- sphere of GeH4 MBE is obviously strongly different from that of ultra- high vacuum (UHV) MBE which is usually employed for Ge deposition on Si substrates4. Unfortunately, experi- mental and especially direct high resolution UHV STM investigations of Ge cluster nucleation and early stages of the cluster growth on Si(001) by UHV MBE have not been described in the literature thus far. No data are available on the morphology of nuclei and the beginning of cluster growth. Now we shall try to fill up this gap. In this article, we investigate the nucleation and very beginning of growth of Ge hut clusters composing dense 3 (a) (b) (c) (d) (e) (f) FIG. 4: STM empty state micrograph (a) of the 5-ML Ge pyramid (hGe = 6 A, Tgr = 360◦C), a top view of the pyramidal QD (b) and contrasted image of its vertex (c); STM empty state topographs (hGe = 6 A, Tgr = 360◦C) of the 2-ML Ge wedge-like cluster (d), a top view of the wedge-like QD (e) and an empty state image of the ridge of the 3-ML Ge wedge-like cluster (f); 1, 2 and 3 designate WL, the first and the second layers of QD respectively, d marks a defect arisen because of one translation uncertainty of the left dimer pair position. Si pyrometer which measured the sample temperature through the chamber window. Specimens were scanned at room temperature in the constant tunneling current (It) mode. The STM tip was zero-biased while a sample was positively or negatively biased (Us). The details of the sample preparation as well as the experimental tech- niques can be found elsewhere2,23,25. Fig. 2(a) presents an STM image of an array of small Ge clusters grown at Tgr = 360◦C and hGe = 6 A. WL is seen to have a block (M × N patched) structure. The blocks are usually p(2 × 2) reconstructed (Fig. 2(b))26. We suppose that the process of the cluster nucleation consists in formation of new structures on the WL blocks. These 1 ML high structures are well resolved in Fig. 2(c) on the neighboring WL blocks: The left feature is as- sumed to be a nucleus of the pyramid whereas the right one is considered as a nucleus of the wedge-like cluster. A good few of such structures are observed in the long shot of the array (Fig. 2(a)). STM images of the nuclei and their schematic plots are given in Fig. 3. The fur- ther growth of the clusters is shown in Fig. 4. Fig. 4(a) presents an STM image of the 5 ML high pyramid. It is commonly adopted that the hut clusters grow by suc- cessive filling the (001) terraces of the {105} faces by the dimer rows8. A schematic plot of the 2-ML pyramid (a) (b) FIG. 5: Rearrangement of the first layer (a) of a forming wedge during addition of dimer pairs of the second layer (b); labels are the same as in Fig. 4. from the source with the electron beam evaporation2. The rate of Ge deposition was ∼ 0.1 A/s and the Ge cov- erage (hGe)24 was varied from 3 to 14 A. The substrate temperature Tgr was 360◦C during Ge deposition. The rate of the sample cooling down to the room tempera- ture was ∼ 0.4◦C/s after the deposition. The tempera- ture was monitored with tungsten-rhenium thermocouple mounted in vacuum near the rear side of the samples and in situ graduated beforehand against the IMPAC IS 12- 4 also that it cannot increase the cluster height but only its length. The increase of the cluster height is governed by the completion of the trapezoidal facet30. The latter process is accompanied by the change of direction of the dimer pairs on the ridge when the apex terrace is com- pleted. Note that the phenomenon of the wedge height limitation described in Ref. 2 differs from the process of its length self limitation. The former is mainly controlled by the growth temperature and the later is governed by either the area of the trapezoidal faces or the number and/or sizes of the WL blocks covered by the elongating cluster, as well as the competition of the processes of the in-height and longitudinal growth. In general, the cause of the wedge elongation is still unclear now. It is necessary to remark here that the nuclei are always observed to arise on sufficiently large WL patches. There must be enough room for a nucleus on a single patch. A nucleus cannot be housed on more than one patch. So, cluster nucleation is impossible on little (too narrow or short) patches (Fig. 2(a)). It should be noted also that according to the proposed model the wedge-like clusters always contain point de- fects on the triangular (short) facets. The defects are located in the upper corners of the facets and caused by uncertainty of one translation in the position a dimer pair which forms the penultimate terrace of the triangu- lar facet (Figs. 4(d -- f)). The predicted presence of these defects removes the degeneracy of the facets and hence an issue of the symmetry violation which occur if the pyramid-to-wedge transition is assumed (this issue was discussed in detail in Ref. 2). These defects are absent on the facets of the pyramidal huts. Their triangular facets are degenerate. Therefore, as it follows from our model, the trapezoidal and triangular facets of the wedge are not degenerate with respect to one another even at very beginning of cluster growth. The wedges can easily elon- gate by growing on the triangular facets faster than on trapezoidal ones, whereas pyramids, having degenerate facets, cannot elongate and grow only in height outrun- ning wedges. This explains greater heights of pyramids2. The proposed models being applied to draw the clus- ters by filling terrace by terrace (like it is done in Fig. 4) allowed us to deduce a model of the {105} facets. This model resulting from the above simple crystallographic consideration corresponds to the PD5 (paired dimers) rather than more recent RS (rebonded step) model10,11 which is now believed to improve the previous PD model by Mo et al. Being superposed with the empty state STM image of the cluster {105} facet it demonstrates an excellent agreement with the experiment (Fig. 6). Dan- gling bonds of the derived in such a way {105}-PD facets in reality may stimulate Ge atom addition and cluster growth. Less stability of the {105}-PD facets compared to the Ge(105)/Si(105)-RS plane may cause fast comple- tion of hut terraces during epitaxy. It should be noticed also that, as it follows from the reported models, the growth of the wedge second layer re- quires reconstruction of the buried previous layer. This FIG. 6: Schematic drawing of the {105} facet superimposed on its STM image (4.3 × 4.4 nm, Us = +3.0 V, It = 100 pA), the cluster base side is parallel to the [100] direction, the steps rise from the lower right to the upper left corner. based on this assumption (Fig. 4(b)) demonstrates its atomic structure (even number of layers is shown in both (a) and (b) pictures, so the diagram reproduces the en- tire structure of the dot except for its height). It is seen comparing Figs. 3(a) and 4(c) that the vertex repeats the structure of the nucleus drown in Fig. 3(b)28. The characteristic distances exactly match. The <100> di- rection of the base sides is predetermined by the nucleus structure, thus the pyramids grow without phase transi- tion when the second and subsequent layers are added. Only nucleus-like structures of their apexes are rotated 90◦ with respect to the rows on previous terraces to form the correct epitaxial configuration when the heights are increased by 1 ML, but this rotation does not violate the symmetry of the previous layers of the cluster. A different scenario of growth of the wedge-like clus- ters have been observed. Figs. 4(d, e) show an image and a schematic diagram of the 2-ML wedge-like clus- ter. The ridge structure is seen to be different from the nucleus structure presented in Figs. 3(c, d). The struc- ture of the ridge is well resolved in the image of the 3- ML cluster (Fig. 4(f)) filtered to contrast the uppermost layer of atoms. In this image, the dimer pairs of the ridge are 90◦ rotated compared to the 2-ML wedge that is in full agreement with the proposed atomic model29. This structure of the wedge-like cluster arise due to rearrange- ment of rows of the first layer in the process of the second layer formation (Fig. 5). The phase transition in the first layer generates the base with all sides directed along the <100> axes which is necessary to give rise to the {105} faceted cluster (it is seen from Figs. 3(c, d) that only one pair of sides of a wedge nucleus runs along the <100> direction). After the transition, the elongation of the el- ementary structure is possible only along a single axis which is determined by the symmetry and clearly seen when comparing Figs. 4(e) and 5(b) (along the arrows in Fig. 5(b)). This preferential growth direction determines the rapid growth on the triangular facets (short edges). The growth on these facets does not change the orienta- tion of the dimer pairs forming the ridge. It is obviously 5 phenomenon has been discussed theoretically before as "critical epinucleation" on reconstructed surface20. In particular, the atomic models drawn in Figs. 4(e) and 5 show the ad-dimer rows un-reconstructing the surface layer that can only happen beyond a critical number of ad-dimers defined as "epinucleus". So, the presented data could be one of the first experimental evidence of the epinucleus31. The critical epinucleation appears to be a basic phenomenon for hut formation on (M × N ). In conclusion, we have reported the direct observa- tion of nucleation of Ge hut clusters formed by UHV MBE on the Si surface. The nuclei of the pyramidal and wedge-like clusters have been observed on the wetting layer (M × N ) patches and found to have different struc- tures. The atomic models of nuclei of both species of the hut clusters have been built as well as the models of the clusters at the early stage of growth. The growth of the clusters of each species has been demonstrated to follow generic scenarios. The formation of the second atomic layer of the wedge-like cluster results in rearrangement of its first layer. Its ridge structure does not repeat the structure of the nucleus. The pyramidal cluster grows without phase transitions. The structure of its vertex copies the structure of the nucleus. The cluster of one species cannot turn into the cluster of the other species. The wedge-like clusters contain point defects in the up- per corners of the triangular faces and have preferential directions of growth along the ridges. The derived struc- ture of the {105} facet corresponds to the PD model. The critical epinucleation phenomenon may be responsible for hut formation on (M × N ) patched WL. ∗ Electronic address: [email protected] 1 J. V. Smagina, V. A. Zinovyev, A. V. Nenashev, A. V. Dvurechenskii, V. A. Armbrister, and S. A. Teys, JETP 106, 517 (2008); 2 L. V. Arapkina and V. A. Yuryev, Physics-Uspekhi 53, 279 (2010). 3 K. L. Wang, S. Tong, and H. J. Kim, Mater. Sci. Semicond. Proc. 8, 389 (2005); K. L. Wang, D. Cha, J. Liu, and C. Chen, Proc. IEEE 95, 1866 (2007). 4 O. P. Pchelyakov, Yu. B. Bolkhovitjanov, A. V. Dvurechen- skii, L. V. Sokolov, A. I. Nikiforov, A. I. Yakimov, and B. Voigtlander, Semicond. 34, 1229 (2000). 5 Y.-W. Mo, D. E. Savage, B. S. Swartzentruber, and M. G. Lagally, Phys. Rev. Lett. 65, 1020 (1990). 6 F. Liu, F. Wu, and M. G. Lagally, Chem. Rev. 97, 1045 (1997). 7 I. Goldfarb, P. T. Hayden, J. H. G. Owen, and G. A. D. Briggs, Phys. Rev. Lett. 78, 3959 (1997). 8 M. Kastner and B. Voigtlander, Phys. Rev. Lett. 82, 2745 (1999). 9 D. E. Jesson, G. Chen, K. M. Chen, and S. J. Pennycook, Phys. Rev. Lett. 80, 5156 (1998) 10 Y. Fujikawa, K. Akiyama, T. Nagao, T. Sakura, M. G. La- gally, T. Hashimoto, Y. Morikawa, and K. Terakura, Phys. Rev. Lett. 88, 176101 (2002). 11 P. Raiteri , D. B. Migas, L. Miglio, A. Rastelli, and H. von Kanel, Phys. Rev. Lett. 88, 256103 (2002). 12 D. Grutzmacher, T. Fromherz, C. Dais, J. Stangl, E. Muller, Y. Ekinc, H. H. Solak, H. Sigg, R. T. Lechner, E. Wintersberger, S. Birner, V. Holy, and G. Bauer, Nano Lett. 10, 3150 (2007). 13 V. A. Yuryev and L. V. Arapkina, Physica B 404, 4719 (2009). 14 Kastner and Voigtlander observed a similar phenomenon -- the growth of Ge coverage caused the increase of elongated huts density8. 15 L. V. Arapkina and V. A. Yuryev, JETP Lett. 91 281 (2010). 16 A new terminology was specially introduced by us in Ref. 2 to emphasize that the difference between the clusters is not only in the shapes but primarily in their atomic structures. The wedge-like cluster may be short and nearly square- based but its atomic structure remains the structure of the wedge and not that of the pyramid2,15. The term "elon- gated" implies elongation of some precursor. Usually the "square-based" clusters (the pyramids) are considered as such precursors. This assumption turned out to be wrong2. Note also that according to Ref. 15 transformation of a wedge-like hut cluster to a dome cluster is impossible too. 17 I. Goldfarb, J. H. G. Owen, D. R. Bowler, C. M. Goringe, P. T. Hayden, K. Mik, D. G. Pettifor, and G. A. D. Briggs, J. Vac. Sci. Technol. A 16, 1938 (1998). 18 A. Vailionis, B. Cho, G. Glass, P. Desjardins, D. G. Cahill, and J. E. Greene, Phys. Rev. Lett. 85, 3672 (2000). 19 V. A. Yuryev, L. V. Arapkina, V. A. Chapnin, V. P. Kalinushkin, N. V. Kiryanova, O. V. Uvarov, K. V. Chizh, R. O. Stepanov, L. A. Krylova, A. V. Voitse- hovsky, and S. N. Nesmelov, Report on "Sabelnik-2" Re- search Project (Prokhorov Gen. Phys. Inst. RAS, Moscow, Russia, 2008) RF State Reg. No. 1603925; L. V. Arapkina, V. A. Yuryev, K. V. Chizh, V. A. Chapnin, Proc. XIV Int. Symp. "Nanophysics and nanoelectronics", Nizhni Nov- gorod, Russia, 2010 (Inst. Microstruct. Phys. RAS, Nizhni Novgorod, Russia, 2010) vol. 2, p. 531. 20 R. G. Pala and F. Liu, Phys. Rev. Lett. 95, 136106 (2005). 21 G.-H. Lu and F. Liu, Phys. Rev. Lett. 94, 176103 (2005). 22 It might seem that we studied nucleation of so-called "pre-pyramids"18 (or "pre-huts"). We tried to observe the "pre-huts" carefully exploring arrays with hGe from 3 to 14 A but we failed. The clusters always had their specific structure15 which was independent of the width-to-height ratio and arose when the cluster second layer formed. 23 L. V. Arapkina, V. M. Shevlyuga, and V. A. Yuryev, JETP Lett. 87, 215 (2008). 24 Or more accurately the thickness of the Ge film measured by the graduated in advance film thickness monitor with the quartz sensor installed inside the MBE chamber. 25 I. Horcas, R. Fernandez, J. M. Gomez-Rodriguez, J. Colchero, J. Gomez-Herrero, and A. M. Baro, Rev. Sci. Instrum. 78, 013705 (2007). 26 The c(4 × 2) reconstruction is also often revealed together with the p(2 × 2) one15 which confirms that both recon- structions lie close in energy27. 27 M. J. Beck, A. van de Walle, and M. Asta, Phys. Rev. B 70, 205337 (2004). 28 The same structure is observed on top of a mature pyramid shown in Fig. 1(a). 29 A complete set of ridge configurations arising during the wedge height growth can be easily obtained when drawing schematic plots of a growing wedge by serial -- layer by layer from bottom to top -- completion of its terraces. 30 Of course, the triangular facets also grow by the same num- ber of layers as trapezoidal ones in the process of the in- 6 height growth of a wedge, otherwise the whole crystalline structure of the cluster would be disturbed. As distinct from the in-height growth, rapid growth of the triangular facets, which is responsible for cluster elongation, does not cause the growth of the trapezoidal facets. 31 We thank one of the anonymous referees of this article for the interesting remark.
1912.03834
1
1912
2019-12-09T03:48:43
Phase-sensitive thermoelectricity and long-range Josephson effect supported by thermal gradient
[ "cond-mat.mes-hall" ]
We demonstrate that thermoelectric signal as well as dc Josephson current may be severely enhanced in multi-terminal superconducting hybrid nanostructures exposed to a temperature gradient. At temperatures $T$ strongly exceeding the Thouless energy of our device both the supercurrent and the thermo-induced voltage are dominated by the contribution from non-equilibrium low energy quasiparticles and are predicted to decay slowly (algebraically rather than exponentially) with increasing $T$. We also predict a non-trivial current-phase relation and a transition to a $\pi$-junction state controlled by both the temperature gradient and the system topology. All these features are simultaneously observable in the same experiment.
cond-mat.mes-hall
cond-mat
Phase-sensitive thermoelectricity and long-range Josephson effect supported by thermal gradient Mikhail S. Kalenkov I.E. Tamm Department of Theoretical Physics, P.N. Lebedev Physical Institute, 119991 Moscow, Russia Department of Physics, Harvard University, Cambridge Massachusetts 02138, USA Pavel E. Dolgirev Institut fur Nanotechnologie, Karlsruher Institut fur Technologie (KIT), 76021 Karlsruhe, Germany and National Research University Higher School of Economics, 101000 Moscow, Russia (Dated: December 10, 2019) Andrei D. Zaikin We demonstrate that thermoelectric signal as well as dc Josephson current may be severely en- hanced in multi-terminal superconducting hybrid nanostructures exposed to a temperature gradient. At temperatures T strongly exceeding the Thouless energy of our device both the supercurrent and the thermo-induced voltage are dominated by the contribution from non-equilibrium low energy quasiparticles and are predicted to decay slowly (algebraically rather than exponentially) with in- creasing T . We also predict a non-trivial current-phase relation and a transition to a π-junction state controlled by both the temperature gradient and the system topology. All these features are simultaneously observable in the same experiment. Superconducting hybrid structures exposed to a tem- perature gradient acquire a variety of intriguing proper- ties. One of them is the thermoelectric effect [1] implying the presence of thermo-induced electric currents and/or voltages inside the sample. At low temperature these thermoelectric signals are phase-coherent which results in their periodic dependence on the phase of a super- conducting condensate. Thermoelectricity gives rise to diverse applications ranging from thermometry and re- frigeration [2] to phase-coherent caloritronics [3] paving the way to an emerging field of thermal logic [4] operating with information in the form of energy. for circuits involve appropriate such Superconducting superconducting-normal- applications may superconducting (SNS) junctions of different geometry. In such structures low temperature electron transport is strongly influenced by the proximity effect implying penetration of superconducting correlations deep into normal metal. As a result, macroscopic quantum coherence is established across the whole structure thus supporting the Josephson current IJ between superconducting terminals. In equilibrium, the magnitude of this effect essentially depends on the relation between temperature T and an effective Thouless energy ETh of an SNS device. As soon as T strongly exceeds ETh the supercurrent reduces expo- −√2πT /ETh [5, 6] and, hence, long-range nentially IJ ∝ e phase coherence gets effectively suppressed at such values of T . A similar conclusion concerning the magnitude of the thermoelectric voltage signal VT could be extracted from a number of previous theoretical studies [7 -- 9]. In this Letter we will demonstrate that by exposing the system to a temperature gradient one can effec- tively support long-range phase coherence at tempera- tures strongly exceeding the Thouless energy ETh where the equilibrium supercurrent becomes negligible. Consider a long SNS junction with normal state resis- tance Rn and two extra normal terminals attached to the central N-wire as shown in Fig. 1. Provided these nor- mal terminals are maintained at different temperatures T1 and T2 the electron distribution function inside the junction is driven out of equilibrium. Below we are going to demonstrate that in the limit T1,2 (cid:29) ETh the Joseph- son critical current IC -- up to some geometry factors -- takes the form IC ∼ E2 Th1/T1 − 1/T2/(eRn), (1) thus being a lot bigger that the equilibrium current IJ at any of the two temperatures T1 or T2. In addition, in this regime the system is described by a non-sinusoidal current-phase relation (CPR) and may exhibit a pro- nounced π-junction-like behavior. Furthermore, below we will show that -- depending on its topology -- the system can develop a large phase- coherent thermoelectric voltage signal that does not de- cay exponentially even if temperature increases above ETh. Remarkably, at T1,2 (cid:29) ETh the magnitude of this signal VT turns out to have exactly the same temperature dependence as IC, i.e. VT ∼ ICRn. (2) Both results (1) and (2) are due to the presence of non- equilibrium low energy quasiparticles suffering little de- phasing while propagating across the system. The model and basic formalism. We will consider the structure displayed in Fig. 1. It consists of two super- conducting and two normal terminals interconnected by density of states. The third kinetic coefficient Y = ( F R2 − F R2)/4 accounts for the presence of particle- hole asymmetry in our system and 2 jε = 1 2 Re(cid:16)F R∇ F R − F R∇F R(cid:17) defines the spectral current. (7) As usually, the above equations should be supple- mented by proper boundary conditions at inter-metallic interfaces. Here we assume that all interfaces between the wires and the terminals are fully transparent and, hence, the Green functions are matched continuously at these interfaces. The same applies to the contact between the wires (point c in Fig. 1). We also assume that all four normal wires are thin enough and long enough enabling one (a) to fully ignore their effect on the bulk terminals and (b) to consider the effective Thouless energy of our device ETh = D/L2 S (with LS = LS1 + LS2) as the only relevant energy scale in our problem. This is appropriate provided ETh (cid:28) ∆. The latter inequality -- combined with the condition T1,2 (cid:28) ∆ -- implies that our analysis can be restricted to subgap energies. Long-range phase coherent thermoelectricity. Applying a thermal gradient to normal terminals N1 and N2 one induces thermoelectric voltages V1 and V2 at these termi- nals [7 -- 13]. These voltage signals are in general not small and depend periodically on the phase χ, as it was repeat- edly observed in experiments [14 -- 17]. Both these features are direct consequences of the particle-hole asymmetry generated by the mechanism of sequential Andreev re- flection at two NS interfaces [9]. The quasiparticle distribution function inside the X- junction is recovered from the diffusion-like equations (5), (6) combined with the observation that no electric cur- rent can flow into normal terminals N1 and N2. With this in mind we get hT /L N1,2 = 1 2(cid:20)tanh ε + eV1,2 2T1,2 ∓ tanh ε − eV1,2 2T1,2 (cid:21) (8) at the interfaces between the N-wire and the correspond- ing N-terminal, while at both at SN interfaces we have hT = 0. To begin with, we note that in partially symmetric X- junctions with (i) LS1 = LS2 = LS/2 and (ii) AS1 = AS2 the kinetic coefficient Y equals to zero in the crossing point c and everywhere in the N-wires attached to normal terminals N1 and N2. In order prove this property one should bear in mind that Y is an odd function of the phase χ. Interchanging the terminals S1 ↔ S2 and inverting the phase sign χ → −χ, under the conditions (i) and (ii) we arrive at exactly the same X-junction as the initial one. Hence, in this case Y should also be an even function of χ which is only possible if Y ≡ 0. (5), (6) in the wires con- nected to the N-terminals one may verify that hT ≡ 0 Setting Y = 0 in Eqs. FIG. 1: X-junction structure under consideration. four normal metallic wires of lengths LS1,2 , LN1,2 and cross sections AS1,2, AN1,2 respectively. For brevity in what follows we will denote this structure as X-junction. The superconducting terminals are biased by the phase twist χ = χ1 − χ2 and the supercurrent IS(χ) can flow between these terminals for nonzero χ. The two normal terminals are disconnected from any external circuit and are maintained at different temperatures T1 and T2. In order to proceed we will make use of the standard quasiclassical formalism of Usadel equations [5] iD∇(cid:0) G∇ G(cid:1) =(cid:104) Ω1, G(cid:105) , G G = 1, (3) 0 which allow to evaluate 4×4 Green-Keldysh matrix func- GA(cid:17) for our X-junction. Here D stands tions G =(cid:16) GR GK F R,A −GR,A(cid:17) are re- for diffusion constant, GR,A = (cid:16) GR,A F R,A tarded and advanced 2 × 2 Green function matrices in the Nambu space, Ω = (cid:0) ε+eV ∗ −ε+eV(cid:1), where ε, V and ∆ denote respectively quasiparticle energy, electrostatic potential and superconducting order parameter. The Keldysh matrix has the form GK = GRh− h GA, where h is the matrix distribution function. The current density j is expressed by means of the standard relation −∆ ∆ j = − σ 8e(cid:90) dε Sp(τ3 G∇ G)K, (4) where σ is the normal Drude conductivity and τ3 is one of the Pauli matrices in the Nambu space. It is convenient to decompose the matrix distribution In the normal wires the function as h = hL + τ3hT . functions hL and hT obey the diffusion-like equations iD∇(cid:2)DT∇hT + Y∇hL + jεhL(cid:3) = 0, iD∇(cid:2)DL∇hL − Y∇hT + jεhT(cid:3) = 0. (5) (6) Here DT /L = ν2 ± F R ± F A2/4 define the two ki- netic coefficients and ν = Re GR is the local electron LN1LN2LS1LS2cN1T1N2T2S1∆eiχ1S2∆eiχ2 3 FIG. 2: Thermoelectric voltage V1 at χ = π/2, T1 = 20ETh and T2 = 30ETh as a function of LS1 for LN1 = LN2 = LS and AS1 = AS2 = AN1 = AN2 . Inset: The same voltage as a function of LN1 = LN2 for LS1 = 0.3LS. FIG. 3: Thermoelectric voltage V1 as a function of tempera- ture T2. The notations and the values of χ, T1, LS1 are the same as in Fig. 2 and LN1 = LS/2, LN2 = LS. becomes a trivial solution of these equations everywhere in our system. Combining this solution with Eq. (8) we observe that both voltages V1,2 vanish identically in this case. Then the kinetic equations (5), (6) reduce to DL∇hL = C1 and hL = C2 (with C1 and C2 being con- stants) in the N-wires connected respectively to N- and to S-terminals. Resolving these equations we recover the distribution function hL inside the wires attached to the superconducting terminals: hL = rL N2hL N1 + rL N1hL N2, (9) where rL Ni = RL Ni/(RL N1 + RL N2 ) and RL Ni = 1 ANi σ (cid:90)LNi dx DL , i = 1, 2 (10) are spectral resistances of the N-wires attached to the normal terminals N1 and N2. The above simple analysis demonstrates that no ther- moelectric effect may occur in our X-junction provided the kinetic coefficient Y vanishes in the N-wires attached to the normal terminals. We now lift the conditions (i), (ii) and evaluate the thermoelectric voltages V1 and V2. The corresponding derivation is outlined in Supple- mental Materials, here we only quote the final result. Assuming that both temperatures strongly exceed the Thouless energy T1,2 (cid:29) ETh, for the thermoelectric volt- age induced at the terminal N1 we obtain eV1 = rN1 4 (cid:18) 1 T2 − 1 T1(cid:19)(cid:90) εdε(cid:90)LN1 dx LN1 , Y (11) where rNi = RNi/(RN1 + RN2 ) and RNi = LNi/(ANiσ) (i = 1, 2) are normal state resistances of the wires at- tached to normal reservoirs. The function Y in Eq. (11) can be evaluated numerically or estimated analytically extrapolating the results derived in the limit ε (cid:29) ETh to lower energies. The latter procedure allows to perform the integrals in Eq. (11) and get eV1 ≈ γκ2rN1(LS1 − LS2 )E2 LN1 (3 + 2√2) S + 2LS1LS2 )L4 Th (cid:18) 1 T2 − 1 T1(cid:19) sin χ, (12) where γ = (L2 S/(L2 S1 + L2 S2 )3 and κ = geometric factors. 4(cid:112)AS1AS2/(AS1 +AS2 +AN1 +AN2 ) are dimensionless Equations (11), (12) represent the first key result of our present work. The periodic dependence of the ther- moelectric signal (12) on the phase χ demonstrates that long-range phase coherence in our X-junction is well maintained even at high enough temperatures T1,2 (cid:29) ETh. It is also remarkable that under this condition the amplitude of the thermo-induced voltage V1 (12) de- creases with increasing temperature only as a power-law, i.e. much slower than it was previously reported else- where [7 -- 9]. The thermoelectric voltage V2 induced at the second normal terminal N2 can be obtained from the above Eqs. (11), (12) by interchanging the indices 1 ↔ 2. In sym- metric structures with LN1 = LN2 and AN1 = AN2 one readily finds V2 = −V1. In addition to the above analysis we resolved the Us- adel equations numerically and evaluated the thermoelec- tric voltages V1,2 employing no approximations. Our nu- merically exact results for V1 are displayed in Figs. 2 and 3 (solid lines) together with Eq. (11) (where Y was eval- uated numerically) and Eq. (12) indicated respectively by long and short dashed lines. Long-range Josephson effect. We now turn to dc Josephson effect in the presence of a temperature gra- dient. For simplicity in what follows we again impose the symmetry conditions (i), (ii) and denote AS1,2 = AS. As we demonstrated above, in this particular case no electron-hole asymmetry is generated and, hence, no 0-6⋅10-3-3⋅10-33⋅10-36⋅10-3 0 0.2 0.4 0.6 0.8 1 03⋅10-36⋅10-3 0 1 2 3LS1/LSeV1/ETh.LN1/LSexactsolutionEq.(11)Eq.(12)-0.0210-3 0 20 40 60-0.04-0.02 0 0 20 40 60T2/ETheV1/ETh. 0 0.1 0.2 0.3 0.4 0 20 40 60T2/ETheV1E2ThT1T2T2−T1 thermoelectric effect occurs, i.e. V1,2 = 0. Furthermore, the distribution function hT equals to zero, while the function hL inside the wires is defined by Eq. (9). rN1 − rL Let us introduce the function W (ε) = rL N2 and identically rewrite the latter equation in the form rN2 N1 hL = rN2hL N1 + rN1hL N2 + W (ε)(hL N1 − hL N2). (13) and hL N2 The first two terms in the right-hand side of Eq. (13) represent a superposition of the equilibrium distribution functions hL with energy independent prefac- N1 tors, while the last term is essentially non-equilibrium in nature. The function W (ε) vanishes identically in struc- tures with LN1 = LN2 , otherwise it remains nonzero at low enough energies and decays exponentially provided ε exceeds the Thouless energy of our device ETh. With the aid of Eqs. (13) we immediately recover the expression for the supercurrent IS flowing between the superconducting terminals S1 and S2 across the normal wire of length LS. We obtain IS = rN2IJ (T1, χ) + rN1 IJ (T2, χ) + I ne S (T1, T2, χ), (14) where IJ (T, χ) = − σAS 2e (cid:90) jε tanh ε 2T dε (15) is the equilibrium Josephson current and I ne S = σAS 2e (cid:90) jεW (ε)(cid:18)tanh ε 2T2 − tanh ε 2T1(cid:19) dε. (16) Equations (14)-(16) define the second key result of this work. It demonstrates that provided our X-junction is biased by a temperature gradient the supercurrent IS consists of two different contributions. The first one is a weighted sum of equilibrium Josephson currents IJ (15) evaluated at temperatures T1 and T2 and the second one I ne S (16) accounts specifically for non-equilibrium effects. It is easy to verify that provided at least one of the two temperatures remains below the Thouless energy ETh the current IS (14) is dominated by the first (quasi- equilibrium) contribution, while the non-equilibrium one (16) can be safely neglected. On the other hand, at T1,2 (cid:29) ETh the equilibrium contribution to IS gets ex- ponentially suppressed as (cf. [18, 19]): IJ = 16κ 3 + 2√2 ETh eRn(cid:18) 2πT ETh(cid:19)3/2 −√2πT /ETh sin χ, e (17) where Rn = LS/(ASσ) and the prefactor κ is taken at AS1,2 = AS. Thus, at T1,2 (cid:29) ETh the supercurrent can already be dominated by the non-equilibrium term I ne S . Evaluating the energy integral in Eq. (16) we obtain S (cid:39) 0.21κ3rN1rN2 I ne ×(cid:18) LS LN2 − E2 Th eRn(cid:18) 1 T1 − LS 1 T2(cid:19) LN1(cid:19) sin χ cos2(χ/2). (18) 4 FIG. 4: Josephson critical current IC ≡ maxIS as a function of T2. Inset: CPR evaluated at T2 = 50ETh (a), 60ETh (b) and 75ETh (c). Solid lines correspond to the exact numerical solution, dashed lines indicate the result (14) combined with (17) and (18), dotted line is the quasi-equilibrium contribu- tion rN2 IJ (T1, π/2) + rN1 IJ (T2, π/2) to IS. The parameters are: T1 = 70ETh, LS1,2 = LS/2, LN1 = 3LS, LN2 = LS and AS1 = AS2 = AN1 = AN2 . This result is remarkable in several important aspects. First of all, we observe that at temperatures strongly ex- ceeding the Thouless energy the supercurrent IS (cid:39) I ne S decays with increasing min(T1, T2) only as a power law unlike the equilibrium Josephson current in long SNS junctions which is known to decay exponentially. This behavior is clearly due to driving the electron distribu- tion function hL out of equilibrium by applying a tem- perature gradient. Keeping T1 fixed, we observe that the supercurrent magnitude grows with T2 (cf. also Figs. 4 and 5) strongly exceeding the equilibrium value IJ (17) at any of the two temperatures T1 or T2. Hence, we predict strong supercurrent stimulation by a temperature gradient. Another interesting feature of the result (18) is the non-sinusoidal CPR that persists at temperatures well above ETh. For comparison, the dependence of the equi- librium Josephson current on the phase χ in SNS junc- tions remains non-sinusoidal only at T (cid:46) ETh and re- duces to IJ ∝ sin χ at higher temperatures. In addition, we observe that the sign of the supercur- rent in Eq. (18) is controlled by those of both length and temperature differences, LN1 − LN2 and T1 − T2. For in- stance, by choosing LN1 < LN2 and T1 < T2 we arrive at a pronounced π-junction-like behavior, see also Fig. 5. Previously switching to the π-junction state in a con- figuration similar to ours was realized by applying at ex- ternal voltage bias V to normal terminals [20 -- 23]. In this case the electron distribution function is also driven out of equilibrium, however, unlike here, the magni- tude of the supercurrent remains exponentially small for eV, T (cid:29) ETh [21]. On the other hand, by creating 02⋅10-44⋅10-46⋅10-4 40 50 60 70 80 900-1⋅10-41⋅10-4 0π/2π3π/22πT2/ETheICRn/ETh.χeISRn/EThabc 5 both the Josephson critical current IC and the magni- tude of the phase-coherent voltage signal VT = maxV1,2 exhibit exactly the same algebraic dependence on T1 and T2, cf. Eqs. (1) and (2). In both cases long-range phase coherence is maintained due to non-equilibrium quasi- particles with energies below ETh propagating across the system without any significant phase relaxation [26]. Our results indicate that quantum properties of X-junctions and similar hybrid structures can be efficiently controlled and manipulated with the aid of both superconducting phase and temperature gradient. Two of us (M.S.K. and A.D.Z.) acknowledge partial support by RFBR grant No. 18-02-00586. [1] V.L. Ginzburg, Rev. Mod. Phys. 76, 981 (2004). [2] F. Giazotto et al., Rev. Mod. Phys. 78, 217 (2006). [3] A. Fornieri and F. Giazotto, Nature Nanotech. 12, 944 (2017). [4] N. Li et al., Rev. Mod. Phys. 84, 1045 (2012). [5] W. Belzig et al., Superlatt. Microstruct. 25, 1251 (1999). [6] A.A. Golubov, M.Yu. Kupriyanov, and E. Il'ichev, Rev. Mod. Phys. 76, 411 (2004). [7] R. Seviour and A.F. Volkov, Phys. Rev. B 62, R6116 (2000). An exponential decay of the thermoelectric signal with increasing T (cid:29) L follows directly from Eqs. (7), (8) of that work. [8] P. Virtanen and T.T. Heikkila, Phys. Rev. Lett. 92, 177004 (2004); J. Low Temp. Phys. 136, 401 (2004); Appl. Phys. A 89, 625 (2007). [9] M.S. Kalenkov and A.D. Zaikin, Phys. Rev. B 95, 024518 (2017). [10] V. R. Kogan, V.V. Pavlovskii, and A.F. Volkov, EPL 59, 875 (2002). [11] A.F. Volkov and V.V. Pavlovskii, Phys. Rev. B 72, 014529 (2005). [12] P.E. Dolgirev, M.S. Kalenkov and A.D. Zaikin, Phys. Rev. B 97, 054521 (2018). [13] P.E. Dolgirev, M.S. Kalenkov and A.D. Zaikin, Phys. Sta- tus Solidi RRL 13, 1800252 (2019). [14] J. Eom, C.-J. Chien, and V. Chandrasekhar, Phys. Rev. Lett. 81, 437 (1998). [15] A. Parsons, I.A. Sosnin, and V.T. Petrashov, Phys. Rev. B 67, 140502(R) (2003). [16] P. Cadden-Zimansky, Z. Jiang, and V. Chandrasekhar, New J. Phys. 9, 116 (2007). [17] C. D. Shelly, E. A. Matrozova, and V. T. Petrashov, Sci. Adv. 2, e1501250 (2016). [18] A.D. Zaikin and G.F. Zharkov, Fiz. Nizk. Temp. 7, 375 (1981) [Sov. J. Low Temp. Phys. 7, 181 (1981)]. [19] P. Dubos et al., Phys. Rev. B 63, 064502 (2001). [20] A.F. Volkov, Phys. Rev. Lett. 74, 4730 (1995). [21] F.K. Wilhelm, G. Schon, and A.D. Zaikin, Phys. Rev. Lett. 81, 1682 (1998). [22] S. Yip, Phys. Rev. B 58, 5803 (1998). [23] J.J.A. Baselmans et al., Nature 397, 43 (1999). [24] L.G. Aslamazov and S.V. Lempitskii, Zh. Eksp. Teor. Fiz. 82, 1671 (1982) [Sov. Phys. JETP 55, 967 (1982)]. [25] A.D. Zaikin, Zh. Eksp. Teor. Fiz. 84, 1560 (1983) [Sov. Phys. JETP 57, 910 (1983)]. FIG. 5: The same as in Fig. 4. The parameters are the same except LN1 = LS, LN2 = 3LS. Temperature values in the inset are T2 = 65ETh (a), 75ETh (b) and 85ETh (c). non-equilibrium conditions with the aid of an external rf-signal it is possible to efficiently stimulate the super- current in long SNS junctions [24, 25], however, no π- junction behavior could be obtained in this way. In con- trast to the above examples, exposing the X-junction to a temperature gradient makes both non-trivial features -- supercurrent stimulation and π-junction states -- simul- taneously observable in the same experiment. The supercurrent IS was also evaluated numerically without employing any approximations. The correspond- ing results are displayed in Figs. 4 and 5 together with Eq. (14) combined with Eqs. (17) and (18). In Fig. 4 the parameters are chosen such that the non-equilibrium term I ne S remains negative for T2 < T1 and the π-junction states may only exist in a tiny interval of T2 below T1. In contrast, in Fig. 5 the π-junction behavior is realized practically at any T2 > T1 (cf. curves (b) and (c) in the inset) since the term I ne S takes negative values at such temperatures. Note that -- in contrast to the standard situation -- here the transition between 0- and π-junction states does not correspond to vanishing Josephson critical current IC ≡ maxIS because of a non-sinusoidal form of CPR (18). The value IC may be achieved either at χ < π/2 or at χ > π/2 depending on whether the maximum or the minimum of I ne S (18) is reached at χ = π/3. Furthermore, the competition between the terms ∝ IJ and I ne S may also cause extra maximum and minimum of the dependence IS(χ) (cf. curve (b) in Fig. 4 and curves (b), (c) in Fig. 5), since in a narrow vicinity of χ = π the contribution containing IJ ∝ (π − χ) always dominates over the non- equilibrium one I ne In summary, we have demonstrated that clear mani- festations of long-range phase coherence may persist up to much higher temperatures as compared to the Thou- less energy ETh provided our X-junction is exposed to In particular, at T1,2 (cid:29) ETh a temperature gradient. S ∝ (π − χ)3. 01⋅10-42⋅10-43⋅10-4 40 50 60 70 80 900-3⋅10-53⋅10-5 0π/2π3π/22πT2/ETheICRn/ETh.χeISRn/EThabc [26] This situation resembles somewhat the one encountered for the Aharonov-Bohm effect in superconducing-normal metallic heterostructures, see, e.g., Refs. [5, 27, 28]. [27] H. Courtois et al., Phys. Rev. Lett. 76, 130 (1996). [28] A.A. Golubov, F.K. Wilhelm, and A.D. Zaikin, Phys. Rev. B 55, 1123 (1997). SUPPLEMENTAL MATERIALS I. High energy expansion for the Green functions At energies exceeding the relevant Thouless energy of our device it is possible to recover approximate analytic expressions for retarded and advanced Green functions. Inside normal metallic wires at relatively short distances x (cid:28) LS away from the interface with one of the super- conducting terminal one can safely disregard the effect of another such terminal. Then the anomalous Green function can be written in the form 4y(1 − y2) (1 + y2)2 eiχi, F R = −i (S1) y = aSe where χi is the phase of the order parameter in the near- est superconducting terminal and −√−2iε/Dx, aS(ε) = tan(cid:34) 1 ∆(cid:112)∆2 − ε2(cid:35) . At distances from both S-terminals exceeding (cid:112)D/ε the anomalous Green functions take exponentially small values enabling one to linearize the Usadel equation, i.e. arcsin (S2) 4 (cid:48)(cid:48) (F R) + 2iε D F R = 0. (S3) Matching the solution of Eq. (S3) with the asymptotics (S1) in the vicinity of SN interfaces one recovers the anomalous Green function at the crossing point c: Fc = − −√−2iε/DLS1 eiχ1 8iAS1aS1e AS1 + AS2 + AN1 + AN2 − −√−2iε/DLS2 eiχ2 8iAS2aS2 e AS1 + AS2 + AN1 + AN2 − (S4) 6 where A is the wire cross section, I T and I L are the spectral currents. For the sake of definiteness we choose the current to be positive provided it flows from the cor- responding terminal to the crossing point c. Equations (S6), (S7) establish linear relations between the distri- bution functions hT and hL at the ends of the wire seg- ments. For the wires connected to the normal terminals we get (cid:18) GT Y Ni G Ni Y Ni GL −G Ni Ni(cid:19)(cid:18)hT Ni(cid:19) =(cid:18)I T Ni(cid:19) , c − hT hL c − hL Y Ni and G Ni are spectral conductances de- i = 1, 2, (S8) Ni I L where GT fined as Ni, GL (cid:18) GT Y Ni G Ni Y Ni GL −G Ni(cid:19) =(cid:34)(cid:90)LNi(cid:18)DT Y −Y DL(cid:19)−1 dx σANi(cid:35)−1 . Ni, GL (S9) Y These conductances GT Ni and G Ni exhibit a non- trivial energy dependence in the vicinity of the Thouless Y energy. In the high energy limit G Ni tends to zero and Ni, GL GT Ni just reduce to normal state wire conductances σANi/LNi. In the wires connected to superconducting terminals and at subgap energies the spectral currents I L Si vanish identically. This observation helps to simplify the rela- tions between the distribution functions, which now read GT GT S1 hT S2 hT c + AS1 σj1εhL c − AS2 σj2εhL c = −eI T S1 , c = −eI T S2 . (S10) (S11) Here we also made use of the fact that the distribution function hT equals to zero at both SN interfaces at sub- gap energies. S1,2 In general the spectral conductances GT depend on the kinetic coefficients DT,L and Y in a complicated man- ner. These conductances demonstrate a nontrivial en- ergy dependence at energies below the Thouless one and tend to normal state wire conductances σAS1,2 /LS1,2 in the high energy limit. The spectral current conservation conditions at the crossing point take the form I T S1 + I T S2 + I T N1 + I T N2 = 0, I L N1 + I L N2 = 0, AS1 j1ε = AS2 j2ε. (S12) (S13) and in the normal wires connected to the normal termi- nals F R = Fce −√−2iε/Dx, where x is the distance from the crossing point. (S5) Consider first a symmetric X-junction with LN1 = In this case the LN2 = LN and AN1 = AN2 = AN . distribution function hT c at the crossing point reads II. Long-range thermoelectric effect hT c = [GT (2GT Y N )2](hT + hT ) N + (G N2 N1 Y )GL N )2 N + 2(G N GL N + GT S1 + GT S2 . (S14) Kinetic equations (5), (6) in the quasi-one-dimensional geometry can be rewritten as (cid:48) DT (hT ) (cid:48) DL(hL) (cid:48) + Y(hL) (cid:48) − Y(hT ) + jεhL = −eI T /(σA), + jεhT = −eI L/(σA), (S6) (S7) With the aid of the current conservation conditions we get (cid:90) (GT S1 + GT S2 )hT c dε = 0. (S15) Combining Eqs. (S14) and (S15) one readily finds to the condition (cid:90) Q(ε)(hT N1 + hT N2 )dε = 0, Q(ε) = [GT (2GT Y N )2](GT + GT N + (G ) S2 S1 Y )GL N )2 N + 2(G N GL N + GT S1 + GT S2 (S16) . (S17) On the other hand, since no current can flow into or out of the normal terminals, we may write (cid:90) GT N (hT N1 − hT N2)dε +(cid:90) G Y N (hL N1 − hL N2 )dε = 0. (S18) Equations (S16)-(S18) fully determine temperature de- pendence of the voltages V1 and V2 induced by the tem- perature gradient at the normal terminals. In general the above equations can only be solved nu- merically. However, at high enough temperatures T1,2 (cid:29) ETh a simple analytical solution becomes possible. As the term hT varies at the energy scale of order N1 T1,2 the main contribution to the integral in Eq. (S16) comes from energies ε ∼ T1,2 where the function Q(ε) already reduces to a constant. Hence, in the leading or- der in ETh/T1,2 Eq. (S16) becomes essentially equivalent + hT N2 7 (S19) (cid:90) (hT N1 + hT N2 )dε = 0, which immediately yields V1 = −V2. Employing the same arguments we evaluate the first integral in Eq. (S18). Convergence of the second integral Y in this equation is controlled by the function G N decaying at the scale of order Thouless energy. As a result, with a good accuracy we can expand hL up to the first nonvanishing order in ε and rewrite Eq. (S18) as N1 − hL N2 σAN LN (2eV1− eV2) + 1 2(cid:18) 1 T1 − 1 T2(cid:19)(cid:90) G Y N εdε = 0. (S20) Since V1 = −V2, we immediately get eV1 = −eV2 = − 1 8(cid:18) 1 T1 − 1 T2(cid:19) LN σAN (cid:90) G Y N εdε. (S21) We can now relax the symmetry conditions for our X-junction and generalize the whole analysis to structures with normal wires of arbitrary lengths. After a simple algebra we derive the current conservation condition in the form 0 =(cid:90) (GS1 + GS2 )dε det GS1 + GS2 + GN1 + GN2(cid:104)[GT N1(GL + [GT N2(GL N1 + GL N2 ) + G N1 + GL Y (G N2 Y N2 N2 ) + G Y N1 Y (G N2 Y + G N1 )]hT N1 + Y + G N1 )]hT N2 − (GL N1G Y N2 − GL Y N2 G N1 )(hL N2 )(cid:105), N1 − hL (S22) cf. Eq. (S16). Equation (S18) can be generalized analogously, and we have dε 0 =(cid:90) − [(GS1 + GS2) + 2GT det GS1 + GS2 + GN1 + GN2(cid:40)[(GS1 + GS2) + 2GT N2](GL N1 + GL Y Y Y Y + 2GT N1 − G + [(GS1 + GS2 )(G N1 G G N2 N2 N2 Y Y N2)GT N2 hT N2 − [−(GS1 + GS2)(G N1 − G N2 Y N2 GL (GT N1 N1](GL N1 + GL Y N1 Y N2 + G N2 Y + [2G N2 Y )G N1 N1 + G Y G N1 Y G N2 N1GL ) + 2G (GT N2)GT + 2GT Y )G N2 N1hT N1+ Y Y ]hT N1− N2G G N1 N1 Y Y + 2GT N2G G N1 N1 Y N1G N2 + GL ) + (GS1 + GS2 )(GL + 2GT Y N1 G N2 Y G N2 ]hT N2 + Y N2 G N1 )](hL N1 − hL N2 )(cid:41), where we define we get GS1,2 =(cid:18)GS1,2 0 0(cid:19) . 0 (S24) At temperatures T (cid:29) ETh with a sufficient accuracy we can replace the coefficients in front of hT in Eqs. (S22), (S23) by their normal state values and employ the low energy expansion of hL . Resolving these equations N1,2 N1,2 eV1 = (S23) 1 T2(cid:19)(cid:90) K1(ε, χ)εdε, (S25) 1 4(cid:18) 1 T1 − where K1(ε, χ) = × Y N1 − G 1 GL Y N2 det GS1 + GS2 + GN1 + GN2× N1(cid:40)G N1(cid:20)Gn S2 − GT N2(cid:20)GS1 + GS2 + Gn GS1 + GS2 Gn + Gn S1 N1 N1 1 Gn GL GS1 + GS2 + Gn Gn S2 S1 Y Y (G + G N2 N1 Y − G N1 Y G N2 N1(cid:21)− N2(cid:21)− )(cid:41). + GT (S26) The results for V2 and K2(ε, χ) are obtained from Eqs. (S25), (S26) by interchanging the indices 1 ↔ 2. Equations (S25), (S26) determine a formally exact ex- pression for the thermally induced voltage V1 in the lead- ing order in 1/T . We observe that non-vanishing thermo- electric effect in our X-junction arises only provided the function Y differs from zero. In the limit T1,2 (cid:29) ETh we may replace the spectral conductances GS1,2 and GT,L N1,2 by their normal state values and neglect higher orders terms in Y. Then Eq. (S26) reduces to dx LN1 K1(ε, χ) = −rN1(cid:90)LN1 (S27) Y . Substituting this result into Eq. (S25) we immediately arrive at Eq. (11). The function Y here can be evaluated both numerically and analytically with the aid of the high energy expansion for the anomalous Green function. The latter procedure allows to explicitly perform both integrals over x and ε in Eq. (11) and arrive at Eq. (12). III. Long-range Josephson effect The functions jε and W (ε) can be evaluated analyti- cally at sufficiently high energies ε (cid:29) ETh. We obtain 16κ 3 + 2√2 ke −kLS× × (cos kLS − sin kLS) sin χ sgn ε, (S28) jε = and W (ε) = 2 rN1 rN2 −kLS× 3 + 2√2 × [2 + cos kLS − sin kLS] cos2(χ/2), LN1 − LN2 LN1 LN2 e κ2 k (S29) 8 where k = (cid:112)ε/D. Combining the above results one can easily verify that the product jεW (ε)ε remains reg- ular if extrapolated to lower energies. Employing this extrapolation we evaluate the corresponding energy inte- gral approximately as (cid:90) W (ε)jεεdε ≈ − 32 (3 + 2√2)2 1101 1250 rN1 rN2× LN1 − LN2 LN1 LN2 × E2 Th κ3 sin χ cos2(χ/2), (S30) and arrive at Eq. (18). FIG. S1: Nonequilibrium contribution to the Josephson cur- rent as a function of LN1 for χ = π/2, LS1 = LS2 = LS/2, LN2 = LS and AS1 = AS2 = AN1 = AN2 . By comparing the above analytic result with the nu- merically exact one we can illustrate the accuracy of our simple approximation (S30). Figure S1 demonstrates that this approximation remains sufficiently accurate for (cid:38) LS and starts relatively long normal wires with LN1,2 to fail for shorter values LN1,2 . -0.01-0.005 0 0.005 0.01 0.015 0 2 4 6 8 10 LN1/LS−LSETh2RjεW(ε)εdεexactsolutionEq.(S30)
1611.09298
1
1611
2016-11-28T19:31:24
Length-dependent thermopower of single-molecule junctions
[ "cond-mat.mes-hall" ]
In the present work we theoretically study the length dependence of thermopower of a single-molecule junction with a chain-like molecular bridge of an arbitrary length using a tight-binding model. We analyze conditions bringing a nonlinear growth of the thermopower accompanying the extension of the bridge length. Also, we show that the thermopower may decrease with increasing molecular length provided that the molecular bridge is sufficiently long.
cond-mat.mes-hall
cond-mat
a Length-dependent thermopower of single-molecule junctions Department of Physics and Electronics, University of Puerto Rico, Humacao, Puerto Rico 00791, USA (Dated: October 2, 2018) Natalya A. Zimbovskaya In the present work we theoretically study the length dependence of thermopower of a single- molecule junction with a chain-like molecular bridge of an arbitrary length using a tight-binding model. We analyze conditions bringing a nonlinear growth of the thermopower accompanying the extension of the bridge length. Also, we show that the thermopower may decrease with increasing molecular length provided that the molecular bridge is sufficiently long. PACS numbers: I. Introduction: As known, tailored nanostructures hold promise for enhanced efficiency of heat-to-electric energy conversion. Therefore, thermoelectric proper- ties of tailored nanoscale systems including carbon-based nanostructures, quantum dots and single-molecule junc- tions have been explored both theoretically and experi- mentally [1 -- 4]. Numerous works were focused on Seebeck effect which is directly responsible for conversion of heat to electric energy. This effect occurs when a thermal gradient is applied across a system inducing a current of charge carriers. Seebeck effect in nanoscale systems is measured by recording the voltage ∆V which cancels the thermally induced current provided that the temper- ature difference ∆T between two ends of the system is kept constant [5, 6]. When ∆T ≪ T (T being an average temperature characterizing the system) the system oper- ates within the linear response regime, so ∆V = −S∆T. The coefficient of proportionality which appears in this expression is commonly called thermopower. In particular, Various properties of thermopower of single-molecule systems were intensively studied including inelastic effects[7 -- 9], effects of molecular bridge geometry [10, 11], of Coulomb interactions between electrons on the bridge [12 -- 15], of molecular vibrations [16 -- 18] and of quan- tum interference [19]. it was demon- strated that both thermopower and electron conductance of single-molecule junctions may depend on the molec- ular linker length. Length-dependent conductance and thermopower are usually observed in junctions where the molecular bridge is a chain-like structure consisting of several identical units (e.g. benzene rings) [5, 6, 11, 20 -- 24]. These molecular linkers provide a better opportunity to observe the relationship between the thermopower and the length of the linker. For other kinds of linkers this relationship is less distinct due to the diversity of specific properties associated with different parts of the linker. In the most of experiments concerning the issue, the ther- mopower appeared to be proportional to the molecular bridge length. However, this linear relationship between the thermopower and the bridge length is not a univer- sal one. Recent experiments carried on single-molecule junctions with gold electrodes and oligophenyl and alcane chain-like linkers showed a distinctly nonlinear length de- pendence of the thermopower [23]. The purpose of the present work is to theoretically analyze the possible ori- gin of nonlinearities in the length dependence of ther- mopower. Also, we discuss specific features of the ther- mopower versus length profiles. In the following analysis we assume coherent electron tunnelling to be a predominant transport mechanism. At low temperatures the thermopower and conductance through the junction may be computed from the electron transmission τ (E) provided that the latter smoothly varies for E − EF < kT (EF being the chemical po- tential of electrodes in the unbiased junction) and that the temperature difference between the electrodes ∆T is much smaller than T . The corresponding approxima- tions have the form [25]: G = 2e2 h τ (EF ) ≡ G0τ (EF ), (1) S = − π2k2T 3eτ (EF ) ∂τ (E) ∂E (cid:12)(cid:12)(cid:12)E=EF ≡ −S0 ∂ ln τ (E) ∂E (cid:12)(cid:12)(cid:12)E=EF . (2) These approximations are commonly used to de- scribe conductance and thermopower dependences on the molecular length and geometry observed in experiments. To employ them one needs to compute the electron trans- mission function through the considered molecular junc- tion. Often, τ (E) is obtained basing on electronic struc- ture calculations carried out within the density functional theory (DFT) (see e.g. Refs. [10, 20 -- 23, 26]). Never- theless, length-dependent electron transmission may be qualitatively analyzed using simplified Lorentzian and tight-binding models which were invented to explain spe- cific experimental results [20 -- 23]. II. Model and results: In this work, we simulate a chain-like linker in a single-molecule junction by a pe- riodical chain including N identical sites. Each site is assigned a single on site energy Ei and coupled to its nearest neighbors. For all sites except terminal ones the energies Ei are supposed to be equal (Ei = E0, 2 ≤ i ≤ N − 1) whereas E1 = EN = ǫ. The terminal states are coupled to electrodes through imaginary self- energy terms −iΓ/2 which are supposed to be energy- independent. Also, we assume that the terminal states are coupled to their neighbors in the chain through the coupling parameter δ which may differ from the parame- ter β characterizing the coupling between the remaining sites. The terminal sites are separated out because they may play a significant part in the origin of nonlinearity in the length dependence of thermopower in certain single- molecule junctions. This model is physically relevant for molecular bridges where π − π dominates electron trans- port. Then, the parameter β characterizes the coupling between π orbitals [27]. Within the accepted model, τ (E) = Γ2 4 G1N (E)2 where G1N is the corresponding matrix element of the retarded Green's function for the chain: G = (E − H − iΓ)−1 (3) and the Hamiltonian H is represented by N ×N matrix: H = ǫ − iΓ 2 δ 0 . . . 0 0   δ 0 0 . . . E0 β 0 . . . β E0 β . . . . . . . . . 0 . . . β E0 0 . . . δ . . . . . . . . . 0 0 0 0 δ ǫ − iΓ 2   . (4) Using Eqs. (3),(4) a close expression for G1N (E) (N ≥ 3) may be derived in the form consistent with previously reported results [28, 29]: G1N (E) = δ2βN −3 ∆N (E, Γ) . (5) Here ∆(E, Γ) =∆N (E, Γ) + (α − λ)(α + λ + iΓ)∆N −2(E, 0) +(cid:2)(β2 − δ2)(α + λ + iΓ) − (α − λ)(β2 + δ2)(cid:3) × ∆N −3(E, 0) − (β4 − δ4)∆N −4(E, 0) (6) and the determinant ∆N (E, Γ) equals [30]: ∆N (E, Γ) = 1 2N +1ζ(cid:2)(λ + ζ)N −1(λ + ζ + iΓ)2 − (λ − ζ)N −1(λ − ζ + iΓ)2(cid:3). (7) Other determinants included into Eq. (6) are given by expressions similar to Eq. (7) where Γ = 0. As follows from Eq. (7), ∆0(E, 0) = 1 and ∆−1(E, 0) = 0. Also, in these expressions α = E − ǫ, λ = E − E0 and ζ = pλ2 − 4β2, respectively. Thermally induced charge carriers travel between elec- trodes using the highest occupied molecular bridge or- bital (HOMO) or the lowest unoccupied orbital (LUMO) as transport channels. We remark that considering elec- tron transport via HOMO, one should take into account that electron tunneling into a molecule causes an expan- sion in the eigenstates. As a result, other eigenstates be- sides HOMO may contribute to the transport even when 2 0 G G / ) E ( τ 100 10−1 10−2 10−3 10−4 10−5 −6 ) / K V µ ( S 30 20 10 0 3 3 S → ← G/G 0 5 5 N 7 7 100 10−1 10−2 10−3 9 9 N=3 N=4 N=5 N=6 N=7 −4 −2 E(eV) 0 2 FIG. 1: Transmission curves (left panel) and length- dependent thermopower and electron conductance (right (5)-(7) assuming that EF = panel) computed using Eqs. 0, E0 = −4.47eV, ǫ = −3.82eV, Γ = 2.86eV, δ = 2.28eV, β = 1.27eV, kT = 0.026eV. it occurs at the HOMO resonant energy. However, it does not change the present results (6), (7) which remain valid. When the energy values associated with HOMO/LUMO EH,L noticeably differ from EF (EH,L − EF ≫ β), one may put β = 0 in the expression for ∆N (EF ). This re- sults in the exponential decrease in electron conductance as a function of molecule length typical for off-resonant tunnelling. Such electron conductance behaviour was ob- served in several experiments [9, 20, 22, 24]. Presenting the conductance in the form G(E) = A(E) exp[−η(E)N ] and substituting this expression into Eq. (2), one obtains [21]: S = −S0(cid:26) ∂ ln A(E) ∂E − (cid:12)(cid:12)(cid:12)E=EF ∂η(E) ∂E (cid:12)(cid:12)(cid:12)E=EF N(cid:27) . (8) So, the exponential decrease in the electron conductance accompanying the increase in the molecular bridge length leads to a linear relationship between the thermopower and the bridge length often reported for single-molecule junctions with chain-like bridges [9, 20 -- 22]. Within the accepted model, this conclusion is illustrated in Fig. 1. The electron transmission curves plotted here are com- puted using Eqs. (5)-(7).Values of relevant parameters are chosen to describe electron transport via HOMO. All peaks in the electron transmission function (including that one corresponding to HOMO) are located rather far away from E = EF indicating an off-resonant tunneling. Accordingly, both S and log G are linear functions of number of sites N, as shown in the Fig. 1 (right panel). Nonlinear length dependences of the thermopower may appear when HOMO/LUMO is located near EF (EF − EH,L < β) > It was suggested [23] that this may happen due to the effect of gateaway states representing bonds between terminal carbons in the bridge and electrodes. Within the accepted tight-binding model, these states are associated with the terminal sites at the ends of the chain. Due to the presence of these states the electron ) E ( τ 100 10−1 10−2 10−3 10−4 10−5 −6 ) / K V µ ( S 30 20 10 0 3 3 N=3 N=4 N=5 N=6 N=7 −4 −2 E(eV) 0 2 100 10−1 10−2 S → ← G/G 0 0 G G / 100 ) E ( τ 10−1 N=1 N=3 N=5 N=7 N=9 24 ) / K V µ ( S 16 S → ← G/G 0 5 5 N 7 7 10−3 9 9 10−2 −1 −0.5 0 E(eV) 0.5 1 8 1 1 3 3 N 5 5 100 10−1 10−2 7 7 3 0 G G / FIG. 2: Transmission curves (left panel) and length- dependent thermopower and electron conductance (right panel) computed using Eqs. (5)-(7) assuming that EF = ǫ = −1.85eV, Γ = 2.86eV, δ = 0, E0 = −4.47eV, 2.28eV, β = 1.27eV, kT = 0.026eV. FIG. 3: Transmission curves (left panel) and length- dependent thermopower and electron conductance (right panel). The curves are plotted assuming EF = 0, E0 = ǫ = −0.5eV, Γ = 0.2eV, δ = β = 1.28eV, kT = 0.026eV. transmission profiles may be significantly affected. At certain values of energies ǫ, E0 and coupling parameters δ and β, peaks associated with HOMO in the plots of τ (E) versus E become broader than other resonance features, as shown in the left panels of Figs. 1,2. When these distorted HOMOs are located near E = EF , the thermopower displays a nonlinear dependence on N pre- sented in Fig. 2. We remark, that the values of all rel- evant energies used in computations of the electron con- ductance and thermopower displayed in this figure are the same as those used in Ref. [23], so the results for G(N ) and S(N ) at 3 ≤ N ≤ 6 agree with the corre- sponding results presented in that work. One observes that nonlinear length dependence of the thermopower (and, to a smaller extent, of log G) is noticeable while the bridge is rather short. For N ≥ 6, both S and log G become linear functions of the bridge length. This hap- pens because the effect of gateaway states on the electron transmission is fading away as the bridge lengthens and HOMO moves away from E = EF . As known, only HOMO/LUMO participate in ther- mally induced charge transport through a single-molecule junction provided that a temperature gradient applied across the system is sufficiently small. This gives grounds to disregard all molecular resonances except those cor- responding to HOMO/LUMO thus reducing the elec- tron transmission to a single peak nearest to E = EF . Lorentzian models were used to represent this peak in the computations of the junction thermopower in sev- eral works[6, 21, 31]. To describe the length-dependent thermopower, the coupling parameter between the bridge represented by a single orbital and the leads should be simulated by a length-dependent function. This func- tion should be chosen in such a way that the peak asso- ciated with HOMO/LUMO would become sharper and narrower as the bridge length increases. Such models may predict nonlinear dependences of the junction ther- mopower on the bridge length. For example, the follow- ing model for the electron transmission [31]: τ (E) = Γ2 4 δ2 N 2 (9) (cid:12)(cid:12)(E − EH,L − iΓ/2)2 − δ2 N(cid:12)(cid:12) where δN = δ0 exp[−γN ] (δ0 and γ being independent on energy and length) brings the expression for the ther- mopower which saturates for long molecular bridges ap- proaching the limit: S∞ = 4S0(EF − EH,L) (EF − EH,L)2 + Γ2/4 . (10) Within the tight-binding model adopted in the present work, one observes that the electron transmission func- tion for the chain including an odd number of sites always shows a Lorentzian-like peak at E = E0, (see Fig. 3). As the number of sites increases, the width of this peak shrinks although its height remains the same. Assuming that this peak is located slightly to the left from E = EF and may serve as HOMO, we compute the molecular conductance and thermopower of the corresponding sys- tem using Eqs. (1),(2). The results are presented in the right panel of Fig. 3. As shown in this figure, the thermopower may reach a maximum at a certain bridge length, and then decrease as the bridge further extends. This is accompanied by a pronounced nonlinearity in the length dependence of log G. This behavior of the ther- mopower originates from alterations in the transmission peak shape occurring as the number of sites in the bridge increases. At sufficiently small values of N, the slope of the curve τ (E) at E = EF grows as N enhances. How- ever, at greater values of N the slope significantly de- creases causing the thermopower to decrease. We remark that the decrease in thermopower accompanying the ex- tension of the molecular linker length was not reported so far, although some data experimentally obtained for alcane chains linking gold electrodes [23] may indicate that the thermopower of these molecular junctions could either saturate or decrease for longer chains. III. Conclusion: In the present work we have employed a tight-binding based model to describe a single-molecule junction with a chain-like bridge consisting of an arbi- trary number of identical units. We used this model to qualitatively analyze the length-dependent thermopower of the considered system. The obtained results con- firm that the character of thermopower dependences on the molecular length is determined by the location of HOMO/LUMO with respect to the chemical potential of electrodes and by the profile of the corresponding peak in the electron transmission function. It is shown that characteristics of terminal sites coupled to the electrodes may bring a nonlinear length dependence of the ther- mopower when the bridge is sufficiently short. This con- clusion agrees with the results reported in Ref. [23]. Also, it is predicted that under certain conditions the thermopower of a single-molecule junction may decrease as the molecule length increases. Since experiments on the thermopower of molecular junctions are often carried out at room temperature, finite-temperature effects may play a part in controlling the length dependences of the thermopower. They can affect it by giving rise to fluc- tuations in the molecular bridge geometry and to molec- ular vibrations [6, 7, 32, 33]. The model employed in the present work may be generalized to include electron- vibron interactions following the way suggested in Ref. [34]. It may be further improved by including into con- sideration the energy shift which appears due to the real parts of self-energy terms describing the coupling of the molecular bridge to the leads. There are some grounds to conjecture that this energy shift may influence the thermopower behavior. Finally, the analysis could be ex- tended to study length-dependent thermoelectric prop- erties of single-molecule junctions beyond linear in ∆T regime. However, these studies are beyond the scope of the present work. Nevertheless, despite the simplicity of the adopted model we believe that presented results may help in further understanding of thermoelectric proper- ties of single-molecule junctions. Acknowledgments: The author thank G. M. Zimbovsky for help with the manuscript. This work was supported by NSF-DMR-PREM 1523463. [1] Y. Dubi and M. Di Ventra, Rev. Mod. Phys. 83, 131 (2011). [2] N. A. Zimbovskaya, J. Phys.: Condens. Matter 18, 183002 (2016). [3] B. Sothmann, D. Sanchez, and A. N. Jordan, Nanoth- echnology, 26, 032001 (2015). [4] E. Pop, Nano. Res. 3, 147 (2010). [5] P. Reddy, S-Y. Jang, R.A. Segalman, A. Majumdar, Sci- 4 ence 315, 1568 (2007). [6] J. A. Malen, P. Doak, K. Baheti, T. D. Tilley, R. A. Segalman, and A. Majumdar, Nano. Lett. 9, 1164 (2009). [7] M. Galperin, M. A. Ratner, and A. Nitzan, Mol. Phys. 106, 397 (2008). [8] R. Hartle and M. Thoss, Phys. Rev. B 83, 115414 (2011). [9] G. T. Craven and A. Nitzan, arXiv:1607.07010 (unpub- lished). [10] F. Pauly, J. K. Viljas, and J. C. Cuevas, Phys. Rev. B 78, 035315 (2008). [11] C. M. Finch, V. M. Garca-Suarez, and C. J. Lambert, Phys. Rev. B 79, 033405 (2009). [12] P. Murphy, S. Mukerjee, and J. Moore, Phys. Rev. B 78, 161406(R) (2008). [13] B. Kubala, J. Konig, and J. Pekola, Phys. Rev. Lett. 100, 066801 (2008). [14] D. Nozaki, H. Sevincli, W. Li, R. Gutierrez, and G. Cu- niberti, Phys. Rev. B 81, 235406 (2010). [15] A. L. Monteros, G. S. Uppal, S.R. McMillan, M. Crisan, and I. Tifrea, Euro. Phys. J. B 87, 50656 (2014). [16] S. Kruchinin and T. Pruschke, Phys. Lett. A 378, 1157 (2014). [17] M. Leijnse, M. R. Wegewijs,, and K. Flensberg Phys. Rev. B 82, 045412 (2010). [18] L. Simine and D. Segal, Phys. Chem. Chem. Phys. 14, 13820 (2012). [19] L. Simine, W. J. Chen, and D. Segal, J. Phys. Chem. C. 119, 12097 (2015). [20] S. Y. Quek, H. J. Choi, S. G. Louie, J. B. Neaton, Nano Lett. 9, 3949 (2009). [21] S. Y. Quek, H. J. Choi, S. G. Louie, and J. B. Neaton, ACS Nano 5, 551 (2011). [22] A. Mishchenko, D. Vonlanthen, V. Meded, M. Burkle, C. Li, I.V. Pobelov, A. Bagrets, J. K. Viljas, F. Pauly, F. Evers, M. Mayor, and T. Wandlowski, Nano Lett. 10 , 156 (2010). [23] J. R. Widawsky, W. Chen, H. Vazquez, T. Kim, R. Bres- low, M. S. Hybertsen, and L. Venkataraman, Nano. Lett. 13, 2889 (2013) [24] Y. H. Wang, X. Y. Zhou, Y. Y. Sun, D. Han, J. F. Zheng, Z. J. Niu, X. S. Zhou, Electrochimica Acta 123, 205 (2014). [25] M. Paulson and S. Datta, Phys. Rev. B 67, 241403 (2003). [26] M. Burkle, L. A. Zotti, J. K. Viljas, D. Vonlanthen, A. Mishchenko, T. Wandlowski, M. Mayor, G. Schon, and F. Pauly, Phys. Rev. B 86, 115304 (2012). [27] D. Nozaki, H. M. Pastawski, and G. Cuniberti, New. J. Phys. 12, 063004 (2010). [28] P. R. Levstein, H. M. Pastawski, and J. L. D'Amato, J. Phys.: Condens. Matter 2, 1781 (1990). [29] Y. Magarshak, J. Malinsky, and A. D. Logan, J. Chem. Phys. 95, 418 (1991). [30] V. Mujica, M. Kemp, and M. A. Ratner, J. Chem. Phys. 101, 6849 (1994). [31] J. R. Widawsky, P. Darancet, J. B. Neaton, and L. Venkataraman, Nano Lett. 12, 354 (2012). [32] F. Pauly, J. K. Viljas, M. Burkle, M. Dreher, P. Nielaba, and J. C. Cuevas, Phys. Rev. B 84, 195420 (2011). [33] N. Sergueev, S. Shin, M. Kaviany, and B. Dunietz, Phys. Rev. B 83, 195415 (2011). [34] N. A. Zimbovskaya and M. M. Kuklja, J. Chem. Phys. 131, 114703 (2009).
1807.00168
1
1807
2018-06-30T12:31:07
Coupling single photons from discrete quantum emitters in WSe$_2$ to lithographically defined plasmonic slot-waveguides
[ "cond-mat.mes-hall", "cond-mat.mtrl-sci" ]
We report the observation of the generation and routing of single plasmons generated by localized excitons in a WSe$_2$ monolayer flake exfoliated onto lithographically defined Au-plasmonic waveguides. Statistical analysis of the position of different quantum emitters shows that they are $(3.3 \pm 0.7)\times$ more likely to form close to the edges of the plasmonic waveguides. By characterizing individual emitters we confirm their single-photon character via the observation of antibunching of the signal ($g^{(2)}(0) = 0.42$) and demonstrate that specific emitters couple to the modes of the proximal plasmonic waveguide. Time-resolved measurements performed on emitters close to, and far away from the plasmonic nanostructures indicate that Purcell factors up to $15 \pm 3$ occur, depending on the precise location of the quantum emitter relative to the tightly confined plasmonic mode. Measurement of the point spread function of five quantum emitters relative to the waveguide with <50nm precision are compared with numerical simulations to demonstrate potential for higher increases of the coupling efficiency for ideally positioned emitters. The integration of such strain-induced quantum emitters with deterministic plasmonic routing is a step toward deep-subwavelength on-chip single quantum light sources.
cond-mat.mes-hall
cond-mat
a Coupling single photons from discrete quantum emitters in WSe2 to lithographically defined plasmonic slot-waveguides M. Blauth,†,‡ M. Jurgensen,† G. Vest,† O. Hartwig,† M. Prechtl,† J. Cerne,†,¶ J. J. Finley,∗,†,‡ and M. Kaniber∗,†,‡ †Walter Schottky Institut and Physik Department, Technische Universitat Munchen, Am Coulombwall 4, 85748 Garching, Germany ‡Nanosystems Initiative Munich (NIM), Schellingstr. 4, 80799 Munich, Germany ¶Department of Physics, University at Buffalo, The State University of New York, Buffalo, New York 14260, USA E-mail: [email protected]; [email protected] Abstract We report the observation of the generation and routing of single plasmons gen- erated by localized excitons in a WSe2 monolayer flake exfoliated onto lithographi- cally defined Au-plasmonic waveguides. Statistical analysis of the position of different quantum emitters shows that they are (3.3 ± 0.7)× more likely to form close to the edges of the plasmonic waveguides. By characterizing individual emitters we con- firm their single-photon character via the observation of antibunching of the signal (g(2)(0) = 0.42) and demonstrate that specific emitters couple to the modes of the proximal plasmonic waveguide. Time-resolved measurements performed on emitters close to, and far away from the plasmonic nanostructures indicate that Purcell factors 1 up to 15±3 occur, depending on the precise location of the quantum emitter relative to the tightly confined plasmonic mode. Measurement of the point spread function of five quantum emitters relative to the waveguide with <50 nm precision are compared with numerical simulations to demonstrate potential for higher increases of the coupling effi- ciency for ideally positioned emitters. The integration of such strain-induced quantum emitters with deterministic plasmonic routing is a step toward deep-subwavelength on-chip single quantum light sources. Keywords Plasmonics, Quantum plasmonics, Localized excitons, WSe2, Slot waveguide Introduction Downscaling of integrated devices for information technologies is fueled by the need to re- duce the energy overhead per bit of data processed. 1–4 It was already recognized several decades ago that shifting from electronic to photonic devices 5,6 promises ultra high-rate data processing, with maximum accessible clock speeds beyond ∼THz. 7 In terms of the energy required to process a single bit of information, all-optical approaches lead the way. Non-linear interactions can occur in nano-photonic devices and circuits already at the few- photon limit, corresponding to an energy-per-bit budget in the deep sub-fJ regime. 8 As such, research into quantum light sources capable of delivering non-classical states of light (single and few-photon states) 9 into integrated photonic circuits are of strong interest, espe- cially if they are capable of operating at elevated temperature. In these respects, transition metal dichalcogenides (TMDCs) have captured the attention of many groups worldwide. Monolayers of 2H-stacked TMDCs are direct gap semiconductors 10,11 and have very large exciton binding energies (∼200 meV to 500 meV) and low excitonic Bohr radii of only a few nanometers. 12,13 Moreover, they can exhibit near-unity internal quantum efficiencies when 2 suitably processed 14 and the local exciton binding energy is sensitive to the proximal di- electric environment at the nanometer scale, 15 and the presence of strain. 16 It has been shown that single photon emitters occur naturally in mechanically exfoliated WSe2 16–20 and that they can be positioned by engineering of the local strain field. 21–23 At the same time, the very strong spin-orbit interactions in TMDCs provide unique optical access to spin and valley degrees of freedom 24–28 providing additional scope for encoding information. Beyond low-energy switching, a clear disadvantage of integrated photonic approaches to information processing is that the lower bound on the size of conventional components are fundamen- tally limited to the order of the optical wavelength. 29 This results in far lower integration densities as compared to integrated electronics. In this respect, plasmonics offers one way to deliver deep-subwavelength confinement at optical frequencies 5 and, when combined with novel light-emitting materials, this raises the potential for photonic and quantum devices at the nanoscale. Recent experiments have demonstrated that both free exciton 30–32 and localized exciton 33 emission can be coupled to plasmonic modes in chemically synthesized nanowires and dielectric waveguides. 34 Here, we mechanically exfoliate a monolayer flake of WSe2 and transfer it onto a litho- graphically defined plasmonic waveguide. This enables us to probe interactions between localized excitons in the WSe2 flake and tightly confined plasmonic modes. The use of electron-beam lithography provides full control over the position and geometry of the plas- monic waveguide, facilitating deterministic routing of single photons (and plasmons) on-chip. The lithographically defined waveguide creates a non-planar substrate topography that we show results in local strain induced, discrete emitters in the monolayer. The occurrence of such quantum emitters is shown to be 3.3 ± 0.7× more likely in the immediate vicinity (≤500 nm) of the waveguide ends, as compared to unpatterned regions of the sample. The quantum nature of the emitters is confirmed by measuring the second order intensity cor- relation function and spatially resolved measurements demonstrate that single photons are selectively coupled to the plasmonic waveguide mode. Using time-resolved spectroscopy we 3 show that emitters close to the waveguide (<500 nm) exhibit Purcell factors in the range FP ∼ 2 − 15× and, by carefully determining the position of five quantum emitters relative to the waveguide with sub 50 nm precision via their point spread function, and performing numerical simulations we demonstrate the potential for significant further increases in cou- pling efficiency. Our results pave the way towards novel on-chip single plasmon light sources at the nanoscale with the possibility for integration. Results and discussion The plasmonic slot waveguides investigated consist of two metal strips separated by a di- electric slot. Figure 1(a) depicts a cross-sectional sketch for the composite system consisting of a WSe2 monolayer (blue dotted line) covering two metal bars with height h and width w separated by a gap g on top of a SiO2 substrate. The false color scale displays the electric field distribution of the antisymmetric fundamental mode for w = 172 nm, g = 96 nm and h = 75 nm computed using a finite difference eigenmode solver. 35 For this mode, the electric field is maximum at the inner edges of the plasmonic waveguide and the plasmonic field is polarized along the x-axis as indicated by the white arrow. Figure 1(b) shows the coupling efficiency, i.e., the probability of an exciton decaying into the plasmonic modes supplied by the waveguide, as a function of scaling g = w = h. Based on the dipole interaction Hamiltonian, the coupling efficiency scales with Ein−plane2, thus, for small feature sizes, strong light-matter interaction is anticipated due to the high local field enhancement. The coupling efficiency decreases with increasing structure size, becoming negligibly small once g = w = h = 150 nm due to weak confinement of the plasmonic mode. Conversely, the prop- agation length (red curve) increases with increasing physical dimensions of the structure. We optimize the geometry of our slot-waveguide structures to maximize the product of the coupling efficiency and waveguide transmission for a fixed design length of the waveguides of 3 µm, as shown in Fig. 1(c) as a function of gap g. As the extraction efficiency does not 4 Figure 1: Overview of the composite structure. (a) Electric field distribution of the antisym- metric plasmonic mode supported by the slot waveguide system calculated using Lumerical MODE Solution. 35 Labels indicate geometric parameters gap g, width w and height h. White arrow indicates linear polarization orthogonal to the waveguide axis. (b) Geometry dependent trade-off between induced light-matter interaction and propagation length for g = w = h. (c) Combined figure of merit for light extraction from the TMDC monolayer. (d) Optical microscope image of the fabricated plasmonic slot waveguide array covered by a WSe2 monolayer flake. Inset: SEM image of an individual waveguide including outcoupling structures at both ends, scale bar: 0.5 µm. (e) False-color, perspective view of an atomic force microscope (AFM) image of the combined system. Labels indicate SiO2 substrate (light blue), WSe2 monolayer (grey) and slot waveguide (yellow), respectively. Curves (black, red) indicate positions of height profiles displayed in panel (f). (f) AFM profiles of fully covered waveguide location (red) and partially covered waveguide (black). vary strongly with the gap width, we conclude that this geometry is robust with respect to fabrication deviations. More information about the scaling of the geometrical parameters can be found in the Supplementary Materials. Slot waveguide arrays were fabricated on a SiO2 substrate using electron beam lithogra- phy and gold evaporation. Here, we use g = 96 nm, w = 172 nm, h = 75 nm and lengths of 1 µm, 3 µm and 6 µm optimized for extraction efficiency. In a following step, an all-dry transfer of monolayer WSe2 36 is performed to cover large parts of the waveguide array. Fig- 5 WSe2SiO2Au-300-200-1000100200300020406080100Height (nm)X-Position (nm)-5101-400-2000200400-100-50050100150200 X-Position (nm)Y-Position (nm)log (E) (arb. u.)50607080902.83.03.23.43.63.84.04.24.4Extraction Efficiency (%)Gap g (nm)a)b)c)d)10µm501001502002500.00.10.20.30.4Coupling EfficiencyPhysical Parameter (nm)0246810Propagation Length (µm)e)f)AuSiO2AuWSe2hwg ure 1(d) shows an optical microscope image of an array of plasmonic slot waveguides fully covered by a WSe2 monolayer. The scanning electron microscopy (SEM) image in the inset shows a detailed view of a single slot waveguide including outcoupling structures at both ends optimized for enhanced far-field coupling. Figure 1(e) depicts an atomic force microscope (AFM) image of an individual plasmonic waveguide (yellow), partially covered by a WSe2 monolayer (grey). Red and black curves indicate the locations of two height profiles visible in Fig. 1(f). For the left metal slab, both profiles are in good agreement, whereas the right slab is covered and uncovered for the red and the black profile, respectively, resulting in a different edge steepness of the rightmost edge. These observations indicate close adhesion of the monolayer to the underlying metal structures and lead to the expectation of increased tensile strain in the flake close to the waveguide edges. To determine the influence of the plasmonic waveguides on the TMDC monolayer, we con- focally recorded PL from a ca. 3000 µm2 sized region of the samples at cryogenic temperatures (15 K) using HeNe excitation (1.96 eV) with a 2 µW excitation power. Figure 2(a) depicts the spectrally resolved PL intensity averaged over a large region of the sample consisting of both, a pristine WSe2 monolayer and the combined system of plasmonic slot waveguides covered by a WSe2 monolayer. As reported in literature, 37 we observe the neutral (X0), charged (X+) and localized (L) emission peaks. Moreover, a broad low-energy tail below 1.67 eV is visible. Figure 2(b) presents a selection of individual PL spectra, revealing spa- tially strongly localized emission with linewidths between 2 meV and 20 meV distributed in energy between 1.5 eV and 1.67 eV, thereafter referred to as quantum dots (QD). To study the spatial distribution of these QD-like emission lines we simultaneously recorded spatially resolved PL intensity and the reflection of the excitation laser, the latter being displayed in Fig. 2(c). Due to the enhanced reflectivity of the gold plasmonic waveguides, their position is determined by the reflected excitation laser power. Thus, the positions of the individual waveguides could be extracted with high precision clearly reflecting the arrangement defined 6 Figure 2: Statistical analysis of sharp emission lines occurring at the composite flake- waveguide system. (a) Spatially averaged photoluminescence (PL) spectrum of large WSe2 monolayer region, labels indicating the respective known spectral features. (b) Selected PL spectra featuring sharp emission lines at different positions strongly deviating from the aver- age spectrum. (c) Large area confocal PL scan of sample region exhibiting plasmonic waveg- uides. Reflected laser power (red color) indicates the positions of the individual waveguides. Superimposed top part shows precise correlation of recorded power data and high-resolution SEM image. White dots indicate locations with isolated sharp emission lines comparable to panel (b). Shaded rectangles indicate regions ignored in analysis due to fabrication devia- tions. (d) QD density as a function of distance of the emitter from the closest waveguide edge exhibiting significantly enhanced QD density for distances below 0.5 µm (blue). (e) Histogram of number of QDs as a function of degree of polarization measured in H-V basis for QDs closer (farther away) than 0.5 µm in blue (red). 7 2030405060203040506070H-Position (µm)V-Position (µm)Reflected Laser Power (nW)1030507005101520QDs within 0.5µm from Au02550QDs further away from AuNumber of QDs0.00.20.40.60.8Polarisation Ratio RHV0.00.51.01.52.02.50.00.10.20.30.4QD Density (1/µm²)Distance from Gold (µm)a)c)d)e)1.501.551.601.651.701.751.80010002000PL Intensity (cps)Energy (eV)02040600X+XLb)HeNe 2µW15 K during fabrication. To visualize this agreement, the upper part of Fig. 2(c) shows an SEM image of this sample location superimposed onto the reflected laser topography image. All recorded spectra were individually analyzed for sharp emission lines (for details on selection criteria, see Supplementary Materials) and positions featuring at least one sharp emission line are marked by a white pixel on Fig. 2(c). (Shaded rectangles depict regions deviating significantly from the sample design that are ignored to avoid analysis artifacts from fab- rication imperfections.) Figure 2(d) shows a quantitative analysis of the density of sharp emission lines as a function of their respective distance to the closest gold edge. For dis- tances shorter than 0.5 µm, indicated by blue bars of the figure, the density of quantum emitters is found to be significantly enhanced compared to larger distances, shown in red. At its highest value of 0.39 µm−2 the emitter density at the gold edges is enhanced by a factor of (3.3 ± 0.7)× with respect to the average density of (0.11 ± 0.03) µm−2 at distances larger than 0.5 µm. This enhanced emitter density close to gold structures indicates that the formation probability is closely related to the substrate topography, in good agreement with the findings of previous studies. 21–23 Reference to Fig. 2(c) also shows that the posi- tions at the waveguide ends exhibit a locally enhanced emitter density which we attribute to the formation of a two-dimensional strain profile, whereas along the waveguide, the strain profile is mainly dominated by one-dimensional strain reducing the probability for full ex- citon confinement (see Supplementary Materials). Therefore, the observed emitter density enhancement presented underestimates the structural influence of the waveguide, providing a lower bound. When performing confocal PL measurements, we simultaneously recorded polarization- resolved spectra with the detection polarization along the waveguide axis (H-polarization), and orthogonal to the axis (V-polarization). Thus, we define a polarization ratio RHV = for each measured discrete emitter in H-V basis. Figure 2(d) shows a histogram of the IV −IH IV +IH distribution of measured polarization ratios. The top panel in red shows the distribution of polarization ratio for emitters located ≥0.5 µm away from the closest gold edge, correspond- 8 ing to the data set indicated in red in panel (c). The distribution shows a clear maximum around zero and is significantly reduced for higher polarization ratios indicating that emitters forming far from plasmonic waveguides are predominantly linearly unpolarized. The bottom panel of Fig. 2(d) depicts the polarization ratio distribution for emitters close to the gold structures. Conversely, the distribution of polarization ratio is found to decrease slowly for increasing polarization ratio revealing that the polarization is enhanced for emitters close to the plasmonic structures with respect to their unperturbed counterparts. This is consistent with the linear polarization supported by the plasmonic modes, thus, emitters coupling to these modes are expected to reflect this polarization. In addition, both directions H and V coincide with the edges of the plasmonic waveguides and as known from literature, 21 the emission polarization is defined by the external strain fields. Both contributions underpin the role of the waveguide in the formation of proximal localized emitters. We continue to present a thorough characterization of a single (typical) quantum emitter. Figure 3(a) depicts a confocally recorded low-temperature PL spectrum at the end of a 3 µm long plasmonic waveguide excited by 2 µW of HeNe laser power. The spectrum exhibits a single sharp and spectrally well-isolated emission line and some residual defect PL, indicating the presence of just a single emitter at this position. The inset shows the spectral line shape of this emitter and a Lorentzian fit to the data, from which we extract the time integrated linewidth to be (2.64 ± 0.02) meV. Figure 3(b) shows polarization dependent PL intensity and a fit to the data using Maulus' law for comparison to the statistical data presented in Fig. 2(d). We extract the degree of linear polarization Imax−Imin of 85.7 % and clearly Imax+Imin observe that the principal polarization axis is oriented along V, i.e., perpendicular to the long waveguide axis, as indicated in orange in the same panel. This is consistent with the enhanced polarization ratio discussed in Fig. 2(d), indicating that this particular emitter has formed due to the topography provided by the underlying waveguide. To perform time-resolved PL measurements we employed a pulsed laser diode sending 1 µW CW-equivalent power onto the sample with a pulse duration of ∼90 ps, at a repetition rate of 40 MHz. The resulting time- 9 Figure 3: Characterization of an individual emitter at the waveguide end. (a) Typical spec- trum of a single sharp emission line. Inset: Zoomed spectrum including Lorentzian fit (red) to the raw data (black). (b) Detection polarization measurement of previous emitter (black data points) and sin2 fit (red) revealing a degree of linear polarization of 85.7 % orthogonal to the waveguide axis (orange sketch). (c) Time resolved PL measurement indicating QD lifetime of (1.07 ± 0.01) ns and corresponding bi-exponential fit (red). (d) Second-order cor- relation function obtained from confocal PL measurement in pulsed excitation. Black bars indicate signal binned to the repetition frequency of 40 MHz yielding g(2)(0) = 0.42, raw data in grey. resolved PL intensity is plotted in Fig. 3(c) on a semi-logarithmic scale. A bi-exponential decay fit to the raw data reveals the dominant emitter lifetime of (1.07 ± 0.01) ns and a second weaker contribution with a lifetime of (3.5 ± 0.1) ns. To test the photon statistics 10 1.61.71.80246PL Intensity (kcps)Energy (eV)1.621.631.641.650246PL Intensity (kcps)Energy(eV)402002040Integrated PL Intensity (kcps)Correlated Counts (arb. u.)-100-500501000.00.51.0g(2)Time (ns)-100-500501000102030Correlation CountsTime (ns)1.551.601.651.701.751.800.00.51.01.52.02.53.03.5PL Intensity (kcps)Energy (eV)HV15 Ka)c)b)d)-202468101214100101102103104Time (ns) we performed a second-order correlation function measurement using a Hanbury-Brown and Twiss setup with confocal detection shown in Fig. 3(d). As is clearly visible from the data, the peak for zero delay time shows a significantly reduced number of correlations, indicating sub-Poissonian photon statistics consistent with the model that the emission line is due to a single emitter. To enhance visibility, the individual peaks are binned and displayed as a histogram in black. Subsequent comparison of the peak areas results in a g(2)(0) = 0.42, proving the single photon nature of emission from this QD. Figure 4: Coupling between single emitter and plasmonic slot waveguide. (a) Confocal PL spectrum recorded at the QD position (black curve). The red spectrum recorded with excitation centered on the QD position, detection located at the remote end of the plasmonic slot waveguide. (b) Detected PL signal integrated over highlighted area in (a) as a function of detection position along the waveguide, zero indicating confocal measurement. Initial spatial decay of PL intensity in agreement with detection spot size, increase of PL intensity at 3 µm consistent with identical waveguide length. (c) Power dependent measurement for confocal and plasmon-mediated configuration in black and red, respectively. We continue to explore the interaction between single emitters and the plasmonic waveg- uides. The top panel in Fig. 4(a) depicts a low-temperature PL spectrum recorded in the confocal geometry, located at the end of a 3 µm long waveguide. The spectrum shows PL in- tensity from X0 and X +, as well as several sharp emissions lines visible at lower energy. Here we concentrate on the brightest emission line, labelled A on the figure, centered at 1.572 eV. By fixing the excitation laser to the emitter position and moving the detection position to the remote end of the waveguide, we recorded the non-confocal PL intensity depicted in the lower panel in Fig. 4(a). Here, the measured intensity is greatly reduced due to the spatial separation between excitation and detection positions, yet, the remaining sharp emission line 11 -2-101234102103104Integrated Intensity (cps)Detection Position (µm)-2-101234102103104Integrated Intensity (cps)Detection position (µm)0200400600800PL Intensity (cps)1.61.71.8010203040Energy (eV)0.010.1110102103104Integrated Intensity (cps)Power (µW)a)b)c)0X+XA at 1.572 eV shows an identical spectral footprint to the confocal spectrum. This observation indicates that the QD located at the other end of the waveguide couples directly to the plasmonic mode of the waveguide. To prove this expectation, Fig. 4(b) shows the spectrally integrated PL intensity within the shaded region denoted on panel (a) as a function of de- tection position along the waveguide axis with the excitation position fixed to the emitter location. Position zero corresponds to the confocal measurement geometry exhibiting the highest PL intensity. When moving the detection position away from the confocal geom- etry, the PL intensity decreases with a spatial Gaussian decay length of (1.52 ± 0.02) µm consistent with the detection spot size of 1.58 µm. However, at a separation of 3 µm, a sig- nificant increase in PL intensity is observed consistent with scattering of plasmons into the far-field by the out-couplers at the end of the 3 µm long plasmonic waveguide. Due to the spectrally identical PL signature and the re-appearance of the PL signal at the far end of the waveguide, we conclude that the emitter located at one end of the waveguide spontaneously emits into far-field modes as well as into propagating surface plasmon polaritons guided by the plasmonic waveguide. To gain insight into the coupling efficiency, Fig. 4(c) shows power-dependent measurements performed at both ends of the waveguide - detected either confocally (black curve) or from the remote end of the plasmonic waveguide (red curve). For low-power HeNe laser excitation, the intensity of both datasets scales with the incident power with an exponent of 0.83 ± 0.01 and 0.81 ± 0.02, respectively, and both saturate at higher excitation powers as expected for single photon emitters, for excitation powers higher than 6.7 µW. This agreement of both quantities strongly indicates that both measurements address the same quantum emitter. In the confocal geometry, the saturation count rate of 1.65 kHz is 19.5× the saturation count rate of 0.85 kHz in non-confocal detection. In principle, the ratio of the saturation intensities for the measurements presented in Fig. 4(c) can be used as a measure for the extraction efficiency of the emitter PL through the waveguide. However, both the far-field radiation pattern and the coupling efficiency to the waveguide are exceptionally sensitive to the precise position of the emitter with respect to the 12 Figure 5: Lifetime and location statistics on emitters coupled to plasmonic waveguides (a) Decay lifetime measurements on coupled (uncoupled) emitters in black (red), red dotted line indicating average lifetime of (14 ± 3) ns for uncoupled emitters. Black dashed line indicates IRF time resolution limit. (b) Concurrent high-resolution PL (green) and laser reflectivity (blue) scan revealing exact relative position of waveguide and QD A, discussed in Fig. 4. QD position fitted by two-dimensional Gaussian fit and waveguide extracted from SEM data outlined in black and white, respectively. (c) Simulation of the distribution of Ein−plane2 for excited plasmonic waveguide end proportional to the plasmonic coupling efficiency. Location of coupled emitters from panel (a) indicated in white. plasmonic waveguide. Therefore, the coupling between the emitters and the corresponding waveguides is assessed via the effective Purcell factor FP = Γcoupled Γuncoupled by measuring the decay lifetime of the emitters. Since the emitters examined in this manuscript are induced by the underlying topography of the waveguide, and, thus, cannot be investigated emitting purely into vacuum photonic modes, their lifetime is compared to the average lifetime of several (N = 6) emitters located far away from a plasmonic waveguide. In Fig. 5(a), we present the decay times of 5 (6) coupled (reference) dots plotted with the black (red) data points. The average lifetime of the reference quantum dots is found to be (14 ± 3) ns. The Purcell factors of the five waveguide coupled emitters shown in Fig. 5(a), relative to the average decay rate for uncoupled centers, are calculated to vary between 1.9 ± 0.4 and 15 ± 3, where the emitter presented in Fig. 4 exhibits a lifetime of (7.4 ± 0.1) ns corresponding to a Purcell factor of FP = 1.9 ± 0.4. Emitter lifetimes reported in the literature for localized excitons in WSe2 vary strongly from study to study 38 depending on the nature of the emission center and details of the local exciton confinement potential. 13 V-Position (µm)H-Position (µm)minmax-0.50.00.51.0-0.50.00.51.0b)c)a)12345123456110Emitter NumberLifetime (ns)coupled emittersuncoupled emittersIRF limit-400-2000200400Ein-plane2-400-2000200400V-Position (nm)H-Position (nm)minmaxPL Intensity (cps)Reflected Laser Power (nW) To elucidate the impact of the positioning of emitters relative to the waveguide on the coupling efficiency, we determined the position of the emitter analyzed in Fig. 4 with a precision <50 nm by recording its point spread function and that of the waveguide via laser reflectivity. The green color map in Fig. 5(b) shows the result using a step size of only 50 nm. We simultaneously monitor the reflected laser power illustrated by the blue colormap and by fitting extract the position of the waveguide (white curve). The black contour lines indicate the results of a Gaussian fit to the PL intensity data, determining the most likely emitter position relative to the waveguide end (H, V ) = (0, 0) to be ∆H = (210 ± 10) nm and ∆V = (−50 ± 10) nm. Further details on the fitting procedures are provided in Supplementary Materials. Clearly, the emitter is fortuitously positioned relative to the waveguide, such as to allow coupling into the plasmonic mode (Fig. 4), but it is also not maximally overlapping with the local plasmonic field, thus accounting for the relatively low measured Purcell factor of 1.9 ± 0.4. Figure 5(c) shows the extracted relative positions for the other coupled emitters intro- duced in panel (a), the error bars indicate the 2σ Gaussian fit error of the positioning. The waveguide location is indicated by the white dotted line. The false color data depicts the in-plane electric field intensity, expected to be proportional to the emission rate into the plasmonic mode for polarization-averaged emitters. This field distribution varies strongly over length scales of only a few nm and the emitters are distributed around the out-coupling structure. Consequently, the calculated normalized coupling efficiencies for the marked emit- ters are distributed between 8 × 10−5 and 0.006. In our measurement, this is reflected by a large spread in the lifetime from 1 ns to 7 ns. Furthermore, it is apparent that none of the measured emitters is located at an absolutely optimum location to produce maximum coupling efficiency. Even the highest of the calculated emission rates is a factor of ∼ 160 smaller than the corresponding rate for the optimum position, indicating that the measured Purcell factors of up to 15 ± 3 could still increase further. The best-case scenario could be approached by exerting more control during strain engineering to ensure that emitters are 14 preferentially created closer to the optimum positions. Furthermore, additional enhance- ments of the plasmonic coupling could be achieved by improving the emitter quality, e.g. by encapsulation in hexagon boron nitride which has been demonstrated to reduce non-radiative processes for free 39–41 and bound excitons. 16 Summary In summary, we have characterized the emissive properties of an atomically thin layer of WSe2 exfoliated on top of a plasmonic slot waveguide. PL measurements performed at cryogenic temperature revealed the presence of strongly localized excitons with emission linewidths between 2 meV and 20 meV, mostly concentrated around the outcoupling struc- ture of the waveguide. The density of emitters was found to be increased by a factor of at least (3.3±0.7)× at positions where the strain field in the flake is high due to the topography of the underlying waveguide structure. Single-photon emission from these emitters is demon- strated by autocorrelation measurements yielding g(2)(0) = 0.42. Finally, the observation of identical spectral features and power dependence of luminescence at both ends of the waveg- uide confirms coupling to the plasmonic mode. This hybrid nano-photonic device is, thus, capable of generating and routing single photons and plasmons at the nanoscale. Lifetime measurements show Purcell factors between 1.9 ± 0.4 and 15 ± 3 and numerical assessment of the theoretical coupling rates indicates strong potential for further optimization by strain engineering. Acknowledgement We gratefully acknowledge financial support from the DFG via the German Excellence Ini- tiative via NIM, as well as support of the Technische Universitat Munchen (TUM) - Institute for Advanced Study, funded by the German Excellence Initiative and the TUM International Graduate School of Science and Engineering (IGSSE). J.C. is supported by nsf-dmr1410599 15 and the Visiting Professor Program from the Bavarian State Ministry for Science, Research & the Arts. Author contributions statement M.K., J.J.F and M.B. designed the study. O.H. designed and fabricated the waveguide structures, M.P. exfoliated and transferred monolayers. M.B. built the optical setup and together with M.J. conducted optical measurements and performed the data analysis with support by J.C. and G.V.; O.H., M.J. and M.B. implemented FDE and FDTD simulations. All authors discussed the results. M.B. and G.V. wrote the manuscript with contributions from all other authors. J.J.F. and M.K. inspired and supervised the project. References (1) Schaller, R. R. Moore's law: past, present and future. Spectrum, IEEE 1997, 34, 52–59. (2) Ha, D. et al. Highly manufacturable 7nm FinFET technology featuring EUV lithogra- phy for low power and high performance applications. 2017, T68–T69. (3) Wu, S.-Y. et al. A 7nm CMOS platform technology featuring 4th generation FinFET transistors with a 0.027um 2 high density 6-T SRAM cell for mobile SoC applications. 2016, 2–6. (4) Kish, L. B. End of Moore's law: thermal (noise) death of integration in micro and nano electronics. Physics Letters A 2002, 305, 144–149. (5) Ozbay, E. Plasmonics: merging photonics and electronics at nanoscale dimensions. Science 2006, 311, 189–193. (6) Sorger, V. J.; Oulton, R. F.; Ma, R.-M.; Zhang, X. Toward integrated plasmonic cir- cuits. MRS Bulletin 2012, 37, 728–738. 16 (7) Heuring, V. P.; Jordan, H. F.; Pratt, J. P. Bit-serial architecture for optical computing. Applied Optics 1992, 31, 3213. (8) Nozaki, K.; Tanabe, T.; Shinya, A.; Matsuo, S.; Sato, T.; Taniyama, H.; Notomi, M. Sub-femtojoule all-optical switching using a photonic-crystal nanocavity. Nature Pho- tonics 2010, 4, 477–483. (9) Aharonovich, I.; Englund, D.; Toth, M. Solid-state single-photon emitters. Nature Pho- tonics 2016, 10, 631–641. (10) Splendiani, A.; Sun, L.; Zhang, Y.; Li, T.; Kim, J.; Chim, C.-Y.; Galli, G.; Wang, F. Emerging Photoluminescence in Monolayer MoS2. Nano Letters 2010, 10, 1271–1275. (11) Mak, K. F.; Lee, C.; Hone, J.; Shan, J.; Heinz, T. F. Atomically thin MoS2: a new direct-gap semiconductor. Physical Review Letters 2010, 105, 136805. (12) Ugeda, M. M.; Bradley, A. J.; Shi, S.-F.; da Jornada, F. H.; Zhang, Y.; Qiu, D. Y.; Ruan, W.; Mo, S.-K.; Hussain, Z.; Shen, Z.-X.; Wang, F.; Louie, S. G.; Crommie, M. F. Giant bandgap renormalization and excitonic effects in a monolayer transition metal dichalcogenide semiconductor. Nature Materials 2014, 13, 1091–1095. (13) Chernikov, A.; Berkelbach, T. C.; Hill, H. M.; Rigosi, A.; Li, Y.; Aslan, O. B.; Reich- man, D. R.; Hybertsen, M. S.; Heinz, T. F. Exciton binding energy and nonhydrogenic Rydberg series in monolayer WS2. Physical Review Letters 2014, 113, 076802. (14) Amani, M. et al. Near-unity photoluminescence quantum yield in MoS2. Science 2015, 350, 1065–1068. (15) Rosner, M.; Steinke, C.; Lorke, M.; Gies, C.; Jahnke, F.; Wehling, T. O. Two- dimensional heterojunctions from nonlocal manipulations of the interactions. Nano Letters 2016, 16, 2322–2327. 17 (16) Tonndorf, P.; Schmidt, R.; Schneider, R.; Kern, J.; Buscema, M.; Steele, G. A.; Castellanos-Gomez, A.; van der Zant, H. S. J.; Michaelis de Vasconcellos, S.; Brats- chitsch, R. Single-photon emission from localized excitons in an atomically thin semi- conductor. Optica 2015, 2, 347. (17) Chakraborty, C.; Kinnischtzke, L.; Goodfellow, K. M.; Beams, R.; Vamivakas, A. N. Voltage-controlled quantum light from an atomically thin semiconductor. Nature Nan- otechnology 2015, 10, 507–511. (18) He, Y.-M.; Clark, G.; Schaibley, J. R.; He, Y.; Chen, M.-C.; Wei, Y.-J.; Ding, X.; Zhang, Q.; Yao, W.; Xu, X.; Lu, C.-Y.; Pan, J.-W. Single quantum emitters in mono- layer semiconductors. Nature Nanotechnology 2015, 10, 497–502. (19) Koperski, M.; Nogajewski, K.; Arora, A.; Cherkez, V.; Mallet, P.; Veuillen, J.-Y.; Marcus, J.; Kossacki, P.; Potemski, M. Single photon emitters in exfoliated WSe2 structures. Nature Nanotechnology 2015, 10, 503–506. (20) Srivastava, A.; Sidler, M.; Allain, A. V.; Lembke, D. S.; Kis, A.; Imamoglu, A. Optically active quantum dots in monolayer WSe2. Nature Nanotechnology 2015, 10, 491–496. (21) Kern, J.; Niehues, I.; Tonndorf, P.; Schmidt, R.; Wigger, D.; Schneider, R.; Stiehm, T.; Michaelis de Vasconcellos, S.; Reiter, D. E.; Kuhn, T.; Bratschitsch, R. Nanoscale po- sitioning of single-photon emitters in atomically thin WSe2. Advanced Materials 2016, 28, 7101–7105. (22) Palacios-Berraquero, C.; Kara, D. M.; Montblanch, A. R.-P.; Barbone, M.; Latawiec, P.; Yoon, D.; Ott, A. K.; Loncar, M.; Ferrari, A. C.; Atature, M. Large-scale quantum- emitter arrays in atomically thin semiconductors. Nature Communications 2017, 8, 15093. (23) Branny, A.; Kumar, S.; Proux, R.; Gerardot, B. D. Deterministic strain-induced arrays 18 of quantum emitters in a two-dimensional semiconductor. http://arxiv.org/pdf/ 1610.01406v1. (24) Di Xiao,; Liu, G.-B.; Feng, W.; Xu, X.; Yao, W. Coupled spin and valley physics in monolayers of MoS2 and other group-VI dichalcogenides. Physical Review Letters 2012, 108 . (25) Cao, T.; Wang, G.; Han, W.; Ye, H.; Zhu, C.; Shi, J.; Niu, Q.; Tan, P.; Wang, E.; Liu, B.; Feng, J. Valley-selective circular dichroism of monolayer molybdenum disul- phide. Nature Communications 2012, 3, 172. (26) Mak, K. F.; He, K.; Shan, J.; Heinz, T. F. Control of valley polarization in monolayer MoS2 by optical helicity. Nature Nanotechnology 2012, 7, 494–498. (27) Zeng, H.; Dai, J.; Yao, W.; Di Xiao,; Cui, X. Valley polarization in MoS2 monolayers by optical pumping. Nature Nanotechnology 2012, 7, 490–493. (28) Sallen, G.; Bouet, L.; Marie, X.; Wang, G.; Zhu, C. R.; Han, W. P.; Lu, Y.; Tan, P. H.; Amand, T.; Liu, B. L.; Urbaszek, B. Robust optical emission polarization in MoS2 monolayers through selective valley excitation. Physical Review B 2012, 86 . (29) Born, M.; Wolf, E.; Bhatia, A. B. Principles of optics: Electromagnetic theory of prop- agation, interference and diffraction of light, 7th ed.; Cambridge Univ. Press: Cam- bridge, 2016. (30) Goodfellow, K. M.; Beams, R.; Chakraborty, C.; Novotny, L.; Vamivakas, A. N. Inte- grated nanophotonics based on nanowire plasmons and atomically thin material. Optica 2014, 1, 149. (31) Lee, H. S.; Kim, M. S.; Jin, Y.; Han, G. H.; Lee, Y. H.; Kim, J. Efficient exciton-plasmon conversion in Ag nanowire/monolayer MoS2 hybrids: direct imaging and quantitative 19 estimation of plasmon coupling and propagation. Advanced Optical Materials 2015, 3, 943–947. (32) Zhu, Z. et al. Excitonic resonant emission–absorption of surface plasmons in transi- tion metal dichalcogenides for chip-level electronic–photonic integrated circuits. ACS Photonics 2016, 3, 869–874. (33) Cai, T.; Dutta, S.; Aghaeimeibodi, S.; Yang, Z.; Nah, S.; Fourkas, J. T.; Waks, E. Coupling emission from single localized defects in two-dimensional semiconductor to surface plasmon polaritons. Nano Letters 2017, 17, 6564–6568. (34) Tonndorf, P.; Del Pozo-Zamudio, O.; Gruhler, N.; Kern, J.; Schmidt, R.; Dmitriev, A. I.; Bakhtinov, A. P.; Tartakovskii, A. I.; Pernice, W.; Michaelis de Vasconcellos, S.; Brats- chitsch, R. On-chip waveguide coupling of a layered semiconductor single-photon source. Nano Letters 2017, 17, 5446–5451. (35) Lumerical Solutions Inc., Lumerical MODE Solutions. https://www.lumerical.com/ tcad-products/mode/. (36) Castellanos-Gomez, A.; Buscema, M.; Molenaar, R.; Singh, V.; Janssen, L.; van der Zant, H. S. J.; Steele, G. A. Deterministic transfer of two-dimensional materials by all-dry viscoelastic stamping. 2D Materials 2014, 1, 011002. (37) Jones, A. M.; Yu, H.; Ghimire, N. J.; Wu, S.; Aivazian, G.; Ross, J. S.; Zhao, B.; Yan, J.; Mandrus, D. G.; Di Xiao,; Yao, W.; Xu, X. Optical generation of excitonic valley coherence in monolayer WSe2. Nature Nanotechnology 2013, 8, 634–638. (38) Tripathi, L. N.; Iff, O.; Betzold, S.; Dusanowski, (cid:32)L.; Emmerling, M.; Moon, K.; Lee, Y. J.; Kwon, S.-H.; Hofling, S.; Schneider, C. Spontaneous Emission Enhance- ment in Strain-Induced WSe2 Monolayer-Based Quantum Light Sources on Metallic Surfaces. ACS Photonics 2018, 5, 1919–1926. 20 (39) Ajayi, O. A.; Ardelean, J. V.; Shepard, G. D.; Wang, J.; Antony, A.; Taniguchi, T.; Watanabe, K.; Heinz, T. F.; Strauf, S.; Zhu, X. Approaching the intrinsic photolumi- nescence linewidth in transition metal dichalcogenide monolayers. 2D Materials 2017, 4, 031011. (40) Cadiz, F.; Courtade, E.; Robert, C.; Wang, G.; Shen, Y.; Cai, H.; Taniguchi, T.; Watan- abe, K.; Carrere, H.; Lagarde, D. Excitonic Linewidth Approaching the Homogeneous Limit in MoS 2-Based van der Waals Heterostructures. Physical Review X 2017, 7, 021026. (41) Wierzbowski, J.; Klein, J.; Sigger, F.; Straubinger, C.; Kremser, M.; Taniguchi, T.; Watanabe, K.; Wurstbauer, U.; Holleitner, A. W.; Kaniber, M. Direct exciton emission from atomically thin transition metal dichalcogenide heterostructures near the lifetime limit. Scientific Reports 2017, 7, 12383. 21
1106.6182
1
1106
2011-06-30T10:59:58
Dark-bright mixing of interband transitions in symmetric semiconductor quantum dots
[ "cond-mat.mes-hall" ]
In photoluminescence spectra of symmetric [111] grown GaAs/AlGaAs quantum dots in longitudinal magnetic fields applied along the growth axis we observe in addition to the expected bright states also nominally dark transitions for both charged and neutral excitons. We uncover a strongly non-monotonous, sign changing field dependence of the bright neutral exciton splitting resulting from the interplay between exchange and Zeeman effects. Our theory shows quantitatively that these surprising experimental results are due to magnetic-field-induced \pm 3/2 heavy-hole mixing, an inherent property of systems with C_3v point-group symmetry.
cond-mat.mes-hall
cond-mat
Dark-bright mixing of interband transitions in symmetric semiconductor quantum dots G. Sallen1, B. Urbaszek1,∗ M. M. Glazov2, E. L. Ivchenko2, T. Kuroda3, T. Mano3, S. Kunz1, M. Abbarchi3, K. Sakoda3, D. Lagarde1, A. Balocchi1, X. Marie1, and T. Amand1 1Universit´e de Toulouse, INSA-CNRS-UPS, LPCNO, 135 Av. Rangueil, 31077 Toulouse, France 2Ioffe Physical-Technical Institute RAS, 194021 St.-Petersburg, Russia and 3National Institute for Material Science, Namiki 1-1, Tsukuba 305-0044, Japan (Dated: July 18, 2018) In photoluminescence spectra of symmetric [111] grown GaAs/AlGaAs quantum dots in longitu- dinal magnetic fields applied along the growth axis we observe in addition to the expected bright states also nominally dark transitions for both charged and neutral excitons. We uncover a strongly non-monotonous, sign changing field dependence of the bright neutral exciton splitting resulting from the interplay between exchange and Zeeman effects. Our theory shows quantitatively that these surprising experimental results are due to magnetic-field-induced ±3/2 heavy-hole mixing, an inherent property of systems with C3v point-group symmetry. PACS numbers: 72.25.Fe,73.21.La,78.55.Cr,78.67.Hc Keywords: Quantum dots, optical selection rules The search of methods to generate and manipulate en- tangled quantum states is one of the driving forces be- hind experimental physics on the nano-scale. The initial proposal to use the exciton-biexciton cascade in quantum dots to generate entangled photon pairs [1] relies on sym- metric dots where the neutral exciton X0 states are de- generate, i.e. have zero fine structure splitting δ1 induced by anisotropic electron-hole Coulomb exchange. As in practice δ1 6= 0 in the majority of quantum dot systems [2 -- 4], very inventive research has been developed trying to tune the fine structure splitting to zero with original techniques [5 -- 8]. An alternative, recent approach is to use samples grown along the z ′ k [111] crystallographic axis, which is also the orientation of most nano-wires [9]. This growth axis has the advantage of providing microscopically identical interfaces for quantum well or dot structures, resulting in C3v point symmetry. Hence, small fine structure splittings in as grown [111] quantum dot structures have been recently predicted [10, 11] and observed [12 -- 14] followed by a first report of photon en- tanglement [15]. In the commonly studied dot samples grown along z k [001] axis, the exact nature and symmetry of the X0 and charged exciton states is deduced from experi- ments in longitudinal magnetic fields i.e. parallel to the growth axis. These studies made crucial contributions to the development of quantum dot photonics and spin physics [3, 4, 16] . Here we study the effect of a longitudi- nal magnetic field B k z ′ k [111] in strain free [111] grown 6= 0 we observe four emis- GaAs quantum dots. In Bz ′ sion lines, as two nominally dark transitions emerge in addition to the usual bright Zeeman doublet for charged excitons and X0 of all quantum dots investigated. Our measurements show that the heavy hole states with spin ∗Corresponding author : [email protected] X- BZ'= 0T 2k (b) X+ 2k (a) X0 10k (c) ) s t n u o c ( i n o s s m e i L P ) V e m ( y g r e n E 0 3k (d) -+ BZ'= 5T 0 3k (e) 0 10k (f) 0 1.8032 1.8036 0 1.8095 1.8100 0 1.8100 1.8105 (g) + - 0.5 E0 X- 0 5 Emission Energy (eV) (h) 0.5 E0 X+ 0 10 0.5 (i) E0 X0 5 10 0 5 10 applied longitudinal field Bz' (T) (Color online): (a)-(c) single dot PL spectra at FIG. 1: (d)-(f) single dot PL spectra at Bz′ = 5T , for Bz′ = 0. σ− (black line/solid squares) and σ+ (red line/circles) (g)-(i) transition energies as a function of Bz′ , for σ−: dark (black hollow squares) and bright (black circles) and σ+: (red hollow squares) and bright (red circles). Data are shown for QD I. projections +3/2 or −3/2 onto the growth axis z ′ are coupled in a longitudinal magnetic field. The resulting appearance of forbidden charged exciton and dark X0 transitions due to hole mixing is an inherent feature of [111] grown dots and is not related to a symmetry low- ering, of the confinement potential or due to strain, as in [001] grown dots [3, 4]. We are able to measure the dark-bright X0 separation and observe a strongly non- monotonous bright X0 splitting that changes sign as a function of Bz ′ due to the competition between isotropic electron-hole Coulomb exchange and the Zeeman inter- actions. We explain all these intriguing findings by a microscopic model of the Zeeman interaction accounting for C3v point symmetry of the studied quantum dots. The key ingredient of our theory is to include the cu- bic terms for the hole hamiltonian [17, 18] going beyond the commonly used spherical approximation. We extract sign and magnitude of electron and hole g factors for this new system. The sample was grown by droplet epitaxy using a con- ventional molecular beam epitaxy system [12, 19, 20] on a GaAs(111)A substrate. The dots are grown on 100nm thick Al0.3Ga0.7As barriers and are covered by 50nm of the same material. There is no continuous wetting layer in the sample connecting the dots (typical height ≃3nm, radius ≃15nm), see details in [12]. Single dot photolu- minescence (PL) at 4K is recorded with a home build confocal microscope with a detection spot diameter of ≃ 1µm. The detected PL polarization is analysed and the signal is dispersed by a spectrometer and detected by a Si-CCD camera. Optical excitation is achieved by pumping the AlGaAs barrier with a HeNe laser at 1.96 eV that is linearly polarized to exclude the effects of optical carrier orientation and dynamic nuclear polarization [21]. Figure 1a-c shows the different emission lines originat- ing from a typical quantum dot QD I at zero magnetic field. The X0, the negatively charged exciton X− (2 elec- trons, 1 hole) and the positively charged exciton X+ (1 electron, 2 holes) are identified using fine structure anal- ysis and optical orientation experiments [21]. The high symmetry of the dots is reflected in typical values for the splitting of the X0 emission due to anisotropic electron- hole exchange δ1 of a few µeV [12], extracted from angle dependent PL polarization analysis in the linear basis. In figure 1d-f the σ+ and σ− polarized emission from the same exciton states are presented in the presence of a longitudinal magnetic field Bz ′ = 5T . We first discuss charged excitons X+ and X−, whose emission energies are shown in Fig. 1g,h. In contrast to the widely studied [001] grown samples, where a Zeeman doublet is observed, with one σ+ and one σ− polarized branch [3, 19, 20, 22] here the emission patterns are strikingly different: We observe in total four transitions, two of them are σ+ polarized, and two others are σ− polarized. For each polarization, the more intense emission line will be called "bright", the less intense "dark" in the following. The emission of two doublets is observed for the X+ and the X− exciton of all the dots studied as soon as Bz ′ > 0 in this sample, see Fig. 1g,h. The measured ratio of the emission inten- sity bright /dark transitions remains constant as Bz ′ changes (not shown). We also note the appearance of dark states for the X0 emission in Fig. 1f,i. For typically Bz ′ > 2T we are able to detect that the bright X0 emission is accompa- nied by less intense lines at δ0 ≃ 350µeV lower in energy. This energy separation δ0 is due to isotropic electron- 2 FIG. 2: (Color online): (a)-(c) PL spectra in σ+/− polariza- tions of bright X0 for different Bz′ . (d) bright X0 Zeeman splitting E(σ−) − E(σ+) vs Bz′ : experiment (circles), the- ory (black line), theoretical value of total splitting including δ1 = 11µeV (dotted red line); (e)-(g) calculated spectra. Pan- els (a)-(g) correspond to QD I. (h) as (d) but for QD II. hole exchange which splits bright and dark states. Pre- viously, dark X0 states have been observed generally for dots grown along the [001] axis either in high transverse magnetic fields (Voigt geometry) [23] or exceptionally in high longitudinal magnetic fields for dots with lowered symmetry [3, 22]. In the dots grown along [111] investi- gated here the dark X0 is clearly visible for all dots in this sample in the Faraday configuration, even for highly symmetric dots with vanishing δ1. Another surprising feature of the X0 emission is shown in Fig. 1i and analyzed in detail in Fig. 2a-c. At 2T, the σ+ polarized branch is at higher energy, at 4.5T both σ+ and σ− emission coincide in energy and at Bz ′ > 4.5T the σ+ is finally at lower energy. So the Zeeman split- ting versus Bz ′ is first tending towards negative values, before decreasing in amplitude to pass through zero at B0 z ′ ≃ 4.5T to finally become positive. For dots showing this reversal in sign for the Zeeman splitting, the exact value of B0 z ′ varies from dot to dot. The Zeeman split- ting extracted from the spectra following the procedure of Ref. [21] is plotted in Fig. 2d and clearly demonstrates the change in sign. The evolution of the bright X0 splitting varies dramat- ically from dot to dot: For QD II which has at Bz ′ = 0 very similar emission characteristics to QD I (transition energy, exciton states, values of g factors and exchange 3 where κ and q are dimensionless coefficients, Jx, Jy and Jz are the angular momentum matrices in the Γ8 ba- sis. Transition in Eq. (2) to the coordinate system x′, y′, z ′ gives the relations between the pairs of coef- ficients in Eqs. (1) and (2): gh1 = −[6κ + (23/2)q], gh2 = 2√2q. In bulk semiconductors the coefficient q is too small [18] to be responsible for high values of gh2. However, in low-dimensional systems the hole g fac- tors are very sensitive to the strength and shape of the confining potential. Particularly, an important contri- bution to gh2 could be given by valence-band spin-orbit terms cubic in wave vector k. The relevant contribution ∝ J 3 z ) + . . . to the hole Hamiltonian [26] can be recast as Hv3 = A(3)(J 3 y ′}) Im(kx′ − iky ′ )3 where the curly brackets mean the anticommutator [17]. Replacing k by k − (eA/c) with A being the vector potential of the magnetic field we obtain x′ − 3{Jx′J 2 y − k2 xkx(k2 FIG. 3: (Color online) Left: Bright and dark states for QD III vs Bz′ . Right: Scheme of recombination of X+ with mixed hole states, see Eq. 1. gh2 = −18 m0A(3) 2 *(cid:18) ∂ ∂x′ − i ∂ ∂y ′(cid:19)2 (x′ − iy ′)+ , (3) energies) we record a splitting that is always positive and does not change sign, see Fig. 2h. The absolute value of the Zeeman splitting at Bz ′ = 9 Tesla is a factor of three higher in QD II than in QD I. Both dots QD I and QD II show prominent dark state emission and for both dots the dark state Zeeman splitting is a monotonous function of Bz ′, as shown in Fig. 1i for QD I. At the origin of all these surprising effects lies the magnetic field induced mixing between the heavy hole states with the angular momentum projection ±3/2 onto the growth axis z ′. Let us introduce the coordinate system x′ k [11¯2], y′ k [¯110] and z ′ k [111] relevant for the structure under study and the heavy-hole basis functions 3/2i′, − 3/2i′ which transform according to the representation Γ5 + Γ6, where Γ5,6 are irreducible representations of the group C3v. It is crucial to note that the symmetry properties of the field Bz ′ are de- scribed by the representation Γ2 and the direct product (Γ5 + Γ6) × (Γ∗ 6) = 2Γ1 + 2Γ2 contains not one, but two representations Γ2. As a result the heavy-hole Zee- man splitting in the basis 3/2i′,− 3/2i′ is described by the 2×2 matrix with two linearly independent coefficients (1) 5 + Γ∗ HB = 1 2 µBBz′(cid:20) gh1 gh2 −gh1 (cid:21) . gh2 Here µB is the Bohr magneton, gh1 and gh2 are the effective hole g-factors. We emphasize that the above arguments hold for heavy holes in a system of any di- mensionality nD (n = 0...3) provided its symmetry is trigonal, including an exciton formed in bulk Germa- nium by an electron in the L-valley and a Γ+ 8 hole. [24] In contrast, [001] grown structures, the longitudinal-field induced mixing of heavy holes is symmetry-forbidden, gh2 ≡ 0 [25]. Microscopically, a non-zero value of the off-diagonal coefficient gh2 in the [111] grown systems can already be obtained within the framework of the bulk hole Zeeman Hamiltonian which in the cubic axes x, y, z reads [17] in conventional HB = −2µB(cid:2)κJ · B + q(J 3 z Bz)(cid:3) , xBx + J 3 y By + J 3 (2) where m0 is the free electron mass and the averaging is carried out over the confined-hole envelope function. h1 + g2 In a longitudinal magnetic field, the hole eigen ener- gies are E± = ±ghµBBz ′/2 with gh = pg2 h2 and the hole eigenstates h,±i are admixtures of 3/2i′ and − 3/2i′, as indicated in Fig. 3, with the coefficients C1,2 determined solely by the ratio gh2/gh1. For non-zero gh2, all the four radiative transitions are allowed, each transi- tion being circularly polarized, either σ+ or σ− [27]. For illustration, the four channels of radiative recombination of a positively charged trion are shown on the right-hand side of Fig. 3, together with the corresponding sign of circular polarization. The transition energies are deter- mined by combinations of the electron and hole effective g-factors which allows to find a pair of parameters, ge and gh = pg2 h2. The intensities of circularly-polarized lines are proportional to C12 and C22 and indepen- dent of the magnetic field, in full agreement with our experiments. From the ratio of intensities of identically- polarized lines we can find the ratio gh1/gh and, there- fore, determine values of ge, gh1 and modulus of gh2. h1 + g2 The values of the g factors vary from dot to dot and even for different complexes X0, X+, X− in the same dot revealing the importance of confinement and Coulomb in- teraction for the g-factor renormalization. Values for five typical dots are listed in Table I [28]. The experimental observation of dark states for all dots investigated leads logically to gh2 6= 0 for all dots. Dark transition related to X+ and X− complexes are always present in the spectra for all non-zero values of the field. By contrast, emission intensities of dark X0 states increase gradually with Bz ′. This is a result of the effect of electron-hole exchange interaction. Taking into ac- count isotropic short-range and long-range exchange in- teraction and assuming that the confining potential pos- sesses 3-fold rotation axis we obtain for the X0 sublevel TABLE I: g-factors (typ. error ≤ 10%) for charged and neu- tral excitons obtained from fitting the data. For the X0 the ge and gh values obtained for X+ for the same dot are taken and only gh2 is varied to fit the bright and dark X 0 splitting simultaneously. QD I 0.49 0.83 0.53 0.47 0.71 0.62 0.50 QD II 0.46 0.71 0.60 0.44 0.72 0.72 0.68 QD III QD IV 0.47 0.81 0.53 0.44 0.72 0.68 0.56 0.48 0.79 0.57 0.47 0.72 0.70 0.59 QD V 0.50 0.74 0.57 0.50 0.73 0.72 0.65 X− : ge gh gh2 X+ : ge gh gh2 X0 : gh2 ge and gh: same values as for X+ energies Es,m = sgeµBBz′ + 1 2 (δ0 + mδm) , (4) δm =qδ2 0 + (ghµBBz′ )2 − 4sgh1µBBz′ δ0 . Hereafter we assume the exchange splitting between bright and dark states, δ0 > 0, s = ±1/2 denotes elec- tron spin, m = ±1 denote heavy hole states h,±i, re- spectively. At zero magnetic field the higher sublevels (±1/2, +) are bright and the lower sublevels (±1/2,−) are forbidden. The optical activity of the dark states is induced by the magnetic field in our experiments [29]. Exciton energy is referred to the zero-field dark state with m = −1. It follows from Eq. (4) that the split- ting of bright X0 states, E+1/2,+(Bz ′ ) − E−1/2,+(Bz ′ ), can be a non-monotonous and sign-changing function of Bz ′ . This is confirmed by our measurements shown in Fig. 2d, where the calculation (solid line) follows closely the experiment (dots). The most surprising feature, the vanishing X0 splitting at Bz ′ = B0 z ′ is well reproduced by the model. This result is another striking difference when comparing with the work on [001] grown dots, where the observed splitting increases monotonously as a function of the applied longitudinal field [3, 4, 22]. The fit of 4 the data in Fig. 2d is very sensitive to the exact value of gh2 which explains the strong variations of the X0 bright splittings as a function of Bz ′ from dot to dot. To go from the strongly non-monotonous behavior for QD I to the more monotonous graph for QD II in Fig. 2h, a change of gh2 of only about 20% is sufficient, all other parameters remaining constant. Here the development of a microscopic theory for gh1 and gh2 for realistic quantum dot samples will deepen our understanding. z ′. For Bz ′ < B0 Taking into account (i) the energy dependence of the bright X0 on Bz ′ , (ii) the polarization of the X0 eigen- states and our spectral resolution we calculate the emis- sion spectra in the σ+/σ− basis using the fitted g-factor values. Our theory shown in Fig. 2e-g reproduces the measurements very accurately in terms of sign and value of the splitting and emission polarization. Interestingly, the X0 eigenstates 'exchange' polarization at the field value B0 z ′ the calculations and measure- ments show that the higher lying state is σ+ polarized and the lower σ−; at Bz ′ > B0 z ′ it is the opposite. Inclu- sion of small but non-zero anisotropic splitting of bright doublet, δ1, results in the non-vanishing splitting of the eigenstates for all values of Bz ′ , as shown in Fig. 2d by the dash-dotted curve. However, at Bz ′ ≈ 0 and B0 z ′ σ+ and σ− polarized lines exchange their places. Our measure- ment scheme allows us to extract the Zeeman splitting only [21]. The influence of δ1 and the determination of exact polarization eigenstates of the system sets the chal- lenge for future experiments, aiming to eventually tune the X0 splitting to zero to erase the 'which path' infor- mation, a necessary condition for the generation of en- tangled photon pairs from the biexction-exciton cascade [5, 6]. Also additional energy shifts due to nuclear spin effects will be explored in this context. Traces of heavy- hole mixing should also be investigated in [111] grown GaAs/AlGaAs quantum wells [30], currently at the cen- tre of interest due to the predicted ultra-long electron spin relaxation times [31]. We thank ANR QUAMOS, ANR SPINMAN, ITN SPINOPTRONICS, RFBR, LIA CNRS ILNACS and Dy- nasty Foundation for support. [1] O. Benson et al., Phys. Rev. Lett. 84, 2513 (2000). [2] D. Gammon et al., Phys. Rev. Lett. 76, 3005 (1996). [3] M. Bayer et al., Phys. Rev. Lett. 82, 1748 (1999). [4] L. Besombes et al., Phys. Rev. Lett. 85, 425 (2000). [5] R. M. Stevenson et al., Nature (London) 439, 179 (2006). [6] J. D. Plumhof et al., Phys. Rev. B 83, 121302 (2011). [7] W. Langbein et al., Phys. Rev. B 69, 161301 (2004). [8] N. Akopian et al., Phys. Rev. Lett. 96, 130501 (2006). [9] W. Lu and C. M. Lieber, J. of Physics D 39, R387 (2006). [10] A. Schliwa et al., Phys. Rev. B 80, 161307 (2009). [11] R. Singh and G. Bester, Phys. Rev. Lett. 103, 063601 (2009). [12] T. Mano et al., Appl. Phys. Express 3, 065203 (2010). [13] K. F. Karlsson et al., Phys. Rev. B 81, 161307 (2010). [14] E. Stock et al., Appl. Phys. Lett. 96, 093112 (2010). [15] A. Mohan et al., Nat. Photon. 4, 302 (2010). [16] M. Paillard et al., Phys. Rev. Lett. 86, 1634 (2001). [17] Superlattices and Other Heterostructures, E.L. Ivchenko and G. Pikus, Springer Series in Solid-State Sciences, Berlin 1995. [18] X. Marie et al., Phys. Rev. B 60, 5811 (1999). [19] M. Abbarchi et al., Phys. Rev. B 81, 035334 (2010). [20] T. Belhadj et al., Phys. Rev. B 78, 205325 (2008). [21] T. Belhadj et al., Phys. Rev. Lett. 103, 086601 (2009). [22] Y. L´eger et al., Phys. Rev. B 76, 045331 (2007). [23] J. Puls et al., Phys. Rev. B 60, R16303 (1999). [24] N. S. Averkiev et al., Sov. Phys. Solid State 23, 1851 (1981). [25] A symmetry analysis for a transverse magnetic field in the plane of (111) grown dots predicts in first order no mixing of the 3/2i′ and − 3/2i′ states. [26] E. I. Rashba and E. Y. Sherman, Phys. Lett. A 129, 175 (1988). [27] If in addition to the discussed effects heavy-hole to light- hole coupling were important, four lines would be ob- served for both σ+ and σ− polarized emission. [28] In bulk GaAs ge = −0.44 and for AlGaAs ge = 0.5. ge evolves monotonously towards the barrier material for GaAs/AlGaAs quantum wells of decreasing thickness [17]. These results, together with the fact that ge > 0.44 in most cases confirm that ge is positive. 5 y +σzJ 3 x +σyJ 3 [29] The short-range anisotropic cubic exchange interaction z can mix bright and dark X0 states. ∝ σxJ 3 Our measurements show that its effect is negligible since no dark X0 state emission is detected at Bz′ = 0. So the cubic exchange term can be neglected in our [111] grown dots, which helps clarifying differences between previous predictions of the nature of the X0 emission [10, 11, 13]. [30] L. Vina et al., Phys. Rev. B 46, 13234 (1992). [31] X. Cartoix`a et al., Phys. Rev. B 71, 045313 (2005).
1511.02765
1
1511
2015-11-09T17:18:26
Spin-orbit interaction in the graphitic nanocone
[ "cond-mat.mes-hall" ]
The Hamiltonian for nanocones with curvature induced spin orbit coupling have been derived. The effect of curvature induced spin orbit coupling on the electronic properties of graphitic nanocones is considered. Energy spectra for different numbers of the pentagonal defects in the tip of the nanocones are calculated. It was shown that the spin orbit interaction considerably affects the local density of states of the graphitic nanocone. This influence depends on the number of defects present at the tip of the nanocone. This property could be applied in atomic force microscopy for the construction of the probing tip.
cond-mat.mes-hall
cond-mat
Spin -- orbit interaction in the graphitic nanocone R. Pincak,1, 2, ∗ J. Smotlacha,2, 3, † and M.Pudlak1, ‡ 1Institute of Experimental Physics, Slovak Academy of Sciences, Watsonova 47,043 53 Kosice, Slovak Republic 2Bogoliubov Laboratory of Theoretical Physics, Joint Institute for Nuclear Research, 141980 Dubna, Moscow region, Russia 3Faculty of Nuclear Sciences and Physical Engineering, Czech Technical University, Brehova 7, 110 00 Prague, Czech Republic (Dated: January 18, 2021) The Hamiltonian for nanocones with curvature -- induced spin -- orbit coupling have been derived. The effect of curvature -- induced spin -- orbit coupling on the electronic properties of graphitic nanocones is considered. Energy spectra for different numbers of the pentagonal defects in the tip of the nanocones are calculated. It was shown that the spin -- orbit interaction considerably af- fects the local density of states of the graphitic nanocone. This influence depends on the number of defects present at the tip of the nanocone. This property could be applied in atomic force microscopy for the construction of the probing tip. PACS numbers: 73.22.Pr, 81.05.ue, 71.70.Ej, 72.25.-b. Keywords: Graphitic nanocone, Spin -- orbit coupling, Curvature of π orbitals, Spins evaluated transport, Atomic force microscopy I. INTRODUCTION The spin -- orbit interaction in graphene is supposed to be weak, due to the low atomic number of carbon. spin -- orbit coupling (SOC) in graphene has an intrinsic part, completely determined from the symmetry properties of the honeycomb lattice. The strength of this intrinsic spin -- orbit coupling is rather small, due to weakness of the intra -- atomic spin -- orbit coupling of carbon. Because of the symmetry of the honeycomb lattice this intrinsic spin -- orbit coupling vanishes for hopping between neighboring atoms [1]. To get the contribution from this kind of spin -- orbit coupling we have to go to the next order in the hopping. In this paper we work with the tight -- binding approximation where we take into account only the hopping between nearest neighboring atoms. In a curved graphene sheet where the symmetry of honeycomb lattice is broken there is a possibility of curvature -- induced spin -- orbit coupling. A consistent approach to introduce this kind of SOC has been developed by Ando [2]. The experimental evidence for this kind of spin -- orbit coupling was reported by Kuemmeth et al. [3]. It was demonstrated that in clean nanotubes the spin and orbital motion of electrons are coupled. In this work the authors measured the values of spin -- orbit coupling in carbon nanotubes at various values of the magnetic field strength. It was revealed that the symmetry in electron -- hole spectrum is broken. This can be caused by spin -- orbit coupling. In [4] the influence of SOC on the Kondo effect in carbon nanotube quantum dots was investigated by Fang et al. The results indicate that the spin -- orbit coupling significantly changes the low -- energy Kondo physics in carbon nanotube quantum dots. Recently, Steele et al. [5] have reported the large spin -- orbit coupling in carbon nanotubes. It turns out that the spin -- orbit coupling could be significantly enlarged by the nonzero curvature of the nanoparticle surface [6 -- 8]. Energy spectra and transport properties of armchair nanotubes with curvature -- induced spin -- orbit interactions were investigated by Pichugin et al [9]. It was reported that due to spin -- orbit coupling an armchair nanotube can serve in some energy range as an spin filter. To understand clearly quantum phenomena in carbon nanoparticles the spin -- orbit coupling has to be included to describe their electronic properties. The spin -- orbit coupling could also be important in nanocones due to their curved surface. In this paper, we derive the effective Hamiltonian for the graphitic nanocone with spin -- orbit coupling induced by curvature. The structure of the paper is as follows. In Sec. II, we introduce an explicit formula for the eigenspectrum of the Hamiltonian with full curvature -- induced spin -- orbit coupling in a carbon nanocone. The solution is derived in the Appendices. In Sec. III, we present numerical results for all of our calculations. The main conclusions are summarized in Sec. IV. 5 1 0 2 v o N 9 ] l l a h - s e m . t a m - d n o c [ 1 v 5 6 7 2 0 . 1 1 5 1 : v i X r a ∗Electronic address: [email protected] †Electronic address: [email protected] ‡Electronic address: [email protected] II. DIRAC EQUATION FOR CURVATURE -- INDUCED SPIN -- ORBIT COUPLING 2 The Hamiltonian has been derived following the method of Ando [2]. Adapting the derivation of Hamiltonian in [10], we introduce the curvature -- induced spin -- orbit interaction on the nanocone. We start with the Hamiltonian for the nanoconical surface without the spin -- orbit coupling and pseudopotential [10, 11]. Due to the rotational symmetry of the nanocone, we choose the radial and angular coordinates r, ϕ. Here, we will often use the coordinate R. It is the distance between the point r on the surface and the intersection of the conical axis with the line perpendicular to surface at point r (Fig. 1). It satisfies R = (1−η)r √η(2−η) , where η = Nd/6 is the Frank index depending on Nd, the number of defects in the nanoconical tip. FIG. 1: The notation of the distances in the nanocone. The Hamiltonian has the form H =(cid:18) H1 0 H−1 (cid:19) 0 Hs = iv(cid:26)τ y∂r − τ xr−1(cid:20)(1 − η)−1(cid:18)s∂ϕ − 3 2 iη(cid:19) − 1 2 τ z(cid:21)(cid:27) , (1) where τ x, τ y, τ z are the Pauli matrices, s = ±1 denotes the value of the K spin. tions Now, we will supply the terms corresponding to the spin -- orbit interaction. It means that we perform the substitu- ∂r → ∂r − δγ′ 4γ bϕ ϕσx(~r), i∂ϕ → i∂ϕ + s(1 − η)Ayσy. (2) Here, σx, σy, σz are the Pauli matrices corresponding to spin of electrons and we use the linear combination σx(~r) = σx cos ϕ − σz sin ϕ. The curvature of the surface is included in the curvature tensor bϕ R and in the parameter Ay = s 2δp the following meaning: the hopping integrals γ = −√3V π primitive translation vector (a = √3d ≃ 2.46A, d is the distance between atoms in the unit cell); V σ transfer integrals for the σ and π orbitals, respectively, in flat 2D graphite. Next, p = 1 − 3γ (1−η)pη(2 − η), which depends on the Frank index. The other parameters are described in [2] and they have pp)a/2, where a is the length of the pp are the , where = √3(V σ /8γ, δ = 1 3 pp − V π pp and V π ppa/2, γ ϕ = 1 ′ ′ ∆ ǫπσ ∆ = i 3 4m2c2hx ∂V ∂x py − ∂V ∂y pxyi (3) (V is the atomic potential) and ǫπσ = ǫπ carbon atoms. The energy ǫπ The following values of the parameters are chosen: δ is of the order between 10−3 and 10−2, γ ′ eV and V σ 2p is the energy of σ -- orbitals that are localized between 2p is the energy of π -- orbitals that are directed perpendicular to the nanotubular surface. pp ≈ −3 pp ≈ 5 eV, p ≈ 0.1 and δ ≈ 0.01[2]. Now, we will do the transformation 3 , p ∼ 0.1, V π 2p. The energy ǫσ 2p − ǫσ γ ∼ 8 H ′ = ei σy 2 ϕ He−i σy 2 ϕ (4) With the aid of this transformation we describe the motion of an electron in the local coordinate frame which moves with the electron on the nanocone surface. Now we get 3 H ′ = v −∂r + is 0 2r + i 1 1 r(1−η) ∂ϕ − 1 r ξxσx − 3 2 ∂r − is 1 r(1−η) ∂ϕ − 1 r ξxσx − 3 2r − i 1 0 2 η (1−η)r − ξy σy r η (1−η)r − ξy σy r where the parameters ξx, ξy describe the strength of the spin -- orbit interaction: ξx = δγ′pη(2 − η) 4(1 − η)γ , ξy = Ay + 1 2(1 − η) . Now, we are solving the equation H ′ψ(r, ϕ) = Eψ(r, ϕ), where, considering the rotational symmetry of the solution, we do the factorization ψ(r, ϕ) = eijϕ  fj↑(r) fj↓(r) gj↑(r) gj↓(r) .   ! , (5) (6) (7) (8) Then, after the substitution of this expression into (7) and performing the differentiations with respect to ϕ, we have   where 0 0 −∂r + F −1 r i r C 0 0 i r D −∂r + F −1 r r − i ∂r + F r C − i r D ∂r + F r 0 0 0 0     fj↑(r) fj↓(r) gj↑(r) gj↓(r)   = E  fj↑(r) fj↓(r) gj↑(r) gj↓(r) ,   F = sj 1 − η − 3 2 η 1 − η + 1 2 , C = ξx − ξy, D = ξx + ξy. The parameter s = ±1. The solution of (9) is given in the Appendix. III. ELECTRONIC PROPERTIES OF THE CONICAL NANOSTRUCTURE (9) (10) For β = 1 and E = 1, we see the solution of (9) in Fig. 2. The signs ↑,↓ in the indices are replaced here by the signs +,−, respectively. The graphs for Re f↓, Re g↓, Im f↑ and Im g↑ are missing -- they provide the zero solution. We can see that for the cases of 1 and 2 defects, the modules of f↓, f↑ and g↓, g↑, respectively, coincide -- the existing effect of the spin -- orbit interaction is still not strong enough to split the appropriate components of the wave -- function. FIG. 2: Solution of the system (9) for the case of Nd = 1 (left), Nd = 2 (middle), Nd = 3 (right) and E = 1. This solution has a form similar to Bessel functions of the first or the second kind (Jj or Yj). This correspondence is derived in Appendix B for the case of Nd = 1 defect and j = 2. For other values of the energies or the parameter j, this occurrence will be similar. For the normalized case, given energy and value of j, the local density of states (LDoS) is defined as LDoS(E, r) = fj,E↑(r)2 + fj,E↓(r)2 + gj,E↑(r)2 + gj,E↓(r)2. (11) 4 FIG. 3: 2D and 3D (bottom) graphs of the local density of states with and without (turned off) spin -- orbital interaction for different distances r from the tip. Nd = 1 (left), Nd = 2 (middle) and Nd = 3 (right). The numerical results are depicted in Fig. 3. The solution without the spin -- orbit interaction is the turned off case. For this case, the constants C and D would be equal to zero and the system (9) would be a 4 -- dimensional analogy of the 2 -- dimensional case without the spin -- orbit interaction (described by Pincak et al. in [11]) and with the exclusion of the effect of the pseudopotential. This evidence can also be derived from the above plots in Fig. 2, where the modules of the first and second or the third and fourth component, respectively, are not split unless the number of the defects in the conical tip exceeds 2. IV. CONCLUSION An effective mass Hamiltonian was derived for a carbon nanocone in the presence of curvature -- induced spin -- orbit interaction. Within our approach, we solved analytically the eigenvalue problem for the effective mass Hamiltonian for electrons on the curved surface with the spin -- orbit interaction. In particular, we obtained explicit expressions for a low -- energy spectrum and eigenstates of carbon nanocones. The LDoS in the graphitic nanocone near the tip in the case of spin -- orbit interactions were computed numerically. These findings have been used to analyze electronic properties of carbon nanocones with curvature -- induced SOC at different limits. We see that the spinorbit interaction considerably affects the local density of states of the graphic nanocone; the higher the number of defects, the bigger the effect. One of the reason is that the more defects present near the tip, the bigger the curvature of the nanocone in the vicinity of the tip, and so also the bigger the effect of the imposed spin -- orbit interaction. The localization of the electrons as shown in Fig. 3 makes the graphitic nanocone a possible candidate for the construction of a new type of scanning probe in atomic force microscopy [12 -- 15]. ACKNOWLEDGEMENTS -- The work was supported by the Science and Technology Assistance Agency under Contract No. APVV-0171-10, VEGA Grant No. 2/0037/13 and Ministry of Education Agency for Structural Funds of EU in frame of project 26220120021, 26220120033 and 26110230061. R. Pincak would like to thank the TH division in CERN for hospitality. Appendix A: Solution of the Dirac equation We want to find the solution of (9) in the form fj↑(r) = e α r +βr ∞ Xk=0 ∞ akrξ+k, fj↓(r) = e α r +βr gj↑(r) = e α Xk=0 After the substitution, we get ξ = ξ1 − 2 and −αc0 = Ea0, −αc1+ξ1c0+F c0−iCd0 = Ea1, +βr r ckrξ1+k, gj↓(r) = e α r +βr bkrξ+k, dkrξ1+k. ∞ Xk=0 ∞ Xk=0 5 (A1) (A2) −αd0 = Eb0, −αd1+ξ1d0+F d0−iDc0 = Eb1, (A3) αa0 = 0, αa1 + ξa0 + (F − 1)a0 + iDb0 = 0, αb0 = 0, αb1 − ξb0 + (F − 1)b0 + iCa0 = 0, (A4) αa2 − βa0 + (F − ξ − 2)a1 + iDb1 = 0, αb2 − βb0 + (F − ξ − 2)b1 + iCa1 = 0, αa3 − βa1 + (F − ξ − 3)a2 + iDb2 = 0, αb3 − βb1 + (F − ξ − 3)b2 + iCa2 = 0. For the other indices, we get the system of recurrence equations (A5) (A6) −αck +βck−2 +(F +ξ1 +k−1)ck−1−iCdk−1 = Eak, −αdk +βdk−2 +(F +ξ1 +k−1)dk−1−iDck−1 = Ebk, (A7) −αak +βak−2−(F −ξ1+2−k)ak−1−iDbk−1 = −Eck−4, −αbk +βbk−2−(F −ξ1+2−k)bk−1−iCak−1 = −Edk−4. (A8) If we suppose that α 6= 0, we get the zero solution. So for the nontrivial solution α = 0 and as follows from the first and the third equation in (A3), in this case the coefficients a0 and b0 must be also zero. Then, from the system follows: From the system we get And from the system follows (F − ξ1)a1 + iDb1 = 0, (F − ξ1)b1 + iCa1 = 0 ξ1 = F ± i√CD, b1 = ±r C D a1. (F + ξ1)c0 − iCd0 = Ea1, (F + ξ1)d0 − iDc0 = Eb1, c0 = (F + ξ1)a1 + iCb1 (F + ξ1)2 + CD E, d0 = Eb1 + iDc0 F + ξ1 . (F − ξ1 − 1)a2 + iDb2 = βa1, (F − ξ1 − 1)b2 + iCa2 = βb1, b2 = β (F − ξ1 − 1)b1 − iCa1 (F − ξ1 − 1)2 + CD , a2 = βa1 − iDb2 F − ξ1 − 1 . (A9) (A10) (A11) (A12) (A13) (A14) Here, β is a free parameter. The following coefficients we get from the recurrence equations. Now excluding the functions fj↑, fj↓ with the help of the first two equations in (9), we get a simplified system 6 −r2g′′ j↑(r) + (2F − 1)rg′ j↑(r) +(cid:2)F (F − 2) + D2(cid:3) gj↑(r) + ir(C + D)g′ j↓(r) − rg′ j↑(r) + i(CF − D)gj↑(r) − ir(F − 1)Dgj↑(r) − r2g′′ ir(C + D)g′ j↓(r) − i(C − D)F gj↓(r) = E2r2gj↑(r), (A15) j↓(r) + (C2 + F 2)gj↓(r) = E2r2gj↓(r). (A16) To solve the problem an iteration method is used. For this purpose, we divide the components of the solution into the real and the imaginary part: gj↑ = Re gj↑ + i Im gj↑, gj↓ = Re gj↓ + i Im gj↓. Now, for a given j, we denote and if we suppose that the conditions Re gj↑ = G1, Im gj↓ = G2 Im fj↑ = Re fj↓ = Im gj↑ = Re gj↓ = 0. are satisfied for the analytical solution, for the nonzero components of gj↑, gj↓ we have −r2G′′ 1 (r) + (2F − 1)rG′ 1(r) +(cid:2)F (F − 2) + D2(cid:3) G1(r) − r(C + D)G′ 2 (r) − rG′ 1(r) + (CF − D)G1(r) − r(F − 1)DG1(r) − r2G′′ r(C + D)G′ This system can be rewritten into the form 2(r) + (C − D)F G2(r) = E2r2G1(r), 2(r) + (C2 + F 2)G2(r) = E2r2G2(r). (A17) (A18) (A19) (A20) (A21) (A22) (A23) r2G′′ 1 (r) − (2F − 1)rG′ r2G′′ 2 (r) + rG′ 1(r) +(cid:2)E2r2 − F (F − 2) − D2(cid:3) G1(r) = D1(G2(r)), 2(r) +(cid:2)E2r2 − C2 − F 2(cid:3) G2(r) = D2(G1(r)), where D1, D2 on the right -- hand side denote the differential operators. If we exclude them, we get the homogeneous parts of the system. For the second equation it gives the Bessel equation, for the first equation it gives a Bessel -- like equation. It is not a problem to find a solution for this homogeneous system. We can try to find a particular solution for the inhomogeneous system in this way: 2+, respectively, denote the solution for the homogeneous system (actually, G(0) 2+ are the Bessel functions), then the particular solutions could be searched with the help of the method of variation of the constants, i.e. in the form 1+ and G(0) 2−, G(0) 2−, G(0) 1−, G(0) let G(0) G1(r) = C1−(r)G(0) 1−(r) + C1+(r)G(0) 1+(r), G2(r) = C2−(r)G(0) 2−(r) + C2+(r)G(0) 2+(r). (A24) Of course, there is the question of in which form the functions G1(r), G2(r) would be substituted into the arguments of the operators D1, D2. In the first step, we could make a statement C2−(r) = C2+(r) = 1 and substitute G2(r) into the right -- hand side of (A22). In this way, we get the form of C1−(r), C1+(r) and we can substitute G1(r) into the right -- hand side of (A23) acquiring more precise values of C2−(r), C2+(r). This procedure can be repeated unless we achieve the required precision. We make a suggestion that the solution of (9) has a form of the Bessel -- like functions. This estimate will be verified in the next section by comparison of the coefficients an, bn, cn, dn with the coefficients corresponding to the Taylor series of the Bessel functions. 7 Appendix B: Verification of the similarity between the solution and Bessel functions Now we want to prove the correspondence between the solution of (9) and the Bessel functions. But first, we need to change the scale of the corresponding real or imaginary part of fj↑,↓ or gj↑,↓ such that the null points of the given function and the corresponding Bessel function correlate (see Fig. 4). Then, we will do the described comparison for the case of 1 defect and j = 2 and the corresponding Bessel functions J1 and J2 (see Table I). The re -- scaling we do numerically. For the value E = 0.75 and the unnormalized case, the re -- scaled form of the solution of (9) has the form f r 2↑(r) = e α 5.1103 3.8317 r +β 5.1103 3.8317 r ∞ Xk=0 ak(cid:18) 5.1103 3.8317 r(cid:19)ξ+k , gr 2↑(r) = e α 6.8490 5.1356 r +β 6.8490 5.1356 r ∞ Xk=0 ck(cid:18) 6.8490 5.1356 r(cid:19)ξ1+k , f r 2↓(r) = e α 5.1071 3.8317 r +β 5.1071 3.8317 r gr 2↓(r) = e α 6.8456 5.1356 r +β 6.8456 5.1356 r ∞ Xk=0 ∞ Xk=0 bk(cid:18) 5.1071 3.8317 r(cid:19)ξ+k , dk(cid:18) 6.8456 5.1356 r(cid:19)ξ1+k . (B1) (B2) We can do a shortcut of these expressions into the first 10 members of the expansion. Here, we choose α = 0 and β = 1. Recalling that for the given values of the parameters, ξ1 = 1.99987 . = 2, we have Re f r 2↑(r) = f r 2↑(r) . = 1.33r − 0.17r3 + 6.95 · 10−3r5 − 1.45 · 10−4r7 + 1.81 · 10−6r9 + O(r10), Im f r 2↓(r) = f r 2↓(r) . = −1.33182r + 0.17r3 − 6.93 · 10−3r5 + 1.44 · 10−4r7 − 1.80 · 10−6r9 + O(r10), Regr 2↑(r) = gr 2↑(r) . = 0.33r2 − 2.78 · 10−2r4 + 8.69 · 10−4r6 − 1.45 · 10−5r8 + 1.51 · 10−7r10 + O(r11), Imgr 2↓(r) = gr 2↓(r) . = −0.33r2 + 2.77 · 10−2r4 − 8.67 · 10−4r6 + 1.45 · 10−5r8 − 1.50 · 10−7r10 + O(r11). The corresponding expansions for the Bessel functions J1, J2 have the form J1(r) . = 0.5r − 0.06r3 + 2.60 · 10−3r5 − 5.43 · 10−5r7 + 6.78 · 10−7r9 + O(r10), J2(r) . = 0.125r2 − 0.01r4 + 3.26 · 10−4r6 − 5.43 · 10−6r8 + 5.65 · 10−8r10 + O(r11). (B3) (B4) (B5) (B6) (B7) (B8) Now, if we make a comparison of the coefficients corresponding to the power series of Re f r 2↓, respectively, with the coefficients corresponding to J1 and of the coefficients corresponding to the power series of Re gr 2↓, respectively, with the coefficients corresponding to J2, we find that more or less, for a concrete pair of functions, the ratio of the coefficients remains constant and it approaches these values: 2↑, Im f r 2↑, Im gr Re f r 2↑(r) J1(r) . = 2.67, Im f r 2↓(r) J1(r) . = −2.66, Re gr 2↑(r) J2(r) . = 2.67, Im gr 2↓(r) J2(r) . = −2.66. (B9) In Table I, we see the concrete forms of the Bessel functions which correspond to the case Nd = 1 defect and different values of the parameter j. However, the higher the value of j is, the more spread this correspondence is. On the whole, we can say that for the case of Nd = 1 defect and values of j ≥ 2, the analytical expression for the solution can be approximated as Re fj↑ ∼ Jj−1(Er), Im fj↓ ∼ Jj−1(Er), Re gj↑ ∼ Jj(Er), Im gj↓ ∼ Jj(Er). (B10) For the case of Nd = 2 and Nd = 3 defects, the corresponding tables would be more complicated, and the solution would be a linear combination of Bessel functions of different kinds. 8 FIG. 4: Comparison of the real or imaginary part of fj↑,↓ (gj↑,↓) and of the corresponding Bessel function. Here, the r coordinate denotes the distance from a tip. We have a boundary of a nanocone at r0 = 20. We have zero probability of finding an electron at a boundary. TABLE I: Correspondence of the Bessel function with solutions of (9) for Nd = 1 defect and different values of j. j 0 1 2 3 4 Re fj↑ −Y2 −Y1 J1 J2 J3 Im fj↓ −Y2 −Y1 J1 J2 J3 Re gj↑ Im gj↓ Y1 J1 J2 J3 J4 Y1 J1 J2 J3 J4 [1] C. L. Kane and E. J. Mele, Phys. Rev. Lett. 95 (2005) 226801. [2] T. Ando, J. Phys. Soc. Jpn. 69 (2000) 1757. [3] F. Kuemmeth, S. Ilani, D. C. Ralph, P. L. McEuen, Nature 452 (2008) 448. [4] T. Fang, W. Zuo and H. Luo, Phys. Rev. Lett. 101 (2008) 246805. [5] G. A. Steele et al., Nature Communications DOI:10.1038/ncomms2584. [6] D. Huertas -- Hernando, F. Guinea and A. Brataas, Phys. Rev. B 74 (2006) 155426. [7] J. S. Jeong, J. Shin and H. W. Lee, Phys. Rev. B 84 (2011) 195457. [8] M. del Valle, M. Marganska and M. Grifoni, Phys. Rev. B 84 (2011) 165427. [9] K. N. Pichugin, M.Pudlak and R.G. Nazmitdinov, Eur. Phys. J. B 87 (2014) 124. [10] Yu. A. Sitenko, N. D. Vlasii, Nucl. Phys. B 787 (2007) 241. [11] R. Pincak, J. Smotlacha and M. Pudlak, Physica B 441 (2014) 58. [12] I. -- C. Chen, L. -- H. Chen et al., Appl. Phys. Lett. 88 (2006) 153102. [13] J. Sripirom, S. Noor, U. Kohler, A. Schulte, Carbon 49 (2011) 2402 -- 2412. [14] O. Karc, M. Dede, and A. Oral, Review of Scientific Instruments 85 (2014) 103705 . [15] T. Nishio, Q. Chen, W. Gillijns et al., Phys. Rev. B 77 (2008) 012502.
1003.1729
1
1003
2010-03-08T21:14:14
Exploring Topological Phases With Quantum Walks
[ "cond-mat.mes-hall", "quant-ph" ]
The quantum walk was originally proposed as a quantum mechanical analogue of the classical random walk, and has since become a powerful tool in quantum information science. In this paper, we show that discrete time quantum walks provide a versatile platform for studying topological phases, which are currently the subject of intense theoretical and experimental investigation. In particular, we demonstrate that recent experimental realizations of quantum walks simulate a non-trivial one dimensional topological phase. With simple modifications, the quantum walk can be engineered to realize all of the topological phases which have been classified in one and two dimensions. We further discuss the existence of robust edge modes at phase boundaries, which provide experimental signatures for the non-trivial topological character of the system.
cond-mat.mes-hall
cond-mat
Exploring Topological Phases With Quantum Walks Takuya Kitagawa, Mark Rudner, Erez Berg, and Eugene Demler Physics Department, Harvard University, Cambridge, MA 02138, USA (Dated: December 31, 2013) The quantum walk was originally proposed as a quantum mechanical analogue of the classical ran- dom walk, and has since become a powerful tool in quantum information science. In this paper, we show that discrete time quantum walks provide a versatile platform for studying topological phases, which are currently the sub ject of intense theoretical and experimental investigation. In particular, we demonstrate that recent experimental realizations of quantum walks simulate a non-trivial one dimensional topological phase. With simple modifications, the quantum walk can be engineered to realize all of the topological phases which have been classified in one and two dimensions. We further discuss the existence of robust edge modes at phase boundaries, which provide experimental signatures for the non-trivial topological character of the system. Quantum walks, the quantum analogues of classical random walks[1], form the basis of efficient quantum algorithms[2, 3], and provide a universal platform for quantum computation[4]. Much like their classical coun- terparts, quantum walks can be used to model a wide va- riety of physical processes including photosynthesis[5, 6], quantum diffusion[7], optical/spin pumping and vor- tex transport[8], and electrical breakdown[9, 10]. Mo- tivated by the prospect of such an array of applica- tions, several groups have recently realized quantum walks in experiments using ultracold atoms in optical lattices[11], trapped ions[12], photons[13], and nuclear magnetic resonance[14]. These systems offer the possi- bility to study quantum dynamics of single or many par- ticles in a precisely controlled experimental setting. Here we show that quantum walks can be used to ex- plore dynamics in a wide range of topological phases[15– 17]. Interest in topological phases was first sparked by the discovery of the integer quantized Hall effect[17, 18], and has rapidly increased in recent years following the prediction[19–21] and experimental realization[22, 23] of a new class of materials called “topological insulators.” Unlike more familiar states of matter such as the fer- romagnetic and superconducting phases, which break SU(2) (spin-rotation) and U(1) (gauge) symmetries, re- spectively, topological phases do not break any symme- tries and cannot be described by any local order param- eters. Rather, these phases are described by topolog- ical invariants which characterize the global structures of their ground state wavefunctions. Topological phases are known to host a variety of exotic phenomena such as fractional charges and magnetic monopoles [24, 25]. The class of topological phases which can be realized in a system of non-interacting particles is determined by the dimensionality of the system and the underlying symme- tries of its Hamiltonian. Figure 1 shows the ten classes of topological phases which can arise in one dimensional (1D) and two dimensional (2D) systems with and without time-reversal symmetry (TRS) and particle-hole symme- try (PHS) (see Refs.[26, 27] and discussion below). If both symmetries are absent in 1D, the possibility of a Classification of Topological Insulators Particle-Hole Symmetry Particle-Hole Symmetry +1 −1 +1 −1 y r t e m m y S l a s r e v e R - e m i T +1 Z SSH −1 Z2 Z Z2 Z2 Z Chiral Z Z 1D 2D Z2 QSH Z IQH FIG. 1: Classification of topological phases by symmetry for one (1D) and two (2D) dimensions, adapted from Ref.[26, 27]. Discrete time quantum walks can naturally realize all ten classes of nontrivial topological phases in 1D and 2D. Time reversal symmetry (TRS) and particle-hole symmetry (PHS) are defined by the existence of antiunitary operators T and P satisfying Eqs.(7) and (8), and may be absent, or present with T 2 = ±1 (P 2 = ±1). In the absence of both TRS and PHS, a distinct “chiral” symmetry with a unitary Γ satisfying Eq.(9) may be found. In each case, the symmetry-allowed phases are classified by an integer (Z ) or binary (Z2 ) topological invariant. Classes containing the Su-Schrieffer-Heeger model (SSH)[15], integer quantized Hall (IQH)[17, 18], and quantum spin-Hall (QSH)[19–23] phases are indicated. distinct “chiral” symmetry creates an additional class of topological phases. Within each class, the allowed phases are characterized by either an integer (Z ) or binary (Z2 ) topological invariant. In this paper we investigate the topological phases of Fig.1 in discrete-time quantum walks (DTQWs). In a DTQW, a walker with a two-fold internal “spin” degree of freedom is made to hop between adjacent sites of a lattice through a series of unitary operations. We discuss how the DTQW protocol can be engineered to selectively sat- isfy time-reversal and particle-hole symmetries, and show that DTQWs can realize all of the classes of topological phases in 1D and 2D. In particular, we show that the a b Eθ(k) Rotate spin -π Move spin down to the left Move spin up to the right c nθ(k) k π Aθ Repeat (a) One dimensional discrete time quantum walk FIG. 2: (DTQW) protocol. First, the walker’s internal “spin” is ro- tated through an angle θ about the y -axis. Then, the walker is coherently translated by one lattice site to the right (left) if its spin is up (down). The quantum walk is produced by re- peatedly applying this combined “step” operation. (b) Band structure of 1D DTQW with θ = π/2. The spinor eigenstates at each momentum k are directed along the unit vector nθ (k) [see Eq.(6)], as represented on the Bloch sphere in panel (c). Corresponding points in panels (b) and (c) are indicated by the colored markers. For any θ 6= 0, 2π , nθ (k) winds around the origin once as k traverses the Brillouin zone (black arrow). The vector Aθ is normal to the plane containing nθ (k), and defines the axis for the chiral symmetry Γθ , see Eq.(9). DTQWs demonstrated in recent experiments[11, 12] have already realized a non-trivial one dimensional topological phase, which is analogous to that of the Su-Schrieffer- Heeger (SSH) model of polyacetylene[15] (see Fig.1). The non-trivial topological properties of the systems classified in Fig.1 are manifested in the presence of ro- bust edge states at phase boundaries, i.e. zero energy bound states[16] and gapless edge modes[28] in 1D and 2D systems, respectively. We propose a scheme to iden- tify the presence of topological phases through the ob- servation of edge modes at an interface between regions where different DTQW protocols are applied. TOPOLOGICAL PHASES IN 1D The one dimensional DTQW protocol employed in recent experiments[11–14] is depicted schematically in Fig.2a. The basis states of the system are described in terms of the position of the “walker,” defined on integer lattice sites x, and its internal “spin” state which can be either up (↑) or down (↓). The quantum evolution is produced by repeatedly applying a unitary operation U (θ) = T R(θ) (1) that defines one “step” of the quantum walk. Each step consists of a spin rotation R(θ), followed by a coherent 2 [x + 1ihx ⊗ ↑ih↑ + x − 1ihx ⊗ ↓ih↓ ] (2) spin-dependent translation T = Xx that shifts the walker to the right (left) by one lattice site if its spin is up (down). This step protocol is a uni- tary generalization of the classical process in which a ran- dom walker hops left or right according to the outcome of a stochastic “coin-flip.” Here, as in the experiments of Refs.[11, 12], we consider the case where R(θ) corre- sponds to a spin rotation around the y -axis through an angle θ, R(θ) = (cid:18) cos (θ/2) − sin (θ/2) cos (θ/2) (cid:19) . sin (θ/2) Although the step protocol is defined explicitly in terms of the discrete unitary operations T and R(θ), the net evolution over one step is equivalent to that gener- ated by a time-independent effective Hamiltonian H (θ) over the step-time δ t, (3) U (θ) = e−iH (θ)δt ,  = 1. (4) The evolution operator for N steps is given by U N (θ) = e−iH (θ)N δt . Thus, the DTQW provides a stroboscopic simulation of the evolution generated by H (θ) at the dis- crete times N δ t. Below we take units in which δ t = 1. The DTQW protocol described above is translationally invariant. The evolution operator U (θ) and the Hamilto- nian H (θ) are thus diagonalized down to 2 × 2 blocks in the basis of Fourier modes, ki⊗ σi = 1√2π Px e−ikx xi⊗ σi, with −π ≤ k < π . For the choice of R(θ) in Eq.(3), H (θ) can be written as H (θ) = Z π −π where σ = (σx , σy , σz ) is the vector of Pauli matrices and the unit vector nθ (k) = (nx , ny , nz ) defines the quanti- zation axis for the spinor eigenstates at each momen- tum k . Because the evolution is prescribed stroboscop- ically at unit intervals, the eigenvalues ±Eθ (k) of H (θ) are only determined up to integer multiples of 2π . The corresponding band structure is thus a “quasi-energy” spectrum, with 2π periodicity in energy. For θ 6= 0 or 2π , explicit expressions for Eθ (k) and nθ (k) are given by cos Eθ (k) = cos(θ/2) cos k and dk [Eθ (k) nθ (k) · σ ] ⊗ kihk , (5) nθ (k) = (sin(θ/2) sin k , sin(θ/2) cos k , − cos(θ/2) sin k) sin Eθ (k) (6) A typical band structure ±Eθ (k) is shown in Fig.2b. Note that for θ∗ = 0 or 2π , the spectrum of H (θ∗ ) is gapless and nθ∗ (k∗ ) is ill-defined for k∗ = 0, π . Hamiltonians of the form (5) can support topological phases if they possess certain symmetries, as indicated . in Fig.1. The time-reversal and particle-hole symmetries of this table are defined by the existence of antiunitary operators T and P satisfying T H T −1 = H, P H P −1 = −H. The Hamiltonian H (θ) given by Eqs.(5) and (6) possesses PHS (8) with P ≡ K , where K is the complex conjuga- tion operator. To see this, note that the evolution opera- tor U (θ) given by Eqs.(1-3) is real, and thus invariant un- der K . Along with Eq.(4), this implies H ∗(θ) = −H (θ), which satisfies Eq. (8) with P ≡ K . In addition, using Eq. (6), it is straightforward to check that H (θ) possesses a unitary “chiral” symmetry of the form (7) (8) (9) Γ−1 θ H (θ)Γθ = −H (θ), e−iπAθ ·σ/2 , with = where Aθ = Γθ (cos(θ/2), 0, sin(θ/2)) is perpendicular to nθ (k) for all k . The presence of both PHS (8) and chiral symme- try (9) guarantees that H (θ) is invariant under TRS (7) with T ≡ Γθ P , see Refs.[26, 27]. The symmetry classes identified in Fig.1 are distin- guished by whether the relevant symmetry operators T and P square to 1 or −1. Because here both T 2 = 1 and P 2 = 1, H (θ) belongs to the class of Hamiltonians labeled “SSH.” The corresponding integer-valued topo- logical invariant Z has a simple geometrical interpreta- tion. Chiral symmetry (9) constrains nθ (k) to lie on a plane which is perpendicular to Aθ , and which contains the origin (see Fig. 2c). Thus, H (θ) can be characterized by the number of times nθ (k) winds around the origin as k runs from −π to π . Since the winding number of nθ (k) given by Eq.(6) is 1 for all θ 6= 0, 2π , the DTQWs imple- mented in experiments [11, 12] simulate the Z = 1 SSH topological phase. The non-trivial topological character of the system can be revealed at a boundary between topologically distinct phases. To open the possibility to create such a bound- ary, we introduce the “split-step” DTQW protocol shown in Fig.3a. Starting from the DTQW defined by Eq.(1), we split the translations of the spin-up and spin-down components, and insert an additional spin-rotation R(θ2 ) around the y -axis in between: (10) Uss (θ1 , θ2 ) = T↓R(θ2 ) T↑R(θ1 ), where T↑(↓) shifts the walker to the right (left) by one lattice site if its spin is up (down). The split-step protocol defines a family of effective Hamiltonians Hss (θ1 , θ2 ) parametrized by the two spin- rotation angles θ1 and θ2 . This family realizes both Z = 0 and Z = 1 SSH topological phases as displayed in Fig.3b, with chiral symmetry (9) given by Γθ1 ,θ2 ≡ Γθ1 , P = K , and T = Γθ1 P (see Methods). Gapped phases with wind- ing numbers Z = 0 and Z = 1 are separated by phase 3 transition lines where the quasi-energy gap closes at ei- ther E = 0 or E = ±π , as indicated in the figure. We propose to create a phase boundary in the DTQW by replacing the second (spatially-uniform) spin rota- tion R(θ2 ) of Eq.(10) with a site-dependent spin rotation R[θ2 (x)], which rotates the walker’s spin through an an- gle θ2 (x) about the y -axis at each site x. Specifically, we consider the situation where θ2 (x) → θ2− for x ≪ 0 and changes monotonically to θ2 (x) → θ2+ for x ≫ 0 (see Fig.3c). Although this protocol is not translationally- invariant, symmetries (7-9) are preserved. In particular, the system retains the chiral symmetry under Γθ1 for ar- bitrary θ2 (x) as long as θ1 remains uniform. When the rotation angles (θ1 , θ2+ ) and (θ1 , θ2− ) are chosen to realize topologically distinct phases with Z = 0 and Z = 1 in the regions x ≪ 0 and x ≫ 0, a bound state with energy 0 or π exists near the phase boundary x = 0 [16]. The existence of such a bound state is guaranteed by topology, and does not depend on the details of the boundary. The bound state can be probed by initializing the walker at x = 0 as demonstrated in Fig.3d. Be- cause this initial state has a non-vanishing overlap with the bound state, part of the walker’s wavepacket will re- main localized near x = 0. On the other hand, if the pairs (θ1 , θ2+ ), (θ1 , θ2− ) are chosen to lie within the same “diamond”-shaped region of Fig.3b, then the system can be made spatially uniform through a continuous defor- mation of the Hamiltonian without closing either gap at E = 0 or E = π . In this case, there are no topolog- ically protected modes localized at the boundary. For monotonic θ2 (x), this guarantees that the system does not support any bound states, and the probability to find the walker at x = 0 decays to zero with an increas- ing number of DTQW steps (see Fig.3e). With further modifications to the DTQW protocol, each of the topological classes in 1D given in Fig.1 can be realized (see Supplementary Material). In addition, as we will now discuss, a straightforward extension of the pro- tocol to a higher-dimensional lattice allows the DTQW to simulate topological phases in two dimensions. TOPOLOGICAL PHASES IN 2D To begin, we consider a family of 2D quantum walks in which the walker possesses two internal states as in the 1D DTQWs above. Non-trivial topological phases can be realized in a variety of 2D lattice geometries. Here we consider the case of a triangular lattice, and discuss equivalent square lattice realizations in the Supplemen- tary Material. One step of the quantum walk is defined by the unitary operation U2D (θ1 , θ2 ) = T3R(θ1 )T2R(θ2 )T1R(θ1 ), (11) where Ti (i = 1, 2, 3) translates the walker with spin up (down) in the +(−)vi direction, with {vi} defined in a 1. Rotate θ1 2.Translate Spin up 3. Rotate θ2 4.Translate Spin down b -2π Gap closes at 0 Gap closes at π c θ2- θ1 0 0 1 1 1 1 1 1 θ2(x) 2π 2π 0 0 θ2 -2π θ2+ x d Probability 1.0 0.5 0.1 0.1 e N=0 N=30 N=60 4 Probability 1.0 0.5 0.1 0.1 Repeat Uniform θ1 -20 0 Position 20 -20 0 Position 20 FIG. 3: (a) One-dimensional “split-step” DTQW protocol, see Eq.(10). (b) Winding number associated with the split-step DTQW as a function of the spin-rotation angles θ1 and θ2 . Topologically distinct gapped phases are separated by phase transition lines where a gap closes at either E = 0 or E = π . (c) Phase boundary in the spatially inhomogeneous split-step 2 (θ2− + θ2+ ) + 1 DTQW. In the second rotation stage of Eq.(10), the walker’s spin is rotated by an angle θ2 (x) = 1 2 (θ2+ − θ2− ) tanh(x/3). (d), (e) Dynamics of the spatially inhomogeneous split-step walk, with the walker initialized with spin up at x = 0. In both panels, we take θ1 = −π/2 and θ2− = 3π/4, corresponding to winding number 0 in the region x ≪ 0 (white dot in panel b). In (d) we create a phase boundary by taking θ2+ = π/4, which gives winding number 1 for x ≫ 0 (see blue dot in panel b). After many steps, the probability to find the walker near x = 0 remains large, indicating the existence of at least one localized state at the phase boundary. For this particular example, numerical diagonalization shows that there are three localized states at this boundary. In (e), we take θ2+ = 11π/8 (orange dot in panel b), so that the quantum walk in all regions is characterized by winding number 0. In this case, the probability to find the walker near x = 0 after many steps decays to 0, indicating the absence of a localized state at the boundary. Fig.4a. The net result of Eq.(11) is to make the walker hop between sites of a superlattice defined by twice the primitive unit cell. The effective Hamiltonian for this 2D DTQW takes the form of Eq. (5) with the integration over k = (kx , ky ) taken over the 2D Brillouin zone (BZ) of the superlattice. We now study the topological properties of the 2D DTQWs defined by Eq.(11). The corresponding effec- tive Hamiltonians lack time-reversal symmetry, and are thus contained in the symmetry classes in the bottom row of Fig.1. Because U2D is real, this system possesses PHS with P = K (see above). With a slight modification, this symmetry can be broken and phases in the class labeled IQH in Fig.1 can also be realized (see Supplementary Material). These phases are analogous to those of the Haldane model[29], which exhibits an integer quantum Hall effect in the absence of a net magnetic field. The phases realized by the 2D DTQW, Eq.(11), are characterized by an integer-valued topological invariant called the first Chern number. This quantity is defined in terms of the unit vector n(k), see Eq.(5), as C = 1 4π RBZ d2 k [n · (∂kx n)]. Geometrically, the Chern n × ∂ky number is equal to the number of times n(k) covers the unit sphere as k is taken over the 2D Brillouin zone. We have numerically calculated Chern numbers for 2D DTQWs throughout the full range of spin-rotation angles θ1 and θ2 (see Methods). As shown in Fig.4b, phases with C = 0 and with C = ±1 can be realized. Similar to the 1D case, non-trivial topology in 2D DTQWs is manifested in the presence of protected mid- gap modes bound to the interface between two topologi- cally distinct phases. These gapless modes are analogous to the chiral edge modes of quantum Hall systems, and are robust against perturbations. To confirm the exis- tence of such edge modes, we have used numerical di- agonalization to study a non-uniform 2D DTQW on a 100×100 site triangular lattice with periodic boundary conditions, see Fig.4c. We take the spin-rotation angles θ1 and θ2 in Eq.(11) to be site-dependent, with θ1 (y ) = θ2 (y ) = 3π/2 chosen to realize the C = −1 phase inside the red strip 25 ≤ y < 75, and θ1 (y ) = θ2 (y ) = 7π/6 chosen to realize the trivial C = 0 phase outside. The quasi-energy spectrum is plotted in Fig.4d as a function of the conserved momentum component kx parallel to the interface. Two counter-propagating chiral edge modes exist inside the bulk gap. These modes are separately localized at the two boundaries between the C = 0 and C = −1 phases, as indicated in Fig.4c. As described above for 1D, these chiral edge modes can be probed by performing the spatially inhomogeneous 2D DTQW described above with the walker initialized at the boundary between two topologically distinct phases. Be- 5 a spin up spin down -v3 +v2 -v1 +v1 -v2 +v3 b -2π +1 θ1 +1 -1 2π 2π -1 c Chern Number: -1 Chern Number: 0 d π 0 0 0 0 0 +1 -1 -1 +1 +1 -1 -1 +1 θ2 y 0 0 0 0 0 -1 +1 -1 +1 -2π π/2 E 0 -π/2 x -π -π/2 -π/4 0 kx π/4 π/2 FIG. 4: (a) Translation vectors for the triangular lattice 2D quantum walk defined in Eq.(11). (b) Chern number associated with the 2D DTQW as a function of the rotation angles θ1 and θ2 . (c) Geometry of an inhomogeneous 2D quantum walk with periodic boundary conditions. In the red region, we take θ1 = θ2 = 3π/2, corresponding to Chern number −1, while in the white region we take θ1 = θ2 = 7π/6, corresponding to Chern number 0 [see colored dots in panel (b)]. Arrows indicate the propagation directions of chiral edge modes localized at the two boundaries. (d) Quasi-energy spectrum of the inhomogeneous 2D quantum walk depicted in panel (c) for a 100×100 site lattice. The Brillouin zone for momentum kx parallel to the interface is defined for the doubled unit cell accessed by U2D , Eq.(11). Two branches of chiral edge modes connect the upper and lower bands. The dotted (solid) line corresponds to the mode localized at the upper (lower) boundary in panel (c). cause a general state localized near the phase boundary has a non-zero overlap with the chiral edge mode, part of the walker’s wavepacket will propagate unidirectionally along the boundary. Such unidirectional propagation is protected by topology, and hence is robust even in the presence of an irregularly-shaped boundary. Finally, we present a time-reversal-invariant 2D DTQW with T 2 = −1, which can realize the quantum spin Hall (QSH) phase (see Fig.1). The realization of this phase requires the presence of at least four bands, which contain two pairs of time-reversed partners. Therefore, we now consider a DTQW where the walker possesses four internal states (e.g. a four-level atom, see also exper- iment [12]). We label these four states by a “spin” index σ , which takes the values ↑ and ↓, and a “flavor” index τ which takes the values A and B . The time-reversal- invariant unitary step operator UTRI is constructed in a block-diagonal form, UTRI = (cid:18) UA 0 UB (cid:19) 0 (12) where UA (UB ) only acts on the walker if its flavor index is equal to A (B ). By fixing UB = U T A , we ensure that UTRI is invariant under the TRS operation T = iτyK , where τy is a Pauli matrix which acts on the flavor index. As an example, if UA is chosen according to Eq. (11), then UB = R(−θ1 )T T 2 R(−θ1)T T 1 R(−θ2 )T T 3 . Note that T T translates the walker in the direction −(+)vi if its i spin is up (down); i.e. T T i acts opposite to Ti . Time-reversal invariant systems in 2D with T 2 = −1 are characterized by a Z2 topological invariant (middle row of right panel in Fig.1). If θ1 and θ2 are chosen such that UA is characterized by an odd Chern number, then UTRI realizes a QSH phase with the Z2 invariant equal to 1 [19]. Strictly speaking, the effective Hamiltonian corresponding to UTRI conserves the flavor index τ and as a result supports topological phases classified by an integer Z , rather than the binary invariant Z2 . However, this additional symmetry can be broken by introducing a coupling between A and B states which preserves TRS. In this way, the generic Z2 classification can be retrieved (see Supplementary Materials). DISCUSSION AND SUMMARY Because the edge modes bound to interfaces between topologically distinct phases in 1D and 2D are topologi- cally protected, their existence is expected to be robust against a broad range of perturbations which may arise in real experiments. In particular, their existence is in- sensitive to the details of the boundaries, which may be sharp or smooth, straight or curved (in 2D), etc. In some cases, the topological protection arises from certain sym- metries (e.g. chiral symmetry in the 1D examples above). However, even if these symmetries are slightly broken by small errors in the spin-rotation axes and/or angles, the edge states are expected to persist due to the absence of nearby states inside the bulk energy gap. Throughout this work, we have focused on signatures of topological phases in single-particle dynamics. How- ever, some dramatic manifestations of topological order, e.g. charge fractionalization and the quantization of the Hall conductivity, appear for specific many-body states such as the “filled-band” ground states of fermionic sys- tems. To observe these phenomena in DTQWs with mul- tiple walkers, analogous many-body states can be pre- pared schematically as follows. For special choices of the DTQW parameters, the Bloch eigenstates are simple, i.e. local in space, and uniform in spin. By preparing a sin- gle filled band comprised of such states, more compli- cated filled band states can be obtained through a quasi- adiabatic evolution in which the DTQW parameters are changed slightly from step to step. Even if an energy gap closes along the way, the number of excitations created in the process can be controlled by the effective sweep rate. In this way, many-body aspects of topological phases may also be studied using DTQWs. In this paper, we have shown that discrete time quan- tum walks provide a unique setting in which to realize topological phases in 1D and 2D. With only slight mod- ifications to the quantum walk protocol which was real- ized in recent experiments, the entire “periodic table” of topological insulators [26, 27] in one and two dimensions can be explored. In addition, we have provided a method to detect the presence of topological phases through the appearance of robust edge states at boundaries between topologically distinct phases. Recently, several promising system-specific methods have been proposed to realize topological phases using cold-atoms [30–42], polar molecules[43], or photons[44]. Our work advances this emerging field by providing a general framework for studying topological phases in a wide variety of available experimental systems including cold-atoms, trapped ions and photons. By extending this work to three dimensions, it may be possible to realize new topological phases, such as the Hopf insulator[45], which have not yet been explored in condensed matter systems. In addition, multi-particle generalizations of discrete time quantum walks will open new avenues in which to explore the quantum many-body dynamics of interacting fermionic or bosonic systems. METHODS Determination of the phase diagram for 1D split-step DTQW The unitary evolution of the 1D split-step DTQW, Eq.(10), is generated by a Hamiltonian of the form of Eq.(5) with cos E (k) = cos(θ2/2) cos(θ1/2) cos k − sin(θ1/2) sin(θ2/2), and nx (k) = cos(θ2/2) sin(θ1 /2) sin k sin E (k) sin(θ2/2) cos(θ1 /2) + cos(θ2/2) sin(θ1 /2) cos k sin E (k) nz (k) = − cos(θ2 /2) cos(θ1 /2) sin k sin E (k) ny (k) = check that A(θ1 ) = It is straightforward to (cos(θ1 /2), 0, sin(θ1 /2)) to n(k) perpendicular is for all k . Therefore, the system possesses chiral sym- metry (9) with Γ(θ1 ) = e−iπA(θ1 )·σ/2 . As a result, the 6 split-step DTQW can be characterized by the winding number of n(k) around the origin, denoted by Z . Using the explicit expression for n(k) in Eq. (13), we find Z = 1 if tan(θ2 /2)/ tan(θ1 /2) < 1, and Z = 0 if tan(θ2/2)/ tan(θ1/2) > 1. The spectrum is gapless along the lines tan(θ2 /2)/ tan(θ1/2) = 1. Thus we obtain the phase diagram displayed in Fig. 3 (b). Localized states at a phase boundary of inhomogeneous split-step 1D DTQW In addition to the dynamical simulations presented in the main text, we have confirmed the existence of topo- logically protected edge states with energy E = 0 or E = π in the 1D split-step DTQW through an analytical calculation for an infinite system with a sharp boundary, using θ2 (x) = θ2− for x < 0 and θ2 (x) = θ2+ for x ≥ 0. Furthermore, we have used numerical diagonalization to study the spectrum of a finite (periodic) system on a ring which hosts two phase boundaries. In all cases, we find that if the phases on the two sides of a boundary are topologically distinct, i.e. characterized by different winding numbers Z , then a single localized state with energy E = 0 or E = π exists at the boundary. For smooth boundaries as described in the main text, other localized states that are not protected by topol- ogy could appear. These bound states always appear in pairs with energies E and −E due to chiral symmetry. Therefore, when the phases on the two sides of a bound- ary are topologically distinct, an odd number of bound states appears at the phase boundary[16]. Phase diagram of the 2D DTQW Here we briefly describe a general procedure for de- termining the phase diagrams of 2D DTQWs. Because the value of a quantized topological invariant can only change across a phase boundary where a gap closes, we first identify the lines in parameter space along which a gap vanishes in the quasi-energy spectrum. Once these phase boundaries are determined, the topological phases between boundaries can be identified by computing the topological invariant at any single point within each re- gion. For the 2D DTQW with evolution operator given by Eq.(11), we have obtained phase boundaries analyti- cally from the spectrum cos E (k) = {cos(θ2/2) cos θ1 cos(k · (v1 + v2 )) − sin(θ2/2) sin θ1 cos(k · (v1 − v2 )} cos(v3 · k) − cos(θ2/2) sin(k · (v1 + v2 )) sin(v3 · k), which gives the lines shown in Fig. 4 (b). We then nu- merically evaluated the Chern number within each region using C = 1 4π RBZ d2 k [n · (∂kx n)] with the appro- n × ∂ky priate expression for n(k). [1] Aharonov, Y., Davidovich, L., & Zagury, N. Quantum random walks. Phys. Rev. A, 48, 1687 (1993). [2] Farhi, E. & Gutmann, S. Quantum computation and de- cision trees. Phys. Rev. A 58, 915 (1998). [3] Shenvi, N., Kempe, J., & Whaley, K.B. A Quantum Ran- dom Walk Search Algorithm. Phys. Rev. A 67, 052307 (2003). [4] Childs, A.M. Universal Computation by Quantum Walk. Phys. Rev. Lett. 102, 180501 (2009). [5] Sension, R.J. Nature 446, 740 (2007). [6] Mohseni, M., Rebentrost, P., Lloyd,S & Aspuru-Guzik, A. Environment-assisted quantum walks in photosyn- thetic energy transfer. J. Chem. Phys. 129, 174106 (2008). [7] Godoy, S. & Fujita, S. A quantum random-walk model for tunneling diffusion in a 1D lattice. A quantum correction to Fick’s law. J. Chem. Phys. 97, 5148 (1992). [8] Rudner, M.S. & Levitov, L.S. Topological Transition in a Non-Hermitian Quantum Walk. Phys. Rev. Lett. 102, 065703 (2009). [9] Oka, T., Konno, N., Arita, R. & Aoki, H. Breakdown of an Electric-Field Driven System: A Mapping to a Quan- tum Walk,” Phys. Rev. Lett. 94, 100602 (2005). [10] Oka, T., Aoki, T. Ground-State Decay Rate for the Zener Breakdown in Band and Mott Insulators. Phys. Rev. Lett. 95, 137601 (2005). [11] Karski, M., et al. Quantum Walk in Position Space with Single Optically Trapped Atoms. Science 325, 174 (2009). [12] Zahringer, F., et al. Realization of a quantum walk with one and two trapped ions. arXiv:0911.1876 . [13] Schreiber, A., et al. Photons Walking the Line. Phys.Rev.Lett. 104, 05052 (2010). [14] Ryan, C.A., Laforest, M., Boileau, J.C., & Laflamme, R. Experimental implementation of a discrete-time quan- tum random walk on an NMR quantum-information pro- cessor. Phys. Rev. A 72, 062317 (2005). [15] Su, W. P., Schrieffer, J. R., & Heeger, A. J. Phys. Rev. Lett. 42, 16981701 (1979). [16] Ryu, S. & Hatsugai, Y. Topological Origin of Zero- Energy Edge States in Particle-Hole Symmetric Systems. Phys. Rev. Lett. 89, 077002 (2002). [17] Thouless, D.J., Kohmoto, M., Nightingale, M.P. & den Nijs, M. Quantized Hall Conductance in a Two- Dimensional Periodic Potential. Phys. Rev. Lett. 49, 405 (1982). [18] von Klitzing, K., Dorda, G. & Pepper, M. New Method for High-Accuracy Determination of the Fine-Structure Constant Based on Quantized Hall Resistance. Phys. Rev. Lett. 45, 494 (1980). [19] Kane,C.L. & Mele, E.J. Z2 Topological Order and the Quantum Spin Hall Effect. Phys. Rev. Lett. 95, 146802 (2005). [20] Bernevig, B.A., Hughes, T.L. & Zhang, S.-C. Quantum Spin Hall Effect and Topological Phase Transition in HgTe Quantum Wells. Science 314, 1757 (2006). [21] Fu,L. & Kane, C. L. Topological insulators with inversion symmetry. Phys. Rev. B 76, 045302 (2007). [22] Koenig,M. et al. Quantum spin hall insulator state in HgTe quantum wells. Science 318, 766-770 (2007). [23] Hsieh, D., et al. A topological Dirac insulator in a quan- 7 tum spin Hall phase. Nature 452, 970 (2008). [24] Qi, X-L., Hughes, T.L. & Zhang, S-C. Topological field theory of time-reversal invariant insulators. Phys. Rev. B 78, 195424-195424 (2008). [25] Qi, X-L., Hughes, T.L. & Zhang, S-C. Fractional charge and quantized current in the quantum spin Hall state. Nature Physics 4, 273-276 (2008). [26] Schnyder, A.P., Ryu, S., Furusaki, A., Ludwig, A. W. W. Classification of topological insulators and superconduc- tors in three spatial dimensions. Phys. Rev. B 78, 195125 (2008). [27] Kitaev, A. Periodic table for topological insulators and superconductors. arXiv:0901.2686 (2009). [28] Halperin, B.I. Quantized Hall conductance, current- carrying edge states, and the existence of extended states in a two-dimensional disordered potential. Phys. Rev. B 25, 2185 (1982). [29] Haldane, F.D.M. Model for a Quantum Hall Effect with- out Landau Levels: Condensed-Matter Realization of the “Parity Anomaly.” Phys. Rev. Lett. 61, 2015 (1988). [30] Sorensen, A.S., Demler, E & Lukin, M.D. Fractional Quantum Hall States of Atoms in Optical Lattices. Phys. Rev. Lett. 94, 086803 (2005). [31] Palmer, R.N. & Jaksch, D. High-Field Fractional Quan- tum Hall Effect in Optical Lattices. Phys. Rev. Lett. 96, 180407 (2006). [32] Stanescu, T.D., Zhang, C. & Galitski, V.M. Nonequilib- rium Spin Dynamics in a Trapped Fermi Gas with Effec- tive Spin-Orbit Interactions. Phys. Rev. Lett. 99, 110403 (2007). [33] Stanescu, T. D., Galitski, V., Vaishnav, J.Y., Clark, C.W., & Das Sarma, S. Topological insulators and met- als in atomic optical lattices. Phys. Rev. A 79, 053639 (2009). [34] Goldman, N., et al. Engineering Time-Reversal In- variant Topological Insulators With Ultra-Cold Atoms. arXiv:1002.0219 . [35] Jaksch,D & Zoller, P. Creation of effective magnetic fields in optical lattices: the Hofstadter butterfly for cold neu- tral atoms. New J. Phys. 5, 56 (2003). [36] Mueller, E. J. Artificial electromagnetism for neutral atoms: Escher staircase and Laughlin liquids. Phys. Rev. A 70, 041603(R) (2004). [37] Spielman, I. B. Raman processes and effective gauge po- tentials. Phys. Rev. A 79, 063613 (2009). [38] Gerbier, F. & Dalibard, J. Gauge fields for ultracold atoms in optical superlattices. arXiv:0910.4606 [39] Satija, I. I., Dakin, D. C., Vaishnav, J.Y., & Clark, C.W. Physics of a two-dimensional electron gas with cold atoms in non-Abelian gauge potentials. Phys. Rev. A 77, 043410 (2008). [40] Zhu, S-L., Fu, H., Wu, C.-J., Zhang, S.-C., & Duan, L.- M. Spin Hall Effects for Cold Atoms in a Light-Induced Gauge Potential. Phys. Rev. Lett. 97, 240401 (2006). [41] Goldman, N., et al. Non-Abelian Optical Lattices: Anomalous Quantum Hall Effect and Dirac Fermions. Phys. Rev. Lett. 103, 035301 (2009). [42] Osterloh, K, Baig,M., Santos, L., Zoller, P., & Lewen- stein, M. Cold Atoms in Non-Abelian Gauge Potentials: From the Hofstadter ”Moth” to Lattice Gauge Theory. Phys. Rev. Lett. 95, 010403 (2005). [43] Micheli, A., Brenner, G. K., & Zoller, P. A toolbox for lattice-spin models with polar molecules. Nature Physics 2, 341 - 347 (2006). 8 [44] Otterbach, J., Ruseckas, J., Unanyan, R.G., Juzeliunas, G. & Fleischhauer, M. Effective Magnetic Fields for Sta- tionary Light. Phys. Rev. Lett. 104, 033903 (2010). [45] Moore, J. E., Ran, Y. & Wen, X.-G. Topological Surface States in Three-Dimensional Magnetic Insulators. Phys. Rev. Lett. 101, 186805 (2008). ACKNOWLEDGEMENTS We are grateful to Y. Shikano for introducing us to DTQWs. We thank M. D. Lukin and M. Levin for useful discussions. This work is supported by NSF grant DMR 0705472, CUA, DARPA OLE, AFOSR MURI. E.B. was also supported by the NSF under grants DMR-0757145, and MSR was supported by NSF Grants DMR 090647 and PHY 0646094. Online Supplementary Material EXPLICIT DTQW PROTOCOLS FOR ALL TOPOLOGICAL CLASSES In this section, we provide explicit DTQW protocols which can be used to realize topological phases in each of the symmetry classes listed in Fig.1 of the main text. These protocols are summarized in Supplementary Fig.5. Each DTQW presented in Fig.5 can realize both trivial and non-trivial phases within a given symmetry class. The specific phase which is realized is determined by the spin rotation angles which parametrize the quantum walk; the system can be driven through a topological phase transition by tuning these spin rotation angles. In the following, we denote the presence of time-reversal symmetry (TRS) with T 2 = ±1 by TRS= ±1, and the absence of time-reversal symmetry by TRS= 0. Simi- larly, we denote the presence of particle-hole symmetry (PHS) with P 2 = ±1 by PHS= ±1, and its absence by PHS= 0. We denote the presence of chiral symmetry under the unitary operator Γ by CH=1, and its absence by CH=0. Note that because the chiral symmetry op- erator Γ is unitary, rather than antiunitary, the phase of its square does not carry any additional information. In particular the transformation Γ → eiθ Γ results in Γ2 → e2iθ Γ2 . For all of the DTQWs considered below, the presence of any two of the symmetries {TRS, PHS, CH} automatically ensures the presence of the third. For example, if a system possesses PHS and CH under the operators P and Γ, then it also possess TRS under the operator T = P Γ. Doubling Procedure Quantum walks with TRS= −1 can be readily con- structed from DTQWs with TRS=0 through the “dou- bling procedure” used to construct UTRI [Eq.(12)] in the main text. First the walker is endowed with an additional two-fold “flavor” index τ which can take either the value A or B . We then choose an evolution operator which is diagonal in the flavor index and which satisfies UB = U T A , where UA(B ) is the evolution operator which acts on the walker with flavor A(B ). With this possibility in mind, below we focus on examples with TRS=0. One dimensional topological phases Symmetry Classes: TRS= 0, PHS= 0, CH= 1 (Z ) TRS= −1, PHS= −1, CH= 1 (Z ) The split-step DTQW described by Eq.(10) of the main text realizes the symmetry class with TRS=1, PHS=1, 9 CH=1. By an appropriate change of the direction of the spin-rotation axes, TRS and PHS can be broken while CH is retained. Thus in order to realize the related sym- metry class TRS=0, PHS=0, CH=1, we will break the PHS of the split-step DTQW. In the main text we showed that any DTQW whose unitary evolution operator is real possesses PHS with P = K , where K is the complex conjugation opera- tor. The existence of PHS is in fact more general: if the two spin-rotations in a split-step DTQW are performed around the same axis, and if that axis lies in the xy - plane, then the DTQW will have PHS=1. To see this, suppose that both rotations are performed around the axis (sin ϕ, cos ϕ, 0). It is then straightforward to check that the resulting effective Hamiltonian possesses PHS under the operator P = e−iσz ϕ/2K eiσz ϕ/2 . On the other hand, PHS is absent if we choose a ro- tation axis that contains a non-zero z component. An example of a DTQW with PHS=0 is provided by the evolution operator (13) U α ss (θ1 , θ2 ) = T↓Rα (θ2 ) T↑Rα (θ1 ), where Rα (θ) is a spin-rotation around the axis α = 1√2 (0, 1, 1) through the angle θ. Although PHS is absent, this system possesses chiral symmetry under the symme- try operator Γα (θ1 ) = ie−iπAα (θ1 )·σ/2 , where Aα (θ1 ) = sin(θ1 /2), 1√2 (cos(θ1 /2), 1√2 sin(θ1 /2)). The absence of TRS can be checked in the following way. If the energy eigenvalues of the two states with momentum k are given by ±E (k), then TRS= ±1 requires E (k) = E (−k). We have explicitly checked that this relation is not satis- fied for the DTQW defined by Eq.(13), and thus conclude that TRS is absent. The split-step DTQW above, Eq.(13), can realize dis- tinct topological phases by tuning the spin-rotation angle θ2 . For example, the trivial phase with winding number Z = 0 is realized with θ1 = π/2 and θ2 = 3π/4 and the phase with winding number Z = 1 is realized with θ1 = π/2 and θ2 = π/4. The recent experimental implementation of a DTQW with photons[13] employed the rotation operator given by the Hadamard gate RH = ie−iπn·σ/2 , with n = 1/√2(1, 0, 1). Since the rotation axis contains a non-zero z component, we conclude that this “Hadamard walk” belongs to the symmetry class TRS=0, PHS=0, CH=1. Using the doubling procedure described above, a time- reversal symmetric DTQW with TRS=−1, PHS=−1, and CH=1 can be constructed based on the DTQW defined in Eq. (13). The corresponding evo- step of lution for one the DTQW is given by ss (θ1 , θ2 ))T (cid:3). It is straightforward to diag(cid:2)U α ss (θ1 , θ2 ), (U α check that this quantum walk possesses chiral symmetry under the operator Γ = diag(cid:2)Γα (θ1 ), Γ∗α (θ1 )(cid:3). By con- struction, this DTQW possesses TRS= −1 with T = iτyK . Using these two symmetries, we construct a PHS operator P = ΓT with P 2 = −1. P 2 T 2 (TRS) (PHS) Γ2 (CS) 2D DTQW protocol 10 µ U β 2D 0 U β 2D = T3Ry (θ1 )T2Rβ (θ2 )T1Ry (θ1 ) 1 ¶ e−iτy σy ϕ/2 Ã 1 2D ´T ! 0 0 0 ³U β Ã U β 2D ´∗ ! 0 2D ³U β 0 y (θ1 )T2R y (θ2 )T1R µ U2D (U2D )T ¶ 0 0 U2D = T3R y (θ1 ) Γ2 P 2 T 2 (TRS) (PHS) (CS) 1 1 1 1 -1 -1 1 1D DTQW protocol T↓R y (θ) or T R y (θ2 ) T↑R y (θ1 ) T Rα (θ) or ss = U α T↓Rα (θ2 ) T↑Rv (θ1 ) µ U α ss )T ¶ 0 ss (U α 0 1 Uss′ = T↓R y (θ2 ) T↑R y (θ1 )T -1 -1 1 -1 1 1 µ Uss ′ 0 ′ )T ¶ 0 (Uss -1 1 1 FIG. 5: DTQW protocols for each symmetry class of topological phases in 1D and 2D. By tuning the rotation angles, all of these examples can realize both trivial and non-trivial topological phases within each class. Here T translates the walker to the right (left) if its spin is up (down), while T↑ (T↓ ) translates only the spin up (down) component to the right (left). In 2D, the translation Ti shifts the walker in the vi (−vi ) direction if its spin is up (down), see Fig.4a of the main text. A spin rotation operator Ru (θ) rotates the walker’s spin through an angle θ about the axis u ∈ {y , α, β}, where α = 1 √2 (0, 1, 1), and β = (sin(π/8), cos(π/8), 0). In most cases, quantum walks with TRS=−1 and PHS=-1 are obtained by the doubling procedure starting from a quantum walk with evolution operator UA which has TRS=0 and PHS=0. Such cases are separated by dotted lines. See main text for descriptions of the relevant symmetry operators. One dimensional systems with particle-hole symmetry exhibit two distinct topological phases[24]. These two phases are indexed by the Berry phase, which can only take the quantized values 0 and π due to the presence of PHS. Explicitly, the invariant is given by B = Z dk 2π Here, ψlb (k)i is the eigenstate in “lower band” with mo- mentum k . The DTQW described above can realize both topological phases, with the trivial phase (B = 0) real- ized for θ1 = π/2, θ2 = π/6, and the non-trivial phase with B = 1/2 realized for θ1 = π/2, θ2 = 2π/3. Using the doubling procedure, we can construct a time- reversal invariant DTQW with TRS=−1, PHS=1, CH=1 based on Eq. (14). (−i)hψlb (k)∂k ψlb (k)i. (15) Symmetry Classes: TRS=0, PHS=1, CH=0 (Z2 ) TRS=−1, PHS=1, CH=1(Z2 ) The construction of a DTQW with TRS=0, PHS=1, CH=0 starts from the split-step DTQW with TRS=1, PHS=1, CH=1 [see main text, Eq. (10)]. The chiral symmetry can be broken by adding extra operations to the split-step DTQW. On the other hand, in the previ- ous section we showed that PHS can be retained quite generally as long as the two rotation axes are the same and taken to lie on xy -plane. In order to construct a DTQW with CH=0, we be- gin with Eq.(10) and add an additional spin-dependent translation T which translates the walker to the right(left) by one lattice site if its spin is up(down) [see Eq.(2)]. Explicitly, the evolution operator for one step of a representative DTQW from this symmetry class is given by Uss′ (θ1 , θ2 ) = T↓Ry (θ2 ) T↑Ry (θ1 )T , (14) where Ry (θ) is a spin-rotation around the y axis through an angle θ [Eq.(3)]. Since Uss′ is real, this DTQW retains PHS=1 with P = K . The absence of chiral symmetry for this walk can be verified by observing that the quan- tization axis n(k) does not lie on a plane which includes the origin. Therefore, no single operator Γ can be found which satisfies ΓH (k) = −H (k)Γ for all k . Two dimensional topological phases Symmetry Classes: TRS=0, PHS=1, CH=0 (Z ) TRS=−1, PHS=1, CH=1(Z2 ) The triangular lattice 2D DTQW defined by Eq.(11) of the main text involves only spin rotations around the y -axis. Consequently, the evolution operator U2D is real and possesses PHS=1 with P = K . Therefore, the time- reversal invariant DTQW UTRI constructed from U2D , Eq.(12), is contained in the symmetry class TRS=−1, PHS=1, CH=1. As noted in the main text, UTRI is diagonal in the fla- vor index τ = A, B and thus possess an extra symmetry related to the conservation of τz . Here we describe a more general 2D DTQW with TRS=−1 which does not possess this additional symmetry. The operator for one step of this modified time-reversal invariant DTQW is given by 0 UB (cid:19) 1 (cid:19) e−iτy σy ϕ/2 (cid:18) 1 UTRI′ = (cid:18) UA 0 0 0 where UA(UB ) acts on the walker if its flavor index is A(B ). The rotation e−iτy σy ϕ/2 explicitly introduces mix- ing between the A and B flavors, and thus breaks the conservation of τz . This DTQW is characterized by TRS=−1 with the symmetry operator T = iτyK if UB is chosen according to UB = U T A . If the Chern number associated with UA is odd, then UTRI′ with ϕ = 0 realizes a non-trivial QSH topological phase. Because this phase is protected by TRS, the presence of a small ϕ > 0 can not take the system out of this phase. (16) Symmetry Classes: TRS=0, PHS=0, CS=0 (Z ) TRS=−1, PHS=0, CH=0 (Z2 ) The existence of topological phases characterized by a non-zero Chern number does not rely on the presence of PHS. Therefore, the topological phase with Chern num- ber 1 in the TRS=0, PHS=1, CS=0 symmetry class can be directly transformed to the corresponding phase in the TRS=0, PHS=0, CS=0 symmetry class by a pertur- bation which breaks PHS. Such a perturbation can be achieved by changing the rotation axis for the second ro- tation stage in Eq.(11). The resulting DTQW single-step evolution operator is given by U β 2D (θ1 , θ2 ) = T3R(θ1 )T2Rβ (θ2 )T1R(θ1 ), (17) where R is a spin rotation around the y axis, and Rβ (θ) is a spin rotation around the axis β = (sin ϕ, cos ϕ, 0) with ϕ = π/16. The operators {Ti} correspond to 11 spin-dependent translations along the directions {vi }, as defined in Fig.4a. The absence of PHS is confirmed by examining the relationship between energy eigenval- ues E (k) and E (−k). The presence of PHS implies E (k) = E (−k). This condition is violated for DTQW (17). Therefore this system does not possess PHS. DTQW (17) realizes both topologically trivial and non- trivial phases with zero and nonzero Chern numbers. For example, the choice θ1 = θ2 = 3π/2 generates the phase with Chern number −1, while θ1 = θ2 = 7π/6 corresponds to the phase with Chern number 0. Since PHS is absent, this DTQW belongs to the class with TRS=0, PHS=0, CS=0. The related time-reversal in- variant DTQW constructed by applying the doubling procedure to this walk has TRS= −1, PHS=0, CH=0. Symmetry Class: TRS=0, PHS=−1, CS=0 (Z ) Quantum walks with PHS=−1 can be constructed through a doubling procedure similar to that used to construct DTQWs with TRS=−1. Consider the block- diagonal evolution operator 0 UB (cid:19) , UPHI = (cid:18) UA 0 (18) where UA (UB ) acts on the walker if its flavor index is A(B ). If we choose UB = U ∗A , then the resulting DTQWs possess PHS=−1 with P = iτyK . By choosing UA ac- cording to Eq.(17) with parameters to give a Chern num- ber of 1, Eq. (18) produces a DTQW which realizes a non-trivial topological phase in the symmetry class TRS=0, PHS=−1, CH=0. REALIZATION OF 2D TOPOLOGICAL PHASES ON A SQUARE LATTICE In the main text, we have provided examples of 2D DTQWs which realize topological phases on a triangular lattice. However, these DTQWs can also be implemented on a square lattice, as we explain below. A square lattice may be easier to realize in some experimental implemen- tations, such as cold atoms in optical lattices. Generally speaking, the phase diagram of a DTQW is determined by the amplitude for the walker to hop from one site to another after one complete step of the evolu- tion. Thus, as long as the hopping amplitudes between all pairs of sites are preserved, geometrical deformations of the lattice do not change the phase diagram. Therefore, the phase diagram of a DTQW is insensitive to geometric deformations of its host lattice. In particular, 2D DTQWs with non-zero Chern num- ber can be realized on a square lattice by replacing the 12 translations along the vectors {vi } on the triangular lat- tice in Eq.(11) with the vectors {wi} shown in Fig.6. Here, w1 = (1, 1), w2 = (0, 1) and w3 = (1, 0). This pro- tocol is obtained simply by “shearing” the lattice used in Eq.(11) and Fig.4a. Note that the diagonal translation along w1 can be implemented by a compound translation along (1, 0) followed by a translation along (0, 1). spin up spin down +w2 +w1 +w3 -w3 -w1 -w2 FIG. 6: Translation vectors for 2D DTQW on a square lattice that realizes the phase diagram of Fig.4 in the main text. Crucially, v3 satisfies the relation v3 = v1 − v2 just as in the triangular lattice realization.
1806.11088
3
1806
2019-03-17T20:49:41
Electromagnetic Scattering Resonances of Quasi-1D Nanoribbons
[ "cond-mat.mes-hall" ]
We analyse the resonance conditions of a long and narrow ribbon of finite length whether it is conductive or dielectric. This is accomplished by using a full wave approach based on the material independent modes that naturally discriminates the role of the geometry and of the material. This method effectively allows the design of the material in such a way to obtain the desired resonances. Eventually, as an example, we design two quasi-one dimensional resonators based on a graphene layer and on a silicon thin film.
cond-mat.mes-hall
cond-mat
a Electromagnetic Scattering Resonances of Quasi-1D Nanoribbons Carlo Forestiere, Giovanni Miano, Mariano Pascale, and Roberto Tricarico Department of Electrical Engineering and Information Technology, Universit`a degli Studi di Napoli Federico II, via Claudio 21, Napoli, 80125, Italy We analyse the resonance conditions of a long and narrow ribbon of finite length whether it is conductive or dielectric. This is accomplished by using a full wave approach based on the material independent modes that naturally discriminates the role of the geometry and of the material. This method effectively allows the design of the material in such a way to obtain the desired resonances. Eventually, as an example, we design two quasi-one dimensional resonators based on a graphene layer and on a silicon thin film. I. INTRODUCTION Downscaling electromagnetic resonators remains a ma- jor issue in micro and nanotechnology and asks for novel platforms supporting electromagnetic waves and reso- nances on lower dimensional structures. In the last years, the emerging spatial localization properties of plasmons in noble metal structures have suggested several strategies to squeeze the electromag- netic energy over subwavelength spatial regions by us- ing, for instance, a coated metal pin1, V-shaped grooves2 and wedges3. However, in noble metals, plasmons have intrinsic limitations4, including, above all, the short life- time due to the metal losses and the limited spectral tunability, spanning only from the ultraviolet to the near infrared. Electromagnetic waveguiding in lower dimensional spa- tial domains has been demonstrated in photonic crystals (PCs), where a careful design of the reciprocal space en- ables the creation of interfaces supporting topologically protected one-directional propagation5. Unfortunately, PCs necessarily require the fabrication of large struc- tures. Properly engineered metasurfaces can also play a central role in conceiving electromagnetic circuitry of reduced dimensionality. Recently, Bisharat et al. have demonstrated that two planar surfaces of complemen- tary surface impedance may guide electromagnetic waves along a one dimensional line6. However, a resonator based on these modes would require the use of semi- infinite metasurfaces (or at least very large compared to the operating wavelength) with a defect-free interface. These two characteristics make it bulky and difficult to downscale. Another promising platform is represented by two di- mensional materials for example doped graphene7 and transition metal dichalcogenides8. Specifically, thanks to the high carrier mobility, graphene plasmons can have a lifetime that may reach hundreds of optical cycles, one order of magnitude greater than noble metal ones. Graphene ribbons of infinite length and finite width have been proposed as waveguides and the properties of their modes have been investigated9,10. The corresponding mode patterns have been experimentally observed11. A long and narrow ribbon of finite length, whether it is conductive or dielectric, may behave as a quasi-one dimensional (1D) electromagnetic resonator. For an ef- fective analysis and design it would be highly desirable to know its resonances and its resonant modes and how they depend on its geometrical and physical parameters. The material independent modes12 provide a unified ap- proach that allows to clearly separate the roles of the material and of the geometry. In this paper, by using a full wave approach based on the material independent modes, we derive, for the first time, the resonance conditions of a quasi 1D structure of finite length whether it is conducting or dielectric. In particular, these conditions enable us to determine the re- lations between the material parameters, the geometrical parameters, and the wavelength so that the ribbon res- onates. We also show that, the electric field at the ends of the ribbon undergoes a strong enhancement due to the strong charge accumulation at the ends. This property is a consequence of the structure of the integro-differential operator characterizing the problem, which does not de- pend on the particular material. The paper is organized as follows. In Sec. II we for- mulate the electromagnetic scattering problem for a long and narrow ribbon of finite length. Under this hypothe- sis, the problem reduces with excellent approximation to the solution of a 1D integro-differential equation, which is solved by using the material- independent modes. In Sec. III, we analyse the modes of the ribbon, consider- ing both the cases of a length-to-wavelength ratio much smaller than one, and comparable to one. In Sec. IV, we consider the scattering problem from a ribbon with tunable conductivity, and we calculate the electric field distribution and the scattering efficiency under a plane- wave excitation. Eventually, as an example, we design in Sec. V the resonant scattering from a doped graphene ribbon and from a silicon film in the infrared spectral range. In both cases we examine the enhancement and the localization properties of the electric field. +w −w −l S y z ribbon is governed by the constitutive equation +l E (z) = 1 2wσ (ω) I (z) for z < l. (1) 2 FIG. 1. Sketch of the ribbon of half-length l and half-width w. II. ELECTROMAGNETIC SCATTERING FROM A RIBBON We consider a body with thickness ∆ much smaller than its other two linear characteristic dimensions and than the wavelength of the electromagnetic field. In this limit only the in-plane electromagnetic response of the material is important and the body may be treated as it is two-dimensional (2D)13. The 2D homogeneous mate- rial has a rectangular shape as sketched in Fig. 1. The rectangle has length 2l, width 2w, and it is aligned along the z axis of a Cartesian coordinate system. It is illumi- nated by an electric field with angular frequency ω, i.e. ei (r, t) = Re(cid:8)Ei (r) eiωt(cid:9). We assume that the rectangle is a ribbon with very high length-to-width ratio l/w ≫ 1 and k0w ≪ 1, where k0 = ω/c and c is the speed of light in vacuum. In the following, we disregard the effects of the spatial dispersion. On the other hand, the axial component of the total electric field on the ribbon surface is given by E (z) = −iωA − dV dz + Ei for z < l, (2) where A (z) and V (z) are, respectively, the axial compo- nents of the induced magnetic vector potential and of the induced electric scalar potential, evaluated on the ribbon axis and Ei (z) = z · Ei (x = 0, y = 0, z). By using the Lorenz gauge we obtain A (z) = µ0 L{I} (z) 1 ε0 L{Q} (z) V (z) = for z < l, for z < l, (3) where L{u} is the linear integral operator L{u} (z) = 1 4π +l −l g (z − z′) u (z′) dz′ for z < l, (4) A. Integro-differential Equation for the Induced g (ζ) = Current and 1 2w +w −w r−1 (y, ζ) exp [−ik0r (y, ζ)] dy, (5) In the following, we introduce the quasi-1D model for the induced current along the conducting or dielectric rib- bon. In both cases the sheet is characterized by an effec- tive surface conductivity σ (ω). In the linear regime, the electric field induces a surface free or polarization current density jS (rs, t) = Re(cid:8)J (rs) eiωt(cid:9) and a surface free or polarization charge density ρS (rs, t) = Re(cid:8)Σ (rs) eiωt(cid:9), with rs ∈ S, where S is the surface of the ribbon. We indicate with e (rs, t) = Re(cid:8)E (rs) eiωt(cid:9) the total elec- tric field, i.e. the sum of the impressed and the scat- tered electric fields. Since l/w ≫ 1 and k0w ≪ 1, we disregard the spatial variation along the y direction of the various physical quantities. Furthermore, we con- sider only transverse magnetic (TM) excitation, and we also disregard the transverse component of the current density field. Therefore, we set J (rs) = I (z) /2w z, Σ (rs) = Q (z) /2w, and E (rs) = E (z) z, where I (z) is the current intensity through the ribbon transverse sec- tion, Q (z) is the per unit length (p.u.l.) charge, and −l < z < l. In general, for a TM excitation, the longi- tudinal current density behaves as I (z) /(cid:16)πpw2 − y2(cid:17) when y → ±w14. By assuming the uniformity of the cur- rent density along y, we make a negligible error as long as l/w ≫ 1 and k0w ≪ 1. This is shown in Sec. III, where we compare the solution obtained by the quasi-1D model with the one obtained by a fully 2D simulation. In the frequency domain, the current intensity on the r =py2 + ζ2. (6) It is convenient to express the Green function g as g = gS + gD, where gS is the static Green function gS (ζ) = 1 2w ln  +1 +q1 + (ζ/w)2 −1 +q1 + (ζ/w)2  . (7) Since k0w ≪ 1 the dynamic contribution gD is approxi- mated as, e.g.15 gD (ζ) ≈ −ik0 sinc (k0 ζ /2) exp (−ik0 ζ) . (8) By combining Eqs. (1),(2),(3) and the continuity equa- tion dI dz = −iωQ, (9) we obtain the equation for the distribution of the current intensity along the ribbon axis ΓI − F {I} (z) = i2wEi (z) for z < l, (10) where Γ = i σ , (11) 2wζ0 F takes into account the induced electric field 0L{I} (z)(cid:21) , (12) F {I} (z) = and ζ0 =pµ0/ε0. The integro-differential equation (10) has to be solved with the boundary conditions dz(cid:27) (z) + k2 k0 (cid:20) d dzL(cid:26) dI I (−l) = I (+l) = 0. (13) The same equation also holds for a conducting tubule, assuming as static Green function 3 The eigenmodes un (z) and um (z), corresponding to two different eigenvalues, are not orthogonal in the usual sense, i.e. hun, umi 6= 0, where hu, vi = ´ u∗ (z) v (z) dz. Nevertheless, we have hu∗ n, umi = 0 for n 6= m. Moreover, due to the symmetry of the problem, the eigenmodes are either even or odd functions of z. In the limit k0l ≪ 1 the operator F is Hermitian because the radiation losses are negligible, its eigenvalues are real and negative, while its eigenmodes are real and orthogonal in the usual sense. The solution of equation (10) with the boundary con- ditions (13) is therefore , (14) I (z) = i2w ∞ Xh=1 1 Γ − γn hu∗ hu∗ n, Eii n, uni un. (18) The eigenvalues γn and the eigenmodes un are mate- rial independent, they only depend on the quantities l/w and l/λ, where λ = 2π/k0. The material only appears through Γ in the factors 1/ (Γ − γn). Equation (18) dis- tinctly separates the role of the geometry from the role played by the material. For assigned values of material, geometry, frequency, and excitation the expression (18) is computationally disadvantageous compared to the di- rect numerical solution of Eq. (10). However, when the scattered field has to be computed for many values of surface conductivity (as in Sec. IV) or different excita- tion conditions, and the geometry and the frequency are assigned, the computation of Eq. (18) is computation- ally advantageous compared to the direct solution. The main advantage of solution (18) is that it gives us directly the resonances and the coupling of the modes with the incident field. For passive materials we have Im{Γ} ≥ 0, thus the quantity Γ − γn in Eq. (18) does not vanish because Im{γn} < 0. Nonetheless, the amplitude of the n-th mode increases as the distance between Γ and γn is re- duced. If we assign the material and the geometrical dimensions of the ribbon, the resonance condition in the usual "frequency picture" for the n-th mode is Γ (λ) − γn (l/λ) = Minimum λ . (19) It is possible to introduce a complementary view, denoted as "material picture", where the dimensions of the ribbon and the operating wavelength are assigned. In this case, the resonance condition for the n-th mode is Γ − γn = Minimum Γ . (20) The "material picture" is particularly relevant because the conductivity of 2D materials, e.g. graphene ribbons, can be either tuned chemically or by electrostatic gating, while the effective conductivity of a dielectric thin film can be tuned by varying its thickness. A passive material satisfying Eq. (20) has Re{Γ} = Re{γn}. The imaginary part of σ and hence the real part of Γ may be either negative or positive depending on the material and on the frequency. In particular, below gS (ζ) = 2 π K (m) p4a2 + ζ2 where K (m) is the complete elliptic integral of the first kind, m = 4a2 4a2 + ζ2 , (15) and a is the tubule radius. B. Solution in terms of material independent modes We solve Eq. (10) with the boundary conditions (13) by using the material-independent modes12. They are the solution of the eigenvalue problem F {u} (z) = γ u (z) for z < l, (16) with the boundary condition 13, where u (z) is the eigen- function associated with the eigenvalue γ. As for 3D objects, the operator F is compact, thus its spectrum {γn}n∈N is countable infinite, but F is not Hermitian because of the radiation losses16,17. The eigenvalues are complex. We have 8wω Re{γn} = −l un2 dz × ´ +l ×(cid:18) µ0 4 R3 kHnk2 dV − Im{γn} = − ´ +l −l un2 dz 4w 1 ε0 4 R3 kEnk2 dV(cid:19) , 2ζ0 ‹S∞ kEnk2 dS, (17) where En and Hn are the electric and magnetic fields radiated by the current un, and S∞ is a spherical surface with infinite radius. The real part of the eigenvalue is proportional to the difference between the magnetic and the electric energies of the mode. Thus, it is negative when the electric energy is greater than the magnetic one, positive otherwise. The imaginary part of the eigenvalue is negative and it is proportional to the power radiated to infinity by the corresponding mode, therefore it takes into account the radiation losses. the frequency where interband transitions occur, the real part of Γ is negative for conductive materials and positive for dielectric materials. Therefore, in conducting mate- rials modes with Re{γn} < 0 can be resonantly excited, while in dielectric materials modes with Re{γn} > 0 can In section III, we show that we be resonantly excited. can design the resonances in both ways. C. Approximated approach for l/w → ∞ The integro-differential problem introduced so far can be solved analytically in the limit l/w → 0, e.g.15. Specif- ically, the static Green function gS of Eq. (7) has a sin- gularity of logarithmic type at ζ = 0 which prevails over the dynamic contribution of gD. When w → 0 the func- tion gS behaves as a Dirac delta function with amplitude (ribbon slenderness) Θ = l −l gS (ζ) dζ, (21) and it turns out that F {I} (z) ≈ F (A) {I} (z) = 2wζ0 k0 where Θ 4π (cid:18) d2I dz2 + k2 0I(cid:19) , (22) Θ ≈ 2 ln(cid:18) 2l w(cid:19) . (23) In the following we denote the quantity obtained in this approximation with the superscript A. The expression of Θ for a tubule of radius a is analogous to Eq. (23), providing that w is replaced by a. The eigenvalues γ(A) n F (A) {I} are given by and the eigenmodes u(A) n of γ(A) n = n = 0, 1, 2, 3, . . . , (24) n + k2 0(cid:1) 2wζ0 Θ k0 4π (cid:0)−β2 n (z) =(cos βnz sin βnz u(A) n = 0, 2, 4, 6, . . . , n = 1, 3, 5, 7, . . . , βn = π 2l (1 + n) . (25) (26) The approximated operator F (A) {I} does not take into account the finite length of the ribbon, which comes into play only through the boundary conditions, ex- pressed by Eq. (26). As a consequence, this approxi- mation is not able to predict the charge accumulation at the ribbon end, as we will see in the following section. We note that the eigenvalues γ(A) and the eigenmodes u(A) are real because we have disregarded gD. Even if this n n 0 0 / } n -250 { e R 4 (b) (a) 0 0 / } n { e R -1 (TW) n n 2 -500 0 4 6 index 8 10 -2 0 (TW) n n 2 4 6 index 8 10 FIG. 2. Real part of the first 10 eigenvalues normalized to ζ0 = 376.7 ohm for l/w = 50, l/λ = 2 · 10−3 (a) and l/λ = 0.5 (b) evaluated by the quasi-1D approach (blue triangles) and by the approximated approach (AA) (red circles). approximation disregards the retardation effects, it takes into account both the quasi-static electric and magnetic interactions far away from the ribbon ends. Specifically, the electric and the magnetic interactions are described by the first and the second term in parenthesis in Eq. (22) ( they correspond to the first and second term in parenthesis in the expression of the eigenvalue (24)). When k0 ≪ βn the electric contribution is dominant and the mode has a quasi-electrostatic character. It is characterized by a negative eigenvalue γ(A) n . This condi- tion certainly occurs when l/λ ≪ 1. For k0 ≈ βn both the magnetic and the electric contributions are important and the mode has an electromagnetic character. For a uniform Ei, the solution of Eq. (10) with the boundary conditions (13) is I (A) (z) = − 4π Θ ik0Ei β2ζ0 (cid:18)1 − cos βz cos βl(cid:19) , β =sk2 0 − 4π Θ k0 2w Γ ζ0 . (27) (28) In the weak losses limit, (cid:12)(cid:12)I (A) (z)(cid:12)(cid:12) is maximum when Re{βl} = (2n + 1) π/2 for n = 0, 1, . . .. This condition is equivalent to either condition (19) or condition (20) for the even modes (odd modes are not excited in this condition). III. MODAL ANALYSIS We numerically solve the eigenvalue problem of Eq. (16) by using the Galerkin method with piecewise linear functions. In the following, we refer to this method as quasi-1D approach. First, we investigate two ribbons both featuring a length-to-width ratio l/w = 50. Specifically, the first one has a length much smaller than the operating wavelength λ, i.e. l/λ = 2 · 10−3, the second one has a length com- parable to λ, i.e. l/λ = 0.5. For both the investigated scenarios, in Fig. 2 we plot the real part of the first 10 TABLE I. First 8 eigenvalues normalized to ζ0 = 376.7 ohm for l/w = 50, l/λ = 2 · 10−3 and l/λ = 0.5. γn/ζ0 l/λ = 2 · 10−3 l/λ = 0.5 5.75 · 10−2 −1.98 · 10−2i 0 −4.97 −5.32 · 10−7i 1 −17.9 −5.48 · 10−12i 1.04 · 10−2 −1.54 · 10−2i 2 −36.9 −5.21 · 10−8i −7.86 · 10−2 −1.37 · 10−6i 3 −60.9 −1.23 · 10−12i −1.84 · 10−1 −1.98 · 10−3i 4 −89.2 −1.79 · 10−8i −3.02 · 10−1 −2.09 · 10−6i −121 −5.37 · 10−13i −4.35 · 10−1 −7.86 · 10−4i 5 −156 −8.84 · 10−9i −5.80 · 10−1 −9.13 · 10−7i 6 −195 −2.86 · 10−13i −7.37 · 10−1 −4.20 · 10−4i 7 eigenvalues γn of the operator F . We compare them with the approximated eigenvalues γ(A) given by Eq. (24). We note a good agreement for low index eigenvalues between the two approaches. As we increment the index n, the deviation between γn and γ(A) sensibly increases. n n The first 8 eigenvalues for both scenarios are listed in I. For l/λ = 2 · 10−3, the imaginary part of the Tab. eigenvalues is much smaller in magnitude than the real part and the real part of the eigenvalues is always nega- tive. The corresponding modes have a quasi-electrostatic character, consistently with the fact that l/λ ≪ 1. More- over, the imaginary part of the even modes is much higher than the one of the odd modes, because odd modes have zero total dipole moment and therefore exhibit less radi- ation losses. For l/λ = 0.5, the first two eigenvalues have positive real part, and their imaginary part is compara- ble to the real part. This fact indicates a more complex interplay between the electric and magnetic interactions (electromagnetic modes). We now investigate, with the help of Fig. 3, the depen- dence of the real and imaginary parts of the first eigen- value γ0 on l/λ for l/w = 50, 20, 10. In particular, we cal- culate γ0 by considering the quasi-1D approach and the approximated approach. It is interesting to note that, by increasing l/λ, the real part of the eigenvalue γ0 changes its sign, and becomes positive. When the real part of the eigenvalue γ0 is zero, which approximatively occurs at l/λ ≈ 1/4 according to Eq. (24), the electric energy and the magnetic energies are equal. For l/w = 10, the real part of γ0 is negative also when l/λ ≈ 1, in the same interval the imaginary part of γ0 is very high. The imag- inary part of the eigenvalue, which takes into account the radiation losses of the mode, is negligible for small length-to-wavelength ratios l/λ. Then, for l/λ of the or- der of one, it increases and starts to oscillate. It has a maximum magnitude that depends on l/w. High order eigevalues, not shown here, have a similar behaviour. Despite an overall good agreement between the eigen- modes computed numerically and those given by the ap- proximated approach, the approximated approach is not able to correctly describe the behaviour of the charge density associated to the modes near the ends of the rib- bon. Either for l/λ = 2 · 10−2 and l/λ = 0.5, in proxim- (a) 1.0 0.5 0.0 -0.5 / } 0 { e R 0.00 (b) -0.01 -0.02 / } 0 { m I Quasi 1D AA 0.1 l/ 1 Quasi 1D AA 0.1 l/ 1 -0.03 0.01 0 (d) -1 -2 / } 0 { m I -3 0.01 0.0 (f) -0.2 / } 0 { m I -0.4 -1.0 0.01 (c) 2 0 / } 0 { e R -2 0.01 4 (e) 2 0 -2 / } 0 { e R 5 0.1 l/ 1 0.1 l/ 1 -4 0.01 0.1 l/ 1 Quasi 1D AA -0.6 0.01 0.1 l/ 1 FIG. 3. Real and imaginary part of the first eigenvalue γ0 nor- malized to ζ0 = 376.7 for l/w = 50 (a),(b), l/w = 20 (c),(d), and l/w = 10 (e),(f) as a function of the l/λ ∈ [0.01, 5]. The eigenvalue has been calculated by using the quasi-1D ap- proach (red line) and the approximated approach (AA) (blue line). n n n (z)q1 − (z/l)2, while the charge den- (z)/q1 − (z/l)2, where u(REG) ity of the two ends of the ribbon, the currents un goes to zero as u(REG) sities diverge as q(REG) and q(REG) are regular functions of z18,19. Nevertheless, the total electric charge accumulated along half-ribbon, i.e. ´ ±l 0 qn (z) dz, remains finite. This behaviour is a structural property of the electromagnetic problem inde- pendently of the material. Furthermore, for l/λ = 0.5 the modes have a significant imaginary part that the ap- proximated approach cannot predict. n IV. SCATTERING FROM A RIBBON WITH TUNABLE CONDUCTIVITY Now, in order to illustrate the material picture, we consider the scattering problem from a ribbon of tunable effective surface conductivity (and hence Γ) when it is excited by a plane wave of unit intensity, polarized along the ribbon axis and propagating orthogonally to the rib- bon surface. In particular, we evaluate the maximum of the magnitude of the total electric field sampled on the 100 100 (a) 10 10 0 E / x a m E 1 1 0.1 0.1 - 102 - 101 Re{ }/ 6 (b) - 102 101 - Re{ }/ 0 - 100 10-5 10-5 10-7 10-7 a a c c s s 10-9 10-9 10-11 10-11 10-13 10-13 2D-SIE quasi-1D AA - 100 FIG. 4. Maximum of the magnitude of the total electric field Emax on the ribbon (a) and scattering efficiency σsca (b), as a function of Re {Γ} ∈ [−2·102, −1] ζ0 for l/λ = 2·10−3, l/w = 50 and Im {Γ} = 10−2Re {Γ}. The two quantities are evaluated by the quasi-1D approach (red line), by the approximated approach (AA) (blue line), and by the 2D SIE method (black line). The plots are in loglog scale. We show with vertical dashed red lines the positions of the real part of the first four even eigenvalues γn, n ∈ {0, 2, 4, 6}, ordered from the right to the left, whose values are listed in Tab. I. (a) 100 100 0 E / x a m E 10 10 1 1 0.1 0.1 102 102 100 100 10-2 10-2 10-4 10-4 a a c c s s 2D-SIE quasi-1D AA - 100 (c) - Re{ }/ 0 10-1 - 100 10-1 - Re{ }/ 0 (b) 100 0 E / x a m E 10 10 1 0.1 0.1 10-2 105 105 (d) 103 103 101 101 a c s 10-1 10-1 10-2 Re{ }/ 10-1 Re{ }/ 0 10-1 FIG. 5. Maximum of the magnitude of the total electric field Emax on the ribbon (a),(b) and scattering efficiency σsca (c),(d) as a function of Re {Γ} in the two intervals Re {Γ} ∈ [−2, −0.03] ζ0 and Re {Γ} ∈ [0.01, 0.2] ζ0 for l/w = 50, l/λ = 0.5, and Im {Γ} = 10−2Re {Γ}. The two quantities are evaluated by the quasi-1D approach (red line), by the approximated approach (AA) (blue line), and by the 2D SIE method (black line). The plots are in loglog scale. We show with vertical dashed red lines the positions of the real part of the first four even eigenvalues γn, n ∈ {0, 2, 4, 6}, whose values are listed in Tab. I. ribbon surface, denoted as Emax and the scattering efficiency σsca, as the parameter Γ varies. The scattering efficiency is defined as20,21 Emax = Maximum z<l E (z) , (29) σsca = Csca G , (30) where G = 4lw is the ribbon cross-sectional area, Csca is the scattering cross section a cancellation of the total dipole moment do not imply zero scattering. 7 Csca = 1 kEik2 c ω "Sc er · Im(cid:8)(∇ × ES)∗ × ES(cid:9) dS, (31) ES is the scattered field, er is the radial versor of a spher- ical reference system, and Sc is an auxiliary surface en- closing the ribbon. In Fig. 4 we plot Emax and σsca for l/λ = 2 · 10−3 and l/w = 50. We restricted Re{Γ} to vary in the in- terval Re{Γ} ∈ [−2 · 102ζ0,−ζ0] , chosen such that the first four even eigenmodes are resonantly excited. We assumed Im{Γ} = 10−2Re{Γ}. Only the even modes with n = 0, 2, 4, . . . are excited, the odd modes are trans- parent to the uniform excitation since hu∗ for n = 1, 3, 5, . . .. In this case, the inclusion of the sub- strate would only rescale the Γ-axis of Fig. 4 by a factor 2/ (1 + εS)22, but it does not affect the values of the elec- tric field and of the scattering efficiency. n, Eii = 0 Similarly, for the case l/λ = 0.5, we plot Emax for Re{Γ} ∈ [−2ζ0,−0.03ζ0] in Fig. 5 (a), and Re{Γ} ∈ [0.01ζ0, 0.2ζ0] in Fig. 5 (b). In Fig. 5 (c),(d) we plot the corresponding σsca. The first even eigenmode is excited for Re{Γ} ∈ [0.01ζ0, 0.2ζ0], and the next five even eigen- modes are excited for Re{Γ} ∈ [−2ζ0,−0.03ζ0]. In both cases, we show with vertical dashed red lines the posi- tions of the real part of the first four even eigenvalues γn, n ∈ {0, 2, 4, 6}, which are listed in Tab. I. We have computed the current distribution by Eq. (18) (red line), by Eq. (27) (blue line) under the approximated approach, and by a 2D full-wave Surface Integral Equa- tion (2D-SIE) method23 (black line). The electric field on the ribbon surface is evaluated by Eq. (1). For the scenarios presented in both Figs. (4) and (5), we find very good agreement for both Emax and σsca between the solution of Eq. (10) and the 2D-SIE approach. This fact validates our method and the corresponding numer- ical algorithm. In Fig. 4 (a),(b) and in Fig. 5 (a),(c) the orders of magnitude of both σsca and Emax are cor- rectly predicted by the approximated approach, because the material losses dominates over the radiation ones. On the other hand in Fig. 5 (b),(d) the approximated ap- proach overestimates both σsca and Emax since, in this case, the radiation losses are dominant and are not in- cluded in the approximated approach. In all cases, the approximated approach overestimates Re{γn}, causing a downward shift of the peaks. It is worth to note that, for l/λ = 2 · 10−3, the σsca spectrum features asymmetric lineshapes arising from the interference of two adjacent even modes24, as shown in Fig. 4 (b). For instance, the first dip from the right is due to the interference between the modes u0 and u2. This interference causes a cancellation of the total dipole moment of the ribbon and, therefore, a vanishing scatter- ing because the ribbon is small compared to the incident wavelength. The lineshapes of Fig. 5 (c) are remarkably less asymmetric with respect to Fig. 4 (b) since the rib- bon is now comparable to the operating wavelength, and V. DESIGN QUASI-1D RESONATORS So far, we did not make any assumption on the mate- rial composition of the ribbon, and the presented results hold for any homogeneous 2D material. We found that depending on l/λ, a given mode can be resonantly excited in materials with either negative or positive real part of Γ. In the following we consider one example of material for each scenario. In order to excite narrow resonances we consider a regime where the losses play a minor role. Therefore, we consider a frequency range where the in- terband transitions of the materials are negligible. A. Graphene Layer In order to understand the practical implications of the introduced framework, we now consider a charge den- sity tunable graphene ribbon25 with a large number of unit cells along its the transverse direction. We assume µ/KBT0 ≫ 1 (i.e. highly gated or doped graphene), where µ is the chemical potential, KB is the Boltzmann constant, and T0 is the temperature, and we disregard the spatial dispersion. When the effects of the intraband transition are neg- ligible the surface conductivity of graphene takes the Drude-like form26 σ (ω) ≈ 1 R0 1 iΩ + / (µτ ) , (32) where τ is the electron relaxation time due to the scat- tering with the phonons (τ ≈ 5× 10−13s), R0 = π/e2 ∼= 12.9 k0ohm, and Ω = ω µ (33) is the normalized frequency. The contribution of the in- terband term is negligible for Ω < 2. We now study the resonance conditions for the eigen- modes of the graphene ribbon when ω ≫ 1/τ . By using Eq. (32), the expression of Γ becomes Γ = i σ ≈ −ΩR0. (34) and the resonance condition (20) (Γ ≈ Re{γn}) gives Ω = − 1 R0 Re{γn} . (35) Since Re{Γ} < 0 only modes with Re{γn} < 0 can be resonantly excited. Under the approximated approach, Eq. (35) becomes Ω = 1 A λ l "(cid:18) 1 + n 4 (cid:19)2 −(cid:18) l λ(cid:19)2# (36) TABLE II. Values of the normalized frequencies Ω and of the corresponding chemical potentials µ of the graphene ribbon for w = 10nm, l = 100nm and λ = 100µm, 50µm, 10µm. They are designed to enforce the resonance of the n = 0 mode. The corresponding values of the quality factor and of the maximum field enhancement Emax/Ei on the axis is also shown. λ(µm) γ0 Ω µ(meV) Q Emax/Ei 100 −30.10 0.748 50 −15.04 0.419 10 −3.00 0.0878 16.6 59.3 1410 9.4 19 94 10.1 22.57 111 where A (l/w) = R0 ζ0 l w 1 Θ ≈ 8.56 2l/w ln (2l/w) . (37) Figure 6 shows the curves relating the values of l/λ and Ω that satisfy the resonance condition (35) for the n = 0 mode and for three different values of l/w. We have eval- uated them numerically by solving Eq. (35) and using the numerical value of γ0, and analytically by using the Eq. (36), which is based on the approximated approach. We find satisfactory agreement between the two approaches. Therefore, the resonant chemical potential, according to the material picture, is given by: µ = ωl A λ l(cid:1)2 4 (cid:0) n+1 , − 1 (38) and the resonant wavelength, according to the frequency picture, is given by λ = 4l n + 1p1 + AΩl, (39) The expres- where Ωl = ωl/µ and ωl = 2πc0/l. sion (39) provides the scaling laws of the graphene quasi- 1D resonator in the frequency picture. In particular, for AΩl ≫ 1, the resonant wavelength scales approximately as l, 1/n, 1/√w, and 1/√µ. It is interesting to compare Eq. (39) with the resonant condition obtained by using the dispersion relation of an infinite graphene sheet7 λ =(cid:18) 4 n + 1 c0l µ R0 ζ0 (cid:19)1/2 . (40) The resonant wavelength approximatively scales as √l, √n, 1/√µ . The different behaviour stems from electro- magnetic finite size effects. Now, we design a quasi-1D resonator based on graphene. First of all, we consider wavelengths equal or smaller than 100µm, since in this condition the effects of the losses due to the collisions and interband transitions are negligible. We also assume w ≥ 10nm to neglect quantum size effects, e.g.27. Fig. 6 suggests that the choice of l/w = 10 corresponds to the lowest values of 8 l/w=10 (an.) l/w=10 (num.) l/w=20 (an.) l/w=20 (num.) l/w=50 (an.) l/w=50 (num.) 0.1 0.1 0.1 0.1 0.1 0.01 0.01 0.01 0.01 0.01 / / l l 1E-3 1E-3 1E-3 1E-3 1E-3 1E-4 1E-4 1E-4 1E-4 1E-4 0.0 0.0 0.0 0.0 0.0 0.5 0.5 0.5 0.5 0.5 1.0 1.0 1.0 1.0 1.0 1.5 1.5 1.5 1.5 1.5 FIG. 6. Value of l/λ that satisfy the resonance condition of the mode n = 0 as Ω = ω/µ varies. Three different values of l/w have been considered, namely l/w = 50 (black lines), l/w = 20 (blue lines), and l/w = 10 (red lines). We com- pared the solutions of Eq. (36) obtained using the numerical value of γ0 (dashed lines), with the approximated approach approximated solution given by (36) (continuous lines). 20 0 ] m n C / [ } { Q m I -20 -1.0 -0.5 0.0 z/l 0.5 1.0 FIG. 7. Imaginary part of the p.u.l. charge on the graphene ribbon axis. We consider λ = 50µm, l/w = 10, l/λ = 2 · 10−3, Ω = 0.419, and an incident z-polarized plane wave. (a) 20 10 0 E / E 1000 (b) 100 0 E / E 0 -1.0 -0.5 0.0 z/l 0.5 1.0 10 0.00 0.05 (z - l)/l 0.10 FIG. 8. (a) Magnitude of the total electric field normalized to Ei on the graphene ribbon axis. (b) Magnitude of the electric field scattered along the horizontal red line sketched Fig. 1 normalized to Ei. We consider λ = 50µm, l/w = 10, l/λ = 2 · 10−3, Ω = 0.419 and an incident z-polarized plane wave with Ei = 1V /m. In Tab. chemical potential. Therefore, we consider a graphene ribbon with w = 10nm and l = 100nm. II we list the values of the chemical potential µ, which have been designed to resonantly excite the n = 0 mode at the wavelength λ = 100µm, 50µm, 10µm. We also show the value of the quality factor of the resonance Q = ω τ , and the value of the maximum electric field enhancement on the ribbon axis, Emax. The graphene ribbon is excited by a z-polarized plane wave with amplitude Ei. When the radiation losses are negligible compared to phonon scattering losses, Emax and Q are closely related n = 0, 2, 4, . . . (41) 1 1 + n Q, Emax Ei ≈ 4 π In particular, for the n = 0 mode, we have Emax/Ei ≈ 1.3 Q. Among the three solutions listed in Tab. II, we now investigate the scattering response of the ribbon designed to operate at λ = 50µm. The designed ribbon works as a single-mode resonator. We verify this claim by compar- ing the n = 0 term of the expansion (18) and the direct numerical solution of Eq. (10) by using the Galerkin method. The mean square value of the difference is less than 0.04%. In Fig. 7 we show the imaginary part of the p.u.l. charge on the ribbon axis, while its real part is neg- ligible. The p.u.l. charge Q diverges at the two ribbon ends as expected. In Fig. 8 (a) we plot the magnitude of the total electric field E(z) along the ribbon axis. In Fig. 8 (b) we plot the magnitude of the scattered electric field in the region outside the ribbon and in proximity of one of the two ends, where the charge accumulation takes place, along the red line sketched in Fig. 1. We show the electric field for (z − l) /l ∈ [0, 0.1]. The electric field is singular at z = l because of the charge accumulation at the ribbon ends. This is the analogous of the electrostatic lightning rod effect for an edge. The inclusion of a silicon dioxide substrate (εS = 3.9) scales the eigenvalue γ0 of the investigated free-standing graphene ribbon by a multiplicative factor 2/ (1 + εS) = 0.4122. Therefore, the design returns Ω = 0.177 and µ = 140meV . The resulting value of Emax/Ei becomes 23.34. The charge accumulation and the electric field singularity are not affected by the presence of the substrate. B. Thin silicon film We now consider a dielectric film with thickness t much smaller than the width w, the length l, and the wave- length λ. The film has a homogeneous relative permit- tivity εr. Under this hypothesis it is possible to model the film by a ribbon of effective surface conductivity13: 9 ] m n C / [ } { Q m I 150 100 50 0 -50 -100 -150 -1.0 -0.5 0.0 z/l 0.5 1.0 FIG. 9. Imaginary part of the p.u.l. polarization charge on the silicon ribbon axis. We consider λ = 1µm, l/w = 10, l/λ = 3, t = 11.2nm and an incident z-polarized plane wave. 100 (a) 75 50 25 0 E / E 10000 (b) 1000 0 E / E 100 0 -1.0 -0.5 0.0 z/l 0.5 1.0 10 0.00 0.05 (z - l)/l 0.10 FIG. 10. (a) Magnitude of the total electric field normalized to Ei on the silicon ribbon axis. (b) Magnitude of the electric field scattered along the horizontal red line sketched Fig. 1 normalized to Ei. We consider λ = 3µm, l/w = 10, l/λ = 3, t = 11.2nm and an incident z-polarized plane wave with Ei = 1V /m. By considering λ = 1µm, l = 3µm, and w = 300nm, we have that the first bright resonant mode has γ0 = 1.2321 − 0.7787i. At λ = 1µm we have εr = 12.7806 − 0.0035i28, thus by using Eq. (43) we can tune the thick- ness t of the bar to resonantly excite the first bright mode, i.e. Re{Γ} = Re{γ0}. From the design, we ob- tain t = 11.2nm. In Fig. 9 we plot the imaginary part of the p.u.l. polarization charge on the axis of the sili- con ribbon. The polarization charge Q diverges at the two ribbon ends as expected. In Fig. 10 (a) we plot the magnitude of the total electric field E(z) along the In Fig. 10 (b) we plot the magnitude of ribbon axis. the scattered electric field in the region outside the rib- bon, in proximity of one of the two ends, along the red line sketched in Fig. 1. We show the electric field for (z − l) /l ∈ [0, 0.1]. Also in this case, the electric field is singular at z = l, because of the charge accumulation at the ribbon ends. Therefore we have: σ = ik0t (εr − 1) ζ0 . Γ = ζ0 k0t (εr − 1) (42) VI. CONCLUSIONS (43) We investigated the resonance conditions of finite length nanoribbons of either conducting or dielectric ma- 10 terial in terms of the eigenvalues and the eigenmodes of a non-Hermitian operator. We investigated the depen- dence of the resonances on the ribbon physical parame- ters. In particular, for small length-to-wavelength ratios all the eigenvalues have negative real part, while by in- creasing this ratio the real part of low-order eigenvalues become positive. This is significant because depending on the sign of the real part of the eigenvalue γn, the corresponding mode can be resonantly excited either in conductive materials if Re{γn} < 0 or in dielectric mate- rials if Re{γn} > 0. Therefore, a nanoribbon resonator may be implemented using materials with either positive or negative imaginary part of their effective surface con- ductivity. As an example, we investigated the scattering by two narrow and long ribbons, one made of graphene at l/λ ≪ 1, and the other one made of silicon at l/λ ≈ 1. In particular, in both cases, we designed a single mode res- onator working in the infrared. It shows a strong electric field enhancement and spectral tunability. Due to the di- vergence of the charge density at ribbon ends the effects of the spatial dispersion have to be considered. Its inclu- sion in the analysis of the resonance conditions remains an open problem from the physical and the mathematical point of view. The unique enhancement and localization properties of the introduced quasi-1D resonator are attractive for sensing and light-matter interaction applications. In par- ticular, field enhancement at the ribbon edges can be ex- ploited to sense low-energy vibrational or electronic exci- tations of nearby molecules and to boost the non-linear response of nearby materials. The introduced resonator may also serve as a 1D micro/nano antenna, converting a free space propagating electromagnetic field to localized energy and vice versa. Quasi-1D resonators may pave the way to the miniaturization of the electromagnetic circuitry, including 1D modulator and switches. 1 J. Takahara, S. Yamagishi, H. Taki, A. Morimoto, and T. Kobayashi, "Guiding of a one-dimensional optical beam with nanometer diameter," Optics letters, vol. 22, no. 7, pp. 475 -- 477, 1997. 2 S. I. Bozhevolnyi, V. S. Volkov, E. Devaux, J.-Y. Laluet, and T. W. Ebbesen, "Channel plasmon subwavelength waveguide components including interferometers and ring resonators," Nature, vol. 440, no. 7083, p. 508, 2006. 3 E. Moreno, S. G. Rodrigo, S. I. Bozhevolnyi, L. Mart´ın- Moreno, and F. Garc´ıa-Vidal, "Guiding and focusing of electromagnetic fields with wedge plasmon polaritons," Physical review letters, vol. 100, no. 2, p. 023901, 2008. 4 J. B. Khurgin, "How to deal with the loss in plasmonics and metamaterials," Nature nanotechnology, vol. 10, no. 1, pp. 2 -- 6, 2015. 5 L. Lu, J. D. Joannopoulos, and M. Soljaci´c, "Topological photonics," Nature Photonics, vol. 8, no. 11, p. 821, 2014. 6 D. J. Bisharat and D. F. Sievenpiper, "Guiding waves along an infinitesimal line between impedance surfaces," Phys. Rev. Lett., vol. 119, p. 106802, Sep 2017. 7 A. Grigorenko, M. Polini, and K. Novoselov, "Graphene plasmonics," Nature photonics, vol. 6, no. 11, p. 749, 2012. 8 A. Krasnok, S. Lepeshov, and A. Al´u, "Nanophotonics with 2d transition metal dichalcogenides," arXiv preprint arXiv:1801.00698, 2018. 9 J. Christensen, A. Manjavacas, S. Thongrattanasiri, F. H. Koppens, and F. J. Garcia de Abajo, "Graphene plasmon waveguiding and hybridization in individual and paired nanoribbons," ACS nano, vol. 6, no. 1, pp. 431 -- 440, 2011. 10 A. Y. Nikitin, F. Guinea, F. Garc´ıa-Vidal, and L. Mart´ın- Moreno, "Edge and waveguide terahertz surface plasmon modes in graphene microribbons," Physical Review B, vol. 84, no. 16, p. 161407, 2011. 11 Z. Fei, M. D. Goldflam, J.-S. Wu, S. Dai, M. Wagner, A. S. McLeod, M. K. Liu, K. W. Post, S. Zhu, G. C. A. M. Janssen, M. M. Fogler, and D. N. Basov, "Edge and surface plasmons in graphene nanoribbons," Nano Letters, vol. 15, no. 12, pp. 8271 -- 8276, 2015. PMID: 26571096. 12 C. Forestiere and G. Miano, "Material-independent modes for electromagnetic scattering," Phys. Rev. B, vol. 94, p. 201406, Nov 2016. 13 R. Harrington and J. Mautz, "An impedance sheet ap- proximation for thin dielectric shells," IEEE Transactions on Antennas and Propagation, vol. 23, no. 4, pp. 531 -- 534, 1975. 14 C. Butler and D. Wilton, "General analysis of narrow strips and slots," IEEE Transactions on Antennas and Propaga- tion, vol. 28, pp. 42 -- 48, Jan 1980. 15 G. Franceschetti, Electromagnetics: techniques, and engineering paradigms. Springer Science & Business Media, 2013. theory, 16 P. Lalanne, W. Yan, K. Vynck, C. Sauvan, and J.-P. Hugonin, "Light interaction with photonic and plasmonic resonances," Laser & Photonics Reviews, vol. 12, no. 5, p. 1700113, 2018. 17 C. Forestiere, G. Miano, G. Rubinacci, A. Tamburrino, R. Tricarico, and S. Ventre, "Volume integral formulation for the calculation of material independent modes of di- electric scatterers," IEEE Transactions on Antennas and Propagation, vol. 66, no. 5, pp. 2505 -- 2514, 2018. 18 J. G. Van Bladel, Electromagnetic fields, vol. 19. John Wiley & Sons, 2007. 19 E. Durand, Electrostatique, I. Les distributions, vol. 19. Masson, Paris, 1964. 20 C. F. Bohren and D. R. Huffman, Absorption and scatter- ing of light by small particles. John Wiley & Sons, 2008. 21 A. Doicu, T. Wriedt, and Y. Eremin, Light Scattering by Systems of Particles. Springer-Verlag, 2006. 22 J. D. Jackson, Classical electrodynamics. John Wiley & Sons, 2012. 23 G. Miano and F. Villone, "A surface integral formulation of maxwell equations for topologically complex conducting domains," IEEE transactions on antennas and propaga- tion, vol. 53, no. 12, pp. 4001 -- 4014, 2005. 24 C. Forestiere, L. Dal Negro, and G. Miano, "Theory of coupled plasmon modes and fano-like resonances in sub- wavelength metal structures," Physical Review B, vol. 88, no. 15, p. 155411, 2013. 25 A. Vakil and N. Engheta, "Transformation optics using graphene," Science, vol. 332, no. 6035, pp. 1291 -- 1294, 2011. 26 S. Mikhailov and K. Ziegler, "New electromagnetic mode in graphene," Physical review letters, vol. 99, no. 1, p. 016803, 2007. 27 S. Thongrattanasiri, A. Manjavacas, and F. J. Garcia de Abajo, "Quantum finite-size effects in graphene plas- mons," Acs Nano, vol. 6, no. 2, pp. 1766 -- 1775, 2012. 28 C. Schinke, P. Christian Peest, J. Schmidt, R. Brendel, K. Bothe, M. R. Vogt, I. Kroger, S. Winter, A. Schirma- cher, S. Lim, et al., "Uncertainty analysis for the coefficient of band-to-band absorption of crystalline silicon," AIP Ad- vances, vol. 5, no. 6, p. 067168, 2015. 11
1005.4809
1
1005
2010-05-26T13:29:53
Phase Transitions in Dissipative Quantum Transport and Mesoscopic Nuclear Spin Pumping
[ "cond-mat.mes-hall" ]
Topological phase transitions can occur in the dissipative dynamics of a quantum system when the ratio of matrix elements for competing transport channels is varied. Here we establish a relation between such behavior in a class of non-Hermitian quantum walk problems [M. S. Rudner and L. S. Levitov, Phys. Rev. Lett. 102, 065703 (2009)] and nuclear spin pumping in double quantum dots, which is mediated by the decay of a spin-blockaded electron triplet state in the presence of spin-orbit and hyperfine interactions. The transition occurs when the strength of spin-orbit coupling exceeds the strength of the net hyperfine coupling, and results in the complete suppression of nuclear spin pumping. Below the transition point, nuclear pumping is accompanied by a strong reduction in current due to the presence of non-decaying "dark states" in this regime. Due to its topological character, the transition is expected to be robust against dephasing of the electronic degrees of freedom.
cond-mat.mes-hall
cond-mat
Phase Transitions in Dissipative Quantum Transport and Mesoscopic Nuclear Spin Pumping (1) Department of Physics, Harvard University, 17 Oxford St., Cambridge, MA 02138 M. S. Rudner1 and L. S. Levitov2 (2) Department of Physics, Massachusetts Institute of Technology, 77 Massachusetts Ave, Cambridge, MA 02139 Topological phase transitions can occur in the dissipative dynamics of a quantum system when the ratio of matrix elements for competing transport channels is varied. Here we establish a relation between such behavior in a class of non-Hermitian quantum walk problems [M. S. Rudner and L. S. Levitov, Phys. Rev. Lett. 102, 065703 (2009)] and nuclear spin pumping in double quantum dots, which is mediated by the decay of a spin-blockaded electron triplet state in the presence of spin-orbit and hyperfine interactions. The transition occurs when the strength of spin-orbit coupling exceeds the strength of the net hyperfine coupling, and results in the complete suppression of nuclear spin pumping. Below the transition point, nuclear pumping is accompanied by a strong reduction in current due to the presence of non-decaying "dark states" in this regime. Due to its topological character, the transition is expected to be robust against dephasing of the electronic degrees of freedom. Since the first observation of spin blockade in verti- cal GaAs double quantum dots1, spin-blockaded trans- port has been observed in a variety of systems such as lateral double quantum dots in GaAs, Si, and Si/SiGe heterostructures2 -- 4, InAs nanowires5,6, and carbon nan- otubes7. Much of this work was driven by the need to better understand the coupled dynamics of electron and nuclear spins in double dot systems with potential ap- plications in spintronics and quantum computation. A variety of interesting and surprising phenomena such as current bistabilities and hysteresis2,5,7,8, very long time scale switching2, and periodic oscillations8 have been ob- served, and their origins linked to the dynamical polariza- tion of nuclear spins (DNP). Although the involvement of nuclear spins in these phenomena is clear, in many cases the underlying mechanisms remain a mystery. Spin blockade of dc transport occurs in a two-electron double quantum dot when the electron spins form a triplet state that prohibits both electrons from occupy- ing the same site. In this case, as shown in Fig.1a, resid- ual current arises from mechanisms that do not conserve the electron spin such as the spin-orbit interaction, and the hyperfine coupling to nuclear spins in the host lat- tice. Because the hyperfine contact interaction conserves the total spin of all electrons plus nuclei, each hyperfine- mediated electron spin flip is accompanied by a nuclear spin flip in the opposite direction. In the presence of strong spin-orbit coupling, e.g. as in InAs systems5,6, the physics of DNP can be very different from that studied previously in the absence of spin-orbit coupling9,10. In particular, by making several transitions between singlet and triplet states using a combination of hyperfine and spin-orbit processes, the decay of a single electron spin can lead to a change of nuclear polarization by an amount which can have either sign, and a magnitude potentially even greater than one unit of angular momentum11. In this paper we explore the rich quantum dynamics of coupled electron and nuclear spins that results from the coherent competition of hyperfine and spin-orbit de- cay channels in spin-blockaded quantum dots. We focus a) T ! HF S ! b) T −! S ′! SO c) ψ(x)2 T0! γ Drain HHF ∼ An S An ∝ ψ(Xn)2 In · T+! HF γ SO S ! Xn FIG. 1: Competition between hyperfine and spin-orbit decay in spin-blockaded double quantum dots. a) A triplet state decays via a hyperfine or spin-orbit mediated transition to a singlet state. The singlet state is coupled to the drain, and decays with rate γ. b) Energy levels and transitions. We focus on the subspace indicated by the dashed oval. c) Inhomoge- neous hyperfine coupling due to non-uniform electron density. Red dotted line shows approximation to smooth density pro- file consisting of uniform density shells in which nuclear spins collectively couple to an electron as separately conserved "gi- ant spins." on the polarization transferred to the nuclear spin bath by the decay of a single electron in one of the blockaded triplet states. This quantity reveals a strikingly high sen- sitivity of the DNP production efficiency to the presence of the competing spin-orbital decay channel. In order to study DNP in this regime, we develop a class of models which capture the essential physics of po- larization transfer during electron spin decay. One of the main difficulties in describing nuclear pumping in the presence of spin-orbit coupling stems from the lack of a concrete conservation law which directly relates the changes of electron and nuclear spin polarizations. Any model of this process must account for the possibility of multiple electron spin transitions which can lead to a variety of final nuclear spin states. For a typical system containing N ≈ 106 nuclear spins, the exponentially large Hilbert space makes exact analytical or numerical solu- tions difficult to obtain. However, as we show below, the problem can be made tractable by introducing approx- imations which greatly reduce the number of variables while retaining the key degrees of freedom responsible for the mechanism of polarization transfer. We begin by employing the "giant-spin" model, as used e.g. in Ref.[12], in which the electrons interact with a sin- gle large collective spin formed from all the spins in the nuclear spin bath. This model describes the case where the local hyperfine coupling to each nuclear spin within each dot takes on a uniform value, ¯A. Within this approx- imation, the problem near the singlet-triplet resonance, circled in Fig.1b, can be viewed as a one-dimensional hopping problem in the space of polarization of the gi- ant collective nuclear spin, see Fig.2a. We study this model numerically, and obtain additional insight from comparison to the solution of a related quantum walk model13 in which the quantity analogous to DNP is de- scribed by a topological invariant which takes on integer values. We find a non-analytic dependence of the polar- ization transfer on the ratio of hyperfine and spin-orbit coupling strengths, with complete suppression of DNP when the spin-orbit coupling exceeds the net transverse hyperfine field. This behavior is a direct manifestation of the topological phase transition which occurs in the quantum walk model13. To investigate the role of inhomogeneous hyperfine coupling, we then employ a model in which the nonuni- form hyperfine couplings are approximated by d shells of constant coupling, as shown in Fig.1c. Here, nuclear spins couple to form d large collective spins which inter- act with the electrons. The resulting dynamics can be viewed as a hopping problem in a d-dimensional space indexed by the polarizations of each of the d collective spins, see Figs.2b and c. Although a numerical approach is not possible for the general case, exact analytic results for a related d-dimensional hopping problem show universal features which are independent of the specific grouping of nuclear spins. The behavior obtained for d > 1 is essentially analogous to that found in the d = 1 case (the giant spin model). While the details of the behavior near the transition are sensitive to the particular decomposition into collective spins, the strong suppression of DNP in the spin-orbit dominated phase is found to be generic, suggesting that it will persist in more realistic models. I. CONSTRUCTION OF THE MODEL We begin by reviewing the relevant two-electron states of a spin-blockaded double quantum dot, see e.g. Ref.[1]. For simplicity, suppose that the left and right dots each support a single orbital state Li or Ri. An applied potential bias approximately compensates the charging a) T ! S ! b) m1! m2! c) 2 { T !, S !} vm u γ T ! S ! m+1! m ! m−1" ψ(x)2 ′ u v m v m ′′ ⊗ { m1, m2, . . . , md !} γ }d d = 1 d = 2 d dimensions FIG. 2: Mapping of coupled dynamics of electron and nu- clear spins onto quantum walk models describing single par- ticle hopping on suitable lattices. a) In the uniform coupling model, the state of the conserved "giant-spin" is labeled by its z-component m. Spin-orbit and hyperfine transitions with amplitudes u and vm can be interpreted as hopping in a tight- binding model defined on a one-dimensional bipartite lattice. b) For two groups of nuclei, the nuclear state is labeled by the z-components m1 and m2 of two collective spins I1 and I2, and the dynamics are described by a quantum walk on a two-dimensional bipartite lattice. c) For an electron den- sity profile approximated by d constant density shells, the dynamics can be viewed in terms of a quantum walk on a d-dimensional bipartite lattice with hopping amplitudes v(α) describing hyperfine transitions with each of the α = 1 . . . d collective spins. energy when two electrons occupy the right dot. Ener- getically, electrons which form a spin singlet can thus as- sume either the (1, 1) or (0, 2) charge configuration, with one electron on each dot or both electrons on the right dot: (1, 1)Si = (0, 2)Si = 1 (L Ri + R Li) ⊗ ( ↑↓i − ↓↑i) 2 1 √2R Ri ⊗ ( ↑↓i − ↓↑i) . (1) When the electrons form a spin triplet, the Pauli exclu- sion principle forbids double occupation of the right dot. Due to tunnel coupling, the singlet states (1, 1)Si and (0, 2)Si, Eq.(1), hybridize to form "bonding" and "anti- bonding" states Si and S′i. In the presence of an ex- ternal magnetic field, the triplet splits into its three Zee- man sublevels. For concreteness, we consider decay of the state T+i when its energy is close to that of the sin- glet states Si, with all other states far away in energy (see Fig.1b). The relevant electronic states for our model are thus 1 √2 T+i = (L Ri − R Li) ⊗ ↑↑i Si = C11(1, 1)Si + C02(0, 2)Si. (2) Because of spin-orbit coupling, interdot tunneling is accompanied by a spin-rotation which couples the triplet and singlet states T+i and Si with an amplitude u. In the basis of Eq.(2), the purely electronic part of the Hamiltonian is written as H0 =(cid:18) εT+ u εS (cid:19) , u εS = εS − iγ/2, (3) where εT+ and εS are the energies of the states T+i and Si, respectively. The imaginary term −iγ/2 in εS ac- counts for the decay of the singlet state due to coupling of (0, 2)Si to the drain, see Fig.1a. Without loss of gen- erality, we take the spin-orbit coupling matrix element u to be real. A microscopic derivation of the value of u is beyond the scope of this work. However, because the spin rotation occurs during tunneling, u is proportional to the admixture of (0, 2)Si in Si, i.e. to the parameter C02 in Eq.(2). In addition, the value of u is sensitive to the orientation of the dots relative to the crystallographic axes, and to the direction of the applied magnetic field. The hyperfine interaction between electron and nuclear spins also couples the triplet and singlet states. In a two- electron system, the hyperfine Hamiltonian HHF = AXn In · [S1δ(x1 − Xn) + S2δ(x2 − Xn)] (4) couples the spin S1(2) of each electron to each nuclear spin In, with weight proportional to the probability to find the electron at the location Xn of nucleus n. Here, for simplicity, we consider a single species of nuclear spin, but a generalization to multiple species is straightfor- I∓n couple the electron ward. The spin-flip terms S±1(2) states with spin projections along the z-axis differing by one unit of angular momentum. In the basis of Eqs.(2) and (3), Hamiltonian (4) takes the form HHF = 1 C11 n 2Pn An I z 2√2Pn ηnAn I + n where C11 2√2Pn ηnAn I−n 0 An = Aρ(Xn) ! , (5) (6) is the hyperfine coupling weighted by the local electron density ρ(Xn) = hψδ(x − Xn)ψi (see Fig.1c), and, due to antisymmetry of the wavefunction, ηn = +1(−1) if nucleus n is located in the left (right) dot. Because the hyperfine interaction is local, the off-diagonal matrix ele- ments of Hamiltonian (4) between T+i and Si are pro- portional to the amplitude of (1, 1)Si in Si, i.e. to the parameter C11 in Eq.(2). The sign factors ηn indicate that the difference between transverse nuclear polarizations in the left and right dots couples the electron triplet and singlet levels. The math- ematical annoyance of alternating signs can be removed by applying a π-rotation about the z-axis to all spins in I z the right dot via the operator U = e−iπ PR n , where the sum is taken over all spins in the right dot. In the ro- tated frame, the Hamiltonian H′HF = U† HHF U takes the simpler form: H′HF = 1 n C11 2Pn An I z 2√2Pn An I + n C11 2√2Pn An I−n 0 ! . (7) The factor 1/√2 arises from the normalization of the states in Eqs.(1) and (2). Up to these numerical prefac- tors, the transformed Hamiltonian is equivalent to that 3 describing the hyperfine interaction for a single electron in a quantum dot with an electron density profile consis- tent with the distribution of couplings {An}. II. GIANT SPIN MODEL (d = 1) spin operator, I 2 = (Pn In the special case where An = ¯A for all n, which cor- responds to an electron density that is uniform within the dots and zero outside, the square of the total nuclear In)2, commutes with the Hamil- tonian. In this case, all nuclei in the system act together coherently as one "giant" spin. For fixed I, the configu- ration space of the system is then defined by the electron states T+i and Si, and by the z-projection m of total nuclear spin, I zmi = mmi, with −I ≤ m ≤ I. Com- bining Eqs.(3) and (7), the Hamiltonian for this system can be written as H1D =(cid:18) ∆ε + 1 u + ¯A I + 2 ¯A I z u + ¯A I− −iγ/2 (cid:19) , (8) where ∆ε is the triplet-singlet detuning, and I +(−) is the raising (lowering) operator for the giant spin. Below we neglect the polarization-dependent Over- hauser shift ¯A I z by absorbing its mean value into the definition of ∆ε. For polarizations which are not too large, this approximation is justified by the fact that the typical off-diagonal matrix elements of H1D are of the or- der ¯A√N , while the Overhauser shift only changes by an amount of order ¯A when the nuclear polarization changes by one unit of angular momentum. For a typical dot con- taining N ≈ 106 nuclear spins, the variation of the Over- hauser shift thus imposes only a small perturbation on the dynamics of the system. In a similar spirit, we also ignore the nuclear Zeeman energy, which is assumed to be small compared with the inverse lifetime of the blockaded state. Decay of the blocked triplet state occurs through elec- tron spin-flip transitions to the state Si, which is broad- ened due to its coupling to the drain lead. These transi- tions can be mediated by either the hyperfine interaction or the spin-orbital interaction. The hyperfine process is accompanied by a change of the z-projection of nuclear spin, ∆m = ±1, whereas for the spin-orbital process ∆m = 0. As illustrated in Fig.2a, the resulting coher- ent dynamics in the combined Hilbert space of electron and nuclear degrees of freedom can thus be viewed as a hopping problem on a one-dimensional bipartite lattice. In the basis {mi ⊗ T+/Si}, the state of the system ψi is described by the amplitudes ψT m = hm T+ψi and ψS m = hm Sψi, and evolves according to the equations of motion (with  = 1) i ψT i ψS ∆εψT m = m = −i(γ/2)ψS m + uψS m + uψT m + vmψS m + vm−1ψT m+1 m−1, with vm = ¯ApI(I + 1) − m(m + 1). (9) (10) The hopping amplitudes vm, which originate from the transverse hyperfine field, attain a maximum value vmax = ¯ApI(I + 1) for unpolarized states m ≈ 0, and become small near maximum polarization m . I, see Fig.3a. Suppose the system is initially in the blockaded elec- tron spin state, with nuclear polarization m0. What is the average change in nuclear polarization ∆m = m− m0 caused by the decay of the electron spin? To formulate the problem more precisely, we consider the situation where an electron is injected into the triplet state T+i at time t = 0, with an initial nuclear spin state character- ized by total angular momentum I and z-projection m0. The system then executes a "quantum walk" under the equations of motion (9), with initial state m = δm,m0, ψS ψT m = 0. (11) The wave packet describing the quantum walker will spread throughout the lattice and leak out through its components on the S-sites, decaying completely as t → ∞. The value of m at the site from which the system decays determines the final value of nuclear polarization left behind when the electron escapes. Given the proba- bility Pm for the system to decay from each singlet site m, we would like to evaluate γψS 0 h∆mi ≡Xm (m − m0) Pm, Pm =Z ∞ dthψψi = −Pm γψS decays completely as t → ∞, Pm Pm = 1. m(t)2 dt. (12) This expression for Pm results from the fact that the non- Hermitian equations of motion (16) lead to decay which is a sum over local terms describing decay from each site of the lattice, d m2. Because the system To explore the behavior of this model, we have solved Eq.(9) numerically with initial condition (11) for giant spins with I = 25, I = 50, and I = 100. The polar- ization transfer h∆mi, Eq.(12), is plotted for each initial polarization m0 in the upper panel of Fig.3b. In addi- tion, we also show the inverse of the average dwell time ¯τ = −R ∞ t d dthψψi dt for each case in the bottom panel Two very different situations arise depending on the relationship between the spin-orbit coupling matrix el- ement u and the maximum hyperfine coupling matrix element vmax. In case I, indicated by the dotted line u = uI > vmax in Fig.3a, spin-orbit coupling dominates the dynamics for any initial polarization m0, and nuclear spin pumping is strongly suppressed (see Fig.3b). For u = uII < vmax, however, the dynamics can be domi- nated either by hyperfine coupling or by spin-orbit cou- pling, depending on the value of the initial polarization. The system possesses critical points m = ±m∗, with14 0 of Fig.3b. m∗ ≈ ±Is1 −(cid:18) u vmax(cid:19)2 , (13) where, locally, the strengths of hyperfine and spin-orbit coupling are nearly equal. For initial polarizations satis- fying m0 < m∗, the dynamics are hyperfine-dominated a) x a m v / m v 1 1 2 Critical Point Critical Point 4 uI uII b) " m ∆ ! n o i t a z i r a l o P ¯τ / 1 e m i t e f i L . v n I 0 −I −m∗ −I/2 0 m I/2 m∗ I 1 1 2 0 0.3 0.2 0.1 0 −1 − m∗ uII uI I = 25 I = 50 I = 100 uI −1/2 1/2 Initial Condition m0/I 0 uII m∗ 1 FIG. 3: Results for the giant spin model, Eq.(9). a) Depen- dence of hyperfine matrix element vm on the z-projection of total nuclear spin for a giant spin of length I. For moderate values of the spin-orbit coupling, u = uII < vmax, the system possesses critical points ±m∗, see Eq.(13), where the spin- orbit and hyperfine couplings are roughly equal. b) Average polarization change and inverse lifetime versus initial polar- ization. For all traces we take ∆ε = 0 and γ = vmax. When spin-orbit coupling is strong, u = uI = 1.2vmax, nuclear spin pumping is suppressed. For moderate values of the spin-orbit coupling, u = uII = 2/3vmax, h∆mi ≈ 1 for initial states with vm0 > uII, and h∆mi ≈ 0 for vm0 < uII. Near the critical points m = m∗/I, the system possesses "dark states" with ex- tremely long lifetimes. We include a decay term −iγT /2 with γT = 10−3γ in the triplet energy to cut off the divergence of the lifetime near the critical points. and approximately one unit of angular momentum is transferred to the nuclear spin subsystem per electron. Outside the critical points, i.e. for m0 > m∗, spin-orbit coupling dominates and polarization transfer is strongly suppressed (see Fig.3b). As the length of the giant spin increases, the distinction between the behaviors in these two regimes becomes more sharply defined. In particu- lar, h∆mi becomes sharply quantized to 1 for m0 < m∗. Near the critical points, the dwell time of an electron in the system can become very long (see lower panel of Fig.3b). The behavior in all of these regimes can be understood in terms of a simplified model. If the quantum walk (9) only explores a window of sites which is small compared with the scale of the giant spin, 2I + 1, then we may approximate the m-dependent hopping amplitudes vm by a single amplitude v = vm0 which characterizes the strength of the transverse hyperfine field when the giant spin has z-projection m0. In this same spirit, we also extend the lattice to infinity, −∞ < m < ∞. These approximations make the quantum walk translationally invariant, and allow us to find an exact analytical solu- tion to the dynamics. The translationally-invariant model described above is identical to the one dimensional non-Hermitian quantum walk which was studied in Ref.[13]. In that work, we found that the expected displacement h∆mi achieved be- fore decay is quantized as an integer: h∆mi =(cid:26) 1 0 v > u u > v . (14) The quantized value of h∆mi is determined by the wind- ing of the phase between two components of the Bloch eigenstates of the bipartite one-dimensional system as the momentum k is taken through the Brillouin zone. Equivalently, the value of h∆mi can be determined di- rectly from the winding number of the complex amplitude Ak = u + veik. In the regime where this winding number is zero, corresponding to the situation where spin-orbit coupling u is stronger than the hyperfine coupling v, the result h∆mi = 0 indicates that no angular momentum is pumped into the nuclear spin subsystem. As we will see below, this behavior is quite general and persists for more refined models which go beyond the giant spin ap- proximation. closely resembles the prediction of The behavior of the giant spin model, displayed in Fig.3b, the translationally-invariant model. Some distortions are ob- served in the highly polarized regions, m ≈ I, where vm varies strongly with m. The striking suppression of decay near the upper critical point can be traced to the divergence of the lifetime which accompanies the topological transition between winding and non-winding phases in the translationally invariant model (see Ref.13). The topological transition is manifested in the non- translationally invariant system through the presence of a topologically-protected "dark" edge state which is lo- calized at the phase boundary between winding and non- winding phases. This state has zero overlap with the elec- tron singlet state, and thus does not decay. Physically, the extended lifetime results from the fact that, near the critical point, the effective hyperfine and spin-orbit fields responsible for electron spin transitions can cancel each other. Although suppression of decay is seen near both critical points, the effect is much more dramatic near the upper critical point where the dark state is stable; near the lower critical point, an analogous exponentially- growing (delocalized) dark state can be found. In addition to the behavior of the lifetime, the small "overshoots" in h∆mi can also be understood with in- 1 1 2 " m ∆ ! n o i t a z i r a l o P 0 0 5 0.4 ¯τ / 1 Pumping Low Current No Pumping High Current 0.2 1/2 u/(u + vmax) 0 1 e m i t e f i L e s r e v n I FIG. 4: Phase transition between pumping and non-pumping regimes for nuclear polarization described by the giant spin model. Average polarization change ∆m (circles) and lifetime ¯τ (diamonds) averaged over all initial states −I ≤ m0 ≤ I vs. the ratio of the hyperfine and spin-orbital couplings. The black line shows the average displacement h∆mi, obtained by applying the translationally-invariant quantum walk model around each initial condition, Eq.(15). tuition gained from the translationally-invariant model. Semiclassically, the site-dependent hopping amplitudes vm give the "walker" a position-dependent effective mass which goes through a minimum at the critical point. As a result, the walker experiences a force which attracts it to the critical point. For m0 . m∗, where each electron already has a tendency to transfer one unit of angular momentum to the nuclear spin subsystem, this additional force results in a transfer of more than one unit of an- gular momentum per electron, h∆mi > 1.15 Similarly, above the critical point, the attraction leads to a nega- tive polarization, h∆mi < 0. The shape of h∆mi around the lower critical point can be understood analogously. In experiments, the length I of the giant spin and its z-projection m0 in the initial state are in general random variables picked from the thermal distribution; the length I is distributed according to p(I) ∝ (2I + 1)2 e−(I/I0)2 , with I0 a constant, while m0 is uniformly distributed for each choice of I, p(m0) = const. This formula for p(I) is obtained for spin-1/2 nuclei using Eq.(1) of Ref.[16] and Stirling's formula. In Fig.4 we show the numerically- obtained expected polarization transfer h∆mi and inverse lifetime 1/¯τ , averaged over all initial conditions m0, for a giant spin with I = 50. The existence of dark states near the critical points is manifested in the suppressed cur- rent for u < vmax. Compared with the translationally- invariant case, where h∆mi displays a sharp step as a function of u/(u + v), here the step is rounded into the phase where v . vmax. When spin-orbit coupling dom- inates, however, i.e. for u > vmax, the suppression of nuclear spin pumping is nearly complete. The shape of the rounded step can also be understood simply within the context of the translationally-invariant quantum walk model. For each initial condition m0, we take h∆mi = 1 if m0 < m∗ (hyperfine dominates), or h∆mi = 0 if m0 > m∗ (spin-orbit dominates). After averaging over all initial polarizations m0, we find h∆mi = 0 ×(cid:16)1 − m∗ I (cid:17) + 1 × m∗ I = m∗ I , (15) where m∗ is given by Eq.(13). Expression (15) is plotted as a dotted line in Fig.3b. The good agreement with exact numerics for the giant spin model further indi- cates that the intuition gained from the translationally- invariant model provides a very useful tool for under- standing the behavior in the more realistic situation. III. BEYOND GIANT SPIN (d > 1) Faced with the surprising prediction of complete sup- pression of DNP in the spin-orbit dominated phase, it is natural to wonder to what extent this result relies on the assumption of uniform hyperfine coupling (i.e. on the validity of the giant spin model). The giant spin approxi- mation tightly constrains the dynamics by truncating the dimension of the Hilbert space from 2N down to O(√N ), where N is the number of nuclear spins in the system. This appears to be a rather severe approximation. To ad- dress this concern, we now explore a more general class of models, derived in a similar spirit, which allow us to investigate the effects of nonuniform coupling. As illustrated in Fig.1c, hyperfine coupling is generally strong near the center of the dots, where electron den- sity is maximal, and weak near the edges, where elec- tron density is small. To improve upon the uniform coupling model, consider dividing the nuclei into two groups, one of "strongly-coupled" spins, and the other of "weakly-coupled" spins. In this approximation, depicted in Fig.2b, the nuclear spins within each group form two separately conserved collective spins of lengths I1 and I2. Here the coupled dynamics of electron and nuclear spins can be viewed as a hopping model on a two dimensional lattice, where the two dimensions index the z-projections of the two collective spins, m1 and m2. This model cap- tures both the transfer of polarization from the electron spins to the nuclear spins, and the RKKY-like electron- mediated transfer of polarization between the two groups of nuclear spins18 -- 21. Continuing this reasoning, we can further refine the model by approximating the smooth electron density profile by d shells of constant density ρα, with α = 1 . . . d (see red dotted line in Fig.1c, and e.g. Ref.[22]). In this case, the nuclear spins couple to form d col- lective spins I1 . . . Id. The corresponding polarization transfer dynamics can be viewed as a hopping prob- lem on a d-dimensional lattice indexed by the polariza- tions m1, . . . , md of the d collective spins (see Fig.2c). This "quantum walk" is described by equations of mo- tion analogous to Eq.(9), with the position variable m replaced by a vector m = (m1, . . . , md): i ψT i ψS ∆ε ψT m = m = −iγ/2 ψS m + u ψS m + u ψT m+eα m + Pα v(α) m + Pα v(α) m ψS m−eα ψT m−eα , (16) 6 m = AαpIα(Iα + 1) − mα(mα + 1) is the hy- where v(α) perfine coupling to collective spin Iα, with Aα = Aρα, and eα is the unit vector along the axis describing the polarization of Iα. Our goal is now to calculate the polarization h∆mi transferred into the nuclear spin bath, h∆mi ≡Xm (m − m0) Pm, Pm =Z ∞ 0 γψS m(t)2 dt,(17) under the dynamics of Eq.(16) with initial condition ψT m = δm,m0 , ψS m = 0. (18) In particular, we will be interested in determining which features of the results are independent of the particular grouping into collective spins, and which survive as the level of refinement, d, is increased. Based on the success of the translationally-invariant approximation to the quantum walk in the giant spin the case d = 1), we begin by replacing model (i.e. the m-dependent hopping amplitudes v(α) m by constants v(α) = v(α) m0 . Note that the approximations associated with making hopping translationally invariant and with extending the lattice of states for each collective spin to infinity become more severe as the size of each spin de- creases. Thus, although we will proceed for arbitrary di- mension d, this number should be considered small com- pared to the total number of nuclear spins in the double dot. m = 1 (2π)d H ddk eik·mψS The next step is to pass to the momentum represen- tation, ψS k , where the integral is taken over the Brillouin zone −π ≤ kα < π, with α ∈ {1, 2, . . . , d}. These Fourier states correspond to co- herent nuclear spin states with the transverse component of each collective spin α pointing along the azimuthal angle kα. Due to the translational invariance of the sys- tem, the equations of motion in the Fourier representa- tion break up into 2 × 2 blocks, one for each momentum k: d i k ψS ψS k k (cid:19) , (19) k (t)2 + ψS A∗k εS (cid:19)(cid:18) ψT k (cid:19) =(cid:18) εT Ak dt(cid:18) ψT with Ak = u +Pd α=1 v(α) eikα. The two-component wave functions for different values of k evolve independently, and the probability density pk(t) ≡ ψT k (t)2 to find the system with momentum k at time t decays as ∂t pk = −γψS k (t)2. The k-dependence of Ak indicates that for some giant spin configurations (kα ≈ 0) the ef- fective hyperfine and spin-orbit fields add constructively, while for other configurations (kα ≈ π) they interfere destructively. Writing mα as a derivative with respect to kα via mα ψS k and integrating by parts to move the derivative onto ψS k , we bring the ex- pression for the α-th component of h∆mi, Eq.(17), to the (2π)d H ddk d dkα (cid:0)eik·m(cid:1) ψS m = − i a) ′′ v ik2 e c) v v ′ ik1 e b) !∆m2" k2 k1 1 " m ∆ ! 1 2 0 0 !∆m1" no pumping !∆m2" 1/2 1/4 u/(u + v′ + v′′) 1 FIG. 5: Expected polarization from d = 2 quantum walk model. a) Graphical analysis of Eq.(21). For each fixed k1, Ak = u + v′eik1 + v′′eik2 sweeps out a circle as k2 is varied from −π to π, encircling the origin for a range around k1 ≈ −π bounded by the magenta circles. b) The mean displacement h∆m2i is equal to the fraction of the Brillouin zone in which, for fixed k1, Ak wraps the origin as −π ≤ k2 < π. A sim- ilar construction can be used to obtain h∆m1i (not shown). c) Solution to Eq.(21) for h∆m1i and h∆m2i versus the ra- tio of spin-orbit and hyperfine coupling strengths. The ratio between the two giant spin hyperfine couplings is fixed to the value v′/v′′ = 2. When spin-orbit coupling u is weak, the more strongly coupled giant spin absorbs all spin-flips (h∆m1i = 1, h∆m2i = 0). When spin-orbit coupling exceeds the maximum hyperfine coupling, u > v′ + v′′, nuclear spin pumping is completely suppressed. form k k 0 0 2π , (20) k ∗ ∂ψS ∂kα dtI dkα h∆mαi = iγZ ∞ dtI = I dd−1k ddk (2π)d ψS (2π)d−1(cid:26)iγZ ∞ k ∗ ∂ψS ∂kα(cid:27). (21) ψS The outer integral is taken over the d− 1 momenta kβ6=α. The expression inside the braces is identical to Eq.(5) of Ref.[13] for the displacement in the one-dimensional model. As shown there, the value of this integral is quantized as either 0 or 1 depending on the winding of θk ≡ arg{Ak} as kα is taken around the Brillouin zone. For the one-dimensional case, quantization means that the expected change in polarization per electron through the system is either 1 if θk wraps the origin (v > u, hyperfine coupling exceeds spin-orbit coupling) or 0 if it does not (u > v, spin-orbit coupling exceeds hyperfine coupling). Roughly speaking, the winding of θk therefore distinguishes whether or not the hyperfine coupling is strong enough for the electron to flip the nuclear spin. To understand the meaning of Eq.(21) in the multi- dimensional case, it is helpful to view the integral in the following way: for each fixed configuration of d − 1 col- lective spins described by the d − 1 angles {kβ6=α}, the expression inside the braces is either 0 or 1 depending on, for the given field of the other spins and strength of spin- orbit coupling, whether the electron's hyperfine coupling v(α) to the remaining spin is strong enough to induce a spin flip (i.e. whether or not θk winds the origin as kα is varied from −π to π, see Fig.5a). The integral over 7 the remaining d − 1 variables simply counts the "phase space" over which this condition is satisfied. This result is represented graphically for the case d = 2 in Fig.5b. A. Special Case: d = 2 Recently, the relative dynamics of nuclear spins in the two dots of spin-blockaded double quantum dots has attracted considerable experimental23,24 and theoretical22,25 -- 28 attention. From a practical point of view, understanding the behavior of the difference of po- larization between the two dots is important because a) it is responsible for dephasing of singlet-triplet qubits, and b) because the polarization difference, if carefully con- trolled, can be used as a resource to coherently control electron spin dynamics24. The case of our model with d = 2, where the electron spins couple to two indepen- dent collective spins, is thus particularly interesting if the two spins are viewed as representing the nuclear spin states in the left and right dots. We analyze this case in detail in this subsection. The expected displacements h∆m1i and h∆m2i in the two-dimensional quantum walk, see Eq.(21), represent the expected amounts of polarization transferred to each of the two groups of nuclear spins during the decay of the electron spin. The values of h∆m1i and h∆m2i depend on the strength of spin orbit coupling, u, and the strengths of the transverse hyperfine fields produced by the two giant spins, v′ and v′′, respectively. Using simple geometric arguments based on the construction shown in Fig.5a, we find (assuming v′ > v′′) 0 θ2 π 0 v′ > u + v′′ u − v′ ≤ v′′ v′ < u − v′′, (22) v′2 + u2 − v′′2 . 2uv′ π 1 1 − θ1 0 h∆m1i =  v′2 − u2 − v′′2 cos θ1 = , h∆m2i =  with , cos θ2 = 2uv′′ (23) Expression (22) is plotted in Fig.5c as a function of spin-orbit coupling strength u for the fixed ratio v′/v′′ = 2. For strong spin-orbit coupling u > v′ + v′′, nei- ther collective spin is pumped at all: we find com- plete suppression of DNP in the spin-orbit dominated phase just as in the single giant spin model. Interest- ingly, for weak spin-orbit coupling we find a quantization h∆m1i = 1,h∆m2i = 0, which indicates that on average the collective spin with stronger hyperfine coupling to the electron absorbs the full angular momentum, while the more weakly coupled spin is not pumped at all. For an asymmetric system composed of one large dot and one small dot, electron density and thus average hyperfine coupling is larger on the smaller dot. Thus in the limit of weak spin-orbit coupling, u ≪ v′, v′′, nuclear pumping may be highly asymmetric, with DNP initially produced primarily on the smaller of the two dots. B. General Results In contrast to the one-dimensional translationally- invariant model, Fig.5c shows that in higher dimensions h∆mαi is not strictly quantized as an integer. The breakdown of quantization results from the appearance of "mixed" phases where the winding number along one dimension of the Brillouin zone is 1 for some values of the remaining momenta, and 0 for the others. However, for the quantum walk (16) in any dimension d there is In this phase, h∆mαi = 0 for all α. always a "non-winding" phase with u > Pα v(α), where all winding numbers are 0 for all values of k in the Bril- louin zone. In fact, either through graphical methods or with a few lines of algebra, one can see that, as u is increased for fixed {v(α)}, all of the h∆mαi vanish simultaneously at the point u = Pα v(α). Thus very generally, strong spin- orbit coupling can lead to a dramatic suppression of nu- clear spin pumping. Furthermore, the expected polarizations h∆mαi are determined purely by geometrical constraints imposed by the set of hyperfine matrix elements v(α). Importantly, just as in the 1d case studied in Ref.[13], both the de- tuning ε0 T − εS and the decay rate γ completely drop out of the solution. As shown there, the result holds even if these quantities are made time-dependent. This implies that the suppression of pumping results from nuclear spin coherence, and is robust against noise and dephasing of the electron singlet and triplet states. 8 dots by mapping it onto a non-Hermitian quantum walk. This quantum walk problem can be solved exactly by making the approximation that the matrix elements of the hyperfine coupling are roughly independent of the nuclear polarization m for m − m0 ≪ I, where I is the size of a large collective spin formed from a group of nu- clei with similar values of the hyperfine coupling to the electron. From this solution we find that nuclear spin pumping is strongly suppressed whenever the strength of spin-orbit coupling exceeds the magnitude of the hy- perfine coupling. Numerical simulations show that this behavior extends directly to the more realistic situation where the polarization-dependence of the hyperfine ma- trix elements is included. The transition in the nuclear spin pumping efficiency is accompanied by an abrupt change in average current through the double dot. Due to the presence of "dark states" when the hyperfine and spin-orbit processes ex- hibit complete destructive interference, the expected life- time of the spin-blockaded triplet state is significantly longer in the regime where hyperfine and spin-orbit cou- plings compete than in a regime where only one of the two mechanisms is present. This interference is mediated by coherence in the nuclear spin bath, and is therefore robust against dephasing of the electronic state. IV. CONCLUSIONS In this work we have analyzed the coupled dynamics of electron and nuclear spins in spin blockaded quantum We thank B. I. Halperin, J. Krich, and I. Neder for helpful discussions, and acknowledge support from W. M. Keck Foundation Center for Extreme Quantum In- formation Theory, from NSF Grants DMR-090647 and PHY-0646094 (M.R.) and from NSF Grant No. PHY05- 51164 (L. L.). 1 K. Ono, D. G. Austing, Y. Tokura, S. Tarucha, Science 8 K. Ono and S. Tarucha, Phys. Rev. Lett. 92, 256803 297, 1313 (2002). 2 F. H. L. Koppens, J. A. Folk, J. M. Elzerman, R. Hanson, L. H. Willems van Beveren, I. T. Vink, H. P. Tranitz, W. Wegscheider, L. P. Kouwenhoven, L. M. K. Vandersypen, Science 309, 1346-1350 (2005). 3 H. W. Liu, T. Fujisawa, Y. Ono, H. Inokawa, A. Fuji- wara, K. Takashina, Y. Hirayama, Phys. Rev. B 77, 073310 (2008). 4 N. Shaji, C. B. Simmons, M. Thalakulam, L. J. Klein, H. Qin, H. Luo, D. E. Savage, M. G. Lagally, A. J. Rimberg, R. Joynt, M. Friesen, R. H. Blick, S. N. Coppersmith, M. A. Eriksson, Nature Physics 4, 540 (2008). 5 A. Pfund, I. Shorubalko, K. Ensslin, R. Leturcq, Phys. Rev. Lett. 99, 036801 (2007). 6 S. Nadj-Perge, S. M. Frolov, J. W. W. van Tilberg, J. Danon, Yu. V. Nazarov, R. Algra, E. P. A. M. Bakkers, L. P. Kouwenhoven, arXiv:1002.2120. 7 H. O. H. Churchill, A. J. Bestwich, J. W. Harlow, F. Kuem- meuth, D. Marcos, C. H. Stwertka, S. K. Watson, and C. M. Marcus, Nature Physics 5, 321 (2009). (2004). 9 M. S. Rudner and L. S. Levitov, Phys. Rev. Lett. 99, 036602 (2007). 10 M. S. Rudner and L. S. Levitov, arXiv:0705.2177. 11 M. S. Rudner, I. Neder, L. S. Levitov, and B. I. Halperin, arXiv:0909.0060. 12 J. M. Taylor, A. Imamoglu, and M. D. Lukin, Phys. Rev. Lett. 91, 246802 (2003). 13 M. S. Rudner and L. S. Levitov, Phys. Rev. Lett. 102, 065703 (2009). 14 Here we use the fact that I(I + 1) ≈ I 2 for I ≫ 1. 15 This result does not violate conservation of angular mo- mentum, as the spin-orbit interaction allows the spins to exchange angular momentum with orbital degrees of free- dom and eventually to the lattice. 16 V. V. Mikhailov, J. Phys. A: Math. Gen. 10, 147 (1977). 17 N. Shenvi, R. de Sousa, and K. B. Whaley, Phys. Rev. B 71, 224411 (2005). 18 A. V. Khaetskii, D. Loss, and L. Glazman, Phys. Rev. Lett. 88, 186802 (2002). 19 W. Yao, R.-B. Liu, and L. J. Sham, Phys. Rev. B 74, 24 S. Foletti, H. Bluhm, D. Mahalu, V. Umansky, and A. 195301 (2006). 20 C. Deng and X. Hu, Phys. Rev. B 73, 241303 (2006). 21 L. Cywinski, W. M. Witzel, S. Das Sarma, Phys. Rev. Lett. Yacoby, Nature Physics 5, 903 (2009). 25 G. Ramon and X. Hu, Phys. Rev. B. 75, 161301(R) (2007). 26 H. Ribeiro and G. Burkard, Phys. Rev. Lett. 102, 216802 9 102, 057601 (2009). 22 M. Gullans, J. J. Krich, J. M. Taylor, H. Bluhm, B. I. Halperin, C. M. Marcus, M. Stopa, A. Yacoby, and M. D. Lukin, arXiv:1003.4508. 23 D. J. Reilly, J. M. Taylor, J. R. Petta, C. M. Marcus, M. P. Hanson, and A. C. Gossard, Science 321, 817 (2008). (2009). 27 M. S. Rudner, F. H. L. Koppens, J. A. Folk, L. M. K. Vandersypen, and L. S. Levitov, arXiv:1001.1735. 28 M. Stopa, J. J. Krich, and A. Yacoby, Phys. Rev. B. 81, 041304(R) (2010).
1210.5151
1
1210
2012-10-18T14:57:00
Spin-blockade qubit in a superconducting junction
[ "cond-mat.mes-hall" ]
We interpret a recent pioneering experiment [Zgirski M. et al., Phys. Rev. Lett., 106 (2011) 257003] on quasiparticle manipulation in a superconducting break junction in terms of spin blockade drawing analogy with spin qubits. We propose a novel qubit design that exploits the spin state of two trapped quasiparticles. We detail the coherent control of all four spin states by resonant quantum manipulation and compute the corresponding Rabi frequencies. The read-out technique is based on the spin-blockade that inhibits quasiparticle recombination in triplet states. We provide extensive microscopic estimations of the parameters of our model.
cond-mat.mes-hall
cond-mat
Spin-blockade qubit in a superconducting junction C. Padurariu1 and Yu. V. Nazarov1 1 Kavli Institute of NanoScience, Delft University of Technology - Lorentzweg 1, 2628 CJ, Delft, The Netherlands PACS 74.45.+c -- Andreev reflection (superconductivity). PACS 74.50.+r -- Josephson effect, tunnelling phenomena (superconductivity). PACS 73.63.-b -- Electronic transport in nanostructures. Abstract -- We interpret a recent pioneering experiment [Zgirski M. et al., Phys. Rev. Lett., 106 (2011) 257003] on quasiparticle manipulation in a superconducting break junction in terms of spin blockade drawing analogy with spin qubits. We propose a novel qubit design that exploits the spin state of two trapped quasiparticles. We detail the coherent control of all four spin states by resonant quantum manipulation and compute the corresponding Rabi frequencies. The read-out technique is based on the spin-blockade that inhibits quasiparticle recombination in triplet states. We provide extensive microscopic estimations of the parameters of our model. 2 1 0 2 t c O 8 1 ] l l a h - s e m . t a m - d n o c [ 1 v 1 5 1 5 Introduction. -- The spin degree of freedom pro- vides a natural representation of quantum information in- spiring some of the first qubit designs [1]. Nanodevices that realize read-out and manipulation of single electron spins are in the focus of modern research [2]. An alter- native is provided by Josephson-based superconducting qubits [3] where the qubit states emerge from the inter- play of Josephson effect and Coulomb blockade, not hav- ing anything to do with real spin. There are theoretical proposals [4, 5] attempting to combine the advantages of both qubit realizations. This can be achieved by a su- perconducting spin qubit, where quantum information is stored in the state of a spin trapped in a superconducting junction. . 0 1 2 1 : v i X r a Generally, in this situation one deals with the spin of a superconducting quasiparticle rather than with an elec- tron spin. The supercondcuting quasiparticles are exci- tations of the Bardeen-Cooper-Schrieffer (BCS) supercon- ducting ground state that are spin doublets despite the fact that they do not bear a definite charge, being super- positions of electron and hole excitations [6]. One needs to localize a quasiparticle to control its spin state. It is possible to trap a quasiparticle not only inside a superconducting island [7], but also in eventually any superconducting junction. The quasiparticles are kept in the Andreev bound states (ABS) that develop [8] in the presence of a superconducting phase difference dropping at the junction. The presence of an additional quasipar- ticle in a bound state is manifested by a change of the superconducting current. In addition, spin-orbit interac- tion in the junction makes the superconducting current sensitive to the spin state of the trapped quasiparticle [4]. This provides a way to detect the quasiparticle occupancy, as well as to manipulate the spin state. Using ABS is par- ticularly advantageous since in this case the junction may support larger superconducting current as compared to the systems involving Coulomb islands [9]. Controllable trapping of quasiparticles in ABS and their detection has proven an experimental challenge and has been realized only recently [10]. The experiment uses a mechanically controlled break of Al strip to produce atomic-size junction of adjustable transparency. The junc- tion normal state conductance is contributed by a few transport channels; their transparencies are accurately characterized from the fit of I-V curves. In the super- conducting state, each channel gives rise to an ABS that can accommodate quasiparticles. Detection is based on the fact that the critical current of the junction is altered by the presence of trapped quasi- particles. The measurement of critical current must be achieved with a time resolution smaller than the quasipar- ticle escape time. The experiment uses rectangular pulses of bias current of typical duration (cid:39) 1 µs and different amplitudes. Each pulse induces with a certain probabil- ity the switching of the junction from the zero-voltage to running state. The latter is manifested as a measurable voltage response. The probability is determined by re- peating the measurement a big number of times (cid:39) 104 for each value of the pulse amplitude [10]. Upon increasing the pulse amplitude the switching probability increases in p-1 C. Padurariu et al. steps. The step positions indicate the critical current of the junction with different numbers of trapped quasiparti- cles and are in good agreement with the theoretical predic- tions. In addition, the measurement provides information about the relative probability of different occupancies of the ABS. These probabilities are proportional to the in- crease in switching probability at the corresponding step. The following experimental detail has inspired the pro- posal presented in this Letter. In most cases either zero or one quasiparticles (at lowest level) have been detected. However, the state with two trapped quasiparticles has been reported as well. It could not be observed in a junc- tion with a single high-transparency channel, but has been observed for junctions supporting two open channels. Im- portantly, the measured critical current corresponded to the case when the quasiparticles are situated in different levels: the lowest one and the next-to lowest one. In this Letter, we interpret this detail as the spin- blockade of two quasiparticles. Spin-blockade in a dou- ble quantum dot connected to two leads [11 -- 13] is utilized for the read-out and operation of the most practical spin qubits. The transport cycle through the dots is arranged in such a way that the state with two electrons in differ- ent dots can only transit to a spin-singlet state with two electrons in the same level in one of the dots. Spin con- servation forbids transitions between singlet and triplet. Therefore the electron transport is blocked if two electrons in two different dots happen to be in a triplet state. Similarly, two quasiparticles in two different Andreev levels : lowest and next-to-lowest -- form the ground state of the system with full spin S = 1. Spin conservation in this case forbids a transition to S = 0 no-quasiparticle state of the lower energy, the transition proceeds quickly if the two-quasiparticle state is a singlet. One can say the junction is blocked in a triplet state. This guarantees long life-time and therefore observability of these specific two-quasiparticle states. We show that this spin blockade in combination with spin manipulation techniques can be used to control and read out a simple qubit. We develop a minimum the- oretical model that includes all relevant spin-dependent phenomena in the junction and provide extensive micro- scopic estimations for the parameters of the model. We detail the resonant quantum manipulation of all four spin states formed by two quasiparticles and outline a "natu- ral" read-out in the system. Experimental realization of our proposals would for the first time unambiguously prove the spin properties of superconducting quasiparticles and open up new ways to combine spin and superconductivity in the context of quantum technologies. Theoretical model. -- The break junction is char- acterized by a set of transport channels labelled by p with transmission coefficients 0 < Tp < 1. The An- dreev levels corresponding to the channels have energies Ep(ϕ) = ∆(1 − Tp sin2(ϕ/2))1/2, where ∆ is the bulk su- perconducting gap ((cid:39) 1.9 × 10−4 eV in [10]). Each level Fig. 1: Andreev bound states in a junction with two open channels. a) Sketch of the junction characterized by the chan- nel transmission coefficients {T1, T2} and the superconducting phase difference ϕ. b) Energy of Andreev states as a function of ϕ. The three plots show most long-lived states stable with respect to spin-conserving energy relaxation. They are: the state with no quasiparticles (S = 0), the state with a single quasiparticle (S = 1/2), and the state with two quasiparticles in a triplet spin configuration (S = 1). c) The energies of the four spin states of two quasiparticles plotted versus the spin magnetic field. Inset: Zoom in the low B-field region to show the spin-orbit splitting of the triplet states. The energy of the spin-orbit splitting is small compared to the exchange splitting SO (cid:28) J. may accommodate up to two quasiparticles of opposite spin. We introduce the level occupation np = 0, 1, 2. The occupation of the Andreev levels determines the phase-dependent part of the junction energy, which is given by the sum over the levels, E(ϕ) = (np − 1)Ep(ϕ), (1) (cid:88) p (cid:88) p and the superconducting current flowing in the junction, Is = 2e  ∂E(ϕ) ∂ϕ = 2e  (np − 1) ∂Ep(ϕ) ∂ϕ . (2) We focus on the case when two lowest levels are occupied with a single quasiparticle each, n1 = n2 = 1, np = 0 if p (cid:54)= 1, 2. We call these states TQTL (two quasiparticles, two levels). In this case, the two lowest levels do not contribute to the current resulting in a suppression of its magnitude. Two spin-1/2 quasiparticles in a TQTL give rise to four spin states: a singlet S(cid:105) and three triplet states Tj(cid:105), j = −1, 0, +1. Since the TQTL is an excited state , one needs to drive the junction out of equilibrium to realize it. In [10] this has been achieved by applying a current pulse of large ampli- tude just before each measurement of the critical current. The current in the pulse exceeds a critical one and re- sults in quasiparticle generation at the junction. After the pulse, some of the quasiparticles generated are trapped in the ABS. Two quasiparticles are trapped with some prob- ability P2. The quasiparticles can relax their energy by p-2 going to the lower Andreev levels. If their state is a sin- glet, they may also annihilate: we assume that this process is fast at the scale of the measurement time. The anni- hilation takes place also in the case of a singlet TQTL: in this case, we characterize it with the rate Γd. If the quasiparticles are in the triplet state, they relax to TQTL and stay there manifesting themselves in a lower critical current. It is reasonable to assume random initial spin: in this case, the probability to measure the lower current is P = (3/4)P2. This is provided that the measurement time is shorter than the spin-orbit relaxation rate of the triplet state to be estimated below. In addition to the decay of the singlet state, the evolu- tion of the TQTL is determined by three spin-dependent effects: the exchange interaction, the spin-orbit (SO) cou- pling and the interaction with an external magnetic field (cid:126)B. We summarize these effects in a Hamiltonian Hs. Hs = Hexchange + HSO + HB (3) (cid:88) The exchange interaction term is expressed as usual in terms of the two spin operators (cid:126)σ1 and (cid:126)σ2 at the levels 1, 2, Hexchange = J((cid:126)σ1 · (cid:126)σ2), J being the exchange coupling. It is convenient to rewrite the term in the basis of singlet and triplet states. Hexchange = −J/2S(cid:105)(cid:104)S + J/2 Tj(cid:105)(cid:104)Tj (4) j The effect of SO interaction on a spin state of a quasi- particle occupying an Andreev level has been discussed previously in [4, 5]. The interaction is characterized by a level-specific pseudo-vector (cid:126)p of dimension energy that polarizes the qusiparticle spin. We denote the SO pseudo-vectors of the two Andreev levels involved by (cid:126)1 and (cid:126)2. The vectors generally depend on the superconducting phase drop ϕ over the junction. The following symmetry holds: (cid:126)1,2(ϕ) = −(cid:126)1,2(−ϕ). It is HSO =(cid:80) a consequence of time-reversal symmetry preserved by the SO interaction. The SO Hamiltonian takes the form [5] n=1,2 (cid:126)n(ϕ) · (cid:126)σn. To express it in singlet-triplet basis it is convenient to introduce the sum and difference of pseudo-vectors (cid:126)s,d = ((cid:126)1 ± (cid:126)2)/2, following [13]. (cid:88) HSO = (cid:88) ± d − iy ∓x d√ 2 s Tj(cid:105)(cid:104)Tj + jz (cid:88) d S(cid:105)(cid:104)T0 + z j ± T0(cid:105)(cid:104)T±1 + (5) s ± iy x s√ 2 S(cid:105)(cid:104)T±1 + h.c. The spin-quantization axis z is not yet fixed here. We will make a particular choice of the quantization axis further in the text. external magnetic field HB = µ(cid:80) We also take into account the spin interaction with an (cid:126)B · (cid:126)σn. Here, the magneton µ is smaller than its value in vacuum. The reason for this is that the Andreev bound states may spread into superconducting leads at distance exceeding n=1,2 Spin-blockade qubit in a superconducting junction Fig. 2: Sketch of the atomic-size break junction. The wave- function of quasiparticles trapped in localized Andreev states extends away from the atomic-size constriction of length a to a much longer distance of the order of the superconducting co- herence length ξ. Assuming the dirty-limit for the Al film su- perconductor used in the experiment, the motion of the quasi- particle inside the volume taken by the state follows a chaotic scattering trajectory. the screening length of the magnetic field. In what follows it is convenient to set µ (cid:126)B → (cid:126)B, introducing a field (cid:126)B of dimension energy. In the singlet-triplet basis HB takes the form HB = (cid:88) (cid:88) j ± jBz Tj(cid:105)(cid:104)Tj + Bx ± iBy√ 2 T0(cid:105)(cid:104)T±1 + h.c. (6) The magnetic field dependence of the energies of the resulting states is given in Fig. 1c. The dynamical evolution of the four spin states is ad- equately described by a density matrix equation which takes into account the unitary evolution described by Hs, as well as the decay of the singlet component with the rate Γd. The density matrix ρ is defined in the space of four spin states of interest, ρxy = (cid:104)x ρy(cid:105), x, y ∈ {S, T−1, T0, T+1}. Owing to the decay, Trρ < 1. The equation set reads: d dt (cid:19) ρ = i[Hs, ρ] − ΓdρSS S(cid:105)(cid:104)S− ρSTj S(cid:105)(cid:104)Tj + h.c. (cid:18) Γd (cid:88) 2 j (7) There are four equations to solve for diagonal components , as well as six for off-diagonal ones. It is relatively easy to obtain the numerical solution for arbitrary values of the parameters. To reveal the physics of the spin system we present analytical solutions in certain parameter regimes relevant for experiments similar to [10]. Before doing this, we need to estimate the parameters of our model to reveal the relevant time scales. Estimations. -- Let us start with the energy relax- ation mechanisms in the junction. These mechanisms may involve the transitions of quasiparticles to lower Andreev levels, as well as their annihilation. The relaxation pro- cess dumps energy into an environment and thus must be accompanied by an emission of a photon, or of a phonon. p-3 C. Padurariu et al. Since the states are localized inside the superconductor where electromagnetic field is screened, the phonon mech- anism dominates. For the junction setup in [10] the situation is depicted in Fig. 2. The wavefunction of a localized quasiparti- cle extends in the superconducting leads for a length of the order of the superconducting coherence length, ξ. As- suming the dirty-limit for the Al film superconductor [14], the coherence length can be estimated as ξ (cid:39) (D/∆)1/2 where D is the diffusion coefficient of electrons in nor- mal metal. The atomically-thin constriction extends for a length a (cid:39) 1..10 × 10−10 m, therefore a (cid:28) ξ. For the film geometry, the volume taken by the wavefunction of the ABS can be estimated as V (cid:39) ξ2d, d being the film thickness. Since the volume V is large at atomic scale, the rate can be estimated from the rate of phonon-mediated en- ergy relaxation for normal-metal electron states forming a continuous spectrum. This rate at energy E is given by Γr(E) = κel−phE3, κel−ph being the electron-phonon coupling constant (κel−ph (cid:39) 3 µs−1K−3 [15] for Al.) For our estimation, we need the transition rate Γd between two discrete states. To get this, we divide Γr by number of states N available for relaxation, N (cid:39) νEV, ν being the electron density of states. Therefore, Γd (cid:39) Γr/N . To adjust the estimation to the ABS case, we estimate E by ∆ and V by the volume taken by an ABS. If we express ξ in terms of diffusion coefficient, the es- timation of N appears strikingly simple, N (cid:39) (R(cid:3)GQ)−1, GQ ≡ πe2/, R(cid:3) being the normal-state film resistance per square. One can argue that the same estimation holds for an arbitrary geometry provided R(cid:3) is replaced with an effective resistance Reff of a metal piece of size (cid:39) ξ ad- jacent to the constriction. This is a manifestation of the famous Thouless relation [19] between level spacing and dwell time in a piece of disordered metal. With this, we estimate the ABS relaxation rate as Γd (cid:39) (GQReff )Γr(∆) (cid:39) κel−ph∆3GQReff . (8) This estimation of the phonon relaxation rate shall be compared with the results of Ref. [17] where Γd (cid:39) Γr(∆) was obtained. Since Ref. [17] assumed one-dimensional ge- ometry, the effective (Sharvin) resistance of the setup can be estimated as 1/GQ. This demonstrates consistency of our results. It has been already argued in [18] that the rate of Ref. [17] is suppressed by a factor accounting for the lateral spread of the ABS wavefunction in the leads. We have thus shown that the suppression factor is conve- niently expressed in terms of the effective resistance Reff. Further, let us estimate the exchange coupling J as the interaction energy of two electrons (or holes) localized in the volume V. Owing to the strong screening in the bulk of the metal, the interaction quenches if the distance between the electrons exceeds the atomic scale a. The probability for electrons to be that close is estimated as a3/V. This yields J (cid:39) Eat(a3/V), where we estimated the interaction by the atomic energy scale Eat. Since ν (cid:39) (Eata3)−1 the estimation of J can be expressed in terms of the number N introduced above, J (cid:39) Eata3 V (cid:39) ∆ N (cid:39) ∆(Reff GQ) . (9) We compare our estimations of Γd and J to find that ex- change splitting exceeds by far the life-time broadening of the singlet state, Γd/J (cid:39) ∆2κel−ph (cid:39) 10−4. We note that the ratio is independent of the effective resistance Reff and is thus rather universal for all ABS setups. The last estimation we need is that of the SO split- ting (cid:39) (cid:126)SO. In the case of a general superconducting constriction of size of the order of ξ, the scale of SO split- ting is given by αSO Al ∆ [4], where the SO coefficient in Al Al (cid:39) 10−2 can be interpreted as a probability to flip the αSO electron spin in course of a scattering event. This however implies the energy dependence of the transmission ampli- tudes at a scale of E (cid:39) ∆. For a short break junction, this energy dependence is absent; this cancels the SO splitting [4]. The energy-dependent part of the transmission am- plitude comes about the scattering of an electron that has passed the constriction, proceeded at distance of the or- der of ξ in a lead and got back to the constriction (see Fig. 2). The estimation for SO splitting is thus reduced by the backscattering probability. The latter can be again estimated as 1/N (cid:39) Reff GQ. Thus, we find (cid:126)SO (cid:39) αSO Al ∆/N (∆) (cid:39) αSO Al ∆Reff GQ , (10) We compare spin and exchange splitting to find the for- mer to be a factor of (cid:126)SO/J (cid:39) αSO Al (cid:39) 10−2 smaller not depending on the setup details. Let us provide typical scales of the estimated param- eters. For an experiment with Reff (cid:39) 10 Ohm (that is, N (cid:39) 103) and ∆/ (cid:39) 1011 Hz the above estimations yield J (cid:39) 108 Hz, (cid:126)SO (cid:39) 106 Hz and Γd (cid:39) 104 Hz. We refer to these values in following concrete estimations. Spin-orbit relaxation of the triplet states. -- We proceed to describe the dynamics of the triplet TQTL states in conditions of time-independent magnetic field and superconducting phase drop at the junction. Quasi- particle annihilation in the triplet states is forbidden by spin blockade. However, the SO interaction given in eq. (5) provides a relaxation mechanism by coupling the triplet states with the singlet. The coupling is described by the difference of SO pseudo-vectors (cid:126)d. We compute the SO relaxation rate by treating the small quantities d/J (cid:39) αSO Al and Γd/J as perturbations. It is convenient to choose the spin quantization axis along the direction of vector ( (cid:126)B+(cid:126)s) and work with the parallel (per- pendicular) component of (cid:126)d with respect to this direction, (cid:107) d (⊥  d ). We find the decay rates ΓTj corresponding to the triplet states Tj(cid:105), j = −1, 0, +1. (cid:17)2 (cid:16) (cid:107) d  /J 2. (11) (cid:1)2 (cid:0)⊥ d ΓT±1 = Γd /(J ± B)2, ΓT0 = Γd p-4 We estimate the decay rates ΓTj (cid:39) Γd(αSO Al )2 yielding ΓTj (cid:39) 1 Hz. We assume that the triplet states are long- lived at the scale of the measurement time. 2 ¯Ωj(1 − γ2)1/2 on the background of the overall decay at rate Γd. The full depletion of Pj(τ ) can be achieved by tuning the pulse duration. Spin-blockade qubit in a superconducting junction Singlet-to-triplet manipulation. -- We detail here the resonant manipulation of the singlet-to-triplet transi- tion. The pseudovector (cid:126)d that defines the couplings be- tween the singlet and triplet states depends on the super- conducting phase ϕ that can be modulated by an electric signal at frequency Ω, ϕ(t) = ϕ0 + A [exp(iΩt) + exp(−iΩt)] (12) A being the dimensionless modulation amplitude A (cid:28) 1. The corresponding modulation of the pseudovector is then given by: (cid:126)d(t) = (cid:126)d(ϕ0)+ d(cid:126)d dϕ (ϕ0) A [exp(iΩt) + exp(−iΩt)] . (13) The condition of resonant manipulation is achieved when Ω matches the singlet-triplet energy spacing, that is different for different triplet states provided a sufficiently large magnetic field B (cid:29) (cid:126)SO is applied . In this case, each triplet state can be addressed separately. Let us find the change of probability to be in a triplet state as a result of a manipulation pulse of duration τ . We employ rotating wave approximation (RWA) justified by B (cid:29) (cid:126)d, Γd. For the transition between S(cid:105) and Tj(cid:105); the resonant condition is Ω = J + jB. It is convenient = exp(−iJt/2)S(cid:105) to introduce slowly varying states = exp[i(J/2 + jB)t]Tj(cid:105) to arrive at evolution (cid:69) (cid:12)(cid:12)(cid:12) Tj and equation (cid:12)(cid:12)(cid:12) S (cid:69) (cid:12)(cid:12)(cid:12) + h.c. (cid:12)(cid:12)(cid:12) S (cid:17)− (cid:69)(cid:68) Tj (cid:12)(cid:12)(cid:12) + h.c. (cid:12)(cid:12)(cid:12) S (cid:69)(cid:68) Tj (cid:16) d dt ρ = i[ Hs, ρ] − Γd 2 Hs =  ¯Ωj (cid:69)(cid:68) S ρSTj (cid:12)(cid:12)(cid:12) S (cid:12)(cid:12)(cid:12) ; Γd ρSS (14) Triplet-to-triplet manipulation. -- Resonant ma- nipulation of the triplet-to-triplet transition is used to implement single qubit rotations. The coupling between T0(cid:105) and either of T±(cid:105) is realized both by SO interaction, (cid:126)s, and by the magnetic field, (cid:126)B, while the triplets T+1(cid:105) and T−1(cid:105) do not mix. The manipulation can be achieved by modulating either coupling parameter. However, it is practical to modulate the magnetic field, as it can be much larger than the SO splitting in the junction. We consider a magnetic field with a static and an a.c. component oscillating at frequency Ω (cid:126)B(t) = B(cid:126)z + (cid:126)B exp(iΩt) + (cid:126)B∗ exp(−iΩt) (16) assuming B (cid:29) (cid:126)B. The resonance condition is Ω = B/, the same for both T+1(cid:105) and T−1(cid:105). In RWA, the effective Hamiltonian reads ( B ≡ Bx − i By) Hs = BT0(cid:105)(cid:104)Ts + h.c.; Ts(cid:105) ≡ 1√ (cid:104) B T+1(cid:105) + B∗ T−1(cid:105)(cid:105) (18) (17) 2 B describing the Rabi oscillations with frequency ΩR = B/ between T0(cid:105) and a superposition state Ts(cid:105). Af- ter a manipulation pulse of duration τ the amplitudes α0 and αs are transformed as (cid:20) cos(ΩRt) −i sin(ΩRt) (cid:21)(cid:20) α0(0) (cid:21) (cid:20) α0(τ ) (cid:21) αs(τ ) = −i sin(ΩRt) cos(ΩRt) αs(0) (19) Assuming the static magnetic splitting is of order B (cid:39) J and the modulation is 10% of B, we estimate the Rabi frequency ΩR (cid:39) 107 Hz: this allows a fast and efficient triplet-to-triplet manipulation. The time available for the manipulation is set by the rate of the triplet decay ΓTj (cid:39) 1Hz so that the number of rotations can be as large as ΩR/ΓTj (cid:39) 107. Spin qubit. -- Having understood the manipulation of the spin states, we can describe the design and operation of a simple spin qubit. The qubit states are 0(cid:105) ≡ T0(cid:105) and 1(cid:105) ≡ Ts(cid:105). These together with the state defined below form an orthonormal basis of the triplet subspace. (cid:104) B T+1(cid:105) − B∗ T−1(cid:105)(cid:105) . (20) (15) 2(cid:105) ≡ 1√ 2 B √ d /dϕ), ± ≡ −(±x + iy)/ where the manipulation amplitudes are ¯Ω0 = A(dz d/dϕ), ¯Ω± = A(d± 2. We assume that the manipulation starts at time t (cid:29) Γ−1 after the preparation, so that ρSS(0) = 0. If the initial probability to be in the triplet state is Pj(0), the final one is given by d Pj(τ ) = Pj(0) γ2 − 1 sinh 2γ (cid:112) (2γ2 − 1) cosh (cid:32)(cid:112)γ2 − 1 2γ (cid:33) (cid:32)(cid:112)γ2 − 1 (cid:33) (cid:35) 2γ Γdτ − 1 Γdτ + e−Γdτ /2 2(γ2 − 1) (cid:34) ity decays with the rate Γd(1 −(cid:112)1 − γ−1) (Fig. 3a). At The duration dependence is determined by the inverse di- mensionless strength of the pulse γ ≡ Γd/4 ¯Ωj. For weak pulses γ > 1, the singlet state decays faster than the coherent transition takes place and the triplet probabil- γ (cid:29) 1, this decay rate is twice the manipulation ampli- tude. For sufficiently strong pulses γ < 1 one sees coher- ent oscillations of the probability (Fig. 3b) with frequency Let us give an example of an experiment with the qubit. In the beginning, the probabilities of all triplet states are the same, p0 = p1 = p2 = P/3, P being the probability to realize a long-lived triplet state introduced above. These probabilities can be manipulated by triplet-to-singlet tran- sitions. By tuning the pulse duration (Fig. 3), we can achieve the full depletion of p0 initializing the qubit to a mixed state with p0 = 0, p1 = p2 = P/3. Subsequent p-5 C. Padurariu et al. Fig. 4: Qubit initialization, rotation and read-out. a) The three square pulses are applied in succession. The upper plot shows the two pulses of ϕ(t) used for initialization and read- out. The duration of the pulses is optimized to transfer all population from state 0(cid:105) to S(cid:105). The lower plot shows the magnetic field pulse of duration τ used for triplet-to-triplet manipulation. b) The dependence P (τ ) of the probability to measure the lower critical current after the sequence of three pulses. [4] Chtchelkatchev N. and Nazarov Yu. V., Phys. Rev. Lett., 90 (2003) 226806. [5] Padurariu C. and Nazarov Yu. V., Phys. Rev. B, 81 (2010) 144519. [6] Bardeen J., Cooper L. N. and Schrieffer J. R., Phys. Rev., 108 (1957) 1175. [7] Eiles T. M., Martinis J. M. and Devoret M. H. , Phys. Rev. Lett., 70 (1993) 1862. [8] Furusaki A. and Tsukada M., Solid State Commun., 78 (1991) 299; Beenakker C. W. J. and van Houten H., Phys. Rev. Lett., 66 (1991) 3056. [9] Muller C. J., van Ruitenbeek J. M., Beenakker C. W. J. and de Bruyn Ouboter R., Physica B, 189 (1993) 225. [10] Zgirski M., Bretheau L., Le Masne Q., Pothier H., Esteve D. and Urbina C., Phys. Rev. Lett., 106 (2011) 257003. [11] Weinmann D., Hausler W. and Kramer B., Phys. Rev. Lett., 74 (1995) 984. [12] Petta J. R., Johnson A. C., Taylor J. M., Laird E. A., Yacoby A., Lukin M. D., Marcus C. M., Hanson M. P. and Gossard A. C., Science, 309 (2005) 2180. [13] Jouravlev O. N. and Nazarov Yu. V., Phys. Rev. Lett., 96 (2006) 176804. [14] Anderson P. W., J. Phys. Chem. Solids, 11 (1959) 26. [15] Santhanam P. and Prober D. E., Phys. Rev. B, 29 (1984) 3733. [16] Barends R., Baselmans J. J. A., Yates S. J. C., Gao J. R., Hovenier J. N. and Klapwijk T. M., Phys. Rev. Lett., 100 (2008) 257002. [17] Ivanov D. A. and Feigel'man M. V., JETP Lett., 68 (1998) 890. [18] Zazunov A., Shumeiko V. S., Wendin G. and Bratus' E. N., Phys. Rev. B, 71 (2005) 214505. [19] Nazarov Yu. V. and Blanter Y. M., Quantum Trans- port: Introduction to Nanoscience (Cambridge University Press) 2009. Fig. 3: Singlet-to-triplet manipulation. The upper plots show the pulse ϕ(t) of duration τ ; the insets show the time- dependence of the probability P to realize the long-lived triplet state. The lower plots depict the dependence P (τ ), in a) for the case A < Γd/4 ¯Ωj, and in b) for the case A > Γd/4 ¯Ωj. rotation works if the qubit is in 1(cid:105) bringing it to a super- position of states 0(cid:105) and 1(cid:105) by a triplet-to-triplet pulse of variable duration. Subsequently we read the resulting p0 after the rotation using the same pulse as used for the ini- tialization. Fig. 4 shows the result of applying the pulses for initialization, qubit rotation, and read-out in succes- sion. The measured probability to get the lower critical current P (τ ) = 2P/3 − p0(τ ), is plotted versus the pulse duration τ manifesting Rabi oscillations. Conclusion. -- We have presented a proposal of a novel qubit design using the spin states of two supercon- ducting quasiparticles trapped in a junction. Read-out of the qubit is based on spin-blockade that inhibits recom- bination of quasiparticles in the triplet state. We have described the resonant manipulation of singlet-to-triplet and triplet-to-triplet transitions and have explained the operation of the qubit. The qubit operation frequency estimated as Ω (cid:39) 107 Hz is much larger than the qubit relaxation rate Γ, Ω/Γ (cid:39) 107. Realization of our proposal would unambiguously demonstrate for the first time the spin properties of superconducting quasiparticles. ∗ ∗ ∗ This work is part of the research program of the Sticht- ing FOM. REFERENCES [1] Loss D. and DiVincenzo D. P., Phys. Rev. A, 57 (1998) 120. [2] Elzerman J. M., Hanson R., Willems van Beveren L. H., Witkamp B., Vandersypen L. M. K. and Kouwen- hoven L. P., Nature, 430 (2004) 431; Simmons C. B., Prance J. R., Van Bael B. J., Teck Seng Koh, Zhan Shi, Savage D. E., Lagally M. G., Joynt R., Friesen M., Coppersmith S. N. and Eriksson M. A., Phys. Rev. Lett., 106 (2011) 156804. [3] Clarke J. and Wilhelm F. K., Nature, 453 (2008) 1031. p-6 0.60.70.80.9105101520253010.60.60.70.80.910510152010.60.30.40.50.60.705101520
1804.04190
1
1804
2018-04-11T19:59:35
Spontaneous Hall effects in the electron system at the SmTiO3/EuTiO3 interface
[ "cond-mat.mes-hall", "cond-mat.mtrl-sci" ]
Magnetotransport and magnetism of epitaxial SmTiO3/EuTiO3 heterostructures grown by molecular beam epitaxy are investigated. It is shown that the polar discontinuity at the interface introduces ~ 3.9x10^14 cm^-2 carriers into the EuTiO3. The itinerant carriers exhibit two distinct contributions to the spontaneous Hall effect. The anomalous Hall effect appears despite a very small magnetization, indicating a non-collinear spin structure and the second contribution resembles a topological Hall effect. Qualitative differences exist in the temperature dependence of both Hall effects when compared to uniformly doped EuTiO3. In particular, the topological Hall effect contribution appears at higher temperatures and the anomalous Hall effect shows a sign change with temperature. The results suggest that interfaces can be used to tune topological phenomena in itinerant magnetic systems.
cond-mat.mes-hall
cond-mat
Spontaneous Hall effects in the electron system at the SmTiO3/EuTiO3 interface Kaveh Ahadi†, Honggyu Kim, and Susanne Stemmer Materials Department, University of California, Santa Barbara, California 93106-5050, USA. † Corresponding author. Email: [email protected] 1 Abstract Magnetotransport and magnetism of epitaxial SmTiO3/EuTiO3 heterostructures grown by molecular beam epitaxy are investigated. It is shown that the polar discontinuity at the interface introduces ~ 3.9×1014 cm-2 carriers into the EuTiO3. The itinerant carriers exhibit two distinct contributions to the spontaneous Hall effect. The anomalous Hall effect appears despite a very small magnetization, indicating a non-collinear spin structure and the second contribution resembles a topological Hall effect. Qualitative differences exist in the temperature dependence of both Hall effects when compared to uniformly doped EuTiO3. In particular, the topological Hall effect contribution appears at higher temperatures and the anomalous Hall effect shows a sign change with temperature. The results suggest that interfaces can be used to tune topological phenomena in itinerant magnetic systems. 2 (Quasi-)two-dimensional electron systems at polar oxide interfaces have generated significant interest. For example, they allow for the study of phenomena associated with itinerant carriers in complex oxides without the introduction of dopant atoms [1]. Most studies thus far have focused on quasi-two-dimensional electron systems that reside in SrTiO3 near the interface with another perovskite oxide containing nominally trivalent cations, such as LaAlO3 [2] or Mott insulating RTiO3 (R is a rare earth ion but not Eu) [3]. For (001) interfaces, the R3+O2- (Ti3+O24-) planes carry a +1 (-1) formal charges and encounter the charge-neutral layers of SrTiO3 (Sr2+O2- or Ti4+O24-). The very large (~3.4×1014 cm-2) fixed charge at such interfaces is compensated by mobile electrons in the SrTiO3. Similar to SrTiO3, EuTiO3 is a band insulator with a d0 electron configuration and has a non-polar (001) surface formed by alternating, charge neutral Eu2+O2- and Ti4+O24- planes. It exhibits G-type antiferromagnetism below the Neel temperature of 5.5 K [4]. With chemical doping, for example with a trivalent rare earth ion, it transitions to an itinerant (anti-)ferromagnet [5]. In addition, doped EuTiO3 exhibits unique properties that directly reflect reciprocal and/or real space topologies. In particular, its anomalous Hall effect (AHE) changes sign with carrier density [6], which is an indication of regions in the Brillouin zone that carry a large Berry curvature near the Fermi level, such as (avoided) band crossings [7-9]. Non-collinear spin arrangements can produce a large contribution to the anomalous Hall effect even in antiferromagnets that have only a small net magnetization [10-12]. At low temperatures, an additional contribution appears in the Hall signal of doped EuTiO3 films, which closely resembles the topological Hall effect (THE) [13]. The THE is caused by non-coplanar or chiral spin structures to which itinerant electrons couple [14, 15]. The carrier density dependence of these effects in EuTiO3 suggests rich 3 opportunities for controlling them in electrostatically doped heterostructures, which can also be used to gain further insights into the contributions to Hall effect in this material. Here, we investigate the properties of polar/non-polar SmTiO3/EuTiO3 interfaces grown by molecular beam epitaxy (MBE). We show that the interface introduces mobile carriers into the EuTiO3 and that carrier density closely matches the one expected from the polar discontinuity. The transport properties exhibit signatures of magnetic order and two distinct contributions to the spontaneous Hall effect, but there are qualitative differences compared to chemically doped EuTiO3 films with similar carrier densities. Heterostructures consisting of 10 pseudocubic unit cells (u.c.s) of SmTiO3 on 60 nm thick EuTiO3 epitaxial layers were grown by MBE on (001) (La0.3Sr0.7)(Al0.65Ta0.35)O3 (LSAT) single crystals, as described elsewhere [13]. Cross-section scanning transmission electron microscopy showed abrupt interfaces (see Supplementary Information). Electron beam evaporation through a shadow mask was used to deposit Au/Ti (400/40 nm) contacts in square Van der Pauw configuration. Temperature-dependent magnetotransport measurements were carried out using a Quantum Design Physical Property Measurement System (PPMS). Magnetic properties were measured using a Quantum Design superconducting quantum interface device (SQUID) magnetometer. Figure 1(a) compares the sheet resistances, Rs, as a function of temperature of a 60 nm- thick EuTiO3 film and that of the SmTiO3/EuTiO3 heterostructure. While the EuTiO3 film is highly resistive and quickly exceeds the measurement limit near room temperature, the heterostructure shows metallic behavior. This confirms that the SmTiO3/EuTiO3 interface is the source of mobile charge and metallic conductivity. An upturn in Rs at ~30 K is followed by a relatively sharp peak at ~ 6 K. This upturn is similar to that found in uniformly doped EuTiO3 films where it signifies 4 the onset of magnetic order [13], confirming that the mobile carriers reside in the EuTiO3. Figure 1(b) shows the sheet carrier density (n) of the heterostructure, as determined from the ordinary Hall effect. At room temperature, n = 3.9×1014 cm-2, which is close to the expected value (3.4×1014 cm-2) from the polar discontinuity. The carrier density shows a weak, but noticeable, temperature dependence. Figure 2(a) shows the magnetization of the heterostructure as a function of temperature under an in-plane applied magnetic field (B) of 100 Oe. Upon cooling, the magnetization increases around 6 K, consistent with the peak in the sheet resistance [Fig. 2(a)]. The remnant magnetization [Fig. 2(b)] is, however, very small. A superlinear increase in the magnetization appears near 0.3 T, hinting at a field-induced magnetic transition. Figure 3(a) shows the longitudinal resistance, Rxx, as a function of out-of-plane magnetic field at different temperatures between 2 K and 30 K. The magnetic field was swept from +9 T to -9 T and back. Negative magnetoresistance is observed at all temperatures. Only a very small hysteresis exists at 2 K (see Supplementary Material). At 2 K, a sharp inflection can be noticed near 3 T. Figure 3(b) shows the Hall resistance at different temperatures, after antisymmetrizing and using a linear fit (6-9 T) to subtract the ordinary, linear Hall effect (the antisymmetrized raw data are shown in the Supplementary Information). In the presence of both AHE and THE, the Hall resistance, Rxy, is given as (cid:1844)(cid:2868)(cid:1828)(cid:3397)(cid:1844)(cid:3002)(cid:3009)(cid:3006)(cid:3397)(cid:1844)(cid:3021)(cid:3009)(cid:3006), where R0B is the ordinary Hall component and (cid:1844)(cid:3002)(cid:3009)(cid:3006) and (cid:1844)(cid:3021)(cid:3009)(cid:3006) are the AHE and THE contributions, respectively. At 30 K only the AHE is observed, seen in Fig. 3(b) as a monotonic change with B, which extrapolates to zero. Upon lowering the temperature, the AHE changes sign (is negative at 2 K and at 5 K) and an additional peak appears at 15 K, which is superimposed on the AHE. In contrast to the AHE, this additional, non-monotonic contribution does not change sign with temperature. The derivative of the 5 longitudinal magnetoresistance with respect to the applied field (dRxx/dB) shows a slope change at the field at which the peak in appears in the Hall effect [Fig. 3(c)]. Comparison of the results with previous studies of the Hall effect in chemically doped EuTiO3 [6, 13] show that the two distinct contributions to the Hall effect are intrinsic properties of itinerant carriers in EuTiO3 and do not depend on how these carriers are introduced, i.e. by electrostatic doping (this study) or by chemical doping (refs. [5, 6, 13]). Furthermore, both contributions to the Hall effect are observed despite an almost negligible net magnetization, consistent with their intrinsic, Berry phase origin. There are, however, qualitative differences in the properties of the interfacial electron system and uniformly doped EuTiO3. In particular, the latter does not show a sign change in the AHE with temperature, only with doping [6]. The intrinsic AHE reflects the Berry curvature at the Fermi surface [7] and sign changes can occur near band crossings or other singularities in the density of states [7, 8, 11, 16]. The sign change of the AHE with temperature indicates that in the interfacial electron system the Fermi level passes through a singularity in the electronic density of states, where the Berry curvature changes sign, as the temperature is changed. The apparent decrease in carrier density with decreasing temperature [Fig. 1(b)] may thus indicate a change in the Fermi surface. Extrinsic effects, such as carrier trapping, are, however, also a possibility. The most likely origin for the differences between the heterostructures and the bulk lies in the differences in the electronic structure. Similar to SrTiO3 [17, 18], we expect the interfacial carrier system to be not very strongly confined and to be better described as quasi-two-dimensional. Nevertheless, the confining potential of the fixed charge at the interface can have significant influence, for example, the orbital polarization [19]. Unlike the monotonic AHE, the second, non-monotonic contribution to the Hall effect does not change sign upon lowering the temperature. Furthermore, it appears at higher temperatures 6 than in uniformly doped EuTiO3, where it can only be detected at 2 K [13]. The fact that this contribution vanishes at high magnetic fields, when the spins become more collinear, indicates that it is likely related to a non-collinear spin texture. In particular, the results show that the non- collinear spin arrangement is stabilized to higher temperatures in the heterostructure than in the corresponding bulk materials. We note that the strict definition of the THE is that it is due to the real-space Berry curvature of chiral spin arrangements. As discussed in ref. [13], transport measurements alone are insufficient to definitely attribute the peaks seen in Fig. 3(b) to the THE. The results in this study, in particular the lack of a sign change, show, however, that it is a contribution that it is distinct from the monotonic AHE and furthermore that details of the spin texture are the origin of this contribution. This supports the previous assignment of the peaks to the THE. In summary, the results emphasize the sensitivity of Hall effects in this materials system to the topology of the electronic states and spin textures, as expected from their Berry phase origin. Clearly, an improved understanding of the electronic structure and spin texture of the quasi-two- dimensional carrier system would be of great interest. Furthermore, the results show that the properties can be engineered using interfaces and heterostructures, which also open up other possibilities, such as electric field tuning of the carrier density. See Supplementary Material for a scanning transmission electron microscopy image of a SmTiO3/EuTiO3 interface, a zoomed-in version of the magnetoresistance data at 2 K, and the raw Hall resistance data. Acknowledgments 7 The authors thank Salva Salmani Rezaie for help with the TEM studies. We acknowledge support from the National Science Foundation under award no. ECCS 1740213. The microscopy studies were supported by the U.S. Department of Energy (Grant No. DEFG02-02ER45994). The work made use of the MRL Shared Experimental Facilities, which are supported by the MRSEC Program of the U.S. National Science Foundation under Award No. DMR 1720256. 8 References [1] [2] [3] S. Stemmer, and S. J. Allen, Ann. Rev. Mater. Res. 44, 151 (2014). A. Ohtomo, and H. Y. Hwang, Nature 427, 423 (2004). P. Moetakef, T. A. Cain, D. G. Ouellette, J. Y. Zhang, D. O. Klenov, A. Janotti, C. G. Van de Walle, S. Rajan, S. J. Allen, and S. Stemmer, Appl. Phys. Lett. 99, 232116 (2011). [4] T. R. McGuire, M. W. Shafer, R. J. Joenk, H. A. Alperin, and S. J. Pickart, J. Appl. Phys. [5] [6] [7] [8] 37, 981 (1966). T. Katsufuji, and Y. Tokura, Phys. Rev. B 60, R15021 (1999). K. S. Takahashi, M. Onoda, M. Kawasaki, N. Nagaosa, and Y. Tokura, Phys. Rev. Lett. 103, 057204 (2009). F. D. M. Haldane, Phys. Rev. Lett. 93, 206602 (2004). Z. Fang, N. Nagaosa, K. S. Takahashi, A. Asamitsu, R. Mathieu, T. Ogasawara, H. Yamada, M. Kawasaki, Y. Tokura, and K. Terakura, Science 302, 92 (2003). [9] X. J. Wang, J. R. Yates, I. Souza, and D. Vanderbilt, Phys. Rev. B 74, 195118 (2006). [10] H. Chen, Q. Niu, and A. H. MacDonald, Phys. Rev. Lett. 112, 017205 (2014). [11] J. Kubler, and C. Felser, EPL 108, 67001 (2014). [12] S. Nakatsuji, N. Kiyohara, and T. Higo, Nature 527, 212 (2015). [13] K. Ahadi, L. Galletti, and S. Stemmer, Appl. Phys. Lett. 111, 172403 (2017). [14] Y. Taguchi, Y. Oohara, H. Yoshizawa, N. Nagaosa, and Y. Tokura, Science 291, 2573 (2001). [15] P. Bruno, V. K. Dugaev, and M. Taillefumier, Phys. Rev. Lett. 93, 096806 (2004). 9 [16] See Supplementary Information [link to be inserted by publisher] for sheet resistances and Hall carrier densities as a function of temperature, data as a function of magnetic field orientation, analysis of the temperature coefficient of the electrical resistance, and density functional calculations of the band structure. [17] P. Delugas, A. Filippetti, V. Fiorentini, D. I. Bilc, D. Fontaine, and P. Ghosez, Phys. Rev. Lett. 106, 166807 (2011). [18] G. Khalsa, and A. H. MacDonald, Phys. Rev. B 86, 125121 (2012). [19] S. Y. Park, and A. J. Millis, Phys. Rev. B 87, 205145 (2013). 10 Figure Captions Figure 1: (a) Temperature dependence of Rs of EuTiO3(60 nm) with and without of a 10 u.c. SmTiO3 cap layer, respectively. The inset shows the low temperature upturn in Rs for the heterostructure. (b) Temperature dependence of the Hall carrier density of the SmTiO3/EuTiO3 heterostructure. Figure 2: Magnetization of the SmTiO3/EuTiO3 heterostructure with in-plane applied magnetic field measured under field cooling (100 Oe). (b) Magnetization as a function of the in-plane applied field at 2 K. Figure 3: (a) Longitudinal magnetoresistance (Rxx) of the SmTiO3/EuTiO3 heterostructure at different temperatures. (b) THE and AHE contributions to the Hall resistance (Rxy), obtained by subtracting the ordinary Hall effect, at different temperatures. (c) Applied out-of-plane magnetic field dependence of the derivative of the longitudinal resistance with respect to the magnetic field at 2K. 11 (a) (b) (a) (b) (a) (b) (c)
1710.09163
1
1710
2017-10-25T11:04:25
Collective spin waves in arrays of Permalloy nanowires with single-side periodically modulated width
[ "cond-mat.mes-hall" ]
We have experimentally and numerically investigated the dispersion of collective spin waves prop-agating through arrays of longitudinally magnetized nanowires with periodically modulated width. Two nanowire arrays with single-side modulation and different periodicity of modulation were studied and compared to the nanowires with homogeneous width. The spin-wave dispersion, meas-ured up to the third Brillouin zone of the reciprocal space, revealed the presence of two dispersive modes for the width-modulated NWs, whose amplitude of magnonic band depends on the modula-tion periodicity, and a set of nondispersive modes at higher frequency. These findings are different from those observed in homogeneous width NWs where only the lowest mode exhibits sizeable dis-persion. The measured spin-wave dispersion has been satisfactorily reproduced by means of dynam-ical matrix method. Results presented in this work are important in view of the possible realization of frequency tunable magnonic device.
cond-mat.mes-hall
cond-mat
Collective spin waves in arrays of Permalloy nanowires with single-side periodically modu- lated width G. Gubbiotti,1 L.L. Xiong,2 F. Montoncello3 and A.O. Adeyeye2 1Istituto Officina dei Materiali del CNR (CNR-IOM), Sede Secondaria di Perugia, c/o Dipartimento di Fisica e Geologia, Università di Perugia, I-06123 Perugia, Italy 2Information Storage Materials Laboratory, Department of Electrical and Computer Engineering, National University of Singapore, 117576 Singapore. 3 Dipartimento di Fisica e Scienze della Terra, Università di Ferrara, Via G. Saragat 1, I-44122 Ferrara, Italy ABSTRACT We have experimentally and numerically investigated the dispersion of collective spin waves propa- gating through arrays of longitudinally magnetized nanowires with periodically modulated width. Two nanowire arrays with single-side modulation and different periodicity of modulation were stud- ied and compared to the nanowires with homogeneous width. The spin-wave dispersion, measured up to the third Brillouin zone of the reciprocal space, revealed the presence of two dispersive modes for the width-modulated NWs, whose amplitude of magnonic band depends on the modulation peri- odicity, and a set of nondispersive modes at higher frequency. These findings are different from those observed in homogeneous width NWs where only the lowest mode exhibits sizeable dispersion. The measured spin-wave dispersion has been satisfactorily reproduced by means of dynamical matrix method. Results presented in this work are important in view of the possible realization of frequency tunable magnonic device. 1 The experimental evidence that dense arrays of parallel ferromagnetic nanowires (NWs) magnetized along their length and separated by air gaps support the propagation of spin waves (SWs) along the periodicity direction, [1] dates to more than ten years ago. From that time, arrays of longitudinally magnetized NWs represented a model system for investigating the collective SWs in dipolarly cou- pled magnetic elements. In this configuration, the complexity associated to the inhomogeneity of the internal magnetic field are not present, and the SW spectrum is relatively simple: there are few modes whose frequency oscillates in certain frequency range (magnonic bands) separated by frequency re- gions where propagation is not allowed (band gaps). [10,11,12] In most of the cases, the lowest fre- quency mode, only, presents a significant dispersion and can be used for carrying and processing information in magnonic devices. [2] Later on, several types of NW arrays have been investigated, including NWs with: magnetization- modulation,[3,4] asymmetrically sawtooth-shaped notched,[5] alternated width,[6,7] bend sec- tions,[8,9] localized ion implantation,[10] two alternating ferromagnetic material [11,12] and layered structure where two ferromagnetic layers are either exchange [13,14,15] or dipolarly coupled. [16] More in detail, micromagnetic and experimental studies on width-modulated NWs were exclusively performed to understand the SW propagation properties along their length and how it is affected by the profile of the width modulation. [17,18,19,20] Despite the large number of studies, the experimental evidence of effect of modulation on the disper- sion of SWs propagating perpendicular to the NW length is still lacking in the literature. In this letter, we have investigated by Brillouin light scattering spectroscopy the dispersion of collec- tive SWs in dense arrays of Ni80Fe20 (Permalloy, Py) single-side width modulated NWs with different modulation periodicity and results are compare with those obtained for homogeneous NWs without any width modulation. The SW dispersion was studied by BLS over three Brillouin zones (BZs) of the reciprocal space by sweeping the wave vector parallel to the direction of the array period (per- pendicularly to the NWs length). It has been found that in width-modulated NWs two dispersive modes are detected in the lowest frequency range of the spectrum whose amplitude of oscillation depends on the modulation periodicity. Periodic Permalloy NW arrays with fixed width w=350 nm were fabricated over an area of 4x4 mm2 on top of an oxidized silicon substrate using deep ultraviolet (DUV) lithography at 193-nm exposure wavelength leading to resist NW arrays. [21]The width modulation is along the right edge of the NW with modulation periodicity p=1000 nm (NWSM-1000) and p=500 nm (NWSM-500). The intrusion has triangular shape with rounded corners and depth fixed at 90 nm. In the narrowest (widest) NW region, the width is w=260 nm (350 nm) and consequently the interwire distance is d=210 nm (120 nm). The array periodicity is a=w+d= 470 nm, resulting in the edge of the first BZ located at π/a = 0.66 × 107 2 rad × m-1. An array of NWs with homogeneous (NWNM) width w=350 nm and d=120nm is also fab- ricated and used as reference sample. Scanning electron microscope (SEM) images of the homoge- neous width NW and of the arrays with different modulation periodicity are shown in Fig. 1. Hyste- resis loops, measured by magneto-optic Kerr effect (MOKE) in the longitudinal configuration and with the magnetic field applied along the NW length, have a squared shape with 100% remanence and with increasing coercivity passing from 132 Oe (NWNM) to 165 Oe for (NWSM-1000) and finally to 242 Oe (NWSM-500). NWNM (b) NWSM-1000 (c) NWSM-500 (a) H k (d) 1.0 0.5 0.0 -0.5 -1.0 (e) 1.0 0.5 0.0 -0.5 -1.0 s M M / NWNM (f) 1.0 0.5 0.0 -0.5 -1.0 s / M M NWSM-1000 NWSM-500 -0.5 -0.4 -0.3 -0.2 -0.1 0.0 0.1 0.2 0.3 0.4 0.5 -0.5 -0.4 -0.3 -0.2 -0.1 0.0 0.1 0.2 0.3 0.4 0.5 -0.5 -0.4 -0.3 -0.2 -0.1 0.0 0.1 0.2 0.3 0.4 0.5 s M M / Fig. 1 (a) SEM images of the investigated NWs arrays without (NWNM) and with (b,c) width modu- H (kOe) H (kOe) H (kOe) lation with periodicity (p) along their length of (b) 1000 nm (NWSM-1000) and (c) 500 nm (NWSM-500). Inset of panel (a) shows the direction of the applied magnetic field (H) and of the wave vector (k) while insets of panels (b) and (c) show a magnification of the width modulated NWs and report the typical dimensions of the notch. Panels (d,e and f) show the measured MOKE loops for the three investigated NW arrays. A monochromatic laser beam of wavelength = 532 nm is focused on the sample surface and the backscattered light is analyzed in frequency by using a (3+3)-tandem Sandercock-type Fabry–Pérot interferometer.[22] An external magnetic field H=500 Oe is applied along the NWs length, which ensures the NW saturation, see Fig. 1, and the wave vector k was swept along the array periodicity direction, perpendicularly to the magnetic field direction in the range between 0 and 2105 rad/cm, which corresponds to map the SW dispersion up to the third BZ of the reciprocal space. The k-vector 3 magnitude is selected by changing the incidence angle of light () upon the sample according to the relation k= (4/)sin( The dynamical matrix method (DMM),[24] was used to calculate both the frequencies and the spatial profiles of all magnetic modes as a function of the Bloch wavevector. [25,26,27] The equilibrium magnetization configuration of the NWs has been calculated at H=500 Oe by using the OOMMF micromagnetic code with periodic boundary conditions [28]: for each sample, the prim- itive periodic cell was discretized by micromagnetic cells of 5530 nm3. The magnetic parameters were used: saturation magnetization Ms =750 G, gyromagnetic ratio () 2.95 GHz/kOe and ex- change stiffness constant A= 1.010-6 erg/cm. Then, DMM computed the full set of modes at each wavevector value within Y direction of the Brillouin zone, with steps of 0.1 (2/a). The solutions 𝛿𝒎 found by the DMM are written as Bloch waves: 𝛿𝒎𝒌 = 𝛿𝒎𝒌(cid:3558) 𝑒(cid:3036)𝒌∙𝒓, where 𝛿𝒎𝒌(cid:3558) is the cell function, which has the periodicity of the array, and k is the wavevector in the Brillouin zone. In the following, we will plot the real z-component of 𝛿𝒎𝒌(cid:3558) for k=0 (), which is mainly responsible for the BLS cross section. [29] Fig. 2 presents the measured BLS spectra for the three samples investigated at the center (-point, k=0) and the boundary (Y-point, k=/a) of the first BZ. At k=0, only one mode (f1) is visible for the homogeneous width NWs (NWNM) while a doublet of peaks is observed for the width-modulated NWs (f1 and 𝑓(cid:2869) while for the NWSM-500 the lowest frequency peak is less intense than the higher frequency one. (cid:4593)). Remarkably for the NWSM-1000 array, these two peaks have comparable intensity Moreover, the intensity asymmetry between the Stokes and anti-Stokes side of the spectra increases passing from k=0 to k= /a as already discussed for both continuous films [30] and patterned struc- tures.[29] In addition, either at k=0 or at k=/a, the frequency difference between these two modes (f1-𝑓(cid:2869) creases from 1.1 GHz to 2.2 GHz when one considers the NWSM-1000 and the NWSM-500 array, respec- (cid:4593)) in- tively. This difference is mainly due to the significant variation of the lowest frequency mode (f1) on the p while the frequency (𝑓(cid:2869) For k=/a, other peaks appear in the measured BLS spectra at higher frequency with respect to those (cid:4593)) is almost insensitive on this parameter. observed for k=0 because of their non-vanishing cross-section at finite k-values. [27] It is noteworthy that the intensity ratio between the f1 and 𝑓(cid:2869) 500 it remains almost constant. (cid:4593) peaks changes for the NWSM-1000 array while for NWSM- The frequency increase of all the peaks is clearly visible passing from the center to the boundary of the BZ. This significant frequency variation is the fingerprint that collective SWs of Bloch type are propagating through the NWs array. [1,12] 4 Fig. 2 BLS spectra measured at the center (-point, i. e. k=0) and at the boundary (Y-point, i.e. k=/a) of the first BZ for the three NWs investigated. The magnetic field H=500 Oe is applied along the (cid:4593) NWs length (easy magnetization direction). Peaks are identified with the labels f1, 𝑓(cid:2869) Stokes side of the measured spectra. The vertical dotted lines, centered on the frequency position (9.8 , f2… put on the GHz) of the f1 peak measured for k=0 in the NWNM array, are used as a guide to the eye. The evolution of the frequency as a function of the k-vector for these peaks is plotted in Fig. 3 together with the DMM calculated dispersion. For the three NW arrays investigated, the dispersion is periodic with the appearance of BZs determined by the artificial periodicity of the NW array. Remarkably, the most intense modes, located in the lowest frequency part of the spectra are those exhibiting the largest amplitude of frequency oscillation. In all the cases, the experimental data are well reproduced by DMM calculations. Slight disagreement is primarily ascribed to the fact that we did not perform a real best-fit procedure of the experimental data to the calculated curves and that the same set of mag- netic parameters is used to reproduce the dispersion of all the investigated arrays. 5 (a) ) z H G ( y c n e u q e r F /a /a 14 f3 13 12 11 10 9 8 f2 f1 NWNM 0.0 0.2 0.4 0.6 0.8 1.0 1.2 1.4 1.6 1.8 2.0 k (105 rad/cm) Fig. 3 Measured (points) and calculated (lines) frequency dispersion for homogeneous NWs width (a) and width modulated NWs with (b) 1000nm and (c) 500nm periodicity. The magnetic field H=500 Oe is applied along the length of NWs (easy magnetization direction). The vertical dashed lines mark the edge of the first BZ (π/a) and the center of the second BZ (2π/a). For the NWNM only the lowest frequency mode (f1) exhibits a sizeable dispersion with magnonic band width of about 1 GHz while the higher frequency modes (f2 and f3) are almost dispersionless. The principal consequence of the one side width-modulation in the NWSM-1000 is the appearance of a sec- ond dispersive mode (𝑓(cid:2869) (NWNM). This additional mode (𝑓(cid:2869) cillation amplitude with respect to mode f1. (cid:4593)) when compared to the results obtained for the homogeneous width NWs (cid:4593)) has higher frequency than f1 and has comparable frequency os- On the contrary for the NWSM-500 array the lowest frequency mode (f1) has negligible oscillation am- plitude while the dispersion of the 𝑓(cid:2869) center frequency and amplitude of the magnonic band. In addition, the mode f2 appears at a slight (cid:4593) mode is very similar to that observed in NWSM-1000 both in the smaller frequency (about 0.5 GHz) than the corresponding mode observed in the NWSM-1000 array. These results show that depending on the width-modulation periodicity (p) one can have a different number of propagative SWs with different frequency position and the width of the magnonic band. Examination of the mode spatial profiles presented in Fig. 4 for the homogeneous NW width (NWNM) reveal that they are the standard ones which are homogeneously distributed along the NWs length. At low frequency, the F mode has a quasi-uniform profile of the dynamic magnetization across the NW width (undulation in Fig. 4 is a numerical artifact) while the 1DE (Damon-Eshbach) and 2DE modes, exhibiting one and two nodal planes along the applied field direction, respectively, are ob- served at higher frequency. The F mode creates the largest dynamic dipolar field which efficiently couples a NW with its neighbors, resulting in a sizeable SW frequency dispersion for this mode. 6 F F-loc 1DE 2DE NWNM NWSM-1000 NWSM-500 Fig. 4 Calculated spatial profiles (out-of-plane dynamic magnetization) of the principal modes de- tected by BLS for homogeneous with NWs (NWNM) and single-side modulated NWs. The magnetic field H=500 Oe is applied along the length of NWs (easy magnetization direction). Red (white) re- gions correspond to maxima (minima) of the calculated effective magnetic field (Heff) for width-mod- ulated NWs. For the NW with homogeneous width Heff is uniform (not shown). The profiles of the modes are plotted in order of increasing frequency from left to right panels. For the width-modulated NWs, an additional mode localized in the narrowest region of the NW, where the notch is present, is observed. For this reason, it is labelled as Fundamental-localized (F- loc) mode and can be considered as the quasi-uniform mode of the narrowest NW portion. The origin of this mode can be explained by inspection of the spatial profile, shown in Fig. 4, of the effective internal magnetic field Heff defined as the sum of the external, demagnetizing and exchange magnetic fields. For the NWNM, Heff is uniform over the whole NW and this allows the existence of a mode 7 with quasi-uniform (F) spatial profile. However, the introduction of the width-modulation forces the magnetization to curl, following the NW boundaries, and to misalign with respect H: in the widest NW portion the demagnetizing field is larger, and hence the Heff is lower (white/lighter areas in Fig. 4). As a consequence, Heff is characterized by alternating maxima and minima regions, representing "potential wells" for the SWs where the ideal fundamental mode of the homogeneous width NW, splits into two modes localized within regions with different Heff and consequently different frequen- cies. We also notice that, for the NWSM-1000, the F mode is much more uniform than that of the NWSM-500 which exhibits pronounced amplitude oscillations and thus resulting in a smaller average dynamic magnetization which reduced the dipole stray field creates by this mode outside the NW itself. This corresponds to a reduction of the mode coupling through the array with a consequent reduction of the magnonic band amplitude which is clearly visible in Fig. 3. In another paper, [31] two distinct resonances were observed by broadband ferromagnetic resonance in isolated (not interacting) width-modulated NWs in contrast with the single mode observed in the homogeneous width NWs. This study was limited to detect magnetization dynamics at the center of the first Brillouin zone (BZ) i.e., at a wave vector k = 0. Finally, for all the NWs investigated, the 1DE (f3) mode is detected with a frequency which decreases passing from the homogeneous NWs to the width-modulated NWs with increasing p due to the non- uniform demagnetizing field while the 2DE (f4) mode is only observed for the width-modulated NWs in the investigated frequency range (up to 14 GHz). In this work, we have studied the SWs dispersion for arrays of closely spaced width-modulated nan- owires and investigated its dependence on the width-modulation periodicity. For width-modulated nanowires with periodicity of p=1000 nm, two dispersive modes are observed in the lowest frequency range of the spectrum while for p=500 nm the lowest mode is almost dispersionless and the mode at higher frequency shows a significant amplitude of the magnonic band. These two modes have quasi- uniform spatial profiles and are localized into the widest and narrowest portions of the NWs. The reported results are important in view of tuning the band structure in one-dimensional magnonic crys- tal with multi-modal frequency transmission characteristics. A.O.A. was supported by the National Research Foundation, Prime Minister's Office, Singapore un- der its Competitive Research Programme (CRP Award No. NRFCRP 10-2012-03). 8 REFERENCES 1 G. Gubbiotti, S. Tacchi, G. Carlotti, N. Singh, S. Goolaup, A. O. Adeyeye, and M. Kostylev, Appl. Phys. Lett. 90, 092503 (2007). 2 A. V. Chumak, V. I. Vasyuchka, A. A. Serga, and B. Hillebrands, Nature Physics 11, 453 (2015). 3 Zhi-xiong Li, Meng-ningWang, Yao-zhuang Nie, Dao-weiWang, Qing-linXia, Wei Tang, Zhong-ming Zeng, and Guang-hua Guo, J. Magn. Magn. Mater. 414, 49 (2016). 4 B. Van de Wiele, S. J. Hämäläinen, P. Baláž, F. Montoncello, and S. van Dijken, Scientific Reports 6, 21330 (2016). 5 H.-G. Piao, J.-H. Shim, M. Yang, L. Pan, and D.-H. Kim, IEEE Trans. on Magn. 51, 7100706 (2015). 6 Ki-Suk Lee, Dong-Soo Han, and Sang-Koog Kim, Phys. Rev. Lett. 102, 127202 (2009). 7 Q. Wang, H. Zhang, G. Ma, Y. Liao, Y. Zheng, and Z. Zhong, J. Appl. Phys. 115, 133906 (2014). 8 V. S. Tkachenko, A. N. Kuchko, M. Dvornik, and V. V. Kruglyak, Appl. Phys. Lett. 101, 152402 (2012). 9 X. Xing, Y. Yu, S. Li, and X. Huang, Sci. Rep. 3, 2958 (2013). 10 B. Obry, P. Pirro, T. Bracher, A. V. Chumak, J. Osten, F. Ciubotaru, A. A. Serga, J. Fassbender, and B. Hillebrands, Appl. Phys. Lett. 102, 202403 (2013). 11 Z. K. Wang, V. L. Zhang, H. S. Lim, S. C. Ng, M. H. Kuok, S. Jain, and A. O. Adeyeye, Appl. Phys. Lett. 94, 083112 (2009). 12 V. L. Zhang, H. S. Lim, C. S. Lin, Z. K. Wang, S. C. Ng, M. H. Kuok, S. Jain, A. O. Adeyeye, and M. G. Cottam, Appl. Phys. Lett. 99, 143118 (2011). 13 R. Silvani, M. Kostylev, A. O. Adeyeye, and G. Gubbiotti, J. Magn. Magn. Mater., DOI:10.1016/j.jmmm.2017.03.046. 14 G. Gubbiotti, S. Tacchi, M. Madami, G. Carlotti, Z. Yang, J. Ding, A. O. Adeyeye, and M. Kostylev, Phys. Rev. B 93, 184411 (2016). 15 G. Gubbiotti, R. Silvani, S. Tacchi, M. Madami, G. Carlotti, Z. Yang, A. O. Adeyeye, and M. Kostylev, J. Phys D: Appl. Phys. 50, 105002 (2017). 16 G. Gubbiotti, M. Kostylev, N. Sergeev, M. Conti, G. Carlotti, T. Ono, A. N. Slavin, and A. Stashkevich, Phys. Rev. B 70, 224422 (2004). 17 A. V. Chumak, P. Pirro, A. A. Serga, M. P. Kostylev, R. L. Stamps, H. Schultheiss, K. Vogt, S. J. Hermsdoerfer, B. Laegel, P. A. Beck, and B. Hillebrands, Appl. Phys. Lett. 95, 262508 (2009). 18 S. E. Sheshukova, E. N. Beginin, A. V. Sadovnikov, Y. P. Sharaevsky, and S. A. Nikitov, IEEE Magnetic Lett. 5, 3700204 (2014). 19 C. Banerjee, S. Choudhury, J. Sinha, and A. Barman, Phys. Rev. B 8, 014036 (2017). 20 F. Ciubotaru, A. V. Chumak, N. Yu Grigoryeva, A. A. Serga, and B Hillebrands, J. Phys. D: Appl. Phys. 45, 255002 (2012). 21 N. Singh, S. Goolaup, and A. O. Adeyeye, Nanotechnology 15, 1539 (2004). 22 J. R. Sandercock, in Light Scattering in Solids III, edited by M. Cardona and G. Guntherodt, Springer Series in Topics in Applied Physics Vol. 51, Springer-Verlag, Berlin, (1982), p. 173. 23 M. Madami, G. Gubbiotti, S. Tacchi, and G. Carlotti, in Solid State Physics, edited by Robert E. Camley and Robert L. Stamps (Academic Press, Burlington, MA, 2012), Vol. 63, pp. 79–150. 24 L. Giovannini, F. Montoncello, and F. Nizzoli, Phys. Rev. B 75, 024416 (2007). 25 O. Dmytriiev, M. Dvornik, R.V. Mikhaylovskiy, M. Franchin, H. Fangohr, L. Giovannini, F. Montoncello, D.V. Berkov, E.K. Semenova, N.L. Gorn, A. Prabhakar, and V.V. Kuglyak, Phys. Rev. B 86, 104405 (2012). 26 F. Montoncello, S. Tacchi, L. Giovannini, M. Madami, G. Gubbiotti, G. Carlotti, E. Sirotkin, E. Ahmad, F. Y. Ogrin, and V. V. Kruglyak, Appl. Phys. Lett. 102, 202411 (2013). 27 G. Gubbiotti, F. Montoncello, S. Tacchi, M. Madami, G. Carlotti, L. Giovannini, J. Ding, and A. O. Adeyeye, Appl. Phys. Lett. 106, 262406 (2015). 28 M. J. Donahue and D. G. Porter, OOMMF User's Guide, Version 1.0, Interagency Report NISTIR 6376, National Institute of Standards and Technology, Gaithersburg, MD (September 1999), see http://math.nist.gov/oommf. 29 G. Gubbiotti, G. Carlotti, T. Okuno, M. Grimsditch, L. Giovannini, F. Montoncello, and F. Nizzoli, Phys. Rev. B 72, 184419 (2005). 30 R. E. Camley, P. Grunberg and C. M. Mayr, Phys. Rev. B 26, 2609 (1982). 31 L. L. Xiong, and A. O. Adeyeye, Appl. Phys. Lett. 108, 262401 (2016). 9
1610.07613
1
1610
2016-10-24T20:00:02
Observation of a Nematic Quantum Hall Liquid on the Surface of Bismuth
[ "cond-mat.mes-hall" ]
Nematic quantum fluids with wavefunctions that break the underlying crystalline symmetry can form in interacting electronic systems. We examine the quantum Hall states that arise in high magnetic fields from anisotropic hole pockets on the Bi(111) surface. Spectroscopy performed with a scanning tunneling microscope shows that a combination of local strain and many-body Coulomb interactions lift the six-fold Landau level (LL) degeneracy to form three valley-polarized quantum Hall states. We image the resulting anisotropic LL wavefunctions and show that they have a different orientation for each broken-symmetry state. The wavefunctions correspond precisely to those expected from pairs of hole valleys and provide a direct spatial signature of a nematic electronic phase.
cond-mat.mes-hall
cond-mat
Title: Observation of a Nematic Quantum Hall Liquid on the Surface of Bismuth Authors: Benjamin E. Feldman1†, Mallika T. Randeria1†, András Gyenis1†, Fengcheng Wu2, Huiwen Ji3, R. J. Cava3, Allan H. MacDonald2, and Ali Yazdani1* Affiliations: 1Joseph Henry Laboratories & Department of Physics, Princeton University, Princeton, NJ 08544, USA 2Department of Physics, The University of Texas at Austin, Austin, TX 78712, USA 3Department of Chemistry, Princeton University, Princeton, NJ 08544, USA †These authors contributed equally to this work. *Correspondence to: [email protected] Abstract: Nematic quantum fluids with wavefunctions that break the underlying crystalline symmetry can form in interacting electronic systems. We examine the quantum Hall states that arise in high magnetic fields from anisotropic hole pockets on the Bi(111) surface. Spectroscopy performed with a scanning tunneling microscope shows that a combination of single-particle effects and many-body Coulomb interactions lift the six-fold Landau level (LL) degeneracy to form three valley-polarized quantum Hall states. We image the resulting anisotropic LL wavefunctions and show that they have a different orientation for each broken-symmetry state. The wavefunctions correspond to those expected from pairs of hole valleys and provide a direct spatial signature of a nematic electronic phase. Main text: Nematic electronic states represent an intriguing class of broken-symmetry phases that can spontaneously form as a result of electronic correlations (1, 2). They are characterized by reduced rotational symmetry relative to the underlying crystal lattice and have attracted considerable interest in systems such as two-dimensional electron gases (2DEGs) (3-5), strontium ruthenate (6), and high-temperature superconductors (7-12). The sensitivity of electronic nematic phases to disorder results in short range ordering and domains, making them difficult to study using global measurements that average over microscopic configurations. The effect of perturbations, such as crystalline strain, may be used to show a propensity for nematic order, i.e. to provide evidence that vestiges of nematic behavior survive even in the presence of material imperfections (1). However, it is difficult to quantitatively correlate the experimental evidence of ordering with a microscopic description of the electronic states and the interactions responsible for nematic behavior. To put the study of nematic electronic phases on more quantitative ground, it is therefore important not only to perform local measurements, but also to find a material system for which theory can fully characterize the underlying broken-symmetry states and the electronic interactions. Multi-valley 2DEGs with anisotropic band structure have been anticipated as a model platform to explore nematic order in the quantum Hall regime (13-17). The key idea is that Coulomb interactions can spontaneously lift the valley degeneracy in materials with low disorder and thereby break rotational symmetry. In contrast to previously studied metallic nematic phases, this leads to a gapped nematic state with quantized Hall conductance. We examine such a 2DEG on the surface of single crystals of bismuth (Bi), which is one of the cleanest electronic systems, with a bulk mean free path reaching 1 mm at low temperatures (18). Interest in Bi has recently been rekindled by bulk measurements showing phase transitions and anisotropic behavior at large magnetic fields, which may be related to nematic electronic phenomena (19-22). We focus here on the (111) surface of Bi, for which strong Rashba spin-orbit coupling results in a rich 2DEG consisting of spin-split surface states that produce multiple electron and hole pockets (23, 24). Scanning tunneling microscope (STM) images (Fig. 1A) show that the in situ cleaved Bi(111) surface has large (> 200 nm x 200 nm) atomically ordered terraces that are separated by steps oriented along high-symmetry crystallographic directions (25). Angle-resolved photoemission spectroscopy (ARPES) measurements (23, 24, 26, 27) of this surface show that its Fermi surface consists of a hexagonal electron pocket at the Γ point, three additional elongated electron pockets around the M points, and six anisotropic hole pockets along the Γ-M directions (Fig. 1B, inset). The multiply degenerate anisotropic valleys and the low disorder of the Bi(111) surface make it an ideal system to search for nematic electronic behavior using the STM. In the absence of magnetic field, spectroscopic measurements of the Bi(111) surface with the STM (Fig. 1B) show features in the tunneling conductance G that are related to van Hove singularities of the density of states (DOS), such as the sharp peak at energy E = 220 meV and the abrupt drop at 33 meV. These features correspond to the upper band edges of the surface states along the Γ-M direction (25, 28, 29). In the presence of a large magnetic field B, the electron and hole states of the Bi(111) surface are quantized into Landau levels (LLs), each with degeneracy geB/h, where e is the electron charge, h is Planck's constant, and g accounts for the degeneracy arising from the valley degree of freedom (g = 6 for holes). At high magnetic field, the STM spectra show a series of sharp peaks (Fig. 1B) whose evolution with magnetic field can be used to distinguish between electron- and hole-like LLs, which disperse in energy with positive or negative slopes, respectively, as a function of magnetic field (Figs. 1C and 1D). They do not exhibit avoided crossings, and the total conductance is additive when they cross, which suggests independent tunneling into each LL. LL spectroscopy on thin Bi(111) films was recently reported (28), but did not show evidence of symmetry breaking, which is the focus of our work. Our first key observation is that the surface state LLs do not disperse linearly with magnetic field. Instead, they are pinned to the Fermi level until they are fully occupied, as is clearly shown for the hole states in Fig. 1D. Such behavior is rarely observed in LL spectroscopy of ungated samples performed using a STM (30), and it indicates that the surface charge density is held constant in our system. Electron LLs exhibit pinning only when there are no proximal hole states, whereas they otherwise cross straight through the hole LLs at the Fermi level. This difference in behavior signals an intriguing competition between electron- and hole-like states in a magnetic field, and suggests charge rearrangement between pockets (29). We focus below on the hole states, for which the orbital index Nh is straightforward to assign, with the highest- energy peak corresponding to Nh = 0 closely matched to the zero-field drop in conductance at 33 meV. Using the values of the field and filling factor at which LLs cross the Fermi level, we determine the hole surface density to be p ≈ 7.1x1012 cm-2 (29) High-resolution spectroscopic measurements provide the first indication that both single- particle effects and electron-electron interactions break the six-fold symmetry of the hole LLs. Evidence of symmetry breaking can be seen in Fig. 1E, which shows the field evolution of the conductance spectra in one region of the sample where the Nh = 3,4,5 LLs are each split into two peaks with different amplitudes-indicating a lifting of the six-fold valley degeneracy of each level to form two- and four-fold degenerate LLs. The fact that the splitting (characterized by a gap Δstrain) occurs away from the Fermi level indicates that it is a single-particle effect. The very weak dependence of Δstrain on magnetic field and orbital index and the fact that we observe different magnitude gaps in different regions of the sample suggest that local strain underlies this partial symmetry breaking (29). As an illustration of the spatial dependence of this behavior, we show in Fig. 1F a spectroscopic line cut from a region of the sample in which the six-fold degeneracy of the Nh = 3 LL is lifted to produce either two or three broken-symmetry states, depending on location within the sample. Electron-electron interactions further lift the LL degeneracy and are manifested in spectroscopic measurements by the appearance of energy gaps when the LLs cross the Fermi level. Figure 1G shows a high resolution measurement of the Fermi level crossing of the Nh = 4 LL (in the same area as in Fig. 1E), where over a range of 0.5 T, the four-fold degenerate peak develops an exchange energy gap (Δexch = 450 µeV) that is coincident with the Fermi level. Although there are spatial variations in the exact magnitude of the gaps between the broken- symmetry LLs, exchange interactions consistently enhance gaps between LLs that are already split by strain and induce a gap between previously degenerate levels when they cross the Fermi level. The magnitude of the exchange gap is consistent with that estimated theoretically for the hole pockets of Bi(111), and it is not related to an Efros-Shlovskii Coulomb gap (29)These observations demonstrate that a combination of a single particle effect, likely strain, and many- body interactions lift the six-fold valley degeneracy of the hole LL to produce three broken- symmetry states. We perform spectroscopic mapping with the STM to directly visualize the underlying quantum Hall wavefunctions and to demonstrate the breaking of crystalline symmetry in these phases. Conductance maps at energies corresponding to each of the three broken-symmetry hole LLs show anisotropic ellipse-like features that point along high-symmetry crystal axes, with relative angles rotated by 120° with respect to each other (Figs. 2A-D). The elliptical features are centered on atomic scale surface defects, and the same defects produce rings in all three directions. This suggests that ellipse orientation is not associated with symmetry breaking from the defect itself, which is further confirmed by atomic resolution topographs (29). As we show below, the three different directionalities arise from cyclotron orbits in pairs of hole valleys that are elongated in the same direction. More importantly, such spatially resolved measurements enable us to directly visualize the spontaneous breaking of the LL degeneracy by electron- electron interactions. By tuning the magnetic field to adjust the occupancy of two of the three broken symmetry states, we can contrast spatial maps of the LLs with and without exchange splitting. The measurements in Figs. 2E-G, obtained in the same region as those in Figs. 2A-D, show that the elliptical features in the conductance maps can occur as a superposition of two different orientations, indicating that the symmetry between these two orientations is not broken in the absence of an exchange gap. Contrasting Fig. 2F with Figs. 2B and 2C clearly shows that unidirectional elliptical features emerge as the exchange gap opens, providing a direct and dramatic manifestation of nematic valley-polarized states on the Bi(111) surface. Another key feature of a nematic electronic phase without long-range order is the presence of domains, which we observe in our system by performing spatially resolved spectroscopy with the STM. We find that the sequence in energy of the three broken symmetry hole LL states can change depending on the location within the sample. An example of this behavior can be seen by contrasting the spectrum and corresponding conductance maps in Figs. 2A-D to those measured about a micron away, shown in Figs. 2H-K. These data reveal that the orientations of the two broken-symmetry states corresponding to the first two peaks in the spectra have switched between the two locations on the Bi surface. Thus, our STM measurements not only show that electron-electron interactions drive nematic behavior, but also illustrate the formation of local nematic domains. We show below that the elliptical features in our STM conductance maps arise from cyclotron orbit wavefunctions of the broken-symmetry quantum Hall phases that are pinned by surface defects. To characterize these features in detail, we study them in an area with few surface defects (box in Fig. 1A), and examine their dependence on orbital index at a constant magnetic field (14 T) around the same defects (circled in Fig. 1A). The conductance maps shown in Figs. 3A-E are obtained at the energies of the strain-induced broken-symmetry LLs for Nh = 0- 4, and they reveal concentric ellipses of suppressed conductance similar to those in Fig. 2, with a consistent orientation for all the orbital indices. As orbital index increases, the size of the outermost ring increases, as does the number of concentric rings of suppressed conductance. Around these same surface defects, we observe approximately circular rings in conductance maps measured at the nearby electron LL peak (Fig. 3F), which further confirms that the defects themselves do not break rotational symmetry. The rings of suppressed conductance for both electron and hole LLs can be understood as a consequence of cyclotron orbits that are shifted in energy because of the sharp potential produced by the atomic surface defects. In the symmetric gauge, the cyclotron orbits of each LL can be labeled by a second orbital quantum number m (31, 32). Only the m = N cyclotron orbit has weight at the defect, so it is the only state whose energy is shifted by the defect potential, which we model as a delta function (29). Without the defect, conductance maps measured at the LL peak would include DOS contributions from all cyclotron orbits and no spatial variation would be expected. However, because the m = N orbit is shifted to a different energy by the defect, it becomes visible as a decreased conductance in the shape of the wavefunction when measurements are performed at the unperturbed LL energy. A theoretical model of cyclotron orbit wavefunctions for the surface states of Bi(111) can be used to capture the elliptical features in the STM conductance maps near indivual defects with excellent accuracy. The anisotropy of the surface state hole pockets is reflected in their cyclotron orbit wavefunction, as exemplified by the m = Nh = 4 state, whose amplitude 2𝜋𝑙𝐵 2𝜑4,4(𝑟⃗) 2 is plotted in Fig. 3G (𝑙𝐵 = √ℏ/𝑒𝐵 is the magnetic length). The number of elliptical features in these wavefunctions increases with orbital index and is a reflection of the spatial oscillations of the m = Nh wavefunction, which is proportional to a Laguerre polynomial with Nh + 1 peaks (29). Using the defects marked in Fig. 1A as the centers of such cyclotron orbits, we simulate the expected conductance pattern by subtracting 2𝜋𝑙𝐵 2 2𝜑𝑁,𝑁(𝑟⃗) from a uniform background (Figs. 3H-K). The similarity with the experimental data in Figs. 3B-E for different Nh states is remarkable, especially given that the only adjustable fit parameter is the anisotropy of the hole pocket effective mass. We extract a ratio of 5 for the hole pocket anisotropy, in good agreement with previous ARPES measurements (23, 26, 27) and calculations (33). Our model also captures the field dependence of the cyclotron orbit size of the Nh = 4 hole LL, as well as that of the electron LLs near the Fermi level. Figure 3L shows the experimentally measured size of the outermost rings for both sets of orbits. They follow the expected 1/√𝐵 or 1/B scaling for hole and electron LLs, respectively, which reflects the dependence of the cyclotron orbit wavefunctions on magnetic length and orbital index (29). Finally, based on the model described above, we anticipate that the suppression we have detected in the conductance maps at the LL peaks should be accompanied by an enhanced conductance relative to the background at other energies. An example of such contrast reversal is shown in Figs. 4A-I, which display conductance maps near an isolated defect over a range of energies within one broken-symmetry Nh = 4 LL peak (Fig. 4J). The maps measured at the LL peak and at higher energies show ellipses of suppressed conductance that correspond to a missing cyclotron orbit, whereas at lower energies, such maps show ellipses of higher conductance that indicate the lower energy to which this orbit has been shifted by the defect potential. This reversal of the contrast is clearly illustrated by the energy-averaged line cuts shown in Fig. 4K, which demonstrate that the cyclotron orbit energy has been lowered by about 300 µV by this particular defect. Examining different defects, we have found evidence for both attractive and repulsive potentials from the contrast reversal in the conductance maps (29). Our measurements are in the clean regime where signatures of isolated cyclotron orbits are visible around individual defects, which should be contrasted with previous studies of DOS modulations from drift states moving along equipotential lines in the disordered limit (34-36). Cyclotron orbits that are shifted in energy by an isolated defect have been explored in graphene (32), and other measurements have indirectly probed the size and shape of cyclotron orbits (36- 38) by examining LL spatial dependence caused by potential modulations. Here we perform direct two-dimensional mapping of isolated cyclotron orbits, which allows us to visualize nematic order on the Bi(111) surface, where the anisotropic hole mass leads to anisotropic cyclotron orbits. The Bi(111) 2DEG represents an interesting venue to explore electron-electron interactions within anisotropic valleys. The ability to bring the lowest hole-like LL to the Fermi level, either by external gating or doping, may allow for direct visualization of fractional quantum Hall states and Wigner crystallization with a STM. In addition, the boundaries between different nematic domains are expected to harbor low-energy edge modes that are analogous to topologically protected states (13). The ability to generate a valley-polarized nematic phase that can be externally tuned with strain make Bi(111) surface states ideally suited for controlled engineering of anisotropic physical properties. The predicted semimetal-to-semiconductor transition with decreasing thickness in bulk Bi (18) means that the transport properties of thin Bi(111) crystals will be dominated by the surface states, yielding further prospects for integration into devices that exploit the unique physical properties reported here. References and Notes: 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. E. Fradkin, S. A. Kivelson, M. J. Lawler, J. P. Eisenstein, A. P. Mackenzie, Nematic Fermi Fluids in Condensed Matter Physics. Annual Review of Condensed Matter Physics, Vol 1 1, 153-178 (2010). S. A. Kivelson, E. Fradkin, V. J. Emery, Electronic liquid-crystal phases of a doped Mott insulator. Nature 393, 550-553 (1998). M. P. Lilly, K. B. Cooper, J. P. Eisenstein, L. N. Pfeiffer, K. W. West, Anisotropic states of two-dimensional electron systems in high Landau levels: Effect of an in-plane magnetic field. Physical Review Letters 83, 824-827 (1999). R. R. Du et al., Strongly anisotropic transport in higher two-dimensional Landau levels. Solid State Communications 109, 389-394 (1999). A. S. Mayorov et al., Interaction-Driven Spectrum Reconstruction in Bilayer Graphene. Science 333, 860-863 (2011). R. A. Borzi et al., Formation of a nematic fluid at high fields in Sr3Ru2O7. Science 315, 214-217 (2007). Y. Ando, K. Segawa, S. Komiya, A. N. Lavrov, Electrical resistivity Anisotropy from self-organized one dimensionality in high-temperature superconductors. Physical Review Letters 88, 137005 (2002). V. Hinkov et al., Electronic liquid crystal state in the high-temperature superconductor YBa2Cu3O6.45. Science 319, 597-600 (2008). J.-H. Chu et al., In-Plane Resistivity Anisotropy in an Underdoped Iron Arsenide Superconductor. Science 329, 824-826 (2010). T. M. Chuang et al., Nematic Electronic Structure in the "Parent" State of the Iron- Based Superconductor Ca(Fe1-xCox)(2)As-2. Science 327, 181-184 (2010). E. P. Rosenthal et al., Visualization of electron nematicity and unidirectional antiferroic fluctuations at high temperatures in NaFeAs. Nature Physics 10, 225-232 (2014). K. Fujita et al., Simultaneous Transitions in Cuprate Momentum-Space Topology and Electronic Symmetry Breaking. Science 344, 612-616 (2014). D. A. Abanin, S. A. Parameswaran, S. A. Kivelson, S. L. Sondhi, Nematic valley ordering in quantum Hall systems. Physical Review B 82, 035428 (2010). 14. 15. 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. 32. 33. 34. 35. 36. A. Kumar, S. A. Parameswaran, S. L. Sondhi, Microscopic theory of a quantum Hall Ising nematic: Domain walls and disorder. Physical Review B 88, 045133 (2013). X. Li, F. Zhang, A. H. MacDonald, SU(3) Quantum Hall Ferromagnetism in SnTe. Physical Review Letters 116, 026803 (2016). Y. P. Shkolnikov, S. Misra, N. C. Bishop, E. P. De Poortere, M. Shayegan, Observation of Quantum Hall ``Valley Skyrmions''. Physical Review Letters 95, 066809 (2005). T. M. Kott, B. Hu, S. H. Brown, B. E. Kane, Valley-degenerate two-dimensional electrons in the lowest Landau level. Physical Review B 89, 041107 (2014). P. Hofmann, The surfaces of bismuth: Structural and electronic properties. Progress in Surface Science 81, 191-245 (2006). L. Li et al., Phase transitions of Dirac electrons in bismuth. Science 321, 547-550 (2008). Z. Zhu, A. Collaudin, B. Fauque, W. Kang, K. Behnia, Field-induced polarization of Dirac valleys in bismuth. Nature Physics 8, 89-94 (2012). R. Kuechler et al., Thermodynamic evidence for valley-dependent density of states in bulk bismuth. Nature Materials 13, 461-465 (2014). A. Collaudin, B. Fauque, Y. Fuseya, W. Kang, K. Behnia, Angle Dependence of the Orbital Magnetoresistance in Bismuth. Physical Review X 5, 021022 (2015). C. R. Ast, H. Hochst, Fermi surface of Bi(111) measured by photoemission spectroscopy. Physical Review Letters 87, 177602 (2001). Y. M. Koroteev et al., Strong spin-orbit splitting on Bi surfaces. Physical Review Letters 93, 046403 (2004). I. K. Drozdov et al., One-dimensional topological edge states of bismuth bilayers. Nature Physics 10, 664-669 (2014). T. Hirahara et al., Role of spin-orbit coupling and hybridization effects in the electronic structure of ultrathin Bi films. Physical Review Letters 97, 146803 (2006). Y. Ohtsubo et al., Giant Anisotropy of Spin-Orbit Splitting at the Bismuth Surface. Physical Review Letters 109, 226404 (2012). H. Du et al., Surface Landau levels and spin states in bismuth (111) ultrathin films. Nature Communications 7, 10814 (2016). See supplementary materials on Science Online. S. Becker et al., Probing Electron-Electron Interaction in Quantum Hall Systems with Scanning Tunneling Spectroscopy. Physical Review Letters 106, 156805 (2011). A. H. MacDonald, Introduction to the Physics of the Quantum Hall Regime. ArXiv, 9410047 (1994). A. Luican-Mayer et al., Screening Charged Impurities and Lifting the Orbital Degeneracy in Graphene by Populating Landau Levels. Physical Review Letters 112, 036804 (2014). K. Saito, H. Sawahata, T. Komine, T. Aono, Tight-binding theory of surface spin states on bismuth thin films. Physical Review B 93, 041301 (2016). K. Hashimoto et al., Quantum Hall Transition in Real Space: From Localized to Extended States. Physical Review Letters 101, 256802 (2008). D. L. Miller et al., Real-space mapping of magnetically quantized graphene states. Nature Physics 6, 811-817 (2010). Y.-S. Fu et al., Imaging the two-component nature of Dirac-Landau levels in the topological surface state of Bi2Se3. Nature Physics 10, 815-819 (2014). 37. 38. 39. 40. 41. 42. 43. K. Hashimoto et al., Robust Nodal Structure of Landau Level Wave Functions Revealed by Fourier Transform Scanning Tunneling Spectroscopy. Physical Review Letters 109, 116805 (2012). Y. Okada et al., Visualizing Landau Levels of Dirac Electrons in a One-Dimensional Potential. Physical Review Letters 109, 166407 (2012). S. Misra et al., Design and performance of an ultra-high vacuum scanning tunneling microscope operating at dilution refrigerator temperatures and high magnetic fields. Review of Scientific Instruments 84, 103903 (2013). Z. Zhu et al., Landau spectrum and twin boundaries of bismuth in the extreme quantum limit. Proceedings of the National Academy of Sciences 109, 14813-14818 (2012). Y. P. Shkolnikov, K. Vakili, E. P. De Poortere, M. Shayegan, Giant low-temperature piezoresistance effect in AlAs two-dimensional electrons. Applied Physics Letters 85, 3766-3768 (2004). A. L. Efros, B. I. Shklovskii, Coulomb gap and low temperature conductivity of disordered systems. Journal of Physics C: Solid State Physics 8, L49 (1975). A. Kumar, S. A. Parameswaran, S. L. Sondhi, Order by disorder and by doping in quantum Hall valley ferromagnets. Physical Review B 93, 014442 (2016). Acknowledgments: We acknowledge helpful discussions with D. A. Abanin, S. A. Kivelson, S. A. Parameswaran, S. L. Sondhi, and A. Yacoby. Work at Princeton has been supported by Gordon and Betty Moore Foundation as part of EPiQS initiative (GBMF4530) and DOE-BES. . This project was also made possible using the facilities at Princeton Nanoscale Microscopy Laboratory supported by grants through, NSF-DMR-1104612, through NSF-MRSEC programs through the Princeton Center for Complex Materials DMR-1420541, LPS and ARO-W911NF-1- 0606, ARO-MURI program W911NF-12-1-0461, and Eric and Wendy Schmidt Transformative Technology Fund at Princeton. BEF acknowledges support from the Dicke fellowship. MTR acknowledges support from the NSF Graduate Research Fellowship Program. FW and AHM were supported by DOE Division of Materials Sciences and Engineering grant DE-FG03- 02ER45958 and by Welch foundation grant F1473. Figure captions Fig. 1. Landau levels (LLs) of the Bi(111) surface states. (A) A typical cleaved Bi(111) surface, with crystallographic axes labeled. The data in Figs. 1E and 1G are an average of spectra measured along the blue line, and the conductance maps in Fig. 3 were performed in the area denoted by the black box. Surface defects are circled in purple, and the inset shows a zoom-in on one defect (inset z height scale: 1.3 Å). (B) Conductance G as a function of energy E at magnetic field B = 0 (blue) and at 14 T (red). The curves are offset (by 0.5) for clarity. At B = 0, the data are taken at temperature T ≈ 4 K. All other data throughout the manuscript are measured at 250 mK. Inset: Diagram of the Bi(111) first Brillouin zone showing the electron (purple) and hole (blue) Fermi pockets of the surface states. (C) Landau fan diagram of G(E, B) that shows crossing electron- and hole-like LLs. The data are averaged over a 20 nm line, with individual spectra showing almost no spatial variation on this energy scale. Select orbital indices Ne and Nh of the respective electron and hole LLs are labeled. (D) Higher energy resolution measurement of G(E, B) that clearly shows Fermi level pinning of each hole LL. (E) High-resolution measurement of G(E, B) in a region where the Nh = 3,4,5 LLs each show splitting into a two-fold degenerate and a four-fold degenerate LL peak. Data are averaged over the blue line in Fig. 1A. (F) Linecut of spectra showing strain-induced splitting of the sixfold degenerate Nh = 3 LL into two or three peaks, depending on position. Numbers in parentheses denote the degeneracy of each broken-symmetry state. (G) Zoom-in on G(E, B) in the same location as in A. The four-fold degenerate peak further splits into two distinct LLs as it crosses the Fermi level, indicating broken symmetry states arising from exchange interactions. Arrows mark Δstrain and Δexch. Fig. 2. Rotational symmetry breaking and local domains of a nematic electronic phase. (A) Average conductance spectrum at 12.9 T, measured over a 100 nm linecut (which exhibits little spatial dependence) near the start of the linecut in Fig. 1F, showing three broken-symmetry hole LLs, two of which are split by exchange interactions at the Fermi level. (B)-(D) Spatial maps of 𝐺/𝐺 at energies corresponding to the three split hole LL peaks. Ellipses of reduced conductance are centered on surface defects, with different orientations at each energy. (E) Average conductance spectrum at 14 T, measured in the same location as in A. The spectrum shows restored symmetry of the exchange-split LLs in A to produce a four-fold degenerate LL. (F) Spatial map of 𝐺/𝐺 at the energy of the four-fold degenerate LL peak which shows ellipses with two orientations. (G) Spatial map of 𝐺/𝐺 at the energy of the two-fold degenerate LL peak that is split from the four-fold degenerate peak by strain, showing the same unidirectional behavior as in D. The spatial maps in panels F and G are measured in the same area as panels B-D. (H) Average conductance spectrum (measured over a 100 nm linecut that exhibits little spatial dependence) at 12.9 T in a location about 1 micron away from the region shown in (A-D). (I)- (K) Spatial maps of 𝐺/𝐺 in the new location at energies corresponding to the three split hole LL peaks. The energetic order of the three directions is different, with the first two orientations switched, demonstrating the presence of domains. For all conductance spectra, the electron LLs are labeled, and the hole LL degeneracy is denoted in parentheses near each peak. Fig. 3. Isolated anisotropic cyclotron orbits and theoretical modeling. (A)-(E) Spatial maps of 𝐺/𝐺 at 14 T in the area denoted by the black box in Fig. 1A, at energies corresponding to the strain-induced broken-symmetry hole LL for orbital indices Nh = 0-4. Isolated anisotropic cyclotron orbits are present around surface defects. (F) Spatial map of 𝐺/𝐺 in the same area at the energy of the Ne = 8 LL, showing circular rings of suppressed conductance that occur around the same surface defects (black arrows). The weak elliptical feature around the lower defect is related to a missing cyclotron orbit from the Nh = 3 LL at a nearby energy. The trapezoidal feature in the background conductance results from the shape of the terrace because the LL visibility is suppressed near step edges. (G) Amplitude 2𝜋𝑙𝐵 2𝜑4,4(𝑟⃗) 2 of the m = Nh = 4 cyclotron orbit wavefunction. (H)-(K) Simulated maps of the expected conductance, 1 − 2𝜋𝑙𝐵 2 2𝜑𝑁,𝑁(𝑟⃗) , with individual cyclotron orbits centered on the surface defects circled in Fig. 1A. The size and shape of the simulated conductance are a good match to the data in panels B-E. (L) Semimajor axis size of the cyclotron orbits for Nh = 4 (blue) and ring size of those from electron LLs near the Fermi level (red) as a function of magnetic field. Dashed lines are fits to the field dependence of the extracted sizes. Fig. 4. Energy shift of the cyclotron orbits. (A)-(I) Spatial maps of 𝐺/𝐺 around an isolated impurity at B = 10 T with energy spaced by 100 µeV throughout one broken-symmetry Nh = 4 LL peak. These maps show the shift to lower energy of the m = N cyclotron orbit. (J) Corresponding conductance spectrum (averaged over a 12 nm x 2.5 nm area centered about 5 nm underneath the defect) marked with colored circles for each mapped energy. (K) Oscillations of 𝐺/𝐺 along the semiminor axis, averaged over 100 and 200 µeV (blue), and 400 and 500 µeV (red), respectively, highlighting the constrast reversal in the maps. Supplementary Materials Materials and Methods Supplementary Text Figs. S1 to S8 Tables S1 and S2 References (39-43) A [101] [110] 0 z (nm) 1.2 B 2 G d e z i l 14 T a m r o 0 T N 1 elec. M hole K 25 nm C 12 ) T ( 8B 4 -100 D 12 ) T ( 8B 0 -100 0 100 E (meV) 0 200 G (nS) 8.5 Nh=1 . . . Nh=0 Ne=12 . . . 0 0 G (nS) 11 Nh=0 100 E (meV) E Nh = 5 11.5 200 0 G (nS) 40 Δstrain Nh=3 Nh = 4 F ) V e m ( E 4 5 4 3 2 1 0 -20 0 E (meV) 0 20 40 G (nS) 50 (2) (4) Nh = 3 12 T (2) (2) (2) 100 200 Distance (nm) 300 ) T ( B 11.0 10.5 10.0 -10 G 11.2 ) T ( B 10.8 10.4 10.0 -3 -5 0 E (meV) 5 Nh = 4 0 G (nS) Δstrain 10 45 Δexch 0 E (meV) 3 A (2) elec. (2) 12.9 T B C 0.3 G/G 1.7 D 4 2 -230 μeV 25 nm 340 μeV 2.74 meV F -2.25 meV 780 μeV I J G K 40 30 20 10 ) S n ( G 0 -2 60 E ) 40 S n ( G 20 elec. (2) 0 2 E (meV) (4) 14.0 T (2) 0 -4 -2 0 E (meV) H elec. (2) 12.9 T (2) (2) 30 20 10 ) S n ( G 0 -2 0 2 E (meV) -400 μeV 4 1.42 meV 3.19 meV 0.4 G/G‾ 1.6 Nh = 4 A Nh = 3 B Nh = 2 C Nh = 1 D Nh = 0 E Ne = 8 -8.8 meV 25 nm -1.17 meV 7.05 meV 16.3 meV 26.3 meV -1.8 meV G H I J K 110 F L slope: -0.57 ± 0.08 ) m n ( e z s g n R i i 25 nm -0.15 2πℓB 2φ2 0.4 0.5 1 - 2πℓB 2φ2 1.4 50 9 slope: -1.11 ± 0.14 Nh = 4 Electron B (T) 14 0.1 G/G‾ 1.9 A 0 μeV B 100 μeV C 200 μeV 10 nm D 300 μeV E 400 μeV F 500 μeV G 600 μeV H 700 μeV I 800 μeV 40 J 30 ) S n ( G 20 10 0 -1 -0.5 0 0.5 E (meV) 1 1.5 100 & 200 μeV average 400 & 500 μeV average K 2.6 2.2 1.8 1.4 1 G‾ G / 0.6 0 5 10 15 Distance (nm) 20 Supplementary Materials for Observation of a Nematic Quantum Hall Liquid on the Surface of Bismuth Benjamin E. Feldman1†, Mallika T. Randeria1†, András Gyenis1†, Fengcheng Wu2, Huiwen Ji3, R. J. Cava3, Allan H. MacDonald2, and Ali Yazdani1* †These authors contributed equally to this work. *correspondence to: [email protected] This PDF file includes: Materials and Methods Supplementary Text Figs. S1 to S8 Tables S1 and S2 References Materials and Methods Single Bi crystals were grown using the Bridgman method from 99.999% pure Bi that had been treated to remove oxygen impurities. The samples were cleaved in ultrahigh vacuum at room temperature and immediately inserted into a home-built dilution refrigerator STM (39) and cooled to cryogenic temperatures. The cleaved Bi(111) surface shows almost no defects at this point, as illustrated by Figs. 1A and 3, and the number of defects is stable at low temperature. Following a thermal cycle to 30 K and back down to 250 mK, a larger number of defects were present, as illustrated by Fig. 2. These are likely hydrogen molecules adsorbed on the surface due to outgassing of the microscope walls. Similar nematic behavior was observed both before and after the thermal cycle. Except where noted, measurements were performed at 250 mK using a W tip. Spectra and conductance maps were acquired using a lock-in amplifier at a frequency of 700.7 Hz and with AC rms excitation Vrms varying from 30 µV to 3 mV. Except where noted, the setpoint voltage was Vset = -400 mV and the setpoint current was Iset = 5 nA. We detail the measurement parameters for each figure below. Fig. 1: Iset = 30 pA for panel A, 100 pA for the zero field data in panel B, and 2 nA for all other measurements. Vrms = 3 mV for the zero field data in panel B, 500 µV for panel C and the 14 T data in panel B, 250 µV for panel D, 100 µV for panel E, 74 µV for panel F, and 30 µV for panel G. Fig. 2: Vrms = 30 µV for panels A, E, and H; Vrms = 74 µV for panels B-D, F, G, and I-K. Fig. 3: Vrms = 74 µV in panels A-F. Fig. 4: Vrms = 74 µV in all panels. Supplementary Text Bi(111) surface state band structure As a reference and for completeness, we plot in Fig. S1 the Bi(111) surface state band structure along the high-symmetry directions (adapted from Ref. 24). There are two spin-orbit split bands, denoted by the purple and blue lines, which give rise to the surface state electron and hole pockets, respectively (Fig. 1B, inset). The top of the higher energy (purple) band along the Γ-M direction gives rise to the sharp peak in zero-field conductance that we observe at 220 meV, while the drop in conductance near 33 meV corresponds to the upper edge of the lower energy (blue) band (Fig. 1B). Electron and hole surface densities, and charge redistribution between pockets The hole density of the Bi(111) surface states can be determined by the magnetic field at which each respective hole LL crosses the Fermi level (Table S1). At high magnetic field, where the LLs are pinned to the Fermi level for an extended field range, we use the midpoint as the crossing point, and take the LL to be half-filled at this point. Strictly speaking, this introduces a small error because filling factor ν and magnetic field are inversely related, so the midpoint in field is not the midpoint in filling factor. Nonetheless, this error is small, especially at low fields where pinning is not observed over an appreciable field range. Each LL crossing provides an independent measure of the total hole density p = νeB/h, and all derived values agree to within 2%, yielding an average of (7.08 ± 0.02)x1012 cm-2. This consistency confirms that the surface hole density is fixed in our system, except for the variations described at the end of this section. In principle, a similar procedure can be used to determine the surface electron density n. However, the electron case is more complicated because LLs could arise from the central hexagonal pocket and/or the three elongated pockets around the M points. Theoretical calculations (26, 33) show that the pockets around the M points are actually surface resonances due to strong hybridization with the bulk, suggesting our surface measurement is most sensitive to the pocket around the Γ point. This is confirmed by the circular electron cyclotron orbits that we observe in Fig. 3F; the oblong pockets around the M points would give rise to anisotropic rings similar to the hole states. We do not observe any LLs from the electron pockets around the M points, so we can only determine the surface electron density arising from the central pocket. The lowest electron LL is not visible in our data, which is likely due to a combination lifetime broadening away from the Fermi level and surface-bulk hybridization caused by overlap of bulk states with the bottom of the surface band that gives rise to the central electron pocket (24). Therefore, we cannot directly determine the orbital index Ne of each LL, and the filling factor needs to be assigned using a different method. We try three different filling factor assignments and calculate the corresponding density for each case according to n = νeB/h (Table S2). Only for the case where the electron LL crossing at 13.1 T corresponds to ν = 9.5 do we find a constant carrier density from the central electron pocket of n = (3.02 ± 0.02)x1012 cm-2. For the cases where filling factor is higher or lower, the derived density either steadily increases or steadily decreases with field, inconsistent with our assumption of constant surface electron density. We therefore take the first case to be the correct assignment. Both this electron density and the derived hole density above are consistent with previous measurements of Bi(111) thin films (28). Although the electron and hole carrier densities that we measure are consistent across a wide field range, we observe temporary charge redistribution between pockets as the electron and hole LLs cross at the Fermi level. The evidence for this comes from the varying behavior of the electron LLs near the Fermi level (Fig. S2). When there are no other states nearby (e.g. around 11.8 T), the electron LL is pinned to the Fermi level over an appreciable field range, indicating that the Fermi level is set by the chemical potential of the electrons. In contrast, when a hole LL is pinned to the Fermi level, the electron LLs cross through it without any sign of pinning. At 10.9 T, the Ne = 11 LL is about 1 mV above the Fermi level and is therefore empty. By 10.7 T, it has already crossed through the Nh = 4 LL to negative energy (-1 mV) and is therefore completely filled. This corresponds to an increase in electron density from 2.90x1012 cm-2 to 3.10x1012 cm-2. In this regime, the Fermi level is primarily controlled by the chemical potential of the hole LL. The difference in behavior signifies an interesting competition between carriers from the electron and hole pockets, and it can be understood by comparing the energetics of electron and hole LLs as a function of magnetic field. As magnetic field is decreased, the energies of the electron LLs decrease. In contrast, the energies of hole LLs increase as magnetic field is lowered (this corresponds to a lower energy for holes, but a higher energy for electrons). Therefore, it is energetically favorable for electrons to preferentially occupy states in the hole pockets at magnetic fields above crossings between electron and hole LLs, whereas it becomes more favorable to occupy states in the electron pockets below the crossing. As magnetic field is decreased through a crossing, charge is transferred from the hole pocket to the electron pocket, effectively increasing both the electron and hole filling factors by one. The amount of charge transfer expected corresponds to one electron LL, or about 2.6x1011 cm-2 at 10.9 T, similar to our observed change in electron density. We remark that the charge transferred reaches 5-10% of the total charge present in the central electron pocket. This is already substantial, and the charge transfer will be even larger at higher magnetic field. To the best of our knowledge, spontaneous charge transfer between electron and hole pockets has never been observed. We note that the behavior described above involves charge transfer between pockets in k- space, and occurs in a spatially localized manner; it does not imply charge transfer within the sample in real space. Our measurements probe this phenomenon locally, and a measurement at a single location does not imply global effects or uniformity, but we have observed similar behavior in multiple positions. Field and orbital dependence of Δstrain and evidence that it is caused by local strain Figure S3A shows the magnitude of Δstrain for Nh = 3-5 derived from Fig. 1E. All the gaps cluster together, showing that there is no appreciable dependence on orbital index. We do not observe a strong dependence of Δstrain on magnetic field either, beyond the exchange enhancement at the Fermi level for Nh = 4 (more clearly visible in Fig. S3B, which is obtained from the data in Fig. 1G). Variations as a function of position are larger than variations as a function of magnetic field, supporting our conclusion that the main source of the single-particle splitting is likely local strain. Moreover, it is reasonable to expect that some amount of strain is present in our sample as a result of the difference in thermal contraction of the sample relative to its substrate and/or the presence of twin boundaries, which have been observed in bulk Bi (40). Strain has been shown to couple to the valley degree of freedom and even lead to full valley polarization in AlAs (16, 41). Thus, it is logical to expect strain to play a role in the single- particle valley splitting that we observe. See also the discussion regarding the domain wall and nearby strain defect below for further evidence that strain affects the energies of the hole LLs. Efros-Shlovskii Coulomb Gap A soft gap in the density of states (DOS) near the Fermi level, known as the Efros- Shlovskii (Coulomb) gap, can result from the combination of electron localization and Coulomb interactions (30, 42). The energy gap that we observe as hole LLs cross the Fermi level is clearly not an Efros-Shlovskii gap, because we do not observe similar behavior when the singly degenerate electron LLs cross the Fermi level (see, e.g., Fig. S2). A weak suppression of the DOS is visible for energy E < 3-5 meV in Figs. 1D and 1E. This effect, whose energy scale is about ten times that of the exchange splitting, could result in part from a Coulomb gap, and could also reflect a low DOS between LLs that is especially pronounced near the Fermi level due to reduced lifetime broadening. Nematic behavior in a second location The data presented in Fig. 1G of the main text show the field dependence of the energy gaps between broken-symmetry states at one location on the sample surface. As described in the main text, we observe three broken-symmetry states, two of which are split by exchange interactions as the otherwise four-fold degenerate hole LL crosses the Fermi level. A spectrum measured in this location at B = 10.9 T is shown in Fig. S4A, and the corresponding conductance maps at each broken-symmetry LL peak are shown in Figs. S4B-D. Similar to the data presented in Figs. 2A-D of the main text, each broken-symmetry LL peak exhibits ellipses with different directionalities. We observe only minimal splitting of these states when they are not near the Fermi level. Thus, this location provides a second example where electron-electron interactions at the Fermi level play a dominant role in the formation of nematic electronic order. We also note that the energetic order of directionalities of the three broken-symmetry states is different from that in Figs. 2A-D, another example of a local nematic domain. For completeness, we also include a conductance map measured at the nearby electron LL peak (Fig. S4E), which shows approximately circular rings, similar to Fig. 3F in the main text. Theoretical calculation of cyclotron orbits of Bi(111) hole states To calculate the cyclotron orbits that arise from the anisotropic hole pockets of the Bi(111) surface, we assume a parabolic dispersion and approximate the pockets as ellipses. This yields a Hamiltonian for a single pocket 𝐻 = − 2 𝜋∥ 2𝑚∥ − 2 𝜋⊥ 2𝑚⊥ + 𝐸0, (S1) where E0 ≈ 33 meV is the energy of the hole band edge, π is the momentum, and m is the effective mass, with ∥ and ⊥ denoting the semimajor and semiminor ellipse axes, respectively. We rescale the coordinates 4 𝑋 = √𝑚∥ 𝑚⊥⁄ 𝑥, Π𝑥 = √𝑚⊥ 𝑚∥⁄ 4 𝜋𝑥, 4 𝑌 = √𝑚⊥ 𝑚∥⁄ 𝑦, Π𝑦 = √𝑚∥ 𝑚⊥⁄ 4 𝜋𝑦, to generate an isotropic Hamiltonian 𝐻 = − Π2 2𝑀 + 𝐸0, (S2) (S3) (S4) where 𝑀 = √𝑚∥𝑚⊥. This allows us to write down the corresponding cyclotron orbit wavefunctions in the symmetric gauge by analogy to conventional LLs in isotropic systems, 𝜑𝑁,𝑚(𝑍) = 𝜑𝑁,𝑚(𝑍) = 1 2 √2𝜋𝑙𝐵 1 2 ) 𝑁! ( 𝑚! 𝑍∗ ( ) √2 𝑚−𝑁 1 2 √2𝜋𝑙𝐵 1 2 ) 𝑚! ( 𝑁! 𝑍 ( ) √2 𝑁−𝑚 𝑒 𝑒 −𝑍2 4 𝐿𝑁 𝑚−𝑁 ( 𝑍2 2 −𝑍2 4 𝐿𝑚 𝑁−𝑚 ( 𝑍2 2 ) , 𝑚 ≥ 𝑁 ) , 𝑚 < 𝑁 (S5) Here, 𝑍 = (𝑋 + 𝑖𝑌)/𝑙𝐵 where 𝑙𝐵 = √ℏ/𝑒𝐵 is the magnetic length, N is the orbital index, m = 0, 1, 2, … is a quantum number relating to the angular momentum of the cyclotron orbit relative to the origin, and LN is a Laguerre polynomial. We note that 𝜑𝑁,𝑚 has a non-zero value at the origin only if m = N, in which case the cyclotron orbit wavefunction can be written as 𝜑𝑁,𝑁(𝑍) = −𝑍2 4 𝐿𝑁 ( 𝑒 𝑍2 2 ). 1 2 √2𝜋𝑙𝐵 (S6) The above simplification is important when we consider the effect of the sample defects on individual cyclotron orbits, as detailed below. Due to the atomic length scale of the surface defects that we observe and the relatively strong screening in bismuth, we model the defect potential by a δ-function: where α is the strength of the defect potential. The energy shift of the 𝜑𝑁,𝑁 state relative to the 𝑈 = 𝛼𝛿(𝑟⃗), (S7) 𝜑𝑁,𝑚≠𝑁 states is 2 𝐸𝑁,𝑁 − 𝐸𝑁,𝑚≠𝑁 = 𝛼𝜑𝑁,𝑁(0) = 𝛼 2 , 2𝜋𝑙𝐵 (S8) assuming that this shift is small compared to the LL spacing. Therefore, the local density of states is proportional to 𝜑𝑁,𝑁(𝑟⃗) 2 for measurements performed at energy 𝐸𝑁,𝑁, whereas it is proportional to ∑ 𝑚≠𝑁 𝜑𝑁,𝑚(𝑟⃗) 2 = 1 2𝜋𝑙𝐵 2 − 𝜑𝑁,𝑁(𝑟⃗) 2 (S9) for measurements at 𝐸𝑁,𝑚≠𝑁. In the latter case, which corresponds to the experimental measurements in Figs. 2 and 3, peaks in 𝜑𝑁,𝑁(𝑟⃗) 2 therefore correspond to local minima in conductance, as we observe. Theoretical estimates of exchange splitting We outline below a calculation of the exchange splitting of the hole LLs on the Bi(111) surface. We note that the spin degree of freedom is already fixed by the strong spin-orbit coupling and subsequent splitting of the surface bands so that the only remaining internal degree of freedom subject to exchange is the valley index. The exchange energy is a function of the Coulomb potential as well as the surface state wavefunctions, and we use the wavefunctions derived in the previous section in our calculation below. We start with the equation, ∆𝑒𝑥𝑐ℎ(𝑁, 𝐵) = ∫ 𝑑2𝑞⃗⃗ (2𝜋)2 𝑉(𝑞⃗)Exp [− 2 𝑄2𝑙𝐵 2 ] 𝐿𝑁 ( 2 ) , 2 𝑄2𝑙𝐵 2 (S10) where wavevector 𝑞⃗ is related to 𝑄⃗⃗ by 𝑞𝑥 = √𝜆𝑄𝑥 and 𝑞𝑦 = 𝑄𝑦 √𝜆⁄ , with 𝜆 = √𝑚∥/𝑚⊥ ≈ 5 the aspect ratio of the hole Fermi pocket. We approximate the interaction potential 𝑉(𝑞⃗) by the static screened interaction at zero magnetic field, 𝑉(𝑞⃗) ≈ 2𝜋2 1 𝜀 𝑞+ 2𝜋𝑒2𝜐0 𝜀⁄ , (S11) where ε is the dielectric constant and 𝜐0 is the surface density of states at the Fermi level, which can be extracted from the LL spacing using the semiclassical quantization rule. At B = 10.9 T, 2𝜋𝑙𝐵 2𝜐0 ≈ 1 7.6 meV + 6 6.1 meV , where 7.6 meV and 6.1 meV are the respective LL spacing for electrons and holes, and the factor of 6 in the numerator of the second term takes into account the degeneracy of the hole pockets. We measure a gap Δexch = 450 µeV for the Nh = 4 hole LL at B = 10.9 T (Fig. 1G). To reproduce this number using the above equations, we extract a dielectric constant ε = 45, which is about half the value in bulk Bi, as expected for a surface state bounded by vacuum on the other side. Using this same dielectric constant, we calculate theoretically expected exchange gaps for the Nh = 3 state at B = 12.9 T and 14 T, which are 560 µeV and 600 µeV, respectively. These numbers closely match the experimentally measured values of 570 µeV and 630 µeV, respectively, which serves as a consistency check for the model. Cyclotron orbit field dependence In Fig. 3L, we plot the size of the hole and electron cyclotron orbits as a function of magnetic field. The size of a cyclotron orbit is proportional to √(2𝑁 + 1)𝑙𝐵, so increasing the magnetic field should lead to smaller rings. For fixed N, the cyclotron orbit should scale as 1/√𝐵, and the fit to our experimentally measured ellipse size for Nh = 4 matches this prediction within the experimental uncertainty. For states at a given constant energy in a system with fixed charge, N should scale as 1/B, leading to a factor of 1/√𝐵 change in cyclotron orbit size. This, combined with the changing magnetic length, explains the approximate 1/B scaling that we observe for the electron LLs near the Fermi level. A second example of cyclotron orbit energy dependence A spectrum at at B = 14 T measured in the same location as in Fig. 3 shows three broken- symmetry Nh = 3 LL peaks (Fig. S5A). A series of conductance maps at energies that span the three broken-symmetry LL peaks is shown in Figs. S5B-P. First, we note that the sequence of orientations of the three broken-symmetry states is identical to that found in the same area for the Nh = 4 state (Fig. S4). In addition, careful examination reveals bright vertical rings surrounding two different spots in Figs. S5N-P, which correspond to subsurface defects that have no topographic signal. In contrast, these same defects give rise to vertical dark rings of decreased conductance in Figs. S5J-L. Thus, for these subsurface defects, we extract an energy shift of the m = Nh = 3 cyclotron orbit of about 420 µV. Similar behavior is visible from the same spots for the diagonal rings in Figs. S5G-K, as well as in Figs. S5B-F. The similar energy shift caused by a given defect on cyclotron orbits from each split hole LL peak provides further confirmation that the defects themselves do not break rotational symmetry. The data also illustrate that different defects produce different effective potentials. In fact, the subsurface defects of Fig. S5 are repulsive because they shift the cyclotron orbits to higher energy, opposite from the behavior observed in Fig. 4 of the main text. Spectroscopic linecut across a domain wall We have measured conductance spectra along a line that extends from one nematic domain to another, taken along the path shown in Fig. S6A. At either end of the linecut, the LL energies do not vary strongly with position (Fig. S6B), and these two regions respectively correspond to domains that are contiguous with those presented in Fig. 2. Although the spectroscopic signal remains strong except near the step edges, towards the middle of the linecut, the LL energies rapidly disperse with position and a clear LL crossing is visible about 400 nm from the start of the linecut (Fig. S6B). This is exactly the signature expected from a domain wall. The domain wall occurs near a pronounced strain defect that is visible in the topograph (arrow in Fig. S6A), and strain may act as a catalyst for the domain wall formation. The dramatic LL dispersion near this strained region is further evidence that the single-particle LL splitting characterized by Δstrain results from local strain in the sample. Atomic resolution image of the Bi(111) lattice and a defect Figure S7A shows an atomic resolution image of the Bi(111) surface which includes an isolated defect. The topograph, which was taken in the vicinity of the conductance maps in Figs. 2I-K, shows a perfectly ordered lattice, demonstrating the high sample quality. This rules out variations in the vertical confinement of the 2DEG as a possible cause of the symmetry breaking that we observe. In addition, the topograph illustrates that the defect does not break the three-fold rotational symmetry of the lattice. The corresponding Fourier transform (Fig. S7B) shows sharp Bragg peaks from the bismuth lattice. From the positions of the Bragg peaks, we can extract the lattice constant in each direction. We obtain an in-plane interatomic spacing of approximately 4.67 Å, similar to literature values (25), and the lattice constant that we extract varies less than 5% between the three principal crystallographic directions. This variation is within the instrumental uncertainty that arises from a combination of piezoscanner calibration uncertainty as well as drift during measurement. Thus, we cannot conclusively say that we observe a strained lattice in atomic resolution images, though strain may still be present below our detection theshold (this is likely, as argued above). Temperature and doping dependence of the nematic behavior In the absence of extrinsic symmetry-breaking terms, a nematic electronic phase is expected to have a critical temperature above which it becomes isotropic. We can gain some insight by comparing our data to previous 4.3 K measurements of a Bi(111) thin film (28). The thin film showed similar electron and hole LL energies to our sample, but did not exhibit any broken symmetry in the hole LLs. This implies that either the measurements were performed above the critical temperature, or that thermal broadening made it impossible to resolve an exchange gap associated with nematicity. Regardless, in the absence of splitting, one would not expect to see any rotational symmetry breaking, so the measurements of Ref. 28 suggest that any nematic observable would not survive up to 4.3 K. We can estimate an upper bound for the temperature above which nematicity would disappear based on the magnitude of the exchange splitting that we observe. A gap of 500 µeV becomes equvalent to the thermal broadening 3.5kBT at a temperature T ~ 1.6 K. This temperature threshold is consistent with the currently available experimental data. Another example of an external tuning parameter that can affect nematicity is disorder, which has the further advantage that it is not complicated by thermal broadening. To explore the effect of disorder, we have performed measurements at 250 mK of an intentionally doped Bi sample (Fig. S8A). In these more disordered samples, cyclotron orbits of all three directionalities are visible throughout each LL peak. A representative conductance map taken at the Fermi level at B = 10 T is shown in Fig. S8B. Many superimposed cyclotron orbits are visible because so many dopants are present, and the presence of features of all three directionalities demonstrates that disorder destroys the nematic order. Further exploration of the rich phase diagram of broken- symmetry states that are expected as a function of temperature and disorder (14, 43) represents an appealing direction for future research. ) T ( B 12.0 11.6 11.2 10.8 10.4 10.0 -3 0 G (nS) 45 0 E (meV) 3 Fig. S2. Tunneling conductance G as a function of energy E and magnetic field B. Data are averaged over the blue line in Fig. 1A, and the lower field data are the same as those shown in Fig. 1G, but are reproduced here to improve readability. The electron Landau levels (LLs) exhibit two types of behavior as they cross the Fermi level: pinning when there are no proximal hole-like states (e.g. around 11.8 T), or rapid dispersion through hole LLs (e.g. at 10.8 T and 10.1 T). The two different behaviors indicate a competition between electron-and hole-like states in a magnetic field. Vrms = 30 µV. B Nh = 3 Nh = 4 Nh = 5 Nh = 4 2.0 A ) V e m ( n a r t s i Δ 1.6 1.2 0.8 0.4 0 10 10.4 10.8 B (T) 11.2 11.6 10 10.4 10.8 B (T) 11.2 11.6 Fig. S3. Field and orbital dependence of the gap Δstrain. (A) Magnitude of Δstrain as a function of magnetic field derived from the data in Fig. 1E for the hole LLs with orbital indices Nh = 3,4,5. All gaps cluster together and exhibit no appreciable field dependence, except for exchange enhancement of the Nh = 4 gap when it coincides with the Fermi level (i.e. between 10.1 and 10.7 T). (B) Similar data (with error bars) showing Δstrain for Nh = 4 derived from the higher resolution data in Fig. 1G. B C D E 5 G (nS) 45 -1.29 meV 25 nm -400 μeV 120 μeV 870 μeV ) S n ( G 30 20 10 0 10.9 T (2) (2)(2) elec. A -2 0 E (meV) 2 Fig. S4. Nematic electronic behavior in a second location. (A) Average conductance spectrum measured at B = 10.9 T in the same location as the data in Figs. 1E and 1G. The Nh = 4 LL is split into three peaks, two of which are split by exchange interactions at the Fermi level. The Ne = 11 LL is also visible. The degeneracy of each hole LL is labeled in parentheses. (B)-(D) Conductance maps measured at the energies of each broken-symmetry hole LL peak. Isolated anisotropic cyclotron orbits are visible around surface defects, with a different orientation for each LL peak. The energetic order of the three directionalities is different from that shown in Figs. 2A-D, indicating a different nematic domain.(E) Conductance map measured at the energy of the Ne = 11 LL. Approximately circular rings are visible around the same surface defects. Due to the lower magnetic field and larger orbital index, the rings are larger than those in Fig. 3F. Vrms = 30 µV for all panels. A 30 (2) (2) 14.0 T B (2) elec. C D -0.5 G/G‾ 2.5 20 ) S n ( G 10 0 -2 E -1 E (meV) 0 1 -1.37 meV F -1.27 meV -1.17 meV G H -1.07 meV I -970 μeV J -400 μeV K -295 μeV L -190 μeV M -85 μeV N 20 μeV O 125 μeV P 230 μeV 335 μeV 440 μeV 545 μeV Fig. S5. Full spatial and energy dependence of conductance across all three broken-symmetry states in a second location. (A) Average conductance spectrum measured at B = 14 T in the same location as the data in Figs. 1E and 1G. The Nh = 3 LL is split into three peaks, two of which are split by exchange interactions at the Fermi level. The Ne = 8 LL is also visible. The degeneracy of each hole LL is labeled in parentheses, and the colored circles mark the mapped energies in panels B-P. (B)-(P) Spatial maps of G/G at B = 14 T with energy spaced by approximately 100 µeV throughout the three broken-symmetry Nh = 3 LL peaks. In addition to showing the same sequence of nematic orientations as the Nh = 4 LL in the same area (Fig. S4), these maps reveal subsurface defects which shift the m = N cyclotron orbit about 420 µeV higher in energy. The energy shift is similar for all three nematic orientations, and the yellow arrows highlight the most prominent ellipses that arise from subsurface defects. For each directionality, an arrow highlights both a signal with suppressed conductance and another (in the panel immediately below) with enhanced conductance relative to the background. We note that the bright ellipse in Fig. S5F is from the subsurface defect, not the nearby raised surface defect (dark ellipses from both can be seen in Fig. S5B). A 20 nm B ) V e m ( E 4 2 0 -2 0 0 height (Å) 7 G (nS) 0.2 0.1 0 100 200 300 Distance (nm) 400 500 600 Fig. S6. Measurement across a domain wall. (A) Topography of the Bi(111) surface. The arrow marks a strain defect on the surface which is visible as a deformation in the topographic signal. The white line shows the trajectory of the spectroscopic linecut, which starts in the domain shown in Figs. 2A-D and ends in the domain shown in Figs. 2H-K. Iset = 30 pA. (B) Spectroscopic linecut across a domain wall that shows the evolution of the three split Nh = 3 LL peak energies with position. The directionality of the real-space conductance features that we observe for each LL peak is labeled as white and black ellipses, respectively, for the two domains.Vrms = 74 µV. 0 height (pm) 30 A 3 B ) 1 - Å ( y q 2 1 0 -1 -2 -3 40 Å -3 -2 -1 1 2 3 0 qx (Å-1) Fig. S7. Atomic resolution image of the Bi(111) surface and a defect. (A) Topography showing the surface Bi atoms and an isolated defect. The Bi(111) surface is perfectly ordered, and the defect does not break the three-fold symmetry of the lattice. Vset = 10 mV and Iset = 20 nA. (B) Fourier transform of the image in A. 0 height (Å) 1 2 G (nS) 20 A B B = 10 T 20 nm V = 0 Fig. S8. Measurement of a doped Bi sample. (A) Topography showing the surface of a doped Bi(111) sample. (B) Representative spatial map of G that reveals pinned cyclotron orbits of all three directionalities at a single energy. Table S1. Magnetic field at which hole LLs cross the Fermi level, the corresponding filling factor, and the derived hole density p. B (T) ν Derived p (1012 cm-2) 21 (Nh = 3 LL half filled) 27 33 39 45 51 57 63 69 14 10.875 8.875 7.5 6.5 5.75 5.125 4.625 4.25 7.11 7.10 7.08 7.07 7.07 7.09 7.06 7.05 7.09 Table S2. Magnetic field at which electron LLs cross the Fermi level, and three possible assignments of filling factor (ν, ν-, and ν+) for each crossing with the corresponding derived electron density. The assignment that best yields a constant electron density n as a function of field is ν. B (T) ν Derived n (1012 cm-2) 9.5 10.5 11.5 12.5 13.5 14.5 15.5 16.5 13.1 11.75 10.75 10.05 9.2 8.65 8.15 7.6 3.01 2.98 2.99 3.04 3.00 3.03 3.05 3.03 ν- 8.5 9.5 10.5 11.5 12.5 13.5 14.5 15.5 Corresponding n- (1012 cm-2) 2.69 2.70 2.73 2.79 2.78 2.82 2.86 2.85 ν+ 10.5 11.5 12.5 13.5 14.5 15.5 16.5 17.5 Corresponding n+ (1012 cm-2) 3.32 3.27 3.25 3.28 3.22 3.24 3.25 3.22
1205.1611
1
1205
2012-05-08T07:29:43
B\'enard-von K\'arm\'an vortex street in an exciton-polariton superfluid
[ "cond-mat.mes-hall", "cond-mat.quant-gas" ]
The dynamics of an exciton--polariton superfluid resonantly injected into a semiconductor microcavity are investigated numerically. The results reveal that a B\'enard--von K\'arm\'an vortex street is generated in the wake behind an obstacle potential, in addition to the generation of quantized vortex dipoles and dark solitons. The vortex street is shown to be robust against a disorder potential in a sample and it can be observed even in time-integrated measurements.
cond-mat.mes-hall
cond-mat
B´enard -- von K´arm´an vortex street in an exciton-polariton superfluid Department of Engineering Science, University of Electro-Communications, Tokyo 182-8585, Japan Hiroki Saito, Tomohiko Aioi, and Tsuyoshi Kadokura (Dated: January 14, 2021) The dynamics of an exciton -- polariton superfluid resonantly injected into a semiconductor micro- cavity are investigated numerically. The results reveal that a B´enard -- von K´arm´an vortex street is generated in the wake behind an obstacle potential, in addition to the generation of quantized vortex dipoles and dark solitons. The vortex street is shown to be robust against a disorder potential in a sample and it can be observed even in time-integrated measurements. PACS numbers: 71.36.+c, 47.32.ck, 03.75.Lm, 67.10.Jn I. INTRODUCTION A cylindrical obstacle moving in a classical viscous fluid generates a vortex-antivortex pair in its wake. At large Reynolds numbers, such vortices develop into a pe- riodic pattern known as the B´enard-von K´arm´an vortex (BvK) street.1,2 Such dynamics are also found in super- fluids for which vortex circulation is quantized and vis- cosity is absent. It was numerically demonstrated3 that quantized vortex-antivortex pairs, which we call vortex dipoles, are shed in the wake of an obstacle moving in a planar superfluid above a critical velocity. This theoreti- cal prediction has been realized in Bose -- Einstein conden- sates (BECs) of atomic gases, in which quantized vortex dipoles have been created using an moving obstacle po- tential produced by a laser beam.4,5 BvK vortex streets in superfluids (i.e., periodic and alternating creation of quantized vortices and antivortices that form a vortex street with a long lifetime) have also been predicted for atomic BECs,6 but they have yet to be demonstrated experimentally. Exciton-polariton superfluid flow past an obstacle has recently been demonstrated using a semiconductor mi- crocavity.7 -- 12 In these experiments, polaritons with a controlled in-plane momentum are coherently injected into a sample by a pumping laser, and an obstacle po- tential is produced by a defect in the microcavity,7 -- 10 by a continuous-wave (cw) laser field,11 or by etching the sample.12 A Cherenkov-like pattern and oblique dark solitons are observed for supersonic flow of polariton con- densates.8,9 Oblique dark solitons formed behind the ob- stacle decay into quantized vortices.12 For subsonic flow, quantized vortex dipoles are produced in the wake.9 -- 11 These phenomena have been studied theoretically by sev- eral researchers.13 -- 16 A polariton condensate differs from superfluid helium and an atomic gas BEC in that it is a nonequilibrium open system. The polaritons have a short lifetime of ∼ 10 ps, which is comparable to the time scale of the rele- vant dynamics. In the experiments described in Refs. 8,9, polaritons are constantly replenished by pumping with a cw laser and the system reaches a nonequilibrium steady state, in which the pumping balances the loss. Another difference from atomic systems is that the polariton is a coherent superposition of a quantum-well exciton and a cavity photon and the interparticle interaction originates only from the former; in other words, a polariton super- fluid is a nontrivial two-component system. Because of these differences, it is by no means obvious that a polari- ton superfluid shares the same dynamic phenomena as superfluid helium and atomic gas BEC. In this paper, we investigate the dynamics of an exciton-polariton superfluid passing an obstacle potential and show that a superfluid BvK vortex street6 emerges in this system, as well as vortex dipoles and dark solitons. We also show that the vortex street is not destroyed by a disorder potential, which is present in a realistic sam- ple. We propose a measurement method to identify a vortex street by time-integrated imaging for the present cw pumped system. This paper is organized as follows. Section II formu- lates the problem, Sec. III presents the numerical results, and Sec. IV gives the conclusions of the study. II. FORMULATION OF THE PROBLEM We consider a system of quantum-well excitons and cavity photons in the mean-field theory. The mean-field wave functions of excitons ψX and photons ψC are as- sumed to obey the two-component nonlinear Schrodinger equation in two dimensions,13 2 1 0 2 y a M 8 ] l l a h - s e m . t a m - d n o c [ 1 v 1 1 6 1 . 5 0 2 1 : v i X r a ∂ i¯h ∂t (cid:18) ψX ψC (cid:19) = (cid:20)H0 +(cid:18) gψX2 − i¯hγX/2 0 0 V (r) − i¯hγC/2 (cid:19)(cid:21)(cid:18) ψX ψC (cid:19) +(cid:18) 0 ¯hF (r)ei(k p ·r−ωpt) (cid:19) . (1) The polariton Hamiltonian in Eq. (1) is given by H0 = ¯h(cid:18) ωX(−i∇) ΩR ΩR ωC(−i∇) (cid:19) , (2) where the diagonal elements are the dispersion relations of an exciton and a photon, and ΩR is the Rabi fre- 2 (a) y x (b) (c) (d) (e) 0 density [µm ] -2 400 −π phase π (Color online) Density ψC2 and phase arg(ψC) pro- FIG. 1: files of the photon wave function at t = 400 ps. (a) kp = 0.25 µm−1 without an obstacle potential, (b) kp = 0.25 µm−1 and d = 2 µm, (c) kp = 0.25 µm−1 and d = 3 µm, (d) kp = 0.25 µm−1 and d = 5 µm, and (e) kp = 0.5 µm−1 and d = 3 µm. The solid circles in (b) and (c) respectively indicate vortex dipoles and co-rotating twin vortices, which are released from the obstacle potential. The dashed circles indicate the loca- tions of the obstacle potential and the filled blue circles and red squares indicate clockwise and counterclockwise vortices, respectively. The detuning is ¯hδ = 0.7 meV and the lifetime is γ−1 = 30 ps. The field of view of each panel is 150×110 µm and the origin is located at the center of the left edge of the panel. See Supplemental Material for movies of the dynamics in (b)-(e). quency of the exciton-photon coupling. In Eq. (1), g is the exciton-exciton interaction coefficient, γX and γC are respectively the exciton and photon decay rates, and V is the potential for cavity photons. The last term on the right-hand side of Eq. (1) describes the coherent quasi- resonant pumping of photons by an external laser beam with a spatial profile F , an in-plane wave vector kp, and a frequency ωp. Diagonalizing the noninteracting Hamiltonian in Eq. (2), we obtain the eigenfrequencies of the upper and lower free polaritons as ω± = ωX + ωC ±p(ωX − ωC)2 + 4Ω2 R 2 . (3) The pumping frequency ωp is close to ω− to resonantly excite the lower polaritons. We define the detuning as δ = ωp − ω−(kp). The exciton is assumed to have the flat dispersion, ωX(k) = ω0 X, and the dispersion of the cavity photon is approximated to be ωC(k) = ω0 C + ¯hk2/(2mC), where mC is the effective mass of a cavity photon. In the following, we assume ω0 X = ω0 C. We numerically solve Eq. (1) using the pseudospectral method,17 which imposes periodic boundary conditions. The spatial range in the numerical calculation is taken to be sufficiently large that the boundary conditions do not affect the results. The initial state is the vacuum state of excitons and photons, ψX = ψC = 0, plus small white noise to break the numerically exact symmetry. The results do not qualitatively depend on the detail of the noise. III. NUMERICAL RESULTS In the following numerical calculations, we use mC = 2×10−5me, where me is the electron mass, ¯hΩR = 5 meV, and g = 0.01 meVµm2, and we assume γX = γC ≡ γ. The pumping function F (r) is assumed to have the form F (r) = (cid:26) F0 (αx < y < yp and x > 0), (otherwise), 0 (4) which excites the polaritons in the region of the two tri- angles shown in Fig. 1 (a). We restrict the pumping area as in Eq. (4), since if the whole space is pumped, the phase will be locked and no vortices will be generated. Similar pumping schemes have been employed in theo- retical16 and experimental11 studies. The parameters in Eq. (4) are taken to be ¯hF0 = 38.2 meV, α = 0.4, and yp = 50 µm. The in-plane wave vector of the pumping beam is kp = kpex, where ex is the unit vector in the x direction. Polaritons pumped in the triangular areas thus have momentum in the x direction and flow into the region between the triangles, as shown in Fig. 1 (a). An obstacle potential is assumed to be a Gaussian potential as V (r) = V0 exp(cid:2)−(x − x0)2/d2 − y2/d2(cid:3) (5) with V0 = 38.2 meV and x0 = 40 µm, which is located be- tween the triangles. Thus, polaritons flowing between the triangular areas hit the obstacle potential and generate a wake in the x direction. The healing length and the Bo- goliubov sound speed near the obstacle potential are re- spectively estimated to be ξ ≃ ¯h/(mLPgLPnLP)1/2 ≃ 1.5 µm and vs ≃ (gLPnLP/mLP)1/2 ≃ 1.8 × 106 m/s, where mLP, gLP, and nLP are respectively the mass, the effec- tive coupling constant,13 and the density of the lower polariton. Figure 1 shows profiles of the photon wave function ψC at t = 400 ps. In Fig. 1 (b), quantized vortex dipoles are generated behind the obstacle potential. After the vortex dipoles are released from the potential, they al- ternately align in the wake, as shown in Fig. 1 (b). Such alternate alignment of vortex dipoles in superfluids is also observed in Refs. 6,18. Figure 1 (c) shows the situation for a larger obstacle potential. In this case, two vortices with the same circulation form a pair and clockwise and counterclockwise pairs are shed alternately. This vor- tex shedding dynamics is very similar to that in Ref. 6, which is a superfluid analogue of the BvK vortex street. This periodic vortex configuration has a very long life- time6 when there is no dissipation or losses. Thus, a small obstacle generates vortex dipoles and a large ob- stacle generates a BvK vortex street, which is consistent with the case of an atomic BEC (Fig. 3 of Ref. 6). For a larger obstacle potential, vortex shedding becomes irreg- ular as shown in Fig. 1 (d). The flow velocity in Figs. 1 (a)-1 (d) is ¯hkp/mLP ≃ 0.4vs, which is comparable to the critical velocity for vortex nucleation obtained from the Gross -- Pitaevskii equation.3 Figure 1 (e) shows the case for faster flow with flow velocity of ≃ 0.7vs. Dark solitons and vortex dipoles are generated in the wake, as experimentally observed.12 The vortex patterns shown in Fig. 1 can be observed for a shorter polariton lifetime (e.g., 15 ps) if the polariton density near the obstacle is kept large by increasing the pump intensity or narrowing the space between the triangular pumped regions (data not shown). For all the cases in Fig. 1, the exciton wave function ψX has a similar profile to that of the photon wave function ψC. In microcavity samples used in experiments, a disorder potential is inevitable; it is of the order of 0.1 meV, even for a good sample.19 Figure 2 shows the effect of the disorder potential on vortex street formation, where the potential shown in Fig. 2 (b) is added to V (r) in Eq. (1) while the other parameters remain the same as those in Fig. 1 (c). Figure 2 (a) shows that the BvK vortex street is robust against a disorder potential in a realistic sample. it is impossible to per- form time-resolved measurements, as were performed in Refs. 8,10,12 For time-resolved measurements, polaritons must be pumped by a pulsed laser beam, which is split and used as a reference in the interferometer with a de- lay time, enabling time-resolved images to be obtained by performing repeated measurements. On the other hand, polaritons are pumped by a cw laser in the present system In the present situation, (a) (b) 3 0 density [µm ] -2 400 −0.2 disorder potential [meV] 0.2 (Color online) (a) Density profile ψC2 in the pres- FIG. 2: ence of the disorder potential shown in (b). The disorder po- tential is generated by setting random numbers on each site and cutting off short-wavelength Fourier components. The parameters are the same as those in Fig. 1 (c). The black and white circles indicate clockwise and counterclockwise vortices, respectively. See the Supplemental Material for a movie of the dynamics. (b) (a) y x (c) (d) arbitrary scale −π π (Color online) (a) Time-integrated density of the FIG. 3: photon wave function I(r) = R ψC(r, t)2dt for the dynamics in Fig. 1 (b). (b) I for the dynamics in Fig. 1 (c). (c) Density G2 (left) and phase arg(G) (right) of the first-order coher- ence G(r) = R ψ∗ C(rref , t)ψC(r, t)dt for the dynamics in Fig. 1 (b). (d) G2 (left) and arg(G) (right) for the dynamics in Fig. 1 (c). The time integrations in I and G are taken between t = 400 and 1400 ps (the patterns are independent of the upper integration limit if the time integration is sufficiently long). The position of the reference is (xref , yref ) = (46, 4), which is marked by the crosses. The field of view of each panel is 150 × 110 µm and the origin is located at the center of the left edge of the panel. and hence only time-integrated images can be obtained. Figures 3 (a) and 3 (b) show time-integrated density pro- files R ψC(r, t)2dt of the dynamics in Figs. 1 (b) and 1 (c), respectively. Although the traces of vortex flow are visible, individual vortices are smeared out and cannot be discerned.9 To overcome this problem, we examine the time-integrated spatial coherence given by G(r) = Z ψ∗ C(rref , t)ψC(r, t)dt, (6) where rref is the position of the reference light source. The reference light from a small area at rref is enlarged and interference with the whole image is measured using an interferometer with a variable arm length.9 Figures 3 (c) and 3 (d) show the density and phase profiles of G for the dynamics in Figs. 1 (b) and 1 (c), respectively. The reference rref is positioned obliquely behind the obstacle (crosses in Fig. 3), where the den- sity oscillates with time due to periodic vortex shedding. Therefore, time integration of G is performed stroboscop- ically at the same frequency as the change in the vortex pattern, preserving the information of vortices, as shown in Figs. 3 (c) and 3 (d). Figure 3 (c), which corresponds to the vortex dipole generation in Fig. 1 (b), exhibits vortex dipoles in the phase profiles (left panel of Fig. 3 (c)). The phase profile in Fig. 3 (d) also reflects the BvK vortex street in Fig. 1 (c). Thus, the time-integrated co- herence G contains information on the vortex patterns and it enables us to identify vortex street formation.20 IV. CONCLUSIONS 4 We have investigated the dynamics of exciton- polariton superfluid flow passing an obstacle potential in a semiconductor microcavity. Numerically solving the two-component nonlinear Schrodinger equation in Eq. (1) reveals a superfluid BvK vortex street (Fig. 1 (c)), as well as alternately aligned vortex dipoles (Fig. 1 (b)) and dark solitons (Fig. 1 (d)). The formation of the vortex street is robust against a disorder potential, which is naturally present in samples (Fig. 2). These periodic vortex pat- terns are time-dependent steady states that are attained by cw pumping of polaritons and time-resolved imaging cannot be performed. We showed that the spatial co- herence in Eq. (6) with an appropriate reference point rref reflects the vortex patterns even though it is time integrated (Fig. 3). We have thus demonstrated that a superfluid BvK vortex street can be generated and de- tected in an exciton-polariton condensate using current experimental techniques. Acknowledgments This work was supported by Grants-in-Aid for Scien- tific Research (No. 22340116 and No. 23540464) from the Ministry of Education, Culture, Sports, Science and Technology of Japan. 1 H. B´enard, C. R. Acad. Sci. Paris 147, 839 (1908); 147, (2011). 970 (1908). 2 T. von K´arm´an, Nachr. Ges. Wiss. Gottingen, Math. Phys. Kl. 509 (1911); 547 (1912). 3 T. Frisch, Y. Pomeau, and S. Rica, Phys. Rev. Lett. 69, 1644 (1992). 4 S. Inouye, S. Gupta, T. Rosenband, A. P. Chikkatur, A. Gorlitz, T. L. Gustavson, A. E. Leanhardt, D. E. Pritchard, and W. Ketterle, Phys. Rev. Lett. 87, 080402 (2001). 5 T. W. Neely, E. C. Samson, A. S. Bradley, M. J. Davis, and B. P. Anderson, Phys. Rev. Lett. 104, 160401 (2010). 6 K. Sasaki, N. Suzuki, and H. Saito, Phys. Rev. Lett. 104, 150404 (2010). 7 A. Amo, D. Sanvitto, F. P. Laussy, D. Ballarini, E. del Valle, M. D. Martin, A. Lemaıtre, J. Bloch, D. N. Krizhanovskii, M. S. Skolnick, C. Tejedor, and L. Vina, Nature 457, 291 (2009). 8 A. Amo, J. Lefr´ere, S. Pigeon, C. Adrados, C. Ciuti, I. Carusotto, R. Houdr´e, E. Giacobino, and A. Bramati, Na- ture Phys. 5, 805 (2009). 9 A. Amo, S. Pigeon, D. Sanvitto, V. G. Sala, R. Hivet, I. Carusotto, F. Pisanello, G. Lem´enager, R. Houdr´e, E. Giacobino, C. Ciuti, and A. Bramati, Science 332, 1167 (2011). 10 G. Nardin, G. Grosso, Y. L´eger, B. Pi¸etka, F. Morier- Genoud, and B. Deveaud-Pl´edran, Nature Phys. 7, 635 11 D. Sanvitto, S. Pigeon, A. Amo, D. Ballarini, M. De Giorgi, I. Carusotto, R. Hivet, F. Pisanello, V. G. Sala, P. S. S. Guimaraes, R. Houdr´e, E. Giacobino, C. Ciuti, A. Bra- mati, and G. Gigli, Nature Photonics 5, 610 (2011). 12 G. Grosso, G. Nardin, F. Morier-Genoud, Y. L´eger, and B. Deveaud-Pl´edran, Phys. Rev. Lett. 107, 245301 (2011). 13 I. Carusotto and C. Ciuti, Phys. Rev. Lett. 93, 166401 (2004). 14 M. Wouters and I. Carusotto, Phys. Rev. Lett. 105, 020602 (2010). 15 E. Cancellieri, F. M. Marchetti, M. H. Szyma´nska, and C. Tejedor, Phys. Rev. B 82, 224512 (2010). 16 S. Pigeon, I. Carusotto, and C. Ciuti, Phys. Rev. B 83, 144513 (2011). 17 W. H. Press, S. A. Teukolsky, W. T. Vetterling, B. P. Flannery, Numerical Recipes, 3rd ed, Sec. 20.7 (Cambridge Univ. Press, Cambridge, 2007). 18 C. Nore, M. E. Brachet, and S. Fauve, Physica D 65, 154 (1993). 19 G. Roumpos, M. D. Fraser, A. Loffler, S. Hofling, A. Forchel, and Y. Yamamoto, Nature Phys. 7, 129 (2010). 20 Recently, a similar scheme to detect a vortex lattice is pro- posed in M. O. Borgh, G. Franchetti, J. Keeling, and N. Berloff, arXiv:1204.4095.
1907.01558
3
1907
2019-10-21T15:38:52
Topological states on fractal lattices
[ "cond-mat.mes-hall", "cond-mat.dis-nn" ]
We investigate the fate of topological states on fractal lattices. Focusing on a spinless chiral p-wave paired superconductor, we find that this model supports two qualitatively distinct phases when defined on a Sierpinski gasket. While the trivial phase is characterized by a self-similar spectrum with infinitely many gaps and extended eigenstates, the novel "topological" phase has a gapless spectrum and hosts chiral states propagating along edges of the graph. Besides employing theoretical probes such as the real-space Chern number, inverse participation ratio, and energy-level statistics in the presence of disorder, we develop a simple physical picture capturing the essential features of the model on the gasket. Extending this picture to other fractal lattices and topological states, we show that the p+ip state admits a gapped topological phase on the Sierpinski carpet and that a higher-order topological insulator placed on this lattice hosts gapless modes localized on corners.
cond-mat.mes-hall
cond-mat
Topological States on Fractal Lattices Shriya Pai1, ∗ and Abhinav Prem2, † 1Department of Physics and Center for Theory of Quantum Matter, University of Colorado, Boulder, CO 80309, USA 2Princeton Center for Theoretical Science, Princeton University, NJ 08544, USA 9 1 0 2 t c O 1 2 ] l l a h - s e m . t a m - d n o c [ 3 v 8 5 5 1 0 . 7 0 9 1 : v i X r a We investigate the fate of topological states on fractal lattices. Focusing on a spinless chiral p- wave paired superconductor, we find that this model supports two qualitatively distinct phases when defined on a Sierpinski gasket. While the trivial phase is characterized by a self-similar spectrum with infinitely many gaps and extended eigenstates, the novel "topological" phase has a gapless spectrum and hosts chiral states propagating along edges of the graph. Besides employing theoretical probes such as the real-space Chern number, inverse participation ratio, and energy-level statistics in the presence of disorder, we develop a simple physical picture capturing the essential features of the model on the gasket. Extending this picture to other fractal lattices and topological states, we show that the p + ip state admits a gapped topological phase on the Sierpinski carpet and that a higher-order topological insulator placed on this lattice hosts gapless modes localized on corners. I. INTRODUCTION The discovery of electronic insulators with topolog- ically nontrivial band structures has led to remark- able progress in understanding gapped quantum phases. The prediction and experimental discovery of topolog- ical insulators (TIs)1 -- 7 and topological superconduc- tors (TSCs)8 -- 11 led to a classification of gapped phases of non-interacting fermions12,13; this ten-fold way en- codes whether a system may host topologically nontrivial phases given the spatial dimension and the symmetries under which it is invariant. The nontrivial band topol- ogy of electronic states is manifest in striking univer- sal properties, including robust gapless modes confined to the sample boundary and quantized response coeffi- cients14,15. These concepts were later extended to crystalline sym- metries, such as reflection, inversion, or rotation. Gapped phases protected by these symmetries are called topo- logical crystalline insulators (TCIs)16 -- 20 and include higher-order topological insulators (HOTIs)21 -- 25. Specif- ically, an nth order TI/TSC in d spatial dimensions is gapped everywhere except on a d − n dimensional surface. More generally, TI/TSCs and HOTIs are ex- amples of symmetry-protected topological (SPT)26,27 and crystalline SPT (cSPT)28 -- 30 phases respectively, whose classification also accounts for interactions. Such phases have a trivial gapped bulk but host boundary (or hinge/corner) modes protected against local, symmetry- preserving perturbations31. A defining feature of topological phases is their robust- ness against disorder: provided the spectral (or mobility) gap remains finite and the disorder respects the sym- metry protecting the TI/TSC, quantized coefficients and gapless edge modes persist32 -- 35. Despite disorder break- ing the lattice symmetries protecting TCIs, their bound- ary modes can evade localization when the full ensemble of disorder configurations remains symmetric36,37. Tradi- tionally, robustness of topological states is established by adding disorder to a clean system, thereby assuming an underlying periodic reference state. This approach, while efficacious, fails when no such structure exists i.e., for aperiodic systems, including amorphous, quasiperiodic, and fractal systems. Nonetheless, topological phenomena have been shown to exist in both amorphous38 -- 43 and quasiperiodic44 -- 49 systems. That the topology of quantum states can be defined in the absence of spatial regularity over long distances opens the door to finding topological phases on fractal lattices, which lack a natural distinction between bulk and bound- ary, and whose (typically non-integer) Hausdorff dimen- sions differ from their topological dimensions. Interest in fractal structures, which have a rich history50 -- 55, has been revived given experimental advances in creating and manipulating synthetic lattices with arbitrary structures, in both photonic and electronic systems56 -- 61. In partic- ular, fractal lattices have been fabricated using focused ion beam milling62, molecular chains63 -- 65, and scanning- tunneling-microscopy (STM) techniques66, with theoret- ical studies primarily focusing on localization and trans- port phenomena67 -- 72. However, our understanding of the influence of self- similar geometry on the topological character of elec- tronic states remains nascent, having received attention (a) (b) Figure 1: (a) SG with "periodic" boundary conditions, such that all sites have coordination number four. (b) Regions A, B, C considered in real-space Chern number calculations. 2 Figure 2: Gapless topological phase of the chiral p + ip superconductor on the SG, with g = 5, ∆ = 1, t = 0.5, µ = 0.5. Energy spectrum and probability densities of eigenvectors at indicated energies are shown. Color scale indicates values of x, y, z coordinates, with dot size indicating the magnitude of the probability density at that point. only recently73,74. In this paper, we fill this lacuna by developing a general framework elucidating the fate of topological states on fractal lattices embedded in two di- mensions (2D). Through this picture, we find that the nature of thermodynamic phases -- gapped vs gapless -- on fractal lattices depends crucially on the ratio of bulk to edge coordinated sites. Focusing on the chiral p-wave superconductor on the Sierpinski gasket, we show that qualitative features obtained through numerical diago- nalization can be understood simply through our frame- work. Besides characterizing the two distinct phases of this model using various theoretical tools, we further cor- roborate our understanding by studying both the p-wave superconductor and an HOTI on the Sierpinski carpet. II. MODEL X X We consider a 2D spinless chiral p-wave supercon- ductor (symmetry class D12) within the Bogoliubov- deGennes (BdG) framework, with the mean field lattice BCS Hamiltonian: H = −t r + h.c.], (1) † r, cr satisfy fermionic anti-commutation relations where c † r0} = δr,r0, t is the nearest-neighbor hopping, µ is {cr, c the chemical potential, and we set the lattice spacing rcr +X † r+emc† [∆mc rcr0 − µ c† hr,r0i c† r r,m n r a = 1. Specifying to a triangular lattice75, the pair- ing term ∆m = ∆eiπm/3 is defined on the nearest- neighbor bonds corresponding to the three lattice vec- tors em with azimuthal angles mπ/3 (m = 0, 1, 2). We introduce the standard Bogoliubov transformation: (cid:3), where γn is the Bogoliubov (cid:2)un,rγn + vn,rγ† cr = P quasiparticle annihilation operator and (un,r, vn,r)T diag- onalizes the BdG Hamiltonian (1), with eigenvalue En. We study this model on a Sierpinski gasket (SG) with "periodic" boundary conditions (see Fig. 1a) i.e., with four gaskets arranged on alternating faces of an octahe- dron, ensuring that all lattice sites are equally (four) co- ordinated. We construct a lattice regulated (with a small- est triangle) SG recursively, by adding sites/bonds to a gasket at generation g to arrive at the g + 1 SG. The largest lattice we can probe numerically has g = 6, with the total number of sites N ∼ 3g+1 at generation g. Setting ∆ > 0 and noting that the Hamiltonian Eq. (1) admits a topological phase on a triangular lattice for −6t < µ < 2t (see Appendix A), we find that this model admits topologically distinct phases even on the SG. The qualitative distinction between the two phases is illus- trated in Fig. 2, which shows the spectrum and states for g = 5. For µ > 2t or µ < −6t, we find a fully gapped "trivial" phase (see Fig. 3), where eigenstates are delocal- ized, thereby behaving as bulk states in ordinary gapped systems. In the thermodynamic (g → ∞) limit, the spec- trum is self-similar, with infinitely many gaps. In con- trast, for −6t < µ < 2t we find that the amplitude of the 3 Figure 3: Gapped trivial phase of the p + ip superconductor on the SG, with g = 5, ∆ = 1, t = 0.5, µ = 2. Energy spectrum and probability densities of eigenvectors at indicated energies are shown. Color scale indicates values of x, y, z coordinates, with dot size indicating the magnitude of the probability density at that point. largest gap in the spectrum decays exponentially with in- creasing generation (see Appendix D), such that the spec- trum is strictly gapless in the g → ∞ limit. Thus, this parameter range describes a qualitatively distinct phase with emergent continuous scale invariance, unlike the trivial phase which only possesses discrete scale invari- ance. Particle-hole symmetry is present in both phases. While the spectra are obtained by numerically diagonal- izing the BdG Hamiltonian (1), these can in principle also be obtained recursively (see Appendix B for details). An intriguing feature of the gapless phase is the edge- like nature of eigenstates: in Fig. 2, we plot the electronic densities for representative states at the indicated ener- gies, revealing states sharply localized on triangular mo- tifs formed by sites of various generations i.e., localized around the inner edges (or holes) of the SG. While states closest to E = 0 are localized on the outer edges, corre- sponding to the earliest generations, there is a hierarchy of states localized on inner edges created at subsequent generations of the SG. In the thermodynamic limit, we expect that all eigenstates in this phase will be sharply localized along edges. Remarkably, these localized states are also chiral, with a wave-packet initialized on any in- ner edge propagating in the direction opposite to that of one initialized on the outermost edge (see Appendix C). Surprisingly, we find that the transition between the trivially gapped and the gapless phase coincides with the trivial ↔ topological transition of Eq. (1) on the trian- gular lattice. This observation hints that the model on the SG inherits its behavior from one defined on a tri- angular lattice. Indeed, we can regard the inner edges of the SG as holes in a triangular lattice, which, in the topological phase of Eq. (1), host gapless chiral Majo- rana modes propagating counter to the outermost edge state76. Since the number of these holes increases with g, there are infinitely many gapless modes in the spectrum as g → ∞, resulting in a gapless spectrum. This physical picture suggests that the chiral eigenstates in the gapless phase are descended from Majorana edge modes of the p + ip state on a triangular lattice. We hence dub this the gapless topological phase on the SG. III. DIAGNOSTICS Before building on this intuitive picture and showing that it generalizes to other fractal lattices, such as the Sierpinski carpet (SC), and other topological states, we further characterize the two distinct phases of the p + ip superconductor on the SG using some standard diagnos- tics. A. Real-space Chern number Since our model lacks translation invariance, and only retains (discrete) scale invariance, we cannot use the momentum-space Chern number to characterize the topological and trivial phases of the p + ip superconduc- tor on the SG. Thus, we instead compute the real-space 4 (a) (b) Figure 4: The real-space Chern number (black curve) as a function of Fermi energy Ef, with the corresponding spectrum shown in red in (a) the trivial phase (µ = 2), and (b) the topological phase (µ = 0.5). Here, g = 4, t = 0.5, and ∆ = 0.5. Chern number introduced in Ref. [77], which reduces to the momentum-space Chern number in the presence of translation invariance: C = 12πi (PjkPklPlj − PjlPlkPkj), (2) X X X j∈A k∈B l∈C where P projects onto occupied states with respect to a given chemical potential, and j, k, l are indices corre- sponding to three distinct neighboring regions A, B, C, arranged counter-clockwise (see Fig. 1b). In Eq. 2, Pij is † a 2×2 matrix whose rows correspond to c i , ci, and whose † columns correspond to cj, c j. Retaining the site basis, we rotate only the k /pseudospin basis. We then diagonalize the 2 × 2 matrix in the expression for C such that pseu- dospin is now a good quantum number, and then take the trace. With ePij representing the 2 × 2 block after di- agonalization, the expression for the Chern number can be rewritten as: Tr(ePjkePklePlj − ePjlePlkePkj), (3) X X X j∈A k∈B l∈C C = 12πi For g = 5 in the trivial phase, we find that C = 0 for all gapped regions of the spectrum (see Fig. 4a). We have checked that this quantization becomes independent of the specific choice of regions A, B, C at large g ≥ 4 i.e., in the limit when the number of sites in each region becomes large. In the thermodynamic (g → ∞) limit, the spectrum within the trivial phase displays an infinite hierarchy of self-similar gaps, and we expect that C will vanish identically for each of the infinitely many gaps in the spectrum. In contrast, within the topological phase the gapped regions of the SG scale to zero and have a trivially quan- tized Chern number. As can be seen in Fig. 4b, we find that indeed C = 0 within the finite-size gaps at finite g in the topological phase. Nevertheless, similarly to previous works on topological amorphous superconductors43 and on the quantum Hall effect on fractal lattices74, we expect the Chern number to take a non-trivial quantized value within the gapless regions due to the presence of a mobil- ity gap and the topological nature of the phase. While our numerics suggest that the Chern number tends towards a quantized non-zero value with increasing g in regions corresponding to low but non-zero density of states, we are numerically limited to g ≤ 5, for which finite-size effects obscure the expected quantization. Thus, in the thermodynamic limit, the trivial phase will exhibit a strictly quantized C = 0 within the in- finitely many gaps in the spectrum; on the other hand, although the spectrum becomes gapless in the topolog- ical phase, we expect that C converges to a non-trivial quantized value as g → ∞ in the gapless regions due to the presence of a mobility gap74. Verifying the latter requires investigating the model on a SG with large g, which is beyond our current numerical capabilities. B. Inverse participation ratio Another useful diagnostic is the inverse-participation- ratio (IPR) of the nth eigenstate78,79, (cid:0)un,r4 + vn,r4(cid:1) P (cid:2)P r (un,r2 + vn,r2)(cid:3)2 r IPRn = (4) which scales as L−2 for extended states but remains fi- nite for localized states even in the thermodynamic limit. In the trivial phase, all eigenstates are delocalized (see Fig. 5a), reflecting their bulk nature. Increasing g sup- 5 (a) (b) Figure 5: IPRn (using open boundary conditions on a single SG), with g = 4, t = 0.5, ∆ = 0.5. All states are delocalized in the (a) trivial phase (µ = 2) while the (b) topological phase (µ = 0.5) exhibits states localized around edges. presses the IPR values further towards zero. In the topo- logical phase, the IPR values instead abruptly jump be- tween ∼ 0 and ∼ 1, with the latter corresponding to eigenstates localized along the various edges (or holes) of the SG, as in Fig. 2. The number of localized states increases with g (see Figs. 5b and 6), consistent with the physical picture discussed above: cutting out holes from the triangular lattice does not introduce any edge modes in the trivial phase, and all states remain extended. In the topological phase however, additional gapless edge modes are introduced, with the number of such modes increas- ing with g. This agrees with the numerical observation of localized states with IPRn ∼ 1 as shown in Fig. 5b. C. Level Statistics ogy, we add an onsite term P Since disorder provides an independent probe of topol- † r Vrc rcr to the Hamilto- nian (1), with Vr drawn randomly from the uniform dis- tribution [−W/2, W/2]. In Fig. 7, we plot the energy-level spacing distributions, averaged over 500 disorder real- izations, for weak and strong disorder in both phases. The normalized level spacing is given by s = En − En+1/δ(En), with δ(En) the mean-level spacing near en- ergy En. In the trivial phase, the distribution is Poisso- nian at both weak and strong disorder, consistent with a localized phase. The level spacings in the topological phase follow unitary Wigner-Dyson (GUE) statistics at weak disorder (W = 2) and transition to Poisson at strong (W = 8) disorder, with the transition80 to the Figure 6: IPRn with g = 5, t = 0.5, ∆ = 0.5. Comparison with Fig. 5b clearly shows that the number of localized states in the middle of the spectrum increases with g. Figure 7: Distribution of normalized energy level spacings with disorder W , with g = 4, t = 0.5, ∆ = 0.5. Level statistics shown for weak (W = 2) and strong (W = 8) disorder for the trivial (µ = 2) and topological (µ = 0.5) phase. Anderson insulator occurring at W ∼ 5. Agreement with the Wigner surmise (for β = 2) at weak disorder (see Fig. 7) indicates that the gapless topological phase is a diffusive metal81,82. IV. RECURSIVE DECIMATION We propose a physical picture which elucidates how topological states on 2D fractal lattices inherit their be- havior from a "parent" state on an underlying periodic lattice. Consider the BdG Hamiltonian (1) on a triangu- lar lattice with open boundary conditions, lattice spacing a, and size L = 2pa, as shown in Fig. 8. We define bulk and edge sites as those with coordination number six and four, respectively83. We now decimate sites and bonds recursively to generate the SG. At the gth step (g ≥ 1), we eliminate all sites and bonds contained inside 3g−1 inverted triangles of length L/2g, introducing an addi- tional 3g−1 inner boundaries into the lattice. The proce- dure continues until g = gc, with L/2gc = 2a (gc = p−1), at which stage a generation gc SG is produced: the ratio of bulk sites nB(g) to edge sites nE(g) vanishes identi- cally when g = gc (see Appendix E for details). This process is illustrated in Fig. 8. Starting in the topological phase, where a chiral Ma- jorana mode propagates clockwise along the outermost boundary, each subsequent iteration introduces addi- tional physical boundaries into the lattice, each hosting a chiral Majorana mode propagating counter-clockwise76. In the thermodynamic limit L/a → ∞, the decimation is repeated infinitely many times (gc → ∞) until only boundary sites are left and a chiral Majorana mode prop- agates along each of the infinitely many edges, result- ing in a gapless spectrum. Thus, the decimation picture shows that the chiral eigenstates of the gapless topolog- ical phase are intimately linked to the Majorana edge modes of the underlying p-wave state. Further, the ab- sence of any bulk sites explains why all bulk features of the underlying model are washed out as g → ∞, with the novel gapless state effectively described by a self-similar network of chiral 1D Majorana modes. 6 Starting instead in the trivial phase, each iteration only introduces additional gaps as no edge modes appear. The self-similar arrangement of the gaps is a consequence of discrete scale invariance of the generated SG, and the trivial → topological transition on the SG can be under- stood as the proliferation of chiral Majorana modes which occurs during the transition on the underlying periodic lattice. Our analytic picture naturally accounts for the phase boundaries of Eq. (1) on the SG matching those on the triangular lattice. We also expect that the gap- less topological phase inherits the robustness of the edge modes against arbitrary local perturbations respecting the symmetry protecting the parent (p + ip) state. To further test our decimation picture, we place the p + ip Hamiltonian on the SC. This lattice can be con- structed by recursively decimating a square lattice, on which a topological phase exists for −t < µ < t. However, the ratio limg→∞ nB(g)/nE(g) ∼ 5 for the SC, resulting in more bulk than edge coordinated sites. Crucially, the distance between gapless edge modes appearing along in- ner boundaries at each step of the decimation process de- creases with each iteration, such that each Majorana edge mode on the recursively generated SC is separated from one with opposite chirality by 3a. In the thermodynamic limit, these edges states back-scatter and hybridize, lead- ing to a gapped spectrum; we thus expect that bulk fea- tures of the underlying state persist on the SC as g → ∞ even in the topological phase. Results obtained by nu- merically diagonalizing the BdG Hamiltonian Eq. (1) on the SC vindicate our prediction: we find a trivial (C = 0) and a gapped topological (with quantized C = 1) phase, with phase boundaries matching those of the model on the square lattice (see Appendix E 2 for details). We posit that the above analysis readily generalizes to any parent 2D topological state protected by internal symmetries: for parameters corresponding to the topolog- ical phase on a triangular lattice, the model will admit a gapless topological phase on the SG, whose physics is governed by that of the 1D gapless edge states of the par- ent state. On the SC, for parameters corresponding to the topological phase on the square lattice, the spectrum will remain gapped and exhibit a nontrivial quantized topo- logical invariant. Thus, the nature of topological states on a given fractal lattice depends crucially on whether limg→∞ nB(g)/nE(g) remains finite or vanishes, resulting in a gapped or gapless topological phase respectively. The results of Ref. [73], which studied the half-BHZ model84 on the SG and SC, are in excellent agreement with our conjecture and support the generality of our arguments. Figure 8: Decimating a triangular lattice recursively to generate the SG. Blue (black) dots denote sites with boundary (bulk) coordination. Sites and bonds inside the red (green) triangle(s) are eliminated at the first (second) step. V. HOTI ON THE SIERPINSKI CARPET Extending the above ideas to topological states pro- tected by spatial symmetries requires more care, since we must ensure that no symmetries protecting the un- derlying state are broken at any step of the recursive decimation, in order to stay within the same phase. For 7 (a) (b) Figure 9: (a) Spectrum and (b) non-propagating corner modes in an HOTI defined on the SC (g = 3, γ = 0.5, λ = 1). instance, for a cSPT protected by C4 rotation, we can start from a square lattice and recursively generate the SC through decimation, resulting in a gapped topolog- ical phase in the thermodynamic limit. To demonstrate the applicability of our general framework to this case, we have studied the paradigmatic four-band model of an HOTI, introduced in Ref. [22], on the SC. The real space Hamiltonian on the square lattice is given by: m,n+1cm,n + h.c.i , H = −X h † λ(1) m+1,ncm,n + λ(2) m,nc † m,nc m,n where c† erators for site (m, n) of the square lattice, and where m,n, cm,n are fermionic creation/annihilation op- 2λ(1);(2) m,n = λ(1 + (−1)m;n) + γ(1 − (−1)m;n) . This model preserves C4 rotation, time-reversal, and charge-conjugation symmetries, and presents localized corner modes when γ/λ < 1. Starting from the topo- logical phase on the square lattice, we recursively deci- mate the lattice to generate the SC. Each iteration cre- ates additional inner boundaries, each hosting protected gapless corner modes since no symmetries are broken at any stage. Following our general arguments, we expect a gapped topological phase on the SC as g → ∞, with modes localized along the corners of infinitely many inner edges. As shown in Fig. 9, we indeed find a gapped spec- trum and corner modes on all inner boundaries. While we are numerically limited to g = 3, we expect this be- havior persists for larger generations. We also note that the topological nature of the SC HOTI is protected only in the presence of a particle-hole symmetry in addition to a C4 symmetry: in the absence of particle-hole symme- try, the zero energy modes can be shifted around without breaking the C4 symmetry22. Besides the generalization to spatial symmetries, this analysis indicates that HOTIs remain well-defined on fractal lattices as long as symme- tries protecting the parent state remain unbroken. VI. CONCLUSIONS In this paper, we have presented general principles which determine the fate of 2D topological states on some fractal lattices, with numerics supporting our analytic ar- guments. Our results strongly suggest that lattices such as the SG (SC) can support gapless (gapped) topological phases, whose properties derive from those of an under- lying parent state. Understanding the role of interactions remains an important open question, as does extending these ideas to 3D topological phases on e.g., the Sierpin- ski prism, where novel behavior could result from the rich structure of surface states. A more thorough investigation of the gapless topological phase of the p + ip supercon- ductor on the SG is also warranted and could shed light on its low-energy effective field theory as well as the ob- served topological metal-to-insulator transition. Finally, given the progress in fabricating fractal lattices62 -- 66 and in realizing HOTIs on a variety of platforms85 -- 88, experi- mentally realizing corner modes on a fractal lattice could be within reach. ACKNOWLEDGMENTS We are especially grateful to Sheng-Jie Huang and Rahul Nandkishore for discussions which inspired this work. We also acknowledge stimulating conversations and correspondence with Yang-Zhi Chou, Victor Gu- rarie, Michael Hermele, Sergej Moroz, Titus Neupert, and Michael Pretko. The work of SP is supported by the U.S. Department of Energy, Office of Science, Basic Energy Sciences (BES) under Award number DE-SC0014415. AP is supported by a PCTS Fellowship at Princeton Univer- sity. Appendix A: p + ip on a Triangular Lattice While the d = 2 BdG Hamiltonian describing the chiral p + ip superconductor (Eq. (1) in the main text) is typi- cally implemented on a square lattice (see e.g. Ref. 89), it also allows for a topological phase on a triangular lattice, which we discuss briefly here. For a system with periodic 8 boundary conditions along both x and y directions, we can write the Hamiltonian in momentum space as H =X (cid:0)c k † k c−k (cid:1)Hk (cid:19) (cid:18) ck † c −k , (A1) † where c k and ck are fermionic creation and annihilation operators corresponding to momentum k, and where Hk = 1 2 with (cid:18) k ∆1,k ∆2,k −k (cid:19) , (A2) (cid:19) (cid:20) (cid:20) √ (cid:20) cos(kx) + cos (cid:18) kx 3ky (cid:18) kx 2 + 2 (cid:18) kx sin(kx) + eiπ/3sin 2 + 2 + sin(kx) + e−iπ/3sin + cos √ √ 3ky 2 3ky 2 √ (cid:18) kx (cid:19) 2 − (cid:19) + e2iπ/3sin (cid:19)(cid:21) (cid:18) (cid:18) 3ky 2 + e−2iπ/3sin k = −2t ∆1,k = −2i∆ ∆2,k = 2i∆ − µ, √ − kx 2 + − kx 2 + 3ky 2 √ 3ky 2 (cid:19)(cid:21) (cid:19)(cid:21) , (A3) (A4) (A5) . ±p2 The energy eigenvalues of Hk are given by E(k) = k + ∆1,k∆2,k. Here, t is the hopping parameter, ∆ is the pairing amplitude, and µ is the chemical potential. It is straightforward to check that this system has gap closings at µ = −6t, 2t. For a triangular lattice with open boundary conditions, the above Hamiltonian gives rise to persistent chiral Majorana edge modes for −6t < µ < 2t for any ∆ 6= 0. These parameter values, therefore, characterize the trivial ↔ topological transition on the triangular lattice. Writing the BdG Hamiltonian in Eq. (A2) as Hk = Figure 10: Chern number C for the p + ip superconductor on a triangular lattice. The plot shows that C = 1 for −6 < µ/t < 2 (the topological phase), and C = 0 otherwise, i.e. in the trivial phase. The above holds for any ∆ 6= 0. h(k) · σ, with h(k) being a smooth function which is nonzero for all momenta, such that the bulk is fully gapped, we can then define a unit vector h(k) that maps the 2D momentum space (defined on T 2) onto a unit sphere. Here, σ is the usual vector of Pauli matrices σi, i = x, y, z. The momentum-space Chern number C is then given by90 Z C = d2k 4π k∈BZ hh ·(cid:16) h(cid:17)i h × ∂ky ∂kx , (A6) where "BZ" refers to Brillouin Zone. We find that C = 1 in the topological phase (−6t < µ < 2t), and C = 0 in the trivial phase (see Fig. 10). Appendix B: Recursive method for determining the BdG eigenspectrum on the Sierpinski Gasket We follow the analysis in Ref. [50] to show that it is sufficient to study an effective model defined on a sub- set of the original sites rather than solving an eigenvalue equation involving all sites of the fractal lattice i.e., the SG. The eigenvalue equation for our system takes the form Hψi = Eψi. We divide the Hilbert space into two subspaces: one subspace consisting of all sites added up to the (n-1)th generation, and the other subspace with sites added at the nth generation. We refer to these sub- spaces as A and B respectively. We denote the projection of ψi onto these two subspaces as ψAi and ψBi, with 9 instead of one. To obtain the analogue of Eq. (B3), we need the hopping matrices associated with the underlying n = 1 triangle (see Fig. 11). For i, j ∈ {1, 2, 3}, we find that (HAA)ij = − µ (HAB)ij = − µ (cid:20) 2 σzδij , 2 σzδij + (1 − δij) = (HBA)ij = (HBB)ij , (cid:21) − t 2 σz − i∆eiαij σy (B4) Figure 11: Decimating a g = 1 lattice to a g = 0 lattice by using Eq. (B3). for the Hamiltonian defined in Eqs. (A2)-(A5). Here, αij is the angle between the link joining sites i and j and the local x-axis at site i. Using Eq. (B3), we find that the eigenvalue equation then given by: (cid:18)HAA HAB (cid:19)(cid:18)ψAi (cid:19) (cid:18)ψAi (cid:19) = E ψBi HBA HBB ψBi following which we can can formally write ψBi = (E − HBB)−1HBAψAi . , (B1) (B2) As discussed in Ref. [50], we can now define an "effective" Hamiltonian acting only on the sites of the decimated lattice i.e., sites belonging to subspace A: HeffψAi = [HAA + HAB(E − HBB)−1HBA]ψAi . (B3) We now apply this formalism to the system under con- sideration. An additional feature of the BdG Hamilto- nian in Eq. (A2) is the presence of two "orbitals" per site ij = [HAA + HAB(E − HBB)−1HBA]ij . Heff (B5) Since the BdG Hamiltonian gives rise to robust chiral edge states for −6t < µ < 2t for any ∆ 6= 0, we set ∆ = 1 and µ = 0 here (corresponding to the topological phase for any nonzero t) to simplify our analysis. Other param- eters can be analyzed following the procedure delineated here. Now, we compare the effective Hamiltonian with the original BdG Hamiltonian but now defined on the generation n = 0 lattice and with hopping parameter t0. This allows us to express the effective Hamiltonian as the BdG Hamiltonian acting on sites in the A sublattice, but with renormalized hopping strength t0. Using Eq. (B5), we can derive an expression for t0 in terms of the original parameters: t0 = t(48 − 12t2 + t6) − t(144 + 7t2(4 + t2))E2 + 2t2(−10 + t2)E3 + 4t(16 + 3t2)E4 − 8(2 + t2)E5 48 − 12t2 + t6 − 3(48 + 8t2 + 3t4)E3 + 4t(16 + 3t2)E4 − 16E6 (B6) Next, we use Eq. (B6) and the relation t0 n−1 = t n to derive a recursion relation between n−1 and n(= E/t), the dimensionless (scaled by the hopping energies t0 and t respectively) energy eigenvalues on the generation n−1 and n SGs. Therefore, in principle, given an energy eigen- value n−1 of the system defined on the generation (n−1) SG, Eq. (B6) allows us to determine the correspond- ing eigenvalues on the generation n lattice. However, as pointed out in Ref. [50], the recursion relation by itself does not give the correct degeneracy for those eigenval- ues which correspond to the zeroes of the denominator: these have to be put in by hand at every iteration of the recurrence relation. Appendix C: Chiral nature of eigenstates in the topological phase In order to visualize the chiral nature of the edge modes that appear in the topological phase of the p + ip super- conductor on the SG, we construct an initial wave packet localized over a few sites belonging to some outer edge of the Sierpinski gasket, and project it onto edge states within an arbitrary but small energy window close to zero, say (−0.3 < ε < 0.3), in order to obtain the propa- gating edge mode shown in Fig. 12. Likewise, we project a wave packet localized on an in- ner edge onto the states within a similar energy range in 10 Figure 12: The evolution of a wave packet created by projecting onto edge states close to zero energy within the energy range (−0.3 < ε < 0.3) is shown. It can be seen that it moves exclusively on the edge of the system with definite chirality (g = 4, µ = 0.5, t = 0.5, ∆ = 0.5). one of the other gaps in the spectrum to obtain Fig. 13. We find that the chirality of wave packets initialized on any of the inner edges (or holes) of the lattice is opposite to that of a wave packet propagating along the outermost edge. Appendix D: Scaling of the gap in the topological phase For any finite generation g, the spectrum of the p + ip state on the SG presents a finite number of gaps {Ej}. However, the amplitude of these gaps decreases exponen- tially with g, such that the spectrum becomes gapless in the thermodynamic limit. Specifically, we have the ana- lyzed the scaling of the largest gap in the spectrum as a function of g, for various parameters corresponding to the topological phase. In Fig. 14, we show the gap scaling on a semi-log plot, which clearly demonstrates that the largest gap in the spectrum goes to zero exponentially fast as g → ∞ i.e., maxjEj = Emax ∼ ∆e−βg , (D1) for some β > 0, which is weakly dependent on µ/t. Since the maximal gap Emax → 0 as g → ∞, all the other gaps also vanish, leading to a gapless phase in the thermody- namic limit. Appendix E: Details regarding the decimation procedure 1. SG from triangular lattice As discussed in the main text, we consider a triangular lattice with lattice spacing a. We assume that the lattice takes the shape of an equilateral triangle with each side of length L = 2pa (p ∈ Z+). The thermodynamic limit is taken in the usual way, L/a → ∞. The coordination number of sites in the interior of the lattice, which we denote bulk sites, equals six, while that of those along the edge, denoted edge sites, equals four. To ensure that the three corner sites, which have coordination number two, are also boundary sites, we can place four copies of this lattice in the arrangement depicted in Fig. 1(a) of the main text. For simplicity, we discuss the recursive decimation for a single lattice here, with the analysis car- rying over as is for that configuration. Alternatively, we can also simply count the corner sites as boundary sites; since the ratio of corner sites to boundary sites vanishes in the thermodynamic limit, this will not affect our anal- ysis. Defining l ≡ L/a, the number of boundary sites is hence 3l while the number of bulk sites is 1 2(l− 2)(l− 1), with only a single, outer boundary present. At the first step of the decimation procedure, we elim- inate sites and bonds contained in the interior of a in- verted triangular lattice with side L/2, which introduces an interior boundary into the lattice. At the gth step (g ≥ 1), we eliminate all sites and bonds contained within 3g−1 inverted lattices of length L/2g, which are arranged self-similarly within the parent triangular lat- 11 Figure 13: The evolution of a wave packet initialized on an inner edge is shown. It can be seen that it moves exclusively on the corresponding inner edge of the system with chirality opposite to that of the outermost edge (g = 4, µ = 0.5, t = 0.5, ∆ = 0.5). tice (see Fig. 5 in the main text). This introduces an additional 3g−1 boundaries into the parent lattice, such that the total number of boundaries at step g is 1 2(3g+1), which includes the single outermost boundary of the un- derlying triangular lattice. Denoting the number of bulk and edge sites present at the gth iteration as nB(g) and (cid:19)(cid:18) l (cid:19) nE(g) respectively, straightforward algebra shows that gX 2(l − 2)(l − 1) − 1 nB(g) = 1 2j − 1 (cid:18) 3l (cid:19) − gX 2 2j − 3 (cid:18) 3l gX 2j − 3 (cid:18) l 2j − 2 nE(g) = 3l + 3j−1 , (cid:19) . (E1) (E2) j=1 3j−1 j=1 3j−1 j=1 The SG is generated once all sites are edge sites with coordination number four. Hence, we stop the process once we have eliminated the smallest triangle containing sites and bonds contained within its interior i.e., at step g = gc, with 2gc = l 2 , since a triangle with side length a is the smallest possible triangle and contains no interior sites or bonds. It is then easy to check that nB(gc) = 0 as stated in the main text. In the thermodynamic limit, gc → ∞ so that the decimation process must be repeated infinitely many times, leading to infinitely many inner edges created within the parent triangular lattice. More- over, for a fixed l = 2p, one can check that lim g→p nB(g) nE(g) = 0 . (E3) 2. SC from square lattice We now repeat the above analysis in order to gener- ate a lattice-regulated SC (with a smallest square) from a square lattice through recursive decimation. A key dis- tinction between the SG and the SC is that the former has a finite ramification while the latter is infinitely ramified; in other words, only a finite number of bonds need to be Figure 14: Scaling of the gap as a function of the generation g of the Sierpinski gasket in the topological phase. The gap decays to zero exponentially fast as g → ∞. Here, t = 0.5, ∆ = 0.5. Figure 15: Generating an SC from a square lattice. The first (second) step results in inner edge mode(s), shown in red (green), when starting from the topological phase of the p + ip superconductor on a square lattice. However, a finite number of bulk sites (black dots) remain even after the SC is generated, as shown in the zoomed in image on the right. 12 (a) (b) (c) Figure 16: p + ip state on the Sierpinski Carpet: the energy eigenvalue spectrum is shown for (a) the trivial phase (t = 0.5, µ = 1, ), and (b) the topological phase (t = 0.5, µ = 0.25). (c) shows the real space Chern number within the gap as function of µ/t, clearly indicating the existence of a gapped topological phase on the SC. cut to separate out an extensive piece of the gasket, while for the carpet, the number of bonds which need cutting tends to infinity in the thermodynamic limit. Crucially, while the SG at any generation has only edge sites, the SC always contains a finite number of sites with bulk co- ordination number. The procedure follows that discussed in the previous section closely: consider a square lattice with lattice spacing a and length L, with l = L/a. Bulk and edge sites have coordination number four and three respectively, where we again subsume corner sites with coordination number two as boundary sites since the ra- tio of corner sites to edge sites vanishes as l → ∞. The parent lattice thus has 4l boundary sites and (l−2)2 bulk sites, with a single outer boundary. At the gth step (g ≥ 1) of the decimation, we elimi- nate sites and bonds contained within 8g−1 square lat- tices of length L/3g, arranged self-similarly within the parent square lattice (see Fig. 15). This introduces an ad- ditional 8g−1 inner edges into the parent lattice, such that the total number of boundaries at step g is 1 7(8g + 6), in- cluding the outermost boundary of the underlying square lattice. As before, denoting the number of bulk and edge sites present at the gth iteration as nB(g) and nE(g) re- spectively, we find that 8j−1 j=1 (cid:18) l (cid:19)2 nB(g) =(l − 2)2 − gX 3g − 1 (cid:18) 4l (cid:19) 3g − 4 (cid:19) (cid:18) 4l 3g − 4 − gX gX nE(g) =4l + 8j−1 8j−1 j=1 j=1 , (E4) (E5) We arrive at the SC when g = gc, with 3gc = l 3. In the thermodynamic limit, we hence require gc → ∞, with lim gc→∞ nE(gc) = 315 nB(gc) 64 ∼ 5, (E6) such that the ratio of bulk to edge sites remains finite. Following the above analysis, it is also straightforward to see that at the gth step of the decimation procedure, each chiral edge mode is separated from another one by a distance L/3g. At the final step g = gc, where the SC is generated, each mode is separated by 3a from an edge mode with opposite chirality, as illustrated in Fig. 15. Since the separation between such counter-propagating Majorana edge modes approaches their bulk penetration depth at large g, these states are gapped out due to back- scattering, resulting in a gapped spectrum. As discussed in the main text, the hybridization of the gapless edge states is a consequence of a non-vanishing ratio of bulk to boundary coordinated sites in the thermodynamic limit, which in turn allows the SC to host gapped topological phases retaining the bulk features of the phase defined on the parent square lattice. Appendix F: Numerical diagonalization of the BdG Hamiltonian on the SC The pairing term of the BdG Hamiltonian (Eq. (1) in the main text) on a square lattice is specified by ∆x = ∆ and ∆y = i∆, defined on the nearest-neighbor bonds cor- responding to the lattice vectors ex and ey. The spectrum is gapped everywhere for ∆ 6= 0, except at µ = ±4t, with µ < 4t corresponding to the topological phase, which has a quantized momentum space Chern number C = 1 and hosts a chiral gapless Majorana mode along the sam- ple boundary. We numerically diagonalize this model on the Sierpinski carpet and find that, unlike the model on the SG, the spectrum remains gapped in both the triv- ial (µ > 4t) and the topological phase (µ < 4t), as shown in Figs. 16a and 16b respectively. We also calcu- late the real space Chern number (Eq. (2) in the main text) within the gap as a function of µ/t and find that it vanishes in the trivial phase, but takes on a quantized value C = 1 in the topological phase, as shown in Fig. 16c. ∗ [email protected][email protected] 1 C. L. Kane and E. J. Mele, Phys. Rev. Lett. 95, 146802 29 S.-J. Huang, H. Song, Y.-P. Huang, and M. Hermele, Phys. Rev. B 96, 205106 (2017). 30 R. Thorngren and D. V. Else, Phys. Rev. X 8, 011040 2 B. A. Bernevig and S.-C. Zhang, Phys. Rev. Lett. 96, 31 T. Senthil, Annual Review of Condensed Matter Physics 3 L. Fu, C. L. Kane, and E. J. Mele, Phys. Rev. Lett. 98, 32 R. S. K. Mong, J. H. Bardarson, and J. E. Moore, Phys. 4 J. E. Moore and L. Balents, Phys. Rev. B 75, 121306 33 Z. Ringel, Y. E. Kraus, and A. Stern, Phys. Rev. B 86, (2005). 106802 (2006). 106803 (2007). (2007). 5 R. Roy, Phys. Rev. B 79, 195322 (2009). 6 M. König, S. Wiedmann, C. Brüne, A. Roth, H. Buhmann, L. W. Molenkamp, X.-L. Qi, and S.-C. Zhang, Science 318, 766 (2007). 7 D. Hsieh, D. Qian, L. Wray, Y. Xia, Y. S. Hor, R. J. Cava, and M. Z. Hasan, Nature 452, 970 (2008). 8 N. Read and D. Green, Phys. Rev. B 61, 10267 (2000). 9 D. A. Ivanov, Phys. Rev. Lett. 86, 268 (2001). 10 M. Stone and R. Roy, Phys. Rev. B 69, 184511 (2004). 11 P. Zhang, K. Yaji, T. Hashimoto, Y. Ota, T. Kondo, K. Okazaki, Z. Wang, J. Wen, G. D. Gu, H. Ding, and S. Shin, Science 360, 182 (2018). 12 S. Ryu, A. P. Schnyder, A. Furusaki, and A. W. W. Lud- wig, New Journal of Physics 12, 065010 (2010). 13 A. Kitaev, AIP Conference Proceedings 1134, 22 (2009). 14 M. Z. Hasan and C. L. Kane, Rev. Mod. Phys. 82, 3045 (2010). (2011). 15 X.-L. Qi and S.-C. Zhang, Rev. Mod. Phys. 83, 1057 16 L. Fu, Phys. Rev. Lett. 106, 106802 (2011). 17 T. H. Hsieh, H. Lin, J. Liu, W. Duan, A. Bansil, and L. Fu, Nature Communications 3, 982 (2012). 18 Y. Okada, M. Serbyn, H. Lin, D. Walkup, W. Zhou, C. Dhi- tal, M. Neupane, S. Xu, Y. J. Wang, R. Sankar, F. Chou, A. Bansil, M. Z. Hasan, S. D. Wilson, L. Fu, and V. Mad- havan, Science 341, 1496 (2013). 19 P. Sessi, D. Di Sante, A. Szczerbakow, F. Glott, S. Wilfert, H. Schmidt, T. Bathon, P. Dziawa, M. Greiter, T. Neupert, G. Sangiovanni, T. Story, R. Thomale, and M. Bode, Sci- ence 354, 1269 (2016). 20 J. Ma, C. Yi, B. Lv, Z. Wang, S. Nie, L. Wang, L. Kong, Y. Huang, P. Richard, P. Zhang, K. Yaji, K. Kuroda, S. Shin, H. Weng, B. A. Bernevig, Y. Shi, T. Qian, and H. Ding, Science Advances 3 (2017), 10.1126/sci- adv.1602415. 21 F. Schindler, A. M. Cook, M. G. Vergniory, Z. Wang, S. S. P. Parkin, B. A. Bernevig, and T. Neupert, Science Advances 4 (2018). 22 W. A. Benalcazar, B. A. Bernevig, and T. L. Hughes, Science 357, 61 (2017). 23 J. Langbehn, Y. Peng, L. Trifunovic, F. von Oppen, and P. W. Brouwer, Phys. Rev. Lett. 119, 246401 (2017). 24 Z. Song, Z. Fang, and C. Fang, Phys. Rev. Lett. 119, 246402 (2017). 25 E. Khalaf, H. C. Po, A. Vishwanath, and H. Watanabe, Phys. Rev. X 8, 031070 (2018). 26 X. Chen, Z.-C. Gu, Z.-X. Liu, and X.-G. Wen, Phys. Rev. B 87, 155114 (2013). 27 Z.-C. Gu and X.-G. Wen, Phys. Rev. B 90, 115141 (2014). 28 H. Song, S.-J. Huang, L. Fu, and M. Hermele, Phys. Rev. X 7, 011020 (2017). 13 (2018). 6, 299 (2015). Rev. Lett. 108, 076804 (2012). 34 G. Schubert, H. Fehske, L. Fritz, and M. Vojta, Phys. Rev. 35 M. S. Foster, H.-Y. Xie, and Y.-Z. Chou, Phys. Rev. B 045102 (2012). B 85, 201105 (2012). 89, 155140 (2014). 36 I. C. Fulga, B. van Heck, J. M. Edge, and A. R. Akhmerov, Phys. Rev. B 89, 155424 (2014). 37 J. Song and E. Prodan, Phys. Rev. B 92, 195119 (2015). 38 A. Agarwala and V. B. Shenoy, Phys. Rev. Lett. 118, 236402 (2017). 39 K. Pöyhönen, I. Sahlberg, A. Westström, and T. Ojanen, Nature Communications 9, 2103 (2018). 40 N. P. Mitchell, L. M. Nash, D. Hexner, A. M. Turner, and W. T. M. Irvine, Nature Physics 14, 380 (2018). 41 C. Bourne and E. Prodan, Journal of Physics A: Mathe- matical and Theoretical 51, 235202 (2018). 42 A. Agarwala, V. Juricic, and B. Roy, arXiv e-prints (2019), arXiv:1902.00507 [cond-mat.mes-hall]. 43 I. Sahlberg, A. Westström, K. Pöyhönen, and T. Ojanen, (2019), arXiv:1902.01623 [cond-mat.mes- arXiv e-prints hall]. 44 Y. E. Kraus, Y. Lahini, Z. Ringel, M. Verbin, and O. Zil- berberg, Phys. Rev. Lett. 109, 106402 (2012). 45 Y. E. Kraus, Z. Ringel, and O. Zilberberg, Phys. Rev. 46 I. C. Fulga, D. I. Pikulin, and T. A. Loring, Phys. Rev. 47 M. A. Bandres, M. C. Rechtsman, and M. Segev, Phys. 48 H. Huang and F. Liu, Phys. Rev. Lett. 121, 126401 (2018). 49 H. Huang and F. Liu, Phys. Rev. B 98, 125130 (2018). 50 E. Domany, S. Alexander, D. Bensimon, and L. P. Kadanoff, Phys. Rev. B 28, 3110 (1983). 51 J. R. Banavar, L. Kadanoff, and A. M. M. Pruisken, Phys. 52 Y. Gefen, B. B. Mandelbrot, and A. Aharony, Phys. Rev. Rev. B 31, 1388 (1985). Lett. 45, 855 (1980). 53 Y. Gefen, A. Aharony, B. B. Mandelbrot, and S. Kirk- patrick, Phys. Rev. Lett. 47, 1771 (1981). 54 R. Rammal and G. Toulouse, Phys. Rev. Lett. 49, 1194 Lett. 111, 226401 (2013). Lett. 116, 257002 (2016). Rev. X 6, 011016 (2016). (1982). 55 S. Alexander, Phys. Rev. B 29, 5504 (1984). 56 A. J. Kollár, M. Fitzpatrick, and A. A. Houck, arXiv e- prints (2018), arXiv:1802.09549 [quant-ph]. 57 M. R. Slot, T. S. Gardenier, P. H. Jacobse, G. C. P. van Miert, S. N. Kempkes, S. J. M. Zevenhuizen, C. M. Smith, D. Vanmaekelbergh, and I. Swart, Nature Physics 13, 672 (2017). 58 M. R. Slot, S. N. Kempkes, E. J. Knol, W. M. J. van Weerdenburg, J. J. van den Broeke, D. Wegner, D. Van- maekelbergh, A. A. Khajetoorians, C. Morais Smith, and I. Swart, Phys. Rev. X 9, 011009 (2019). 14 S. Jeon, and I. Drozdov, Nature Physics 14, 918 (2018), arXiv:1802.02585 [cond-mat.mtrl-sci]. 89 Y. Tanaka, M. Sato, and N. Nagaosa, Journal of the Phys- ical Society of Japan 81, 011013 (2012). 90 G. Volovik, Soviet Physics-JETP (English Translation) 67, 1804 (1988). 91 E. Prodan, T. L. Hughes, and B. A. Bernevig, Phys. Rev. Lett. 105, 115501 (2010). 59 L. C. Collins, T. G. Witte, R. Silverman, D. B. Green, and K. K. Gomes, Nature Communications 8, 15961 (2017). 60 J. Girovsky, J. L. Lado, F. E. Kalff, E. Fahrenfort, L. J. J. M. Peters, J. FernÃąndez-Rossier, and A. F. Otte, Sci- Post Phys. 2, 020 (2017). 61 R. Drost, T. Ojanen, A. Harju, and P. Liljeroth, Nature Physics 13, 668 (2017). 62 T. L. Chen, D. J. Dikken, J. C. Prangsma, F. Segerink, and J. L. Herek, New Journal of Physics 16, 093024 (2014). 63 J. Shang, Y. Wang, M. Chen, J. Dai, X. Zhou, J. Kuttner, G. Hilt, X. Shao, J. M. Gottfried, and K. Wu, Nature Chemistry 7, 389 (2015). 64 S. L. Tait, Nature chemistry 7, 370 (2015). 65 X. Zhang, N. Li, L. Liu, G. Gu, C. Li, H. Tang, L. Peng, S. Hou, and Y. Wang, Chem. Commun. 52, 10578 (2016). 66 S. N. Kempkes, M. R. Slot, S. E. Freeney, S. J. M. Zeven- huizen, D. Vanmaekelbergh, I. Swart, and C. M. Smith, Nature Physics 15, 127 (2018). 67 E. van Veen, S. Yuan, M. I. Katsnelson, M. Polini, and A. Tomadin, Phys. Rev. B 93, 115428 (2016). 68 E. van Veen, A. Tomadin, M. Polini, M. I. Katsnelson, and S. Yuan, Phys. Rev. B 96, 235438 (2017). 69 B. Pal and A. Chakrabarti, Phys. Rev. B 85, 214203 (2012). 70 A. Kosior and K. Sacha, Phys. Rev. B 95, 104206 (2017). 71 B. Pal and K. Saha, Phys. Rev. B 97, 195101 (2018). 72 J. Genzor, A. Gendiar, and T. Nishino, arXiv e-prints (2019), arXiv:1904.10645 [cond-mat.stat-mech]. 73 A. Agarwala, S. Pai, and V. B. Shenoy, arXiv e-prints (2018), arXiv:1803.01404 [cond-mat.dis-nn]. 74 M. Brzezińska, A. M. Cook, and T. Neupert, Phys. Rev. 75 M. Cheng, K. Sun, V. Galitski, and S. Das Sarma, Phys. B 98, 205116 (2018). Rev. B 81, 024504 (2010). 76 J. Alicea, Reports on Progress in Physics 75, 076501 77 A. Kitaev, Annals of Physics 321, 2 (2006), january Spe- (2012). cial Issue. (2014). 54, R6897 (1996). 78 D. Thouless, Physics Reports 13, 93 (1974). 79 M. Franz, C. Kallin, and A. J. Berlinsky, Phys. Rev. B 80 Since we expect regions with quantized C 6= 0 in the gapless topological phase (due to a mobility gap), the transition likely occurs through a critical delocalized state, as is the case for insulating systems with a quantized C 6= 0 at small disorder91. 81 A. D. Mirlin, Physics Reports 326, 259 (2000). 82 Y.-Z. Chou and M. S. Foster, Phys. Rev. B 89, 165136 83 Four copies of the lattice can be arranged as in Fig. 1a such that the corner sites are also four coordinated. 84 B. A. Bernevig and T. L. Hughes, 85 S. Topological Insulators and Topological Superconductors (Princeton University Press, 2013). Imhof, C. Berger, F. Bayer, J. Brehm, L. W. Molenkamp, T. Kiessling, F. Schindler, C. H. Lee, M. Gre- iter, and T. Neupert, Nature Physics 14, 925 (2018). 86 M. Serra-Garcia, V. Peri, R. Süsstrunk, O. R. Bilal, T. Larsen, L. G. Villanueva, and S. D. Huber, Nature (London) 555, 342 (2018). 87 C. W. Peterson, W. A. Benalcazar, T. L. Hughes, and G. Bahl, Nature (London) 555, 346 (2018). 88 F. Schindler, Z. Wang, M. G. Vergniory, A. M. Cook, A. Murani, S. Sengupta, A. Y. Kasumov, R. Deblock,
1101.6014
1
1101
2011-01-31T16:44:56
Encapsulation and Electronic Control of Epitaxial Graphene by Photosensitive Polymers and UV light
[ "cond-mat.mes-hall", "cond-mat.mtrl-sci" ]
Electronic devices using epitaxial graphene on Silicon Carbide require encapsulation to avoid uncontrolled doping by impurities deposited in ambient conditions. Additionally, interaction of the graphene monolayer with the substrate causes relatively high level of electron doping in this material, which is rather difficult to change by electrostatic gating alone. Here we describe one solution to these problems, allowing both encapsulation and control of the carrier concentration in a wide range. We describe a novel heterostructure based on epitaxial graphene grown on silicon carbide combined with two polymers: a neutral spacer and a photoactive layer that provides potent electron acceptors under UV light exposure. Unexposed, the same double layer of polymers works well as capping material, improving the temporal stability and uniformity of the doping level of the sample. By UV exposure of this heterostructure we controlled electrical parameters of graphene in a non-invasive, non-volatile, and reversible way, changing the carrier concentration by a factor of 50. The electronic properties of the exposed SiC/ graphene/polymer heterostructures remained stable over many days at room temperature, but heating the polymers above the glass transition reversed the effect of light. The newly developed photochemical gating has already helped us to improve the robustness (large range of quantizing magnetic field, substantially higher opera- tion temperature and significantly enhanced signal-to-noise ratio due to significantly increased breakdown current) of a graphene resistance standard to such a level that it starts to compete favorably with mature semiconductor heterostructure standards. [2,3]
cond-mat.mes-hall
cond-mat
  Samuel Lara‐Avila1, Kasper Moth‐Poulsen2, Rositza Yakimova3, Thomas Bjørnholm4, Vladimir  Encapsulation and Electronic Control of Epitaxial Graphene by  Fal’ko5, Alexander Tzalenchuk6, and Sergey Kubatkin1    Photosensitive Polymers and UV light.[1]  1Department of Microtechnology and Nanoscience, Chalmers University of Technology, Göteborg, S-41296 (Sweden) 2College of Chemistry, University of California, Berkeley, CA 94720 (USA) 3Department of Physics, Chemistry and Biology (IFM). Linköping University, Linköping, S-581 83 , (Sweden) 4Nano-Science Center & Department of Chemistry, University of Copenhagen, Copenhagen, DK-2100 ø (Denmark)   5Physics Department, Lancaster University, Lancaster, LA1 4YB (UK)  Electronic  devices  using  epitaxial  graphene  on  Silicon  Carbide  require  Dated: (January 31, 2011)  6National Physical Laboratory, Teddington, TW11 0LW (UK) encapsulation  to  avoid  uncontrolled  doping  by  impurities  deposited  in  ambient  conditions.  Additionally,  interaction  of  the  graphene  monolayer  with  the  substrate causes relatively high level of electron doping in this material, which is  rather difficult to change by electrostatic gating alone.   Here we describe one solution to these problems, allowing both encapsulation  and  control  of  the  carrier  concentration  in  a  wide  range.  We  describe  a  novel  heterostructure based on  epitaxial  graphene  grown on  silicon  carbide  combined  with two polymers: a neutral spacer and a photoactive layer that provides potent  electron acceptors under UV light exposure. Unexposed, the same double layer of  polymers  works  well  as  capping  material,  improving  the  temporal  stability  and  uniformity  of  the  doping  level  of  the  sample.  By  UV  exposure  of  this  heterostructure  we  controlled  electrical  parameters  of  graphene  in  a  non‐ invasive, non‐volatile, and  reversible way,  changing  the  carrier  concentration by  a  factor  of  50.  The  electronic  properties  of  the  exposed  SiC/  graphene/polymer  heterostructures  remained  stable  over  many  days  at  room  temperature,  but  heating the polymers above the glass transition reversed the effect of light.   The newly developed photochemical  gating has  already helped us  to  improve  the  robustness  (large  range  of  quantizing  magnetic  field,  substantially  higher  opera‐  tion  temperature  and  significantly  enhanced  signal‐to‐noise  ratio  due  to  significantly  increased breakdown current) of a graphene resistance standard  to  such  a  level  that  it  starts  to  compete  favorably  with  mature  semiconductor  heterostructure standards. [2,3]   [1]  S.  Lara‐Avila,  K.  Moth‐Poulsen,  R.  Yakimova,  T.  Bjørnholm,  V.  Fal’ko,  A.  Tzalenchuk,  S.  Kubatkin.  Non‐Volatile  Photochemical  Gating  of  an  Epitaxial  (2011).  DOI:  Graphene/Polymer  Heterostructure.  Advanced  Materials,  10.1002/adma.201003993 [2]  A.  Tzalenchuk,  S.  Lara‐Avila,  A.  Kalaboukhov,  S.  Paolillo,  M.  Syvajarvi,  R.  Yakimova,  O.  Kazakova,  T.  Janssen,  V.  Fal'ko,  S.  Kubatkin,  Nature  Nanotechnology, 5, 186, (2010).  [3]T. J. B. M. Janssen, A. Tzalenchuk, R. Yakimova, S. Kubatkin, S. Lara‐Avila, S.  Kopylov, V. Fal’ko, arXiv:1009.3450v2 2010.   
1705.01617
2
1705
2018-07-21T11:59:11
Wallpaper Fermions and the Nonsymmorphic Dirac Insulator
[ "cond-mat.mes-hall" ]
Recent developments in the relationship between bulk topology and surface crystal symmetry have led to the discovery of materials whose gapless surface states are protected by crystal symmetries. In fact, there exists only a very limited set of possible surface crystal symmetries, captured by the 17 "wallpaper groups." We show that a consideration of symmetry-allowed band degeneracies in the wallpaper groups can be used to understand previous topological crystalline insulators, as well as to predict new examples. In particular, the two wallpaper groups with multiple glide lines, $pgg$ and $p4g$, allow for a new topological insulating phase, whose surface spectrum consists of only a single, fourfold-degenerate, true Dirac fermion. Like the surface state of a conventional topological insulator, the surface Dirac fermion in this "nonsymmorphic Dirac insulator" provides a theoretical exception to a fermion doubling theorem. Unlike the surface state of a conventional topological insulator, it can be gapped into topologically distinct surface regions while keeping time-reversal symmetry, allowing for networks of topological surface quantum spin Hall domain walls. We report the theoretical discovery of new topological crystalline phases in the A$_2$B$_3$ family of materials in SG 127, finding that Sr$_2$Pb$_3$ hosts this new topological surface Dirac fermion. Furthermore, (100)-strained Au$_2$Y$_3$ and Hg$_2$Sr$_3$ host related topological surface hourglass fermions. We also report the presence of this new topological hourglass phase in Ba$_5$In$_2$Sb$_6$ in SG 55. For orthorhombic space groups with two glides, we catalog all possible bulk topological phases by a consideration of the allowed non-abelian Wilson loop connectivities, and we develop topological invariants for these systems. Finally, we show how in a particular limit, these crystalline phases reduce to copies of the SSH model.
cond-mat.mes-hall
cond-mat
Wallpaper Fermions and the Nonsymmorphic Dirac Insulator Benjamin J. Wieder†,1, 2, 3, ∗ Barry Bradlyn,4, ∗ Zhijun Wang,1, ∗ Jennifer Cano,4, ∗ Youngkuk Kim,5, 6 Hyeong-Seok D. Kim,3, 5 Andrew M. Rappe,5 C. L. Kane†,3 and B. Andrei Bernevig†1, 7, 8 1Department of Physics, Princeton University, Princeton, NJ 08544, USA 2Nordita, Center for Quantum Materials, KTH Royal Institute of Technology and Stockholm University, Roslagstullsbacken 23, SE-106 91 Stockholm, Sweden 3Department of Physics and Astronomy, University of Pennsylvania, Philadelphia, PA 19104 -- 6323, USA 4Princeton Center for Theoretical Science, Princeton University, Princeton, NJ 08544, USA 5Department of Chemistry, University of Pennsylvania, Philadelphia, Pennsylvania 19104 -- 6323, USA 6Department of Physics, Sungkyunkwan University (SKKU), Suwon 16419, Korea 7Dahlem Center for Complex Quantum Systems and Fachbereich Physik, Freie Universitat Berlin, Arnimallee 14, 14195 Berlin, Germany 8Max Planck Institute of Microstructure Physics, 06120 Halle, Germany (Dated: July 24, 2018) Recent developments in the relationship between bulk topology and surface crystalline symme- tries have led to the discovery of materials whose gapless surface states are protected by crystal symmetries, such as mirror topological crystalline insulators and nonsymmorphic hourglass fermion insulators. In fact, there exists only a very limited set of possible surface crystal symmetries, cap- tured by the 17 "wallpaper groups." Here, we show that a consideration of symmetry-allowed band degeneracies in the wallpaper groups can be used to understand previous topological crystalline insulators, as well as to predict phenomenologically new examples. In particular, the two wallpaper groups with multiple glide lines, pgg and p4g, allow for a new topological insulating phase, whose surface spectrum consists of only a single, fourfold-degenerate, true Dirac fermion. Like the surface state of a conventional topological insulator, the surface Dirac fermion in this "nonsymmorphic Dirac insulator" provides a theoretical exception to a fermion doubling theorem. Unlike the surface state of a conventional topological insulator, it can be gapped into topologically distinct surface re- gions while keeping time-reversal symmetry, giving rise to a network of topological surface quantum spin Hall domain walls with Luttinger liquid character. We report the theoretical discovery of new topological crystalline phases in the A2B3 family of materials in space group 127 (P 4/mbm), with Sr2Pb3 hosting the new topological surface Dirac fermion. Furthermore, (100)-strained Au2Y3 and Hg2Sr3 host related topological surface hourglass fermions. We also report the presence of this new topological hourglass phase in Ba5In2Sb6 in space group 55 (P bam). For orthorhombic, tetrago- nal, and cubic crystals with two perpendicular glides and strong spin-orbit coupling, we catalog all possible time-reversal-symmetric bulk topological phases by performing an analysis of the allowed non-abelian Wilson loop connectivities, and provide topological invariants to distinguish them. Fi- nally, we show how in a particular limit of these systems, the crystalline phases reduce to copies of the Su-Schrieffer-Heeger model. I. INTRODUCTION Topological phases stabilized by crystal symmetries have already proven to be both a theoretically and an ex- perimentally rich set of systems. The first class of these proposed materials, rotation or mirror topological crys- talline insulators (TCIs), host surface fermions protected by the projection of a bulk mirror plane or rotation axis onto a particular surface1 -- 3. They have been observed in SnTe4,5 and related compounds6,7. Recent efforts to expand this analysis to nonsymmorphic systems with sur- face glide mirrors -- operations composed of a mirror and a half-lattice translation -- have yielded additional exotic free fermion topological phases, which can exhibit the so- called surface gapless "hourglass fermions," and the glide spin Hall effect8 -- 12. The theoretical proposal of 9,10 has recently also seen incipient experimental support13. In addition, topological insulators (TIs) -- crystalline or otherwise -- provide exceptions to fermion doubling theorems. These theorems impose fundamental bounds on phenomena in condensed matter physics. For exam- ple, in 2D, a single Kramers degeneracy in momentum space must always have another partner Kramers cross- ing elsewhere in the Brillouin Zone (BZ), otherwise the Berry phase of a loop enclosing the degeneracy suffers from ambiguity14. The discovery of the topological in- sulator provided the first exception to this theorem: in these systems 2D Kramers pairs are allowed to be isolated on a single 2D surface because they are connected to a 3D topological insulating bulk and have their partners on the opposite surface15,16. Higher-fold-degenerate bulk fermions, such as Dirac points, which are stabilized by crystal symmetry, may come with their own fermion doubling theorems17 -- 20. As noted in 21, a single fourfold-degenerate Dirac fermion cannot be stabilized by 2D crystal symmetries as the only nodal feature at a given energy; it must always have at least one partner or accompanying hourglass nodal points. This is because a single Dirac point in 2D rep- resents the quantum critical point (QCP) separating a 8 1 0 2 l u J 1 2 ] l l a h - s e m . t a m - d n o c [ 2 v 7 1 6 1 0 . 5 0 7 1 : v i X r a trivial insulator (NI) from a topological insulator. Shown in more detail in Appendix A 2, stabilizing just one of these Dirac points with crystal symmetries would there- fore force the broken-symmetry NI and TI phases to be related by just a unitary transformation, violating their Z2 topological inequivalence. In this manuscript, we re- port a new class of symmetry-protected topological ma- terials which, like the topological insulator before it, cir- cumvents this restriction by placing a single, stable Dirac point on the surface of a 3D material. To realize this, the crucial requirement is that the sur- face preserves multiple nonsymmorphic crystal symme- tries (Appendix A 2). Until now, most attention has been paid to crystal systems with surfaces that preserve only a single glide mirror. However, two of the 17 two- dimensional surface symmetry groups, called wallpaper groups, host two intersecting glide lines22. As we show in Appendix B, the algebra of the two glides requires that bands appear with fourfold degeneracy at a single time- reversal-invariant momentum (TRIM) at the edge of the BZ. In this work, we study the non-interacting topological phases allowed in bulk crystals with surfaces invariant under the symmetries of these two wallpaper groups, pgg and p4g. We show that, in addition to generalizations of the hourglass fermions introduced in 9, they host a novel topological phase characterized by a single, symmetry- enforced fourfold Dirac surface fermion, i.e., twice the degeneracy of a traditional topological insulator surface state. This Dirac fermion is nonsymmorphic symmetry- pinned to the QCP between a TI and an NI, allowing for controllable topological phase transitions of the 2D surface under spin-independent glide-breaking strain. We classify the allowed topological phases for or- thorhombic, tetragonal, and cubic crystals with two per- pendicular glides that are preserved on a single surface by considering the possible connectivities of the non- abelian Wilson loop eigenvalues10,23 -- 28. We demonstrate that these systems allow for three classes of topologi- cal phases: an hourglass phase with broken C4z symme- try, a previously uncharacterized "double-glide spin Hall" phase, and the novel topological nonsymmorphic Dirac insulating phase mentioned above. We present topolog- ical invariants to distinguish these phases and use these invariants to predict material realizations of our new phases. Using density functional theory (DFT) to cal- culate bulk Wilson loop eigenvalues and surface Green's functions, we report the existence of the nonsymmorphic Dirac insulating phase in Sr2Pb3 in space group (SG) 127. We also report the discovery of a related topolog- ical hourglass fermion phase in the narrow-gap material Ba5In2Sb6 in SG 55. In Appendix F 2, we show that two additional members of the SG 127 A2B3 family of materials, Au2Y3 and Hg2Sr3, can also realize this topo- logical hourglass phase under (100)-strain, giving unique promise for strain-engineering topological phase transi- tions in these materials. Finally, we show in Appendix G how these crystalline phases reduce in a particular limit 2 FIG. 1: Unit cells and Brillouin Zone (BZ) for two-site real- izations of wallpaper groups pgg and p4g, the only two wall- paper groups with multiple nonsymmorphic symmetries. The A and B sites are characterized by T -symmetric internal de- grees of freedom (blue arrows) that are transformed under crystal symmetry operations. These correspond physically to nonmagnetic properties which transform as vectors, such as atom displacements or local electric dipole moments. Glide lines (green) exchange the sublattices through fractional lat- tice translations. In p4g, there is an extra C4 symmetry (⊗) about the surface normal with axes located on the sites. The combination of this C4 and the glides produces additional di- agonal symmorphic mirror lines (red) in p4g. In the BZ of p4g, C4 relates ¯Y to ¯X. to copies of the Su-Schrieffer-Heeger (SSH) model29. II. WALLPAPER GROUPS pgg AND p4g The surface of a nonmagnetic crystal is itself a lower- dimensional crystal, which preserves a subset of the bulk crystal symmetries, and all 2D nonmagnetic surfaces are geometrically constrained to be invariant under the ac- tion of the 17 wallpaper groups. The set of spatial wallpaper group symmetries is restricted to those 3D space group symmetries which preserve the surface nor- mal vector: rotations about that vector and in-plane lattice translations, mirror reflections, and glide reflec- tions. Surface band features will therefore be constrained by the irreducible corepresentations of these symmetries and their momentum-space compatibility relations30,31 (Appendix B). Focusing on the 17 wallpaper groups which describe the surfaces of 3D crystals with strong spin-orbit coupling (SOC) and time-reversal symmetry, we designate all topological 2D surface nodes formed from symmetry-enforced band degeneracies "wallpaper fermions." In the language of group theory, wallpaper fermions are therefore fully captured by the irreducible corepresentations of the wallpaper groups, such that, for example, the fourfold-rotation-protected quadratic topo- logical node introduced in 2 is a "spinless wallpaper fermion," whereas the magnetic twofold surface degen- eracy in 32, for which both bands have the same irre- ducible representations and are instead prevented from gapping by an additional rotation- and time-reversal- enforced (C2 × T ) 1D loop invariant, is not a wallpaper fermion. Though other works have focused on the mathemati- cal classification of topological phases protected by wall- paper group symmetries33,34, we here, in addition to AAAABAXAXAXAXBXpggp4gതΓഥXഥMഥY𝑘𝑥𝑘𝑦Brillouin Zone providing a further topological classification, search for topological insulating phases with phenomenologically distinct surface states. For the symmorphic wallpaper groups, topological rearrangements of the minimal sur- face band connectivities, recently enumerated for 3D bulk systems in 31, allow for only quantum spin Hall (QSH) phases and rotational variants of the mirror TCI phases, which exhibit twofold-degenerate free fermions along high-symmetry lines in the surface BZ4 -- 7. For the four wallpaper groups with nonsymmorphic glide lines (pg, pmg, pgg, and p4g), this picture is en- riched. Even in 2D, glide symmetries require that groups of four or more bands be connected, an effect which frequently manifests itself in hourglass-like band struc- tures21,35,36. For the wallpaper groups with only a single glide line, pg and pmg, surface bands can be connected in topologically-nontrivial patterns of interlocking hour- glasses9,10, or in "Mobius strip" connectivities if time- reversal-symmetry is relaxed32,37, both of which exhibit twofold-degenerate surface fermions along some of the momentum-space glide lines. In the remaining two wall- paper groups with multiple glide symmetries, pgg and p4g, higher-degenerate wallpaper fermions are uniquely allowed. 1 2 x = g2 We consider a z-normal surface with glides gx,y ≡ 2 0}, i.e., a mirror reflection through the x, y- {mx,y 1 axis followed by a translation of half a lattice vector in the x and y directions (Fig. 1). When spin-orbit cou- x,y = −eiky,x. At the corner point, pling is present, g2 ¯M of the surface BZ (kx = ky = π), g2 y = +1, and {gx, gy} = 0. When combined with time-reversal, T 2 = −1, this symmetry algebra requires that all states at ¯M are fourfold-degenerate. Furthermore, wallpaper groups with two glides are the only nonmagnetic surface groups that admit this algebra, and therefore the only surface groups that can host protected fourfold degen- eracies on strong-SOC crystals36. The examination of symmetry-allowed terms reveals that fourfold points in these wallpaper groups will be linearly-dispersing (Ap- pendix B), rendering them true surface Dirac fermions, more closely related by symmetry algebra and quantum criticality to the bulk nodes in nonsymmorphic 3D Dirac semimetals15,17,18 than to the surface states of a conven- tional TI. In Appendix B, we provide proofs relating this algebra to Dirac degeneracy and dispersion. For bulk insulators, the glide-preserving bulk topologi- cal phase and, consequently, z-normal surface states, can be determined without imposing a surface by classifying the allowed connectivities of the z-projection Wilson loop holonomy matrix10,28,38, a bulk quantity defined by: (cid:2)W(kx,ky,kz0) (cid:3) ij ≡ (cid:104)ui(kx, ky, kz0 + 2π) Π(kx, ky, kz0)uj(kx, ky, kz0)(cid:105), (1) 3 FIG. 2: The eight topologically distinct Wilson band connec- tivities for bulk insulators with crystal surfaces which preserve 2D glide reflection on the projections of two orthogonal bulk glide planes. Each band structure is labeled by its two Z4 indices, (χx, χy), subject to the constraint that χx + χy = 0 mod 2. Under the imposition of C4z symmetry in wallpaper group p4g, connectivities are excluded for which χx (cid:54)= χy. Solid black (dashed blue) lines in the regions ¯X ¯M and ¯Γ ¯Y indicate bands with gx eigenvalue ±ieiky /2, while the solid (dashed) lines in the regions ¯Y ¯M and ¯Γ ¯X indicate bands with gy eigenvalue ±ieikx/2. When bulk inversion symme- try is present, the spectra will be particle-hole symmetric. Bands along ¯X ¯M ¯Y are doubly-degenerate and meet at ¯M in a fourfold-degenerate point, and bands along ¯Y ¯Γ ¯X dis- play either the hourglass (left column) or "double-glide spin Hall" (right column) flows. The (2,0) and (0,2) phases are relatives of the hourglass topologies proposed in 9,10. The novel (2,2) nonsymmorphic Dirac insulating phase can host a surface state consisting of a single, fourfold-degenerate Dirac fermion. where we have defined the product of projectors, Π(kx, ky, kz) ≡ P(kx, ky, kz + 2π) 2π(N − 1) N P(kx, ky, kz+ )··· P(kx, ky, kz + 2π N ) (2) and P(k) is the projector onto the occupied bands at k. The rows and columns of W correspond to filled bands, where uj(k)(cid:105) is the cell-periodic part of the Bloch wavefunction at momentum k with band index j. The eigenvalues of W are gauge invariant and of the form eiθ(kx,ky). As detailed in Appendices C and D, the Wilson bands inherit the symmetries of the z-normal wallpaper group, and therefore must also exhibit the required de- generacy multiplets of wallpaper groups pgg and p4g. In particular, both surface and Wilson bands are twofold- degenerate along ¯X ¯M ( ¯Y ¯M ) by the combination of time- reversal and gy (gx) and meet linearly in fourfold degen- eracies at ¯M . By generalizing the Z4 invariant defined in 11 for the single-glide wallpaper groups39,40, we define topologi- cal invariants for double-glide systems using the (001)- directed Wilson loop eigenvalues. For gy in a surface BZ X(cid:1)M(cid:1)Y(cid:1)Γ(cid:1)X(cid:1)(0,0) (2,0) (0,2) (2,2) (3,3) (3,1) (1,1) (1,3) X(cid:1)M(cid:1)Y(cid:1)Γ(cid:1)X(cid:1) 4 FIG. 4: The (001)-surface band structure of Sr2Pb3 (wallpa- per group p4g). The Fermi level is set to zero. The fourfold surface Dirac fermion appears at ¯M in the region indicated by the red rectangle, and is shown in more detail in the in- set rectangle. Unlike in graphene, the cones of this Dirac point are nondegenerate, except along ¯X ¯M . The four dark blue surface bands dispersing from ¯M confirm that the red surface-localized point is fourfold-degenerate. only pairs that satisfy χx + χy mod 2 = 0 are per- mitted in bulk insulators; this can be understood as follows: if χx + χy is odd, the 2D surface consisting of the four partial planes (0 ≤ kx ≤ π, 0(π), kz) and (0(π), 0 ≤ ky ≤ π, kz) possesses an overall Chern num- ber, which implies the existence of a gapless point41,42, contradicting our original assumption that the system is insulating. We present a rigorous proof in Appendix E 2, and show that the remaining collection of eight insulating phases is indexed by the group Z4 × Z2. For χx,y = 1, 3, the system is a strong topological insu- lator (STI). These four "double-glide spin Hall" phases possess the usual twofold-degenerate Kramers pairs at ¯Γ, ¯X, and ¯Y as well as a fourfold-degenerate Dirac point at ¯M . The four STI phases are topologically distinct, but will appear indistinguishable in glide-unpolarized ARPES experiments. However, if two double-glide spin Hall systems with differing χx,y are coupled together, the resulting surface modes will distinguish between χx,y = 1, 311 (Appendix E 2). When χx,y = 0, 2, the system is in a topological crys- talline phase. For (χx, χy) = (0, 2) or (2, 0), which is only permitted in a C4z-broken surface pgg, a variant of the hourglass insulating phase9 is present on the surface. For example, when (χx, χy) = (0, 2), either time-reversed partners of twofold-degenerate free fermions live along ¯Γ ¯X or both twofold-degenerate fermions live along ¯Γ ¯Y and a fourfold-degenerate Dirac fermion exists at ¯M . Finally, but most interestingly, for χx = χy = 2, we find that the system exists in a previously uncharacter- ized "nonsymmorphic Dirac insulating" phase, capable of hosting just a single fourfold-degenerate Dirac surface fermion at ¯M . (a) The unit cell of Sr2Pb3. FIG. 3: The crystal and electronic structures of Sr2Pb3 in SG 127 (P 4/mbm). (b) The bulk Brillouin zone (BZ) and the (001)-surface BZ (wallpaper group p4g). (c) The electronic bands obtained using DFT; the Fermi level is set to 0 eV. At each point in the BZ, there is a band gap near the Fermi energy, indicated by the dashed red line; insets show magnified images of the boxed regions. (d) The (001)-directed Wilson bands; red (blue) points indicate Wilson bands with positive (negative) surface glide eigenval- ues λ+(−) x,y . By counting the Wilson bands within each glide sector that cross the dashed green line, we find that Sr2Pb3 has the bulk topology of a (2, 2) nonsymmorphic Dirac insu- lator (Appendix F 2). in wallpaper group pgg, the quantized invariant χy is de- fined in 11 by integrating the Wilson phases, θj(kx, ky), along the path ¯M ¯Y ¯Γ ¯X: χy ≡ 1 π j ( ¯M ) − θ+ θ+ j ( ¯X) + dθ+ j + ¯M ¯Y dθ+ j ¯Γ ¯X (cid:90) (cid:90) (cid:21) (cid:20) nocc/2(cid:88) (cid:90) nocc(cid:88) j=1 1 2π j=1 ¯Y ¯Γ + dθj mod 4, (3) where nocc is the number of occupied bands, the super- script ± indicates the glide sector, and the absence of a superscript indicates the line where gy is not a symme- try and the sum is over all bands. In the presence of an additional glide, gx, one can obtain χx by the trans- formation x ↔ y, ¯X ↔ ¯Y in Eq. (3). Though Eq. (3) appears complicated, (χx, χy) can be easily evaluated by considering the bands within each glide sector which cross an arbitrary horizontal line in the Wilson spectrum (Ap- pendix E 1). Wallpaper group p4g also has C4z symme- try, which requires χx = χy and implies the existence of the symmorphic mirrors, {m110 1 2 0}. These mirrors yield Z mirror Chern numbers, n110, n1¯10, respectively, with values constrained by the glide invari- ant χx, (−1)n110 = (−1)n1¯10 = (−1)χx (Appendix E 4). To enumerate the allowed topological phases shown in Fig. 2, we consider possible restrictions on (χx, χy). Though χx,y can individually take on values 0, 1, 2, 3; 2 0} and {m1¯10 1 ¯1 1 2 2 5 FIG. 6: Spectral function of the (001)-directed surface of Ba5In2Sb6 (wallpaper group pgg). The Fermi level is set to zero. Surface bands from the top of one hourglass fermion and the bottom of another connect the valence and conduc- tion manifolds along ¯Y ¯Γ; the hourglass fermions themselves are buried in the bulk manifolds along this line. The surface bands also display other signatures of the (2, 0) topological hourglass connectivity, including a fourfold Dirac fermion at ¯M connected to a clearly distinguishable hourglass fermion along ¯Γ ¯X. The maximally localized Wannier functions ob- tained numerically from ab initio calculations (Appendix F 1) are only approximately symmetric under the surface wallpa- per group, and therefore bands along ¯X ¯M here appear weakly split. per group pgg) of the narrow-gap insulator Ba5In2Sb6 in SG 55 (P bam)49 hosts a double-glide topological hour- glass fermion. Shown in Fig. 5, we find that Ba5In2Sb6 develops an indirect band gap of 5 meV (direct band gap: 17 meV). The Wilson loop spectrum obtained from the occupied bands, shown in (Fig. 5(d)), demon- strates that this material is a (2, 0) double-glide topo- logical hourglass insulator (Appendix F 1). We find that the (001)-surface of Ba5In2Sb6 has a projected insulat- ing bulk gap which is spanned along ¯Y ¯Γ by the top and bottom bands of two different topological hourglass fermions, which themselves are degenerate with states in the bulk spectrum (Fig. 6). However, these fermions are topologically connected to a clearly distinguishable hour- glass fermion along ¯Γ ¯X and a fourfold-degenerate surface Dirac fermion at ¯M , both of which could in-principle be observed through ARPES. IV. DISCUSSION We have demonstrated the existence of a nonsymmor- phic Dirac insulator -- a topological crystalline material with a single fourfold-degenerate surface Dirac point sta- bilized by two perpendicular glides. After an exhaus- tive study of the 17 time-reversal-symmetric, strong-SOC wallpaper groups, only pgg and p4g are revealed to be ca- pable of supporting this fourfold fermion. This phase is one of eight topologically distinct phases that can ex- ist in insulating orthorhombic crystals with surfaces that FIG. 5: The crystal and electronic structures of Ba5In2Sb6 in SG 55 (P bam). (a) The unit cell of Ba5In2Sb6. (b) The bulk Brillouin zone (BZ) and the (001)-surface BZ (wallpaper group pgg). (c) The electronic bands obtained using DFT; the Fermi level is set to 0 eV. There is an insulating gap at the Fermi energy, indicated by the dashed black line. (d) The (001)-surface Wilson bands; red (blue) points indicate Wilson bands with positive (negative) surface glide eigenvalues λ+(−) x,y . Counting the Wilson bands crossing the dashed green line, we find that the bulk displays a (2, 0) topological hourglass connectivity (Appendix F 1). III. MATERIALS REALIZATIONS 43,44, Au2Y3 45, and Hg2Sr3 We apply DFT including the effects of SOC to pre- dict topological phases stabilized by wallpaper groups pgg and p4g in previously synthesized, stable materials. The details of these large-scale calculations are provided in Appendix F. We find double-glide topological phases on the (001)-surface (wallpaper group p4g) of three mem- bers of the SG 127 (P 4/mbm) A2B3 family of materials: 46,47. Shown in Fig. 3, Sr2Pb3 we find that Sr2Pb3 has at each crystal momentum a gap at the Fermi energy, in spite of the presence of electron and hole pockets. A Wilson loop calculation of the bands up to this gap (Fig. 3(d)) indicates that this material possesses the bulk topology of a (2, 2) nonsymmorphic Dirac insulator (Appendix F 2). Calculating the surface spectrum through surface Green's functions (Fig. 4), we find that the (001)-surface of Sr2Pb3, while displaying an overall metallic character, develops a gap of 45 meV at the Fermi energy at ¯M . Inside this gap, we observe a single, well-isolated, fourfold-degenerate surface Dirac fermion. Unlike Sr2Pb3, Au2Y3 and Hg2Sr3 in SG 127 (P 4/mbm) are gapless, with bulk C4z-protected Dirac nodes48 present near the Fermi energy. In Appendix F 2, we show that under weak (100)-strain, these Dirac nodes can be gapped to induce the (0, 2) topological hourglass phase in these two materials. We additionally find that the (001)-surface (wallpa- 6 by a network of 1D QSH domain walls. These domain walls are closely related to the 1D helical hinge modes of "second-order" topological insulators55 -- 57. Furthermore, in the presence of electron-electron interactions these do- main walls will form helical Luttinger liquids58. Though Sr2Pb3 is insufficiently insulating to experimentally iso- late these effects, future, bulk-insulating, nonsymmor- phic Dirac insulators could provide an experimental plat- form for probing and manipulating the physics of time- reversal-invariant topological Luttinger liquids. Acknowledgments (BJW), [email protected] †Corresponding author. Email: bwieder@princeton. (CLK), edu [email protected] (BAB). We thank Aris Alexandradinata for a discussion about the invariant in Eq. (3), and Eugene Mele for fruitful discussions. BJW and CLK acknowledge support through a Simons Inves- tigator grant from the Simons Foundation to Charles L. Kane and through Nordita under ERC DM 321031. ZW and BAB acknowledge support from the Department of Energy Grant No. DE-SC0016239, the National Science Foundation EAGER Grant No. DMR-1643312, Simons Investigator Grants No. ONR-N00014-14-1-0330, No. ARO MURI W911NF-12-1-0461, and No. NSF-MRSEC DMR- 1420541, the Packard Foundation, the Schmidt Fund for Innovative Research, and the National Natural Science Foundation of China (No. 11504117). YK and AMR thank the National Science Foundation MRSEC Program for support under DMR-1120901 and acknowledge the HPCMO of the U.S. DOD and the NERSC of the U.S. DOE for computational support. BAB wishes to thank ´Ecole Normale Sup´erieure, UPMC Paris, and the Donostia International Physics Center for their generous sabbatical hosting during some of the stages of this work. preserve two perpendicular glides; we have classified all eight phases by topological indices (χx, χy) that charac- terize the connectivity of the z-projection Wilson loop spectrum. We report the discovery of the nonsymmor- phic Dirac insulating phase in Sr2Pb3 and of related double-glide topological hourglass phases in Ba5In2Sb6, as well as in (100)-strained Au2Y3 and Hg2Sr3. We also report the theoretical prediction of a set of novel double- glide spin Hall phases. Though their surface Kramers pairs and fourfold Dirac fermions should be distinctive in ARPES experiments, a characterization of transport in the double-glide spin Hall phases remains an open ques- tion. We also find that there exists a simple intuition for the topological crystalline phases χx,y = 0, 2. In Ap- pendix G 1, we present an eight-band tight-binding model which, when half-filled, can be tuned to realize all Z4×Z2 double-glide insulating phases. In a particular regime of parameter space, in which SOC is absent at the ¯X and ¯Y points and bulk inversion symmetry is imposed, the Wil- son loop eigenvalues at the edge TRIMs are pinned to ±1 (θ( ¯M / ¯X/ ¯Y ) = 0, π) and each TRIM represents the end of a doubly-degenerate SSH model29. In this limit, when the product of parity eigenvalues at ¯Γ satisfies ξ(¯Γ) = +1, the bulk topology is fully characterized by the relative SSH polarizations, χx,y = 2{ 1 π [θ( ¯M )−θ( ¯Y , ¯X)] mod 2}. Finally, as the (2, 2) topological surface Dirac point is symmetry-pinned to the QCP between a 2D TI and an NI, we examine its potential for hosting strain-engineered topological physics. Consider the two-site surface unit cell in wallpaper group pgg from Fig. 1. In the (2, 2) nonsymmorphic Dirac insulating phase, the surface Dirac fermion can be captured by the k · p Hamiltonian near ¯M : H ¯M = τ x (vxσxkx + vyσyky) , (4) where τ is a sublattice degree of freedom, σ is a T -odd or- bital degree of freedom, and gx/y = τ yσx/y (g2 x/y = +1). There exists a single, T -even mass term, Vm = mτ z, which satisfies {H ¯M , Vm} = 0 and is therefore guaranteed to fully gap H ¯M . Therefore, surface regions with differing signs of m will be in topologically distinct gapped phases and must be separated by 1D topological QSH surface domain walls, protected only by time-reversal symme- try50. As pgg has point group 2mm, and {Vm, gx,y} = 0, Vm can be considered an xy A2 distortion51, which could be achieved by strain in the x + y direction and com- pression in the x − y direction. These domain walls would appear qualitatively similar to those proposed in bilayer graphene52 -- 54. However, whereas those domain walls are protected by sublattice symmetry and are there- fore quite sensitive to disorder, domain walls originating from nonsymmorphic Dirac insulators are protected by only time-reversal symmetry, and therefore should be ro- bust against surface disorder. Under the right interacting conditions or chemical modifications, a nonsymmorphic Dirac insulator surface may also reconstruct and self- induce regions of randomly distributed ±m, separated 7 Appendix A: Fermion Doubling in Quasi-2D Crystals In this section, we discuss how fermion doubling theorems in 2D, which apply to both truly 2D wallpaper-group and quasi-2D layer-group systems, constrain band structures, and how apparent exceptions to them manifest on the surfaces of bulk 3D topological phases. Specifically, we develop fermion doubling constraints for the larger set of 2D Hamiltonians invariant under layer groups, which consequently also apply to the subset of those Hamiltonians also invariant under wallpaper groups. In this work, we use the phrase "Dirac fermion" only to refer to fermions with point-like fourfold degeneracies and linear dispersion in the two in-plane reciprocal lattice directions of a two- dimensional surface or a quasi-two-dimensional material, or in all three independent directions if it is in a three- dimensional bulk. In this nomenclature, the surface states of a topological insulator are therefore not "Dirac points," but are twofold-degenerate linearly dispersing fermions by another name (in a topological insulator they are linearly dispersing Kramers pairs). This is an unfortunate consequence of the competing and contradicting contexts in which the name "Dirac point" has been previously applied. In earlier works, it has been used to describe the twofold degeneracies in 2D in spinless graphene59,60, the spinful twofold degeneracies on the 2D surface of a topological insulator61, and the spinful fourfold degeneracies in the 3D bulks of Na3Bi62 and Cd3As2 63. Although it differs slightly from the usage in high-energy physics for two dimensions64, we choose to designate fourfold linear degeneracies in two and three dimensions as condensed matter realizations of "Dirac fermions." In so doing, we keep the classification of the bulk degeneracies in graphene as Dirac points, but are forced to expand the 2 × 2 matrices from 59,60 to explicitly include an additional spin degree of freedom. As we show in A 2, this definition has precise symmetry and topology underpinnings; it enforces the relationship of these fourfold degeneracies with crystalline-symmetry-enhanced fermion doubling, and it preserves their role as the symmetry-pinned quantum critical points between trivial and topological insulating phases. 1. 2D Fermion Doubling for Twofold-Degenerate Linear Fermions In 2D, a system may host linearly-dispersing twofold-degenerate fermions. Such gapless fermions may exist as fine- tuned points or, if additional symmetries are present to protect them, may exist in pairs in a stable phase (i. e. spinless graphene). Here, we are interested in stable phases. Thus, we restrict ourselves to only discussing systems for which all possible symmetry-allowed hopping terms have been included. For example, the critical point separating two topologically distinct insulating phases in a two-band model features a single twofold-degenerate gapless fermion. However, without imposing additional symmetries, this fermion can be gapped, and, generically, the system will be insulating at half-filling. The simplest example of a symmetry protecting a twofold linear fermion occurs in a crystal with time-reversal symmetry, T , satisfying T 2 = −1. This requires states to be twofold-degenerate at the Time-Reversal-Invariant- Momenta (TRIMs) by Kramers' theorem. Twofold-degenerate fermions can also appear pairwise along high-symmetry lines and planes, with symmetry stabilization coming from a combination of crystalline and time-reversal symmetries. In 2D systems, these symmetry-protected gapless points come in pairs, a consequence of the parity anomaly65 -- 67. We illustrate this result in the case of a single twofold-degenerate linear fermion at a TRIM protected by time-reversal symmetry, T = iσyK, described by the following 2D k · p theory: H = vxkxσx + vykyσy. (A1) There is a single remaining Pauli matrix, σz, which anticommutes with all of the terms in Eq. (A1) and opens a gap. The mass term Vm = mσz, which could originate from an external magnetic field or mean-field magnetic order, breaks T symmetry and gaps locally to a k · p theory of a Chern insulator, with two bands of winding number C and C + 1, respectively, for some C ∈ Z. If Eq. (A1) is a complete description of the low-energy physics, a contradiction arises: because {Vm,T } = 0, the two gapped phases that result from choosing opposite signs of m are related to each other by transformation under T . In particular, the band with Chern number C when m > 0 is related to the band with Chern number C + 1 when m < 0. Since C is odd under time-reversal, −C = C + 1. This condition cannot be satisfied by C ∈ Z. Thus, the hypothesis that the stable gapless fermion (Eq. (A1)) is a complete description of the low-energy physics cannot be true. For a system with a single twofold fermion, even at Vm = 0, time-reversal symmetry must be anomalously broken by terms beyond the k · p level, and the system forms an anomalous Hall state with C = 1/2 (here C need not be integer since the system is gapless). In order for time-reversal to remain unbroken, there must be a compensating second degeneracy point somewhere else in the Brillouin zone, also with C = 1/2. The only details of time-reversal symmetry that entered into the preceding argument are that T VmT −1 = −Vm, and so the general result remains true for any twofold symmetry that protects a gapless fermion in two dimensions1. As the generic Hamiltonian of a twofold-degenerate linear fermion in 2D only contains two linear terms which exhaust two of the Pauli matrices, the statement that the fermion is symmetry-protected also implies that a mass term proportional to the remaining Pauli matrix will be odd under the symmetry it breaks, and that it will anticommute with the Hamiltonian and necessarily open a gap. 8 We can gain some further intuition about the parity anomaly by noting that the anomalous Hall conductance C is related to the Berry phase at the Fermi surface by14: log P exp i , (A2) (cid:18) (cid:73) C = 1 2πi (cid:19) A · dk F S where P is the path-ordering operator. Let us take a compact Brillouin zone with N gapless fermions, and let the Fermi level be above all bands. By evaluating the Berry phase we find that 2πiC = log((−1)N ). However, with time- reversal symmetry, we also have that C is the total Chern number of all bands, and hence C = 0. Thus we conclude N ∈ 2Z. In the context of three-dimensional topological insulators, we note that each surface taken in isolation is a 2D system with a single gapless fermion. From the above discussion we thus recover the well-known result that each surface of a topological insulator has a half-quantized anomalous Hall conductivity, and that only when both surfaces are connected by a bulk can the system be described in an anomaly-free way15,68,69. This is the sense in which a topological insulator is sometimes said to "cheat" the doubling of twofold-degenerate linear fermions. Of particular note is that the strongly spin-orbit coupled topological crystalline insulating phases discovered to date, the mirror TCI in SnTe4 -- 7 and the hourglass insulator in KHgSb9,10,13, exhibit time-reversed pairs of twofold-degenerate surface fermions, and thus do not carry the same relationship with fermion doubling as does a QSH insulator. Rather their individual surfaces exhibit integer-quantized Hall conductivities and conversely do not anomalously violate parity. The same logic can be applied to a 3D material by replacing the mass term mσz by vzkzσz; in this case the gapless point would be a Weyl point of Chern number +1 and the two gapped Hamiltonians of opposite-signed mass lie in the planes above and below it. This expresses the so-called "descent relation" between the parity anomaly in two dimensions and the chiral anomaly in three dimensions70. If kz is periodic, this would imply that, absent another Weyl point, two systems with different Chern number (above and below the Weyl point) could be adiabatically connected through the BZ boundary, which is impossible. To avoid this contradiction, the doubling theorem then requires that the low-energy physics cannot be described by only a single Weyl point: there must be another Weyl point or other band crossing at the Fermi level. In 3D, this is the celebrated Nielsen-Ninomiya theorem71. 2. Quasi-2D Fermion Doubling for Dirac Fermions We now extend these arguments to show why fourfold-degenerate quasi-2D Dirac fermions cannot be stabilized as the only nodal features in a metallic phase with time-reversal symmetry at a given energy. As in the twofold- degenerate case, while many models might display Dirac fermions upon fine-tuning, here we are interested in robust Dirac fermion phases. Thus, we only consider systems that display Dirac fermions when all symmetry-allowed hopping terms are present. The crux of the arguments in this section was originally highlighted in 21,72. When Dirac points occur off of the TRIMs, which can only occur in quasi-2D systems invariant under nonsymmor- phic layer groups36, time-reversal requires that they come in pairs73. The remaining Dirac points which occur in 2D or quasi-2D systems are filling-enforced and pinned to the TRIMs by crystalline symmetries and time-reversal. As shown in 36, these filling-enforced, high-symmetry Dirac points can only occur in layer or wallpaper groups where either inversion anticommutes with a twofold nonsymmorphic symmetry or where two perpendicular nonsymmorphic symmetries anticommute, such as the two glides in pgg. Consider first a quasi-2D spinful system with inversion symmetry I and a screw rotation, s2y = ty/2C2y. At ky = π, 2y = +1 and {I, s2y} = 0. In a time-reversal-invariant system, these symmetries require a four-dimensional I 2 = s2 corepresentation: more generally, for any Hamiltonian invariant under two symmetries, A and B, in addition to T , such that {A, B} = [A,T ] = [B,T ] = 0 and A2 = 1, any eigenstate of the Hamiltonian, ψ, which is also an eigenstate of A, is part of a fourfold-degenerate quartet of orthogonal states, ψ,T ψ, Bψ,T Bψ. In our example, there is a fourfold degeneracy at each TRIM with ky = π. In general, the combination of I and a twofold nonsymmorphic symmetry will always mandate that there are no fewer than two Dirac points for a given filling: since I anticommutes with the 1 This is due to the fact that a Pauli-Villars regulator is a function of Vm, and so this regularization must break the symmetry, and so we derive the same anomaly-generating functional as in the literature. 9 nonsymmorphic symmetry at two of the TRIMs in 2D and I 2 = +1, there are always at least two TRIM points with fourfold degeneracies. x = g2 In the case where there are two perpendicular nonsymmorphic symmetries, but no inversion symmetry, the obstruc- tion to forming an isolated, stable Dirac fermion takes a slightly different form. Consider the trivial phase of wallpaper 2}. At kx = ky = π, {gx, gy} = 0 group pgg, for example, which is characterized by T and two glides, gx,y = {mx,y 1 and g2 y = +1, and therefore a Dirac point exists. However, this condition is only met at this corner TRIM, and no other fourfold degeneracies are allowed elsewhere in this system. In this case, the Dirac point is obstructed from being alone by the filling-enforced hourglass structures also required to exist by the presence of singly degenerate eigenstates of gx,y. In these systems, the Dirac point occurs at the same filling as four 2D twofold-degenerate linear fermions, and is also prevented from being alone and stable at any filling. 1 2 We pause to briefly note here that the expression "nonsymmorphic symmetry," is a slight abuse of terminology, though one rampant in this field. For precision, and for consistency with the terminology employed in 9,10, we define for this work a nonsymmorphic symmetry to be a generating element g of the maximal fixed-point-free subgroup(s), or Bieberbach subgroup(s)35,36, of a nonsymmorphic wallpaper or space group G, modulo full lattice translations T . Consider, for example, wallpaper group pgg, generated by gx = ty/2Mx and gy = tx/2My. There are two maximal fixed-point-free subgroups of pgg: one generated by gx and tx and one generated by gy and ty, where tx,y is a full lattice translation. Both of these groups are isomorphic to the Bieberbach wallpaper group36 pg, and when the generating elements are taken modulo the group of full lattice translations respectively give gx and gy. For a more complicated example, consider space group 14 P 21/b, generated by s2x = tx/2tz/2C2x, ty, tz, and spatial inversion I about the origin74. This group also has two maximal fixed-point-free subgroups, which when taken modulo the group of full lattice translations respectively give s2x (isomorphic to Bieberbach space group 4 P 21 modulo T ) and gx = I × s2x (isomorphic to Bieberbach space group 7 P b modulo T ). We pose an explanation for this obstruction, which is similar to the resolution of the parity anomaly in the previous section. Suppose a combination of symmetries were to allow a single stable Dirac point. The k · p model Hamiltonian at a corner TRIM in our previous system with I and s2y is described by a linear k · p model: H = vxkxτ xσy + ky[vy1τ y + vy2τ xσx + vy3τ xσz], (A3) where I = τ z, s2y = τ yσy, and T = iσyK. The four Dirac matrices present in H span the space of symmetry-allowed matrices. Thus, the system supports a robust fourfold-degenerate gapless fermion stabilized by crystal-symmetries. As before, we can examine the consequences of locally breaking one of the symmetries. To guarantee that the k · p Hamiltonian is gapped everywhere, we seek a mass term to anticommute with all of the terms in H. Generically, the Clifford algebra of Dirac matrices is spanned by four T -odd matrices which couple to crystal momenta, and one T -even matrix, here Vm = mτ z. For either sign of m, the resulting phase is 2D, gapped, and T -symmetric with T 2 = −1; a quantum spin Hall (QSH) index can thus be defined. Noting that at k = 0, H = Vm = mI, a generic feature of Hamiltonians restricted to TRIM points, c. f. 75, we see by the Fu-Kane formula15 for the QSH index that occupied bands for m > 0 and m < 0 have opposite parity Z2 indices. This is expected, as it is known that such a Dirac point is the boundary between a trivial and a topological insulator75. To show the need for fermion doubling, we expand to the full BZ of a hypothetical system where this fermion is the only feature at the Fermi energy and show that there is a contradiction. We label the Bloch wavefunctions of the phase when m > 0 by u(k)(cid:105) and those of the phase with m < 0 by u(k)(cid:105) = s2yu(k)(cid:105), where k = s2yk. The integral of the pfaffian of the matrix wij = (cid:104)u(−k)iT u(k)j(cid:105) gives the QSH Z2 topological invariant76. Consider relating this matrix † 2yT s2yu(k)j(cid:105) = for one gapped phase to the other by the operation of the broken symmetry, s2y: wij = (cid:104)u(−k)is † 2ys2yu(k)j(cid:105) = wij because [T , s2y] = 0. Therefore, having the same w matrix, the two phases have the (cid:104)u(−k)iT s same QSH invariant, contradicting the earlier Fu-Kane requirement that the two insulating phases are topologically distinct. The resolution of this is a fermion doubling requirement for 2D fourfold-degenerate Dirac points. Specifically, a closed 2D crystal, invariant under either just a wallpaper group or both a wallpaper group and its layer supergroup, cannot host a single symmetry-stabilized Dirac point at the Fermi level. In the cases where the Fermi surface is gapped except for exactly two Dirac points, each Dirac point can have a single T -symmetric mass term m1,2 such that the 2 [sgn(m1) − sgn(m2)] mod 2. Under the action of the broken symmetry overall Z2 QSH invariant, n, satisfies n = 1 operation, the signs of both m1,2 are flipped and n is preserved21. Like the topological insulator before it, the nonsymmorphic Dirac insulator that we present in this manuscript "cheats" this fermion doubling by placing each of its two Dirac points on opposite surfaces of a 3D bulk. While they each live alone on a surface, and therefore pin that surface to a 2D QSH transition, the Dirac points (or a Dirac point and four twofold degenerate points) in the combined system of two opposing surfaces respect the fermion doubling requirement. 10 FIG. 7: The 2D surface Brillouin zone for wallpaper group pgg. Bands with glide eigenvalue λ+ (λ−) are drawn as solid (dashed) lines. Bands along lines of type (a) are singly degenerate eigenstates of gy,x and therefore are restricted to either form hourglass or glide spin Hall connectivities along ¯Γ ¯X and ¯Γ ¯Y . Along lines of type (b), bands are twofold-degenerate because they are invariant under the combined operation (gy,xT )2 = −1; since such pairs have opposite gx,y eigenvalues, generically bands cannot cross to form fourfold degeneracies at low-symmetry points along this line. However, at (c), the ¯M point, bands meet and form a fourfold-degenerate 2D Dirac point. Bands in wallpaper group p4g behave the same way, with the additional restriction from C4z symmetry that bands along ¯Γ ¯X and ¯Γ ¯Y form the same connectivities. Appendix B: Symmetries and Degeneracies of Wallpaper Groups pgg and p4g It has been extensively demonstrated that the bulk electronic degeneracies of a 3D crystal are constrained by the allowed irreducible (co)representations of the space group of that crystal, up to topological features, such as Weyl points17 -- 20,31,74,77,78. Additional degeneracies may be realized in toy models, but those fermions are unlikely to manifest in real materials, as they require symmetry-allowed terms to be manifestly zero. For example, the threefold symmorphic fermions predicted in the toy model in 79 appear to be realizable using only wallpaper group symmetries, but in fact they additionally require an unphysical anticommuting mirror to artificially constrain their k· p Hamiltonians. In fact, similar symmorphic realizations of Spin-1 Weyl fermions20 can be realized in cubic crystals with point group 23 (T ) and weak SOC, such as, for example at the Γ point in SG 19577,78. But these fermions are inherently three-dimensional, and are completely characterized by the single-valued corepresentations of these cubic space groups. Therefore, in this work, to find novel surface degeneracies, we explore the allowed band degeneracies of the time-reversal-symmetric wallpaper groups as captured by their double-valued corepresentations. The wallpaper, or plane, groups describe the 17 possible configurations of symmetries on the two-dimensional surface of a three-dimensional, time-reversal-symmetric crystal22. To be mathematically precise, in this work, our use of the term "wallpaper groups" is shorthand for the Shubnikov74 wallpaper groups WII formed from index-2 supergroups of their type-I counterparts GI by the addition of time-reversal-symmetry WII = GI + T GI . The irreducible corepresentations of WII are formed by considering the action of T on the irreducible representations31,74 of GI . Of these groups, only two have multiple nonsymmorphic symmetries74 (as defined previously in A 2). Specifically, wallpaper groups pgg and p4g contain glide lines in the x and y (surface in-plane) directions; p4g has an additional C4z symmetry that is not present in pgg. The group pgg is generated entirely by the two glides: while wallpaper group p4g is generated by gx,y = {mx,y 1 2 1 2 }, gx = {mx 1 2 1 2 }, C4z = {C4z00}. (B1) (B2) 1 2 The product of the two generators for p4g yields two additional symmorphic mirror symmetries, {mx+y 1 {mx−y 1 points in position space, and {mx±y 1 of the glides, mirrors, and C4z rotation centers for both wallpaper groups realized with a two-site unit cell. 2} and 2}. Though containing translations, these symmetries are not glides, as glides are, by definition, free of fixed 2 ) invariant. In Fig. 1, we show the locations ¯1 2 ,± 1 2} leaves the line y = ±(−x + 1 2 In crystal momentum space, the symmetry generators enforce required band groupings along lines and at points. In Figure 7, we show one quarter of the surface BZ and identify relevant lines and points with the letters (a), (b), and (c). Lines sharing the same letter obey the same symmetry restrictions, though for wallpaper group pgg, which lacks a C4z symmetry, they may individually display different features. തΓഥXഥYഥM(a)(a)(b)(b)(c)(a)(b)(c)𝑘𝑥𝑘𝑦 11 The lines designated (a) in Fig. 7 are ¯Γ ¯X and ¯Γ ¯Y and host singly degenerate bands which are eigenstates of gy and gx, respectively. As detailed extensively in 9,10,21,36, singly degenerate bands along lines invariant under a glide form hourglass or quantum spin Hall flows. Bands along lines designated (b), ¯X ¯M and ¯Y ¯M , are also eigenstates of gx and gy, respectively. However, unlike the bands along (a), they are doubly degenerate, because the symmetry operation T gy (T gx) leaves the line ¯X ¯M ( ¯Y ¯M ) invariant and squares to −1. We now show that all potential band crossings along the line ¯X ¯M are avoided by utilizing the fact that bands along this line are also eigenstates of gx. If gx(kx = 0, π; ky), +(cid:105) = gx+(cid:105) = ieiky/2+(cid:105), then, using the commutation relation gxgy = −gygxtxt−y, and by evaluating the resulting expression along ¯X ¯M at kx = π, gx(T gy+(cid:105)) = −T gygxtxt−y+(cid:105) = −T gyie−iky/2eikx+(cid:105) = −ieiky/2 (T gy+(cid:105)) . (B3) Thus, the Kramers partners +(cid:105) and T gy+(cid:105) have opposite gx eigenvalues. We note that eigenstates of gy along ¯Y ¯M must behave in the same manner by x ↔ y exchange symmetry. Since all sets of Kramers pairs along line (b) have the same pair of eigenvalues, they belong to the same corepresentation, and so crossings between these bands will generically be avoided. Finally, at the point ¯M , labeled (c), bands are fourfold-degenerate, a unique property of wallpaper groups pgg and p4g. This can be easily seen because the ¯M point is invariant under gx, gy, and T . Thus, if ψ is an eigenstate of gx, ψ,T ψ, gyψ, and T gyψ form a degenerate quartet of states; clearly the Kramers pairs are orthogonal and since the first two states have the opposite gx eigenvalue as the last two states, they are also orthogonal. This algebra can only be satisfied in the strong spin-orbit coupled wallpaper groups by two perpendicular glides. Furthermore, an examination of two-dimensional filling constraints in 36 confirms that no other algebra in 2D can enforce fourfold point-like band degeneracies. Therefore a fourfold point degeneracy can only be hosted on the surfaces of time-reversal-symmetric, strong spin-orbit, three-dimensional crystals invariant under the symmetries of pgg or p4g. We now determine the low-energy band dispersion near a fourfold crossing at (c). Returning to the two-site unit cell depicted in Fig. 1, we construct a k · p theory around ¯M . Letting τ represent the sublattice degree of freedom and σ the local spin degree of freedom, we choose the representation gx/y = τ yσx/y and T = iσyK. Then, to linear order in kx,y, the most general allowed Hamiltonian is given by, H ¯M = τ x(vxσxkx + vyσyky). (B4) This is the equation of a linearly-dispersing fourfold-degenerate 2D fermion. Therefore bands at ¯M generically touch in Dirac points. It is worth noting that unlike the 3D Dirac fermions characterized in 48,80, which are optional degeneracies created by band inversion, all band multiplets at ¯M in pgg and p4g must be at least fourfold-degenerate, and therefore the Dirac fermions in these wallpaper groups are instead more closely related to the filling-enforced 2D Dirac points proposed in 21,36,81. Like the 2D inversion-broken magnetic Dirac points in 81, these surface Dirac points also have generically non-degenerate cones; the cones here are only degenerate along the glide lines ¯X ¯M and ¯Y ¯M . In our k · p model, this behavior emerges upon the introduction of the quadratic term vxykxkyτ z. Inducing a T -symmetric mass term Vm = mτ z breaks each surface into a 2D trivial or topological insulator. On a single surface, as discussed in more detail in A 2, domain walls between regions with different signs of m will host 1D QSH edge modes, which are topologically protected by time-reversal symmetry alone. These domain walls have been shown to host Luttinger liquid physics58, and intersections between them can form effective quantum point contacts with switching behavior characterized by universal scaling functions and critical exponents82. Additionally, these surface domain walls would provide an improvement over recent efforts to test this physics in qualitatively similar domain walls in gapped bilayer graphene52 -- 54,83,84, which have also been proposed as Luttinger liquids85,86. Notably, as the domain walls in bilayer graphene are protected by valley index, they are quite sensitive to disorder, and thus far Luttinger liquid physics in bilayer graphene has not been observed87,88. Domain wall modes on gapped nonsymmorphic Dirac insulator surfaces, conversely, should remain robust against nonmagnetic disorder. Appendix C: Tight-Binding Notation Here we provide the tight-binding notation that will be used in subsequent sections, following 10. In the unit cell labeled by the Bravais lattice vector, R, the wavefunction corresponding to an orbital labeled by α at position R + rα is denoted by φR,α(cid:105). The Fourier transformed operators are given by, (cid:88) R φk,α(cid:105) = 1√ N eik·(R+rα)φR,α(cid:105). (C1) The single-particle Hamiltonian, H, defines a tight-binding Hamiltonian, H(k)α,β = (cid:104)φk,α Hφk,β(cid:105), whose eigenstates are denoted un(k)(cid:105). 12 (C2) The Fourier transform in Eq. (C1) shows that the Hamiltonian is not necessarily invariant under a shift of a reciprocal lattice vector, G. Instead, where V (G)αβ = δαβeiG·rα . Thus, we can choose the basis of eigenstates so that, H(k + G) = V (G)−1 H(k) V (G), un(k + G)(cid:105) = V (G)−1un(k)(cid:105). 1. Symmetries (C3) (C4) If the lattice is invariant under a spatial symmetry, g, that acts in real space by taking r → Dgr + δ, where Dg is the matrix that enforces a point group operation and δ is a (perhaps fractional) lattice translation, then g acts on states by: (C5) where R(cid:48) = Dg(R + rα) + δ − rβ is a Bravais lattice vector and Ug is a unitary matrix. It is convenient to define the Fourier transformed operator, gk, which can have explicit k dependence when it acts on Bloch states, by: gφR,α(cid:105) = φR(cid:48),β(cid:105) [Ug]αβ , Thus, gk separates into a product of a k-dependent phase and a matrix, Ug, that rotates the orbitals: gk = e−i(Dgk)·δUg. If H is invariant under g, then Eq. (C6) shows that: H(k) = g † kH(Dgk)gk. We can follow the same procedure for an antiunitary operator, Tg ≡ T g, to find: Tg,k ≡ ei(Dgk)·δUT gK, where K is the complex conjugation operator. Similarly to Eq. (C8), H(k) = T −1 g,k H(−Dgk)Tg,k. 2. Projector onto Occupied States We define the projector onto the nocc occupied states: nocc(cid:88) n=1 P(k) = un(k)(cid:105)(cid:104)un(k), (C6) (C7) (C8) (C9) (C10) (C11) eik·(R+rα)gφR,α(cid:105) (cid:88) (cid:88) e−i(Dgk)·δ(cid:88) R R gkφk,α(cid:105) ≡ 1√ N 1√ N 1√ N = = = e−i(Dgk)·δφDgk,β(cid:105) [Ug]αβ . R eik·(R+rα)φDg(R+rα)+δ−rβ ,β(cid:105) [Ug]αβ ei(Dgk)·(Dg(R+rα)+δ)φDg(R+rα)+δ−rβ ,β(cid:105) [Ug]βα which satisfies, using Eq. (C4), 13 (C12) Given a spatial symmetry, g, Eq. (C8) shows that gkun(k)(cid:105) has the same energy as un(k)(cid:105) and is a state at momentum Dgk. Hence, the projector onto the occupied states at momentum Dgk is given by: P(k) = V (G) P(k + G) V (G)†. nocc(cid:88) P(Dgk) = † † gkun(k)(cid:105)(cid:104)un(k)g k = gk P(k)g k. n=1 In subsequent sections, we will want to know how gk+G is related to gk. Plugging Eq. (C3) into Eq. (C8): gk V (G) H(k + G) V (G)†g−1 k = V (DgG) H(Dg(k + G)) V (DgG)†. Thus, gk+G = V (DgG)†gk V (G). For an antiunitary symmetry, Tg ≡ T g, the analogous equations are: nocc(cid:88) P(−Dgk) = Tg,k un(k)(cid:105)(cid:104)un(k)T † g,k and n=1 Tg,k+G = V (−DgG)†Tg,k V (G). Appendix D: Wilson Loops (C13) (C14) (C15) (C16) (C17) A precise way to distinguish the distinct surface connectivities is obtained through the eigenvalues of Wilson loops, which, as we will elaborate, also give information about the edge state spectrum10,23 -- 28,38. Here, we are interested in the Wilson loop matrix: (cid:2)W(k⊥,kz0) nm ≡(cid:104) (cid:3) P ei(cid:82) kz0+2π kz0 dkzAz(k⊥,kz0)(cid:105) , nm (D1) where P indicates that the integral is path-ordered, and Az(k)ij ≡ i(cid:104)ui(k)∂kz uj(k)(cid:105) is a matrix whose rows and columns correspond to filled bands. The eigenvalues of W are gauge invariant and of the form eiθ(kx,ky), i.e., they are independent of the 'base point,' kz0. 2 0}, as well as time-reversal symmetry, T , has the following constraints on its z-directed Wilson loop eigenvalues: In this appendix, we show that a space group with two glides, gx,y ≡ {mx,y 1 2 1 • Along the lines (kx, π) and (π, ky), the Wilson loop eigenvalues are doubly degenerate, due to the antiunitary symmetries gxT and gyT , respectively (see D 2). • At the (π, π) point, the doubly degenerate bands meet at a fourfold band crossing (see D 3). • Along the ¯X ¯M ( ¯Y ¯M ) and ¯Γ ¯Y (¯Γ ¯X) lines, bands can be labeled by the eigenvalues of gx (gy) (see D 3). The gauge invariance of the loops allows us to write down a topological invariant that distinguishes the states, as derived in E 1. 1. Discretized Wilson Loop For completeness, following 10, we derive a discretized version of the Wilson loop (Eq. (D1)), which is useful for clarity when deriving symmetry constraints. Using the projector onto occupied states, P, defined in Eq. (C11), we derive the discretized Wilson loop matrix, (cid:2)W(k⊥,kz0) (cid:3) nm ≡(cid:104) P ei(cid:82) kz0+2π ≈(cid:104) (cid:80)N P ei 2π kz0 N dkzAz(k⊥,kz0)(cid:105) N )(cid:105) P N(cid:89) nm j=1 j=1 Az(k⊥,kz0+ 2πj nm ≈ (cid:104)un(k⊥, kz0 + 2π) P(k⊥, kz0 + 14 (cid:18) 2πj N ) 1 − 2π N ∂kz(k⊥,kz0+ 2πj N ) (cid:19) P(k⊥, kz0 + 2πj N um(k⊥, kz0)(cid:105) ) ≈ (cid:104)un(k⊥, kz0)V (2πz) Π(k⊥, kz0)um(k⊥, kz0)(cid:105), where k⊥ ≡ (kx, ky) and in the last line we have defined the ordered product of projectors, 2π N Eq. (D2) shows that the discretized Wilson loop can be written in the basis-invariant form, Π(k⊥, kz) ≡ P(k⊥, kz + 2π) P(k⊥, kz + )··· P(k⊥, kz + 2π(N − 1) N By applying Eq. (C12) to Eq. (D4), for a reciprocal lattice vector G, Wk+G = V (G)†Wk+G V (G), W(k⊥,kz0) = V (2πz) Π(k⊥, kz0). ). (D2) (D3) (D4) (D5) which shows that the Wilson loop eigenvalues are invariant under shifts of G, whether G is along or perpendicular to the direction of the loop. 2. Effect of Time-Reversal-Like Symmetries on the Wilson Loop Here, we consider an antiunitary symmetry, Tg ≡ T g, where g rotates k⊥ but does not affect kz and g does not include a real space translation along z. If we write the action of g in real space by r → Dgr+δ, these conditions require that Dg(k⊥, kz) = (Dgk⊥, kz) and δ = (δx, δy, 0). Using Eq. (C9), these properties imply Tg,(k⊥,kz) = Tg,(k⊥,0) ≡ Tg,k⊥. We would like to relate W(−Dgk⊥,0) to W(k⊥,0), to which end we compute: T −1 g,k⊥W(−Dgk⊥,0)Tg,k⊥ = T −1 g,k⊥ = T −1 g,k⊥ = T −1 g,k⊥ = V (2πz)† V (2πz) P(k⊥, 0) P(k⊥, = W† V (2πz) Π(−Dgk⊥, 0)Tg,k⊥ V (2πz) P(−Dgk⊥, 2π) P(−Dgk⊥, 2π − 2π N V (2πz)Tg,k⊥ P(k⊥,−2π) P(k⊥,−2π + 2π N 2π N (k⊥,0). )··· P(k⊥, 2π − 2π N )··· P(−Dgk⊥, )··· P(k⊥,− 2π N ) V (2πz)† )Tg,k⊥ 2π N )T † g,k⊥Tg,k⊥ (D6) We have shown that, T −1 g,k⊥W(−Dgk⊥,0)Tg,k⊥ = W† (k⊥,0). Thus, if ψ(k⊥) is an eigenvector of W(k⊥,0) with eigenvalue eiθ(k⊥), then Tg,k⊥ ψ(k⊥) is an eigenvector of W(−Dgk⊥,0) with the same eigenvalue. Furthermore, if for some k⊥, Tg leaves k⊥ invariant up to a reciprocal lattice vector (i.e, −Dg(k⊥, kz) = (k⊥ + G⊥,−kz), where (G⊥, 0) is a reciprocal lattice vector), then from Eq. (D5), V (G⊥)Tg,k⊥ψ(k⊥) is an eigenstate of W(k⊥,0), also with the same eigenvalue as ψ(k⊥). Since V (G⊥)Tg,k⊥ is an antiunitary symmetry that squares to −1, ψ(k⊥) and V (G⊥)Tg,k⊥ψ(k⊥) are orthogonal. Thus, at momenta whose projections onto (kx, ky) are invariant under Tg up to a reciprocal lattice vector, eigenstates of W(kx,ky,0) come in Kramers pairs. 3. Effect of Unitary Symmetries that Leave kz Invariant Here we consider a unitary symmetry, g, which leaves kz invariant and does not translate in the z direction, i.e., Dg(k⊥, kz) = (Dgk⊥, kz) and δ = (δx, δy, 0). Using Eq. (C6), g(k⊥,kz) = g(k⊥,0) ≡ gk⊥ . Eq. (C13) shows that † † V (2πz) Π(Dgk⊥, kz) = V (2πz)gk⊥ Π(k⊥, kz)g k⊥ = gk⊥ V (2πz) Π(k⊥, kz)g k⊥ . Thus, by definition, † W(Dgk⊥,kz) = gk⊥W(k⊥,kz)g k⊥ . (D7) 15 (cid:105) (cid:104)W(k⊥,kz), V (G⊥)gk⊥ Now specialize to momenta invariant under g up to a reciprocal lattice vector, so that Dg(k⊥, kz) = (Dgk⊥, kz) = (k⊥ + G⊥, kz), where (G⊥, 0) is a reciprocal lattice vector. At these momenta, W(Dgk⊥,kz) = W(k⊥+G⊥,kz), which, = 0. Thus, at values of k⊥ combined with Eqs. (D7) and (D5), shows that at these momenta, that are invariant under Dg up to a reciprocal lattice vector, the Wilson loop, W(k⊥,kz), and the operator V (G⊥)gk⊥ can be simultaneously diagonalized. Wilson loop bands can then be labeled by their V (G⊥)g eigenvalue in exactly the same way as energy bands can be labeled by their gk⊥ eigenvalue. We now apply the results of this section and the previous section to the glide symmetries defined in the main text, gx,y ≡ {Mx,y 1 2 0}. At k⊥ = (π, π), Dgx (k⊥, kz) = (k⊥, kz) − 2πx and Dgy (k⊥, kz) = (k⊥, kz) − 2π y. From the previous paragraph, the Wilson loop operator W(k⊥,kz) commutes with both V (−2πx)gx,k⊥ and V (−2π y)gy,k⊥ , while { V (−2πx)gx,k⊥ , V (−2π y)gy,k⊥} = 0 (the last statement follows from Eq. (C15) and the fact that {gx,k⊥, gy,k⊥} = 0 at k⊥ = (π, π)). Furthermore, from D 2, eigenstates of W(k⊥,0) come in Kramers pairs due to time-reversal sym- metry, which takes (k⊥, 0) to (k⊥, 0) − 2π(x + y). Thus, if ψ(k⊥) is a simultaneous eigenvector of W(k⊥,0) and V (−2πx)gx,k⊥ , it forms a quartet with three other states, V (−2π(x + y))Tk⊥ ψ(k⊥), V (−2π y)gy,k⊥ ψ(k⊥), and V (−2π(x + y))Tk⊥ V (−2π y)gy,k⊥ ψ(k⊥), which share the same eigenvalue of W(k⊥,0) but are orthonormal (the or- thonormality is verified because the last two states have the opposite V (−2πx)gx,k⊥ eigenvalues as do the first two). Thus, the Wilson loop eigenvalues are fourfold-degenerate at k⊥ = (π, π). 1 2 4. Effect of Unitary Symmetries that Flip the Sign of kz Here we consider a unitary symmetry, g, which flips the sign of kz and does not translate in the z direction, i.e. Dg(k⊥, kz) = (Dgk⊥,−kz) and δ = (δx, δy, 0). As in the previous section, Eq. (C6) guarantees g(k⊥,kz) = g(k⊥,0) ≡ gk⊥ . Using Eq. (C13), W(Dgk⊥,kz) ≡ V (2πz) Π(Dgk⊥, kz) 2π(N − 1) = V (2πz) P(Dgk⊥, kz + 2π) P(Dgk⊥, kz + = V (2πz)gk⊥ P(k⊥,−kz − 2π) P(k⊥,−kz − 2π(N − 1) = V (2πz)gk⊥ V (2πz) P(k⊥,−kz) P(k⊥,−kz + † = V (2πz)gk⊥ V (2πz) Π(k⊥,−kz)† V (2πz)†g k⊥ † k⊥ = gk⊥W† = gk⊥ Π(k⊥,−kz)† V (2πz)†g † k⊥ . (k⊥,−kz)g 2π N N ) )··· P(Dgk⊥, kz + 2π N )··· P(k⊥,−kz − 2π N † )g k⊥ N † )··· P(k⊥,−kz + 2π) V (2πz)†g k⊥ (D8) Thus, the Wilson loop eigenvalues at k⊥ and Dgk⊥ come in complex conjugate pairs. Consequently, at momenta whose surface projection is invariant under this symmetry (or invariant up to a shift of a reciprocal lattice vector (G⊥, 0), according to Eq. (D5)), the spectrum of the phase of the Wilson loop eigenvalues is particle-hole symmetric. Appendix E: Topological Invariant 1. Single Glide In 11, the authors introduce a Z4 invariant to classify strong topological phases of time-reversal-invariant systems with a single glide symmetry; in 40, the authors show how to interpret this invariant in terms of Wilson loops. In this section, we refine this invariant for systems with two glide symmetries. We first summarize the relevant result from 11. Consider a time-reversal-invariant system with a single glide y = {R100}, where symmetry, gy = {my 1 R indicates a 2π rotation, Eq. (C6) dictates that g2 y,(kx,ky,kz) = −eikx , where the minus sign comes because we are considering spinful systems that acquire a minus sign upon a 2π rotation. Hence, along the glide-invariant planes, ky = 0 and ky = π, each energy or Wilson band can be labeled by its gy,(kx,ky,kz) eigenvalue, ±ieikx/2, and we say it 2 , t, 0}, where t can be a fractional or integer lattice translation. Since g2 16 FIG. 8: An example to compute χy according to steps 1-4 in E 1. The ± glide sectors are identified by solid black (dashed blue) lines for the bands with gy eigenvalue ±ieikx/2 along ¯M ¯Y and ¯Γ ¯X. We now follows steps 1-4 to compute χy: 1. Draw the red line labeled E1. 2. One positively sloped line in the + sector (black solid line) crosses E1 along ¯M ¯Y and no negatively sloped lines cross; after multiplying by 2 the total for this step is 2. 3. One positively sloped line in the + sector (black solid line) crosses E1 along ¯Γ ¯X and no negatively sloped lines cross; after multiplying by 2 the total for this step is 2. 4. Along ¯Y ¯Γ, one line with positive slope and one line with negative slope cross E1; the total for this step is zero. The total from steps 2, 3, and 4 is 4. Thus, χy = 4 mod 4 = 0 in this example. We could have also seen that χy = 0 by choosing in step 1 the red horizontal line at energy E2. Since no bands cross this line, steps 2-4 again show that χy = 0. belongs to the ± glide sector. The Z4 invariant is defined as, +,z(π, 0, kz)(cid:1) dkz dkx +,z(π, π, kz) − trAI dkz (trF+,y(kx, π, kz) − trF+,y(kx, 0, kz)) (cid:90) π (cid:90) π (cid:90) π −π 0 0 χy ≡ 2 π 1 π − 1 2π + (cid:0)trAI (cid:90) π (cid:90) π −π −π dky dkztrFx(0, ky, kz) mod 4, (E1) where A±,i ≡ i(cid:104)u±∂kiu±(cid:105), F± ≡ ∇ × A±, the subscript ± indicates the glide sector (when there is no subscript, there is no glide symmetry and all occupied bands are summed over), and the superscript I indicates one state in a Kramers pair. It is shown in 11 that χy always takes integer values. Because it is a function of Wilson loops, it is also gauge invariant: the first line compensates for any change of gauge implemented in the last two lines. Thus, χy at least partially classifies time-reversal-invariant systems with one glide. Using K theory, it is claimed in 11 that this is a complete classification of strong topological phases for these space groups. In 10, the authors show that the completeness of this classification can also be deduced from the possible windings of the glide-allowed Wilson band connectivities. Before moving to systems with two glide symmetries, it is useful to translate Eq. (E1) into a pictorial computation from a plot of the Wilson loop (defined in Eq. (D4)) eigenvalues along the line segment ¯M ¯Y ¯Γ ¯X (notice this is a bent line42 consisting of three segments, not a complete loop): 1. Draw a horizontal line across the plot. 2. Count the number of times a positively sloped band in the + sector crosses the line along the ¯M ¯Y segment and subtract from it the number of times a negatively sloped band in the + sector crosses the line along the same segment. Multiply the total by 2. 3. Repeat along the ¯Γ ¯X segment. 4. Along the ¯Y ¯Γ segment, count the number of times any positively sloped band crosses the line and subtract from it the number of times a negatively sloped band crosses the line (since bands along this line are not eigenstates of gy, all bands contribute). 5. Add the numbers from the previous three steps together; taken mod 4, this sum is χy. An example is shown in Fig. 8. 2. Two Glides We now consider systems with two glide symmetries, gx and gy, which satisfy g2 y,(kx,ky,kz) = −eikx . Such a system can be described by a pair of invariants (χx, χy), where χx is defined by exchanging kx and ky x,(kx,ky,kz) = −eiky , g2 M(cid:1)Y(cid:1)Γ(cid:1)X(cid:1)E1 E2 17 FIG. 9: An example to compute (χx, χy). The ± glide sectors are identified by solid black (dashed blue) lines for the bands with gy eigenvalue ±ieikx/2 along ¯M ¯Y and ¯Γ ¯X. Similarly, the ± glide sectors are identified by solid black (dashed blue) lines for the bands with gx eigenvalue ±ieikx/2 along ¯M ¯X and ¯Γ ¯Y . Following steps 1-4 in E 1, we compute χy = 2. To compute χx, we follow the same steps but with ¯Y exchanged with ¯X and find that χx = 2. FIG. 10: The Wilson loop configuration on the left obeys the requirements imposed by symmetry but is forbidden from occurring in a bulk-gapped system because it has a net winding number and thus violates the constraint χx + χy = 0 mod 2; specifically, χx = 1, χy = 0. The consequence of the winding number is a bulk Weyl point enclosed by the planes (0 ≤ kx ≤ π, 0, kz), (π, 0 ≤ ky ≤ π, kz), (0 ≤ kx ≤ π, π, kz), (0, 0 ≤ ky ≤ π, kz), which are shown in blue in the right figure. The red circle represents such a bulk Weyl point. in Eq. (E1). χx can be easily computed from a plot of the Wilson loop by following steps 1-4 in the previous section after interchanging ¯X and ¯Y . An example is shown in Fig. 9. However, not all pairs (χx, χy) are compatible with our assumption of an insulating bulk: we now show that a bulk band insulator only permits χx + χy = 0 mod 2. The total number of Wilson bands that cross the reference line around the closed loop ¯Γ ¯X ¯M ¯Y ¯Γ must be even because the system is gapped and, consequently, the integral of the Berry curvature over any closed surface must be zero (else there would be a Weyl point contained in the bulk region enclosed by the planes (0 ≤ kx ≤ π, 0, kz), (π, 0 ≤ ky ≤ π, kz), (0 ≤ kx ≤ π, π, kz), (0, 0 ≤ ky ≤ π, kz), as shown in Fig. 10). Since the bands along the segment ¯Y ¯M ¯X are doubly degenerate (shown in D 2), the parity of the number of bands that cross the reference line around the closed loop ¯Γ ¯X ¯M ¯Y ¯Γ is equal to the parity of the number of bands that cross the reference line along the segment ¯X ¯Γ ¯Y . Since, in the computation of χx,y, the results of steps 2 and 3 are necessarily even, the parity of the number of bands that cross along ¯Y ¯Γ is exactly χy mod 2; similarly, the parity of the number of bands that cross along ¯X ¯Γ is exactly χx mod 2. Thus if we disallow Weyl points by mandating a gapped bulk, χx + χy = 0 mod 2. (E2) Consequently, there are eight topologically distinct surface phases that describe a gapped system with two glide symmetries. The eight possible Wilson loops corresponding to the pair of invariants are shown in Fig. 2. Because χx + χy = 0 mod 2, we can rewrite each pair of invariants as (χx, χy) = (χx, χx + 1 − (−1)(χx−χy)/2), which shows that the eight topological phases are classified by a Z4 × Z2 index: the Z4 index is given by the Z4 index of a single gx glide, χx, and the Z2 index is ηχx,χy ≡ 1 Z2 index satisfies the desired group addition: 2 (cid:0)1 − (−1)(χx−χy)/2(cid:1). It is straightforward to check that the (cid:16) y)/2(cid:17) 1 − (−1)(χx−χy)/2(cid:17)(cid:16) y)/2(cid:17)(cid:17) 1 2 y)/2 + 1 − (−1)(χ(cid:48) x−χ(cid:48) (cid:16) mod 2 ≡ ηχx+χ(cid:48) y)/2(cid:17) 1 − (−1)(χ(cid:48) x−χ(cid:48) (E3) x,χy+χ(cid:48) y . (cid:16) (cid:16) (cid:16) ηχx,χy + ηχ(cid:48) x,χ(cid:48) y ≡ 1 2 1 2 1 2 = = 1 − (−1)(χx−χy)/2(cid:17) + 1 − (−1)(χx+χ(cid:48) 1 − (−1)(χx+χ(cid:48) x−χy−χ(cid:48) x−χy−χ(cid:48) We now consider what would happen if instead of computing χx,y in the first Brillouin zone, we looked at an adjacent Brillouin zone, shifted by 2π along the kx axis. In this case, the gy eigenvalues change sign, ±ieikx/2 → ∓iei(kx+2π)/2, X(cid:1)M(cid:1)Y(cid:1)Γ(cid:1)X(cid:1)E X(cid:1)M(cid:1)Y(cid:1)Γ(cid:1)X(cid:1)E kx kx kz 18 while the gx eigenvalues remain invariant. Consequently, χy → −χy, while χx remains unchanged. Similarly, if we moved to a Brillouin zone shifted by 2π in the ky direction, χy would be unchanged, but χx → −χx. Thus, one might worry that the Z4 invariant is not a robust characterization of the phase. However, the characterization remains robust: while the labels depend on our choice of Brillouin zone, once that choice is made, there are always eight distinct topological phases. Within a given choice of BZ labeling, transitioning between χx,y = 1 and χx,y = 3 requires closing a bulk gap. Because the phases with odd χx,y have topological indices that depend on choice of BZ, and Bloch's theorem requires that all choices of BZ be equivalent under reciprocal lattice translation, physically distinguishing between χx,y = 1, 3 for a single, isolated sample requires a glide-polarized measurement scheme. However, one can compare the relative values of χx,y for two samples stacked in the z-direction by measuring the presence or absence of a boundary mode, which depends on the difference between χx,y in each sample. For example, placing two samples with χx = χy = 1 next to each other would yield a trivial boundary, whereas placing such a sample next to one with χx = χy = 3 would yield a (2, 2) topological Dirac point on the interface. This effect is similar to what occurs in time-dependent adiabatic pumping cycles of topological superconducting Josephson junctions, for which there are two distinct QSH-like phases that in practice are only distinguishable when coupled to other similar systems89. 3. Z2 Topological Invariant in the Presence of Inversion Symmetry In the presence of inversion symmetry, I, the Z2 strong topological invariant, ν, is given by, (cid:89) ν = ξkinv , (E4) kinv where the product is over the eight inversion-symmetric points, kinv, and ξkinv is the product of the inversion eigen- values of the occupied bands at kinv that are not time-reversal partners (since time-reversal partners have the same inversion eigenvalues, there is no ambiguity in choosing just one of the partners). In this section, we show that in the presence of the two glides, gx, gy, and inversion symmetry, Eq. (E4) can be simplified to only involve two points: ν = ξ(0,0,0)ξ(0,0,π). (E5) Without loss of generality, in this section we choose the crystal origin so that the inversion operator involves no translation. The two glides can be expressed as gx = {mxtx, 1 2 , depending on the space group. We do not consider translations in the z direction since these symmetries would not be preserved by a surface parallel to the xy-plane. The operators obey the following commutation relation: 2 , ty, 0}, where tx,y = 0 or 1 2 , 0}, gy = {my 1 Igx = gxIt−2tx x+y, (E6) as do the operators under the transformation x ↔ y; tv indicates a translation by v. At the two points (π, π, 0(π)), which project in the surface Brillouin zone to the ¯M point, where a Dirac node is located, filled bands come in groups of four that are eigenstates of gy: ψ, gxψ and their time-reversed partners, T ψ,T gxψ; notice ψ and gxψ are linearly independent because they have different gy eigenvalues (assume gyψ = ±ψ; then because {gx, gy} = 0 at the ¯M point, gy(gxψ) = −gxgyψ = ∓(gxψ)); they are not time-reversed partners because their distinct gy eigenvalues are real. At (π, π, 0), for each eigenstate, ψ, with inversion eigenvalue λ = ±1, the state . Since the same logic gxψ has inversion eigenvalue λe(−2tx+1)πi, following Eq. (E6). Thus, ξ(π,π,0) =(cid:0)−e2πitx(cid:1)nocc/4 holds at the (π, π, π) point, ξ(π,π,π) =(cid:0)−e2πitx(cid:1)nocc/4 = 1. We now assume the presence of C4z to show that the points (0, π, 0(π)) and (π, 0, 0(π)) also contribute a factor of +1 to ν. Since [C4z,I] = 0, an eigenstate at (0, π, 0(π)) has a C4z partner at (π, 0, 0(π)) with the same inversion eigenvalues; hence the product of the inversion eigenvalues at these two points is +1. This proves Eq. (E5) in the presence of C4z symmetry. We now prove Eq. (E5) without C4z symmetry using the Z4 invariants, χx,y. We already proved in Eq. (E2) and the surrounding text that the two Z4 invariants have the same parity: (−1)χx = (−1)χy . It is proved in 11 that the parity of the Z4 invariant, χx,y, is exactly the Z2 invariant of the ky,x = 0 plane. Writing the Z2 invariant of the ky,x = 0 plane in terms of its inversion eigenvalues and equating the parity of χx with χy yields ξ(0,0,0)ξ(0,0,π)ξ(π,0,0)ξ(π,0,π) = (−1)χx = (−1)χy = ξ(0,0,0)ξ(0,0,π)ξ(0,π,0)ξ(0,π,π). By equating the left-most and right-most expressions, we find that ξ(π,0,0)ξ(π,0,π) = ξ(0,π,0)ξ(0,π,π). Hence, the inversion eigenvalues at these points contribute a (trivial) +1 to Eq. (E4). We already showed above that the two points (π, π, 0(π)) also contribute a factor of +1. Together, this proves Eq. (E5). 2 , ξ(π,π,0)ξ(π,π,π) =(cid:0)e4πitx(cid:1)nocc/4 , as well. Since tx = 0 or 1 19 FIG. 11: An example to compute n110 according to steps 1-4 in E 4. Along ¯Γ ¯M the solid black (dashed blue) lines indicate the bands with m1¯10 eigenvalues ±i. Along ¯M ¯X ( ¯X ¯Γ) the solid black (dashed blue) lines indicate bands with gx (gy) eigenvalue ±ieiky /2 (±ieikx/2). To compute steps 1-4, we need only examine the segment ¯Γ ¯M , along which one negatively sloped line in the + sector and two negatively sloped lines in the − sector cross the red horizontal reference line. Thus, the result from step 2 is -1, the result from step 3 is -2, and n1¯10 = 1. Evaluating χx according to E 1 shows that χx = 1 mod 2, exemplifying the proof that χx = n1¯10 mod 2. 4. Mirror Chern Number in Wallpaper Group p4g 2 ¯1 2 0} and m110 ≡ {m110 1 The wallpaper group p4g has C4z symmetry, in addition to the two glides, gx,y. Consequently, it has the two 2 0}, pictured Fig. 1. Though these symmetries contain mirror symmetries m1¯1 ≡ {m1¯10 1 translations, they are symmorphic mirrors, and not glides, because their associated translations are along the same axes as their reflections. Equivalently, for a different choice of origin, these symmetries could therefore be written without an accompanying translation. One can define mirror Chern numbers1, n1¯10 and n110, associated with m1¯10 and m110, respectively. We now show that (−1)n1¯10 = (−1)n110 = (−1)χx = (−1)χy (the last equality was proved above Eq. (E2)). We focus on the mirror Chern number n1¯10, associated with m1¯10, which leaves the line (k, k, kz) invariant; an identical argument holds for n110. As shown in 90, 2 1 (cid:90) π (cid:90) 2π dkztr(cid:2)F+,1¯1 − F−,1¯1 (cid:3) , n1¯10 = 1 2π dk11 0 0 (E7) (cid:105) (cid:90) π 0 (cid:20)(cid:90) 2π 0 (cid:21) (cid:90) π 0 (cid:104) (kx ± ky) and here, F±,1¯1 ≡ ∂k11 A±,z − ∂kz A±,11, where ± indicates that the trace is over bands where k11(1¯1) ≡ 1√ with m1¯10 eigenvalue ±i. This quantity is gauge invariant, but we can evaluate it in our gauge choice of Eq. (C1). In particular, A±,11(k, k, 2π) ≡ (cid:104)ui(k, k, 2π)∂k11uj(k, k, 2π)(cid:105) = (cid:104)ui(k, k, 0)V (2πz)∂k11 (cid:104)ui(k, k, 0)∂k11uj(k, k, 0)(cid:105) ≡ A±,11(k, k, 0), using Eq. (C4) and the fact that ∂k11V (G) = 0. Thus,(cid:82) 2π (cid:0)V (2πz)−1uj(k, k, 0)(cid:105)(cid:1) = 0 ∂kz A±,11 = 0, 2 and n1¯10 = 1 2π dk11∂k11Tr dkz (A+,z − A−,z) = − i 2π dk∂k11Tr lnW + (k,k,0) − lnW− (k,k,0) , (E8) where W± is defined as the Wilson loop evaluated on the bands with m1¯10 eigenvalue ±i. Thus, n1¯10 can be evaluated from a plot of the phases of the eigenvalues of the Wilson loop W(k,k,0) along the line ¯Γ ¯M . in a similar manner to the Z4 invariant calculation in E 1: 1. Draw a horizontal reference line across the plot. 2. Count the number of times a positively sloped line in the + sector crosses the horizontal reference line along ¯Γ ¯M and subtract from that the number of times a negatively sloped line in the + sector crosses the horizontal reference line; this gives the F+,1¯1 part of Eq. (E7), up to edge contributions. 3. Repeat for the − sector. 4. n1¯10 is equal to the result from step 2 minus the result from step 3. This is illustrated in 90 and in Fig. 11. From steps 1-4, it is evident that the parity of n1¯10 is equal to the parity of the number of lines crossing the horizontal reference line drawn in step 1, along the segment ¯Γ ¯M (the negative/positive slope no longer matters, since we are considering parity). Now consider the closed loop in the surface Brillouin zone, ¯Γ ¯M ¯X ¯Γ. Because the system is insulating, when the Wilson loop, W(kx,ky,0), is plotted along this path, an even number of bands must cross the reference line (the assumption that the system is insulating actually places a stronger Γ M X Γ constraint -- that there must be the same number of bands crossing the reference line with positive as with negative slope -- but again, since we are considering parity, we only need this constraint mod 2). Since the bands come in pairs along the line ¯M ¯X, the parity of the number of bands crossing the reference line along ¯Γ ¯M is equal to the parity of the number of bands crossing the reference line along ¯X ¯Γ; the latter is equal to the parity of χy, as derived at the end of E 1. It follows that n1¯10 = χy mod 2, completing the proof. Since (−1)χx = (−1)χy gives us the strong Z2 index, as can be seen from the examples in Fig. 2, which show that the parity of χx,y is exactly the parity of the number of linearly dispersing surface states (the Dirac cone at ¯M counts twice towards this parity since it comes from four intersecting bands), we conclude that (−1)n1¯10 also provides the strong Z2 index, i.e., if n1¯10 is odd, the occupied bands constitute a strong topological insulating phase. 20 Appendix F: Density Functional Theory Methods and Additional Double-Glide Topological Materials In this section, we provide additional details on the density functional theory (DFT) calculations in the main text and present supplemental materials results. First, we detail our methodology for generating the bulk electronic band structures for both the materials in the main text and for those analyzed in this supplement. We then provide material-specific descriptions of the (001)-directed Wilson loop calculations used to confirm the bulk topology. Finally, we present the details of the semi-infinite surface Green's function calculations used to predict topological surface features for the materials in the main text. All of the materials candidates presented in this work were previously synthesized, and all were sufficiently stable as to have been powderized and examined under X-ray diffraction in an argon environment43 -- 47,49. To identify our topological materials candidates, we first searched the previously calculated electronic structures tabulated in the open online database Materials Project91 for double-glide materials in space groups 32, 50, 54, 55, 100, 106, 117, 125, and 127 with small or negligible listed band gaps, zero net magnetic moment, and fewer than 50 atoms per unit cell. Using the most promising candidates from this search, as well as additional materials listed in the inorganic crystal structure database (ICSD)92 with fewer than 50 atoms per unit cell, we performed DFT examinations of ∼ 100 materials. In Tables I, II, and III, we list the chemical formulas and ICSD numbers for the materials which our DFT and Wilson loop calculations determined to be gapless at the Fermi energy or gapped with topologically trivial Z4 × Z2 glide indices. Glide-Trivial Tested Materials in SG 55 (22 Materials) Chemical Formula ICSD Number Chemical Formula ICSD Number Sc2Pt3Si2 247425 Y5Pd2In4 165133 Chemical Formula ICSD Number 427249, 603493, Y2RuB6 Na3Cu4S4 Al3Pt5 10004 55579, 58135, 656681 NaIn3S5 CuHgSeCl 426706 16450 AgHg2PO4 La2InSi2 615404 2208 193223 La2SnS5 2313, 641853 Ca2PbO4 36629 Ca2SnO4 9011, 173626, Cd2SnO4 Sr5Sn2P6 Rb2Te2 YCrB4 69296, 9010 63593 83350 16171 Cs2Te2 Ta2Pd3Se8 Ta4SiTe4 83351 73318 40207, 659266 Mg2Ru5B4 Ca5Sn2As6 Tl2PdSe2 187742 61039 61037 79601 TABLE I: Materials in SG 55 identified by DFT to be gapless at the Fermi energy or topologically trivial by glide indices. 21 Chemical Formula ICSD Number Chemical Formula ICSD Number Chemical Formula ICSD Number Glide-Trivial Tested Materials in SG 125 (18 Materials) SrNa2P4O12 K3NaSn3Se8 K2Sr(VO3)4 CeMn2Ge4O12 Ag2Ca(VO3)4 La2NiGa12 37171 280286 155420, 250103 50695 161369 161765 La2NiGa12 Ge4N6Sr11 BaAg2Hg2O4 Cs2Sr(VO3)4 Ag2Sr(VO3)4 Na2Sr(VO3)4 161765 170982 40835 250105 161371 155419, 161370, 250102 K3AgSn3Se8 La2PdGa12 BaAl2Te4 KCeSe4 Ce2CuGa12 RbAg5Se3 416330 171486, 183717 41165 67656 161767 50738 TABLE II: Materials in SG 125 identified by DFT to be gapless at the Fermi energy or topologically trivial by glide indices. Chemical Formula ICSD Number Chemical Formula ICSD Number Chemical Formula ICSD Number Glide-Trivial Tested Materials in SG 127 (57 Materials) CaCu9Cd2 NaNbO3 424134 192406, 280100, 23563, 236892 BaPtLa2O5 CdY2Ge2 68794 414169 Y2Cu2Mg Na2Bi5AuO11 411711, 419472 74365, 164986 La2Ni2Mg K2LaTa5O15 107327 421750 YB2C2 NaMgF3 InNi2Sc2 B2Nb3Ru5 Sc2Ni2Sn Mn2Ga5 La2Cu2In Co2Zr2In CoIn3 102501, 623922 Ba3Nb5O15 69993 Ba4In2Te2Se5 Ba3Ta5O15 Ca2Au2Pb KAlF4 409531 16413, 60524, KMo4O6 Li2Sn5 SnMo4O6 201947 68533 26200 92839 Cs3GeF7 K2CsPdF5 LaB2C2 Mg2SiIr5B2 V3B2 88317, 107318, Y2Pd2Pb 99189 Y2BaPdO5 La2Ni2Mg Ta4 Au2SnTb2 107327 54204 658834 La2Cu2Mg Ti2In5 Ta3Ga2 615662 202819 411709 401730 107309, 635467, 635490 427155 193088 107333 423469 54348 249632, 634613, 634639 Sc2MgGa2 MgY2Ge2 260213 423457 CsSnI3 AlPt3 Pb2Br2CO3 La7Ni2Zn 69995, 262925 107439, 609126, 609153, 656679 250396, 29114 159116 411708, 628002 K3Li2(NbO3)5 164890 107331 425588 79810 202917 72301 94035 69487 CeB4 417745, 24682, BaHg2O2Cl2 CeB2C2 Hg2PbI2S2 KCuF3 La2InGe2 NaMo4O6 La2Pd2Pb 612705 77509 88560, 94036 280180, 88857 59204 21110 87511 40962 99190 NaTaO3 23322, 88377 La2Ni5C3 Au2InY2 Tl2GeTe5 239692, 280101 62277, 67376 658835 69035 TABLE III: Materials in SG 127 identified by DFT to be gapless at the Fermi energy or topologically trivial by glide indices. Though our investigations only yielded four materials with band gaps at the Fermi energy and nontrivial glide indices, we note that expanding consideration to materials with greater unit cell complexity, the ICSD reports over 2000 previously synthesized materials in SGs 55 and 127 alone. By examining these more complicated materials, or by performing strain or symmetry-preserving chemical substitution, improved topological double-glide materials candidates should be readily discoverable. 22 Of the two materials presented in the main text, we first detail our analysis of Ba5In2Sb6 in SG 5549. We then consider members of the the Si2U3-like A2B3 family of materials in SG 127, which include Sr2Pb3, highlighted in the main text, as well as Au2Y3 and Hg2Sr3. All of the numerical data for the first-principles calculations and figures in this work are freely accessible at https://dataverse.harvard.edu/dataset.xhtml?persistentId=doi: 10.7910/DVN/EUGQDU. 1. Ba5In2Sb6 in SG 55 To explore the electronic properties of Ba5In2Sb6 (ICSD No. 62305), we performed first-principles calculations with the projector-augmented wave (PAW) potential method93 as implemented in the Vienna ab initio simulation package software (VASP)94, using the Perdew-Burke-Ernzerhof (PBE)-type generalized gradient approximation (GGA)95 for the exchange-correlation functional. The cutoff energy for the wave-function expansion was set to 400 eV, and the k-point sampling grid was set at 6 × 4 × 12. The experimental lattice parameters49 were used for Ba5In2Sb6 without structural relaxation, as the band structure near the Fermi level and (thus potentially the bulk topology) was found to be sensitive to the structural parameters, possibly due to the material's relatively small band gap of 5 meV (indirect) and 17 meV (direct). We additionally confirmed convergency using the above settings. To calculate the Wilson loop spectrum, we used the following subroutine in the mlwf.f90 module in VASP to calculate the overlap of wavefunctions: CALL PEAD CALC OVERLAP(W,KI,KJ,ISP,P,CQIJ,LATT CUR,T INFO,S,LQIJB=.TRUE.) which we then inputted into Eq. (D1). The Wilson loop spectrum was calculated for the highest 60 valence bands. To separate the Wilson spectrum into glide sectors, we determined the position-space form of the glide matrices gx,y in the plane wave basis: k(cid:105) ≡(cid:88) k (r) = (cid:104)rψn ψn k+Gei(k+G)·r, C n (F1) (F2) where, along the glide-invariant lines: G gx,yψn k (r) = ±ieiky,xψn k (r). We then calculated the Wilson loop individually for the energy eigenstates within each sector of gx,y. We determined the bulk topology of Ba5In2Sb6 by utilizing the rules in E 1. In Fig. 5(d) we draw a dashed green line across the Wilson spectrum and count the glide-polarized Wilson bands that cross it. Calculating the eigenvalues of gy, where valid, along the path ¯M ¯Y ¯Γ ¯X, we count no bands in the (+) sector along ¯M ¯Y , one positively and one negatively sloped band along ¯Y ¯Γ, and no bands in the (+) sector along ¯Γ ¯X. This results in χy = 0 + 1 − 1 + 0 mod 4 = 0. To obtain χx, we perform a similar calculation using the Wilson spectrum along ¯M ¯X ¯Γ ¯Y labeled by the eigenvalues of gx along the two lines where that symmetry is valid. We count no bands in the (+) sector along ¯M ¯X, no bands along ¯X ¯Γ, and one negatively sloped band in the (+) sector along ¯Γ ¯Y (the slope here is taken to be negative because we are moving right to left along this line in Fig. 5(d)). This results in χx = 0 + 0 + (0− 1)× 2 mod 4 = 2, giving an overall bulk topology of (χx, χy) = (2, 0). The projected surface states were obtained from the surface Green's function of a semi-infinite system. For this purpose, we constructed maximally localized Wannier functions for the s orbitals of Ba and the p orbitals of Sb from first-principles calculations using Wannier9096 -- 99. 2. Sr2Pb3, Au2Y3, and Hg2Sr3 in SG 127 We find that three previously-synthesized members of the Si2U3 family of materials in SG 127, Sr2Pb3 (ICSD No. 105627)43,44, Au2Y3 (ICSD No. 262043)45, and Hg2Sr3 (ICSD Nos. 107371, 247135)46,47, are capable of hosting double-glide topological crystalline phases. To explore the electronic properties of these materials, we employed first- principles calculations based on DFT. The PBE-type GGA95 was used to describe exchange correlation as implemented in the Quantum Espresso package100. Core electrons were treated by norm-conserving, optimized, designed nonlocal pseudopotentials, generated using the Opium package101,102. We used the energy threshold of 680 eV for the plane wave basis. Relativistic effects of spin-orbit interaction were fully described using a non -- collinear scheme. The atomic structures were initially obtained from the ICSD92, and then fully relaxed to achieve consistency between the electronic and structural states using a force threshold of 0.005 eV/A. The lattice constants of Sr2Pb3 changed negligibly after the full structural relaxation from a (c) = 8.367 (4.883) A to 8.383 (4.944) A. We calculated the Wilson bands of Sr2Pb3 23 FIG. 12: The crystal and electronic band structures of Au2Y3 and Hg2Sr3. In their natural forms in SG 127, they are gapless, with C4z-protected Dirac points near the Fermi energy, indicated here with green circles. We therefore apply (100)-direction strain to open up a gap and allow for the possibility of topological crystalline insulating bulk topologies protected by the remaining (001)-surface wallpaper group symmetries (pgg). (a) The unit cell of Au2Y3 (Hg2Sr3). The red arrows illustrate the direction of strain. (b) The Brillouin zone of tetragonal SG 127. (c) The Brillouin zone of orthorhombic SG 55, the space group which results when C4z in SG 127 is broken while preserving the two glide symmetries. The electronic band structures of (d) pristine and (e) 2 % strained Au2Y3. The electronic band structures of (f) pristine and (g) 2 % strained Hg2Sr3. The bands with indices lower than N − 1 for Au2Y3 (N for Hg2Sr3) are highlighted in red. within each glide sector following the methodology detailed in F 1. We found that the bulk topology was unaffected by this structural relaxation. The Wilson bands of Sr2Pb3 were calculated using 20 bands from the N − 19-th to the N -th bulk bands, where N is the number of the valence electrons per unit cell. We determined the bulk topology of Sr2Pb3 by utilizing the rules in E 1. In Fig. 3(d) we draw a dashed green line across the Wilson spectrum and count the glide-polarized Wilson bands that cross it. Calculating the eigenvalues of gy, where valid, along the path ¯M ¯X(cid:48) ¯Γ ¯X, we count one positively sloped (+) band along ¯M ¯X(cid:48), one positively and one negatively sloped band along ¯X(cid:48) ¯Γ, and no bands in the (+) sector along ¯Γ ¯X. This results in χy = (1 × 2) + 1 − 1 + 0 mod 4 = 2. By the C4z symmetry of SG 127, χx = χy, giving an overall bulk topology of (χx, χy) = (2, 2), that of a nonsymmorphic Dirac insulator. The surface states of Sr2Pb3 were obtained by calculating the surface Green's function for a semi-infinite system103,104 based on the maximally localized Wannier functions for the d orbitals of Sr and the p orbitals of Pb using Wannier9096 -- 99. As detailed in the main text and in Fig. 12, all three of these materials share the same unit cell structure, with two orthogonal glide mirrors in the x and y directions related by C4z symmetry and a mirror in the z direction that 24 FIG. 13: The glide-resolved Wilson bands of (a) 2 %-strained Au2Y3 and (b) 2 %-strained Hg2Sr3, with red (blue) points indicating Wilson bands with glide eigenvalues λ+(−) x,y . Using the rules detailed in E 1 for evaluating the Z4 invariants on the dotted green line in each plot, we find that both strained systems host the (χx, χy) = (0, 2) double-glide topological hourglass connectivity. relates the upper and lower layers of the unit cell. We find that Sr2Pb3 posses a gap at the Fermi energy at each crystal momentum but that, as shown in Fig. 12(d,f), pristine Au2Y3 and Hg2Sr3 are gapless, with C4z-protected Dirac points near the Fermi energy. Applying strain in the (100)-direction (x) breaks C4z while still preserving the two glides that project to form wallpaper group pgg on the (001)-surface. As this lower-symmetry bulk system still possess inversion and time-reversal symmetries, Weyl points are forbidden105, and therefore under C4z-breaking strain the Dirac points in Au2Y3 and Hg2Sr3 become fully gapped. We applied incremental strain and subsequent relaxation of the internal atomic coordinates and evaluated the symmetries of the strained systems using FINDSYM106 with a tolerance of 0.001 A. We find that Au2Y3 develops a gap for up to 5% strain, whereas Hg2Sr3 develops a gap for up to around 4% strain, after which it becomes unstable and undergoes a structural transition to the symmorphic SG 75. For each of these materials, we therefore evaluate the (001)-directed Wilson loop under 2% strain, shown in Fig. 13, where the Wilson bands within each glide sector are calculated following the methodology detailed in F 1. The Wilson 25 bands for Au2Y3 are calculated using the 16 bands from the N − 17-th to the N − 2-th bands, valid as the band gap between the N − 2-th and the N − 1-th bands widely overlaps with the Fermi level. For Hg2Sr3, we use the 12 bands from band indices N − 11 to N . Though the Wilson spectra for these materials appear to be more complicated than those of Ba5In2Sb6 and Sr2Pb3, we can still use the simple rules developed in E 1 to evaluate the Z4 indices. We determine the bulk topology of these two strained materials by following the rules detailed in E 1 and used previously in F 1 to evaluate the topology of Ba5In2Sb6 in SG 55. For 2%-strained Au2Y3, we draw a dashed green line across the Wilson spectrum in Fig. 13(a) and count the glide-polarized Wilson bands that cross it. Calculating the eigenvalues of gy, where valid, along the path ¯M ¯Y ¯Γ ¯X, we count one positively and one negatively sloped band in the (+) sector along ¯M ¯Y , two positively sloped bands along ¯Y ¯Γ, and two negatively sloped bands in the (+) sector along ¯Γ ¯X. This results in χy = (1− 1)× 2 + 2 + (0− 2)× 2 mod 4 = 2. To obtain χx, we perform a similar calculation using the Wilson spectrum along ¯M ¯X ¯Γ ¯Y labeled by the eigenvalues of gx where applicable. We note that as we are now taking a right-to-left path in Fig. 13(a), the positive or negative Wilson band slope labeling will here be the opposite of what it was in the calculation of χy. We count one positively and one negatively sloped band in the (+) sector along ¯M ¯X, two positively sloped bands along ¯X ¯Γ, and one negatively sloped band in the (+) sector along ¯Γ ¯Y . This results in χx = (1 − 1) × 2 + 2 + (0 − 1) × 2 mod 4 = 0, giving an overall bulk topology of (χx, χy) = (0, 2). For 2%-strained Hg2Sr3, we draw a dashed green line across the Wilson spectrum in Fig. 13(b) and count the glide- polarized Wilson bands that cross it. Calculating the eigenvalues of gy, where valid, along the path ¯M ¯Y ¯Γ ¯X, we count no bands in the (+) sector along ¯M ¯Y , two positively sloped bands along ¯Y ¯Γ, and two positively and two negatively sloped bands in the (+) sector along ¯Γ ¯X (one of the (+) bands has a very sharp slope, and its continuity across the green line can be inferred by tracing where it appears again at θ = −π). This results in χy = 0 + 2 + (2 − 2) × 2 mod 4 = 2. To obtain χx, we perform a similar calculation using the Wilson spectrum along ¯M ¯X ¯Γ ¯Y labeled by the eigenvalues of gx where applicable. We note that as we are now taking a right-to-left path in Fig. 13(b), the positive or negative Wilson band slope labeling will here be the opposite of what it was in the calculation of χy. We count no bands in the (+) sector along ¯M ¯X, four positively and two negatively sloped bands along ¯X ¯Γ, and one negatively sloped band in the (+) sector along ¯Γ ¯Y . This results in χx = 0 + 4 − 2 + (0 − 1) × 2 mod 4 = 0, giving an overall bulk topology of (χx, χy) = (0, 2). To summarize, we find that both 2% strained Au2Y3 and 2% strained Hg2Sr3 host the (χx, χy) = (0, 2) double-glide topological hourglass connectivity. Appendix G: Tight-Binding Model and the SSH Limit In this section, we present a simplified tight-binding model that can realize all of the Z4×Z2 insulating phases allowed for wallpaper groups pgg and p4g, which have perpendicular glides, gx,y, in the x and y directions. For simplicity, we further specialize to systems with inversion symmetry, I; as shown in E 3, this simplifies the computation of the z-projected Wilson loop. Inversion symmetry also implies the presence of a mirror in the z direction: I = gxgymz. We find a fine-tuned limit in which the Z4 topological invariants defined in E 1 can be computed by comparing the relative values of three Su-Schrieffer-Heeger (SSH) Z2 invariants29 defined by effective 1D chains at the corners of the BZ which project to ¯X, ¯Y , and ¯M on the z-normal surface. This limit provides an alternative, intuitive way to understand the four topological phases where χx = χy = 0 mod 2. We note that the Wilson spectrum pinning in this tight-binding model can alternatively be understood in terms of the bulk inversion eigenvalues as detailed in 28. 1. Tight-Binding Model for Space Groups 55 and 127 As a starting point, consider a single layer of the two-site unit cell shown in Fig. 1. The sites, designated A and 1 2 We enforce an extra mirror symmetry, mz = {mz00 1 2 0}; the origin is defined to sit at an A site. An B, are related to each other by glide reflections, gx,y = {mx,y 1 orthorhombic stack of this single layer, with no other symmetries, is in space group 32, P ba2, and its z-normal (001) surface is characterized by the two-dimensional wallpaper group, pgg. 2}, by adding two more sublattices, C and D, sitting tz/2 above the A and B sites respectively (Fig. 14(a)); this mirror also adds an inversion symmetry I = gxgymz. The resulting system is in space group 55, P bam. In this space group, when the two layers are decoupled, each sheet is equivalent to a single layer of the two-dimensional Dirac semimetal model in 21, which possesses fourfold degeneracies at X, Y , and M due to the algebraic relations between I, gx, and gy at those TRIMs. For our 3D orthorhombic system, at all six bulk TRIMs for which kx or ky is equal to π, states are fourfold-degenerate by the algebra from A 2 and Eq. (B3). At the four TRIMs for which (kx, ky) = (0, π) or (π, 0), at least one of the glides anticommutes with inversion, which, combined with I 2 = −T 2 = +1, requires states to be fourfold-degenerate. At the two TRIMs for 26 FIG. 14: The unit cell (a) for our tight-binding model. When ax = ay, the bulk is in space group 127 and has a z-normal wallpaper group p4g; when ax (cid:54)= ay, the bulk is in space group 55 and has a z-normal wallpaper group pgg. The layers (labeled in alternating red and green) each contain two sites related by the bulk glide reflections gx,y. The red and green layers are related to each other by mirrors mz that sit at z = 1/4, 3/4, in units of the lattice constant az. We first determine in Eqs. (G1) and (G2) all of the symmetry-allowed in-plane hopping terms up to second-nearest neighbor. By artificially turning off some of the SOC terms, we reach a limit where, if we then couple the layers, this system can effectively be described by two independent copies of the Su-Schrieffer-Heeger (SSH) model29 living at the BZ boundary. By tuning the relative values of two terms which dimerize the layers (b), we can control the relative polarization invariants of the two SSH chains and therefore the overall bulk topology. Panel (b) shows the y = 0 plane; there are also equivalent hopping terms u1,2 and v1,2 between the B and D sublattices on the y = 1/2 plane. which (kx, ky) = (π, π), the two glides anticommute and square to +1, which, combined with T 2 = −1, also enforces a fourfold degeneracy. The following Hamiltonian includes all allowed in-plane hopping terms up to second-nearest-neighbor: (cid:18) kx (cid:18) kx (cid:18) kx 2 2 (cid:19) (cid:19) (cid:19) 2 cos cos sin (cid:18) ky (cid:18) ky (cid:18) ky 2 2 (cid:19) (cid:19) (cid:19) 2 Hxy((cid:126)k) = cos + sin + cos [t1τ x + vr1τ yσz] [vs1τ xµzσy] [v(cid:48) s1τ xµzσx] + cos (kx) t2x + cos (ky) t2y + sin (kx) [vs2τ zµzσx + v(cid:48) s2µzσx + v(cid:48)(cid:48)(cid:48) + sin (ky) [v(cid:48)(cid:48) s2µzσy] s2τ zµzσy] , (G1) where τ x corresponds to hopping between the A and B (or C and D) orbitals, µx corresponds to hopping between the A and C (or B and D), orbitals and the σ Pauli matrices correspond to an on-site spin. To further simplify, we impose C4z symmetry, which is implemented by the operator, C4z = f4z((cid:126)k) acts on the crystal momenta by enforcing the cyclical mapping: σx → σy, σy → −σx, σz → σz kx → ky, ky → −kx, kz → kz. s1, vs2 = v(cid:48)(cid:48)(cid:48) s2, v(cid:48) With this additional symmetry, vs1 = −v(cid:48) s2 in Eq. (G1) and the system is now in the higher- symmetry space group 127, P 4/mbm, with an in-plane Hamiltonian up to second-nearest neighbor hopping given by Eq. (G1) with these restrictions. s2 = −v(cid:48)(cid:48) √ iσzf4z((cid:126)k), where (G2) 27 FIG. 15: The Z2 × Z2 QSH-trivial Wilson loops (a-d) for our model of SG 127 (Eq. (G4)) in the SSH limit, sorted by the values of the SSH polarization invariants θ( ¯X/ ¯M ). Even though there are 4 possible Wilson spectra, there are only 2 topologically distinct connectivities, characterized by the Z2 invariant χ defined in Eq. (G6) by the relative values of θ( ¯X/ ¯M ). We now introduce hopping in the z-direction to couple the layers. We begin in a relatively artificial limit by only turning on specific SOC-free dimerizing terms, (Fig. 14(b)): (cid:18) kz (cid:19) 2 (cid:18) kz (cid:18) kz (cid:18) kz 2 2 (cid:19) (cid:19) (cid:19) 2 Vz(kz) = cos + cos + sin u1µx + sin u2µy [cos (kx) + cos (ky)] v1µx [cos (kx) + cos (ky)] v2µy (G3) (G4) and H127((cid:126)k) = Hxy((cid:126)k) + Vz(kz). The terms proportional to u1,2 correspond to hopping between nearest-neighbor A (B) and C (D) sites. Terms proportional to v1,2 originate from longer-range versions of the same type of hopping, and connect A (B) and C (D) sites separated by (cid:126)d = {10 1 2} and (cid:126)d = {01 1 2}. We now consider the fine-tuned limit where vr1 = vs1 = 0, or one in which there is no spin-orbit coupling at (kx, ky) = (π, 0) and (0, π). In this limit, we can write down the bulk Hamiltonian on the line that projects to ¯X on the z-normal surface: (cid:18) kz (cid:19) 2 HSSH ¯X = cos (cid:18) kz (cid:19) 2 u1µx + sin u2µy, (G5) where we have shifted the energy to make t2 = 0 without loss of generality. We note that the τ sublattice and σ spin degrees of freedom no longer play a role, they merely impose additional degeneracies. 𝜒=0𝜒=2(a)(b)(c)(d) 28 FIG. 16: Energy bands and z-projection Wilson bands for the SSH limit of the tight-binding model for SG 127 in Eq. (G4), with the filling chosen such that the bottom four bands are occupied. Bands (a) and Wilson bands (b) display the trivial connectivity χ = 0 when the polarization invariants at ¯X and ¯M are the same (obtained using t1 = 1, t2 = 0.5, vs2 = −0.2, v(cid:48) s2 = 0.15, u1 = 0.25, u2 = 0.45, vr1 = vs1 = v1 = v2 = 0). When the polarization invariants at ¯X and ¯M differ, which can be induced by a band inversion about an ¯M -projecting TRIM (d), χ = 2 and the Wilson spectrum is nontrivially connected (e), demonstrating the SSH limit of the nonsymmorphic Dirac insulating phase in SG 127 (obtained using Eq. (G4) with t1 = 1, t2 = 0.5, vs2 = −0.2, v(cid:48) s2 = 0.15, u1 = 0.85, u2 = 1.3, v1 = 3, vr1 = vs1 = v2 = 0). Bulk inversion eigenvalues and their products for the lower four bands of the trivial (c) and nonsymmorphic Dirac (f) insulating phases, using the conventional TRIM labeling for the tetragonal BZ (Fig. 12(b)). Inversion eigenvalues are grouped by parentheses according to their pairing at two- or fourfold bulk degeneracies. The product of the inversion eigenvalues ξ((cid:126)k) at a TRIM with momentum (cid:126)k is defined using only one inversion eigenvalue per Kramers pair, and the product of ξ((cid:126)k) over all 8 bulk TRIMs is the Z2 strong QSH invariant (discussed in further detail in E 3). For this realization of the nonsymmorphic Dirac insulating phase, all four of the inversion eigenvalues are the same at the ¯Γ-projecting bulk TRIMs (Γ and Z), resulting in an additional fourfold degeneracy in the Wilson spectrum at ¯Γ as detailed in 28. This limit places strong constraints on the Wilson loop bands: since the spins are decoupled, we can consider the spinless time-reversal operator, T , which satisfies T 2 = +1 and the spinless glide, gy, which, at the ¯X point, satisfies y = −1. Thus, at ¯X, T gy is an antiunitary operator that squares to −1, enforcing that all eigenstates are doubly g2 degenerate, within each spin sector. Since there is no SOC, the two spin sectors are also degenerate, resulting in a fourfold degeneracy at the ¯X point, though one which is broken into twofold degeneracies when SOC is realistically reintroduced. In addition, inversion requires that the Wilson bands are particle-hole symmetric10. Thus, our four- band model has all four Wilson bands degenerate at the ¯X point and, because of inversion symmetry, they are pinned to either 0 or π. It was shown in D 3 that all four Wilson bands are degenerate at ¯M , as well, and by inversion are also pinned to either 0 or π. Since the Wilson bands must continuously connect the bands at ¯X to the bands at ¯M , there is a Z2 invariant that characterizes the possible connectivities for fixed band inversion at ¯Γ (Fig. 15): (cid:19) (cid:18)(cid:20) 1 π (cid:0)θ( ¯M ) − θ( ¯X)(cid:1)(cid:21) χ = 2 mod 2 . (G6) One of these connectivities is a trivial phase and the other is the nonsymmorphic Dirac insulating phase. In Fig. 16, we plot the bulk and Wilson bands for our model in this limit and demonstrate both trivial and nonsymmorphic Dirac insulating phases. As long as the system is QSH-trivial and diagonal mirror Chern-trivial, then the Wilson loop eigenvalues are generically unpinned at ¯Γ and along all low symmetry lines (though, as seen in Fig. 16(e), in the non-generic case that all of bulk inversion eigenvalues are the same at the ¯Γ-projecting TRIMs, the Wilson loop will 29 additionally be pinned at ¯Γ by the mechanism detailed in 28). Therefore, in this particular model of SG 127, all of the possible crystalline connectivities for the path ¯Γ ¯X ¯M ¯X(cid:48) are entirely determined by how the eigenvalues are pinned at ¯X and ¯M . This limit can also be described by two, spin-degenerate Su-Schrieffer-Heeger (SSH) chains: the layer degree of freedom µ acts like the sublattice degree of freedom in the original, two-band SSH model, and each chain in this limit has an additional degeneracy in the spin subspace σ. The edge state of one chain is the projection of the Hamiltonian onto ¯X, and the edge state of the other is the projection onto ¯M . The relative values of u1,2 and v1,2, which parameterize hopping in the z-direction, correspond to the two choices of dimerization for each SSH chain. For each of these two chains, there is a Z2 polarization, θ = 0, π, which directly corresponds to the Wilson phases at those surface TRIMs, and the overall bulk topology for these crystalline phases is given by the Z2 relative polarization between the two SSH models. For the chain which projects to ¯M , the fourfold surface degeneracy prevents the two Hamiltonians from coupling. However, for the chain projecting to ¯X, the two, spin-degenerate SSH Hamiltonians are only prevented from coupling in the limit that there is no SOC at any bulk k-point projecting to ¯X. As symmetry-allowed SOC terms are turned on, a real system will escape this limit, and the two copies of the SSH Hamiltonian at ¯X will couple and their surface states will gap into pairs. Nevertheless, as discussed in further detail in the next section, if introducing SOC does not result in a bulk gap closure, then the value of χ cannot change, and the bulk topology will remain the same as it was in the SSH limit. FIG. 17: Bulk bands (a,d) and Wilson bands (b,e) for the tight-binding model (Eq. (G4)) away from the SSH limit. The bands along ¯Γ ¯X open up into hourglasses and the SSH edge states at ¯X couple and gap out. For the trivial phase in panel (a), the bottom four bands approach the top four very closely in a few places, but there remains at each k point a gap such that the four-band Wilson matrix is well-defined for the whole z-surface BZ. These figures were obtained by tuning vr1 → 0.55, vs1 → 0.4 from the values used in Fig. 16 for each phase. Bulk inversion eigenvalues and their products are shown for the lower four bands of the trivial (c) and nonsymmorphic Dirac (f) insulating phases, using the conventional TRIM labeling for the tetragonal BZ (Fig. 12(b)). Inversion eigenvalues are grouped by parentheses according to their pairing at two- or fourfold bulk degeneracies. The product of the inversion eigenvalues ξ((cid:126)k) at a TRIM with momentum (cid:126)k is defined using only one inversion eigenvalue per Kramers pair, and the product of ξ((cid:126)k) over all 8 bulk TRIMs is the Z2 strong QSH invariant (discussed in further detail in E 3). For this realization of the nonsymmorphic Dirac insulating phase, all four of the inversion eigenvalues are the same at the ¯Γ-projecting bulk TRIMs (Γ and Z), resulting in an additional fourfold degeneracy in the Wilson spectrum at ¯Γ as detailed in 28. 2. Beyond the SSH Limit 30 Generically, all symmetry-allowed hopping terms will be present in a real material, including vr1, vs1, and the other z-direction hopping terms. In this section, we examine how this affects the SSH-model definition of crystalline invariants. The line that projects to ¯M continues to be described by a doubly degenerate SSH chain even after generic terms are added, as it still hosts a fourfold-degenerate surface state with a Z2 polarization. As states at ¯M are fourfold- degenerate due to surface wallpaper symmetries, the Wilson phases θ( ¯M ) must still be either 0 or π as long as there is bulk inversion symmetry. Furthermore, in the limit of weak spin-orbit interaction, a band inversion at an ¯M -projecting TRIM will result in the presence of bulk Dirac point or line nodes. However, the properties of these line nodes and their relationship to nonsymmorphic symmetries are space-group dependent, and in general go beyond the focus of this manuscript. At the TRIMs which project onto ¯X, the immediate consequences of allowing nonzero values of vr1 and vs1 are to couple the two copies of the SSH Hamiltonians under ¯X and gap out their surface states. As states at ¯X are only generically twofold-degenerate, the presence of additional SOC terms breaks the artificial symmetries gy and T and gaps the states into the two ends of an hourglass. Nevertheless, as pictured in Fig. 17, this hourglass along ¯Γ ¯X is still characterized by a Z2 invariant that characterizes whether it is centered about a Wilson phase of 0 or π. Therefore, as long as there are no additional band inversions, then the Wilson connectivity remains unchanged and, away from the SSH limit there still is an overall Z2 quantity that characterizes the topological crystalline phases. However, as the phase of the hourglass center can be moved by any band inversion on the plane which projects to ¯Γ ¯X, then we find that this Z2 invariant is no longer just a property of the TRIMs. Therefore, for a real double-glide system, the Wilson loop remains the only generic method for evaluating the bulk topology. FIG. 18: Bulk bands (a) and Wilson bands (b) for the broken-C4z crystalline phase labeled by (χx, χy) = (0, 2) (obtained by using Eq. (G4) and the additional term in Eq. (G7) with t1 = 1, vr1 = 0.55, vs1 = 0.4, t2 = 0.5, vs2 = −0.2, v(cid:48) s2 = 0.35, u1 = 0.85, u2 = 1.3, v1 = 3, v2 = 0.3, and vC4 = 12). In this phase, the hourglass along ¯Y ¯Γ is sharply distorted and centered around π. Bulk inversion eigenvalues and their products are shown for the lower four bands (c), using the conventional TRIM labeling for the orthorhombic BZ (Fig. 12(c)). Inversion eigenvalues are grouped by parentheses according to their pairing at two- or fourfold bulk degeneracies. The product of the inversion eigenvalues ξ((cid:126)k) at a TRIM with momentum (cid:126)k is defined using only one inversion eigenvalue per Kramers pair, and the product of ξ((cid:126)k) over all 8 bulk TRIMs is the Z2 strong QSH invariant (discussed in further detail in E 3). For this realization of the topological double-glide hourglass phase, all four of the inversion eigenvalues are the same at the ¯Γ-projecting bulk TRIMs (Γ and Z), resulting in an additional fourfold degeneracy in the Wilson spectrum at ¯Γ as detailed in 28. 3. Broken C4z Phases in and beyond the SSH Limit 31 Additional Wilson band topologies are possible in systems without C4z symmetry, whose surfaces are described by the wallpaper group pgg. As described in the main text and in F, Ba5In2Sb6, as well as strained Au2Y3 and Hg2Sr3, are expected to exhibit such phases. We break C4z symmetry in the tight-binding model by adding another interlayer hopping term: VC4 = vC4µx cos (ky) cos . (G7) (cid:18) kz (cid:19) 2 (cid:18)(cid:20) 1 π (cid:0)θ( ¯M ) − θ( ¯Y , ¯X)(cid:1)(cid:21) (cid:19) The resulting system is now in SG 55. Without C4z symmetry, the SSH polarizations at ¯X and ¯Y can now differ, leading us to define a second crystalline invariant for the independent y direction: χx,y = 2 mod 2 . (G8) The reason that χx,y is determined by θ( ¯Y , ¯X), as opposed to θ( ¯X, ¯Y ), is because gy enforces a fourfold degeneracy at ¯X, as explained in G 1. Thus, χx,y is determined by gx,y, consistent with the notation in E 2. If the polarization at ¯X differs from that at ¯Y and ¯M , the system can display a single fourfold point at ¯X. As more symmetry-allowed SOC terms are added, the states at ¯X will couple and the four-band crossing will open up into an hourglass along ¯Γ ¯X. Nevertheless, as long as the bulk symmetries remain unchanged and the introduction of SOC FIG. 19: Bulk bands (a) and Wilson bands (b) for one of the C4z-symmetric double-glide QSH phases. This phase can be obtained by adding the term VT I in Eq. (G9) to the Hamiltonian in Eq. (G4) away from the SSH limit. Bands for this figure were generated using t1 = 1, vr1 = 0.3, vs1 = 0.25, t2 = 1.5, vs2 = −0.2, v(cid:48) s2 = 0.15, u1 = 0.5, u2 = 2, v1 = v2 = 0, and vT I = 0.4. Bulk inversion eigenvalues and their products are shown for the lower four bands (c), using the conventional TRIM labeling for the tetragonal BZ (Fig. 12(b)). Inversion eigenvalues are grouped by parentheses according to their pairing at two- or fourfold bulk degeneracies. The product of the inversion eigenvalues ξ((cid:126)k) at a TRIM with momentum (cid:126)k is defined using only one inversion eigenvalue per Kramers pair, and the product of ξ((cid:126)k) over all 8 bulk TRIMs is the Z2 strong QSH invariant (discussed in further detail in E 3). Grouping this product of ξ((cid:126)k) by the contributions from pairs of bulk TRIMs that project to a given z-normal surface TRIM, we find that ξ(¯Γ) = −1 and ξ( ¯X) = ξ( ¯X(cid:48)) = ξ( ¯M ) = +1, confirming that this system is a strong topological insulator. preserves the bulk gap and band ordering, the Wilson connectivity will be preserved and the C4z-broken SSH phase will evolve into a crystalline hourglass phase. An observation of the allowed Wilson band connectivities with broken C4z symmetry confirms in fact that these two phases (hourglasses along ¯Γ ¯X or along ¯Γ ¯Y ) are the only two allowed topological crystalline connectivities which fundamentally violate C4z. The (χx, χy) = (0, 2) phase is demonstrated in our tight-binding model in Figure 18. If symmetry-allowed terms are made larger, other band inversions may occur and χx/y may eventually change. However, the resulting phases, if QSH-trivial, will still ultimately be tunable back to an SSH limit. Therefore the SSH limit can be considered as a parent phase to all four possible χx,y = 0, 2 crystalline insulating phases. 32 4. Strong Topological Insulating Phases Away from the SSH limit, but preserving C4z symmetry such that the system is in SG 127, as shown in E 3, a band inversion about a TRIM which projects to ¯Γ can flip the product of parity eigenvalues and induce a strong topological insulating phase. In practice, this band inversion can be accomplished in our tight-binding model by adding the term: VT I = vT I τ yµxσz cos cos cos (G9) (cid:18) kx (cid:19) 2 (cid:18) ky (cid:19) 2 (cid:18) kz (cid:19) 2 to the Hamiltonian in Eq. (G4). Counting the product of the parity eigenvalues at the bulk TRIMs (Fig. 19(c)) and grouping them into their projections to z-normal TRIMs: ξ(¯Γ) = ξ(Γ)ξ(Z), ξ( ¯X) = ξ(X)ξ(R), ξ( ¯X(cid:48)) = ξ(X(cid:48))ξ(R(cid:48)), ξ( ¯M ) = ξ(M )ξ(A), (G10) we confirm that this system is a strong topological insulator with ξ(¯Γ) = −1 and ξ( ¯X) = ξ( ¯X(cid:48)) = ξ( ¯M ) = +1. The Wilson loop in Fig. 19(b) further shows that this system is in a double-glide spin Hall phase characterized by χx = χy = 1, 3, depending on the choice of an odd- or even-numbered surface BZ. ∗ These authors contributed equally to this work. 1 J. C. Y. Teo, L. Fu, and C. L. Kane, Phys. Rev. B 78, 045426 (2008). 2 L. Fu, Phys. Rev. Lett. 106, 106802 (2011), URL https://link.aps.org/doi/10.1103/PhysRevLett.106.106802. 3 Y. Kim, C. L. Kane, E. J. Mele, and A. M. Rappe, Phys. Rev. Lett. 115, 086802 (2015), URL http://link.aps.org/doi/ 10.1103/PhysRevLett.115.086802. 4 T. H. Hsieh, H. Lin, J. Liu, W. Duan, A. Bansil, and L. Fu, Nature Commun. 3, 982 (2012). 5 Y. Tanaka, Z. Ren, T. Sato, K. Nakayama, S. Souma, T. Takahashi, and K. Segawa, Nat. Phys. 8, 800 (2012). 6 P. Dziawa, B. J. Kowalski, K. Dybko, R. Buczko, A. Szczerbakow, M. Szot, E. (cid:32)Lusakowska, T. Balasubramanian, B. M. Wojek, M. H. Berntsen, et al., Nat. Mater. 11, 1023 (2012). 7 S.-Y. Xu, C. Liu, N. Alidoust, M. Neupane, D. Qian, I. Belopolski, J. D. Denlinger, Y. J. Wang, H. Lin, L. A. Wray, et al., Nat Commun 3, 1192 (2012). 8 C.-X. Liu, R.-X. Zhang, and B. K. VanLeeuwen, Phys. Rev. B 90, 085304 (2014), URL http://link.aps.org/doi/10. 1103/PhysRevB.90.085304. 9 Z. Wang, A. Alexandradinata, R. J. Cava, and B. A. Bernevig, Nature 532, 189 (2016). 10 A. Alexandradinata, Z. Wang, and B. A. Bernevig, Physical Review X 6, 021008 (2016). 11 K. Shiozaki, M. Sato, and K. Gomi, Phys. Rev. B 93, 195413 (2016), URL http://link.aps.org/doi/10.1103/PhysRevB. 93.195413. 12 P.-Y. Chang, O. Erten, and P. Coleman, Nat Phys 13, 794 (2017), ISSN 1745-2473, article, URL http://dx.doi.org/10. 1038/nphys4092. 13 J. Ma, C. Yi, B. Lv, Z. Wang, S. Nie, L. Wang, L. Kong, Y. Huang, P. Richard, P. Zhang, et al., Science Advances 3 (2017), URL http://advances.sciencemag.org/content/3/5/e1602415. 14 F. D. M. Haldane, Phys. Rev. Lett. 93, 206602 (2004). 15 L. Fu and C. L. Kane, Phys. Rev. B 76, 045302 (2007), URL http://link.aps.org/doi/10.1103/PhysRevB.76.045302. 16 J. E. Moore and L. Balents, Phys. Rev. B 75, 121306 (2007), URL http://link.aps.org/doi/10.1103/PhysRevB.75. 121306. 17 S. M. Young, S. Zaheer, J. C. Y. Teo, C. L. Kane, E. J. Mele, and A. M. Rappe, Phys. Rev. Lett. 108, 140405 (2012), URL http://link.aps.org/doi/10.1103/PhysRevLett.108.140405. 18 J. A. Steinberg, S. M. Young, S. Zaheer, C. L. Kane, E. J. Mele, and A. M. Rappe, Phys. Rev. Lett. 112, 036403 (2014), URL http://link.aps.org/doi/10.1103/PhysRevLett.112.036403. 19 B. J. Wieder, Y. Kim, A. M. Rappe, and C. L. Kane, Phys. Rev. Lett. 116, 186402 (2016), URL http://link.aps.org/ doi/10.1103/PhysRevLett.116.186402. 33 20 B. Bradlyn, J. Cano, Z. Wang, M. G. Vergniory, C. Felser, R. J. Cava, and B. A. Bernevig, Science 353, aaf5037 (2016), ISSN 0036-8075, URL http://science.sciencemag.org/content/353/6299/aaf5037. 21 S. M. Young and C. L. Kane, Phys. Rev. Lett. 115, 126803 (2015), URL http://link.aps.org/doi/10.1103/PhysRevLett. 115.126803. 22 J. H. Conway, H. Burgiel, and C. Goodman-Strauss, The Symmetries of Things (A K Peters/CRC Press, Worcester, MA, 2008), ISBN 1568812205. 23 L. Fu and C. L. Kane, Phys. Rev. B 74, 195312 (2006). 24 S. Ryu, C. Mudry, H. Obuse, and A. Furusaki, New Journal of Physics 12, 065005 (2010). 25 A. A. Soluyanov and D. Vanderbilt, Physical Review B 83, 235401 (2011). 26 R. Yu, X. L. Qi, A. Bernevig, Z. Fang, and X. Dai, Phys. Rev. B 84, 075119 (2011). 27 M. Taherinejad, K. F. Garrity, and D. Vanderbilt, Physical Review B 89, 115102 (2014). 28 A. Alexandradinata, X. Dai, and B. A. Bernevig, Physical Review B 89, 155114 (2014). 29 W. P. Su, J. R. Schrieffer, and A. J. Heeger, Phys. Rev. Lett. 42, 1698 (1979), URL https://link.aps.org/doi/10.1103/ PhysRevLett.42.1698. 30 X.-Y. Dong and C.-X. Liu, Phys. Rev. B 93, 045429 (2016), URL https://link.aps.org/doi/10.1103/PhysRevB.93. 045429. 31 B. Bradlyn, L. Elcoro, J. Cano, M. G. Vergniory, Z. Wang, C. Felser, M. I. Aroyo, and B. A. Bernevig, Nature 547, 298 (2017), ISSN 0028-0836, article, URL http://dx.doi.org/10.1038/nature23268. 32 C. Fang and L. Fu, Phys. Rev. B 91, 161105 (2015), URL https://link.aps.org/doi/10.1103/PhysRevB.91.161105. 33 K. Shiozaki, M. Sato, and K. Gomi, Phys. Rev. B 95, 235425 (2017), URL https://link.aps.org/doi/10.1103/PhysRevB. 95.235425. 34 H. Song, S.-J. Huang, L. Fu, and M. Hermele, Phys. Rev. X 7, 011020 (2017), URL https://link.aps.org/doi/10.1103/ PhysRevX.7.011020. 35 H. Watanabe, H. C. Po, A. Vishwanath, and M. Zaletel, Proceedings of the National Academy of Sciences 112, 14551 (2015), http://www.pnas.org/content/112/47/14551.full.pdf, URL http://www.pnas.org/content/112/47/14551.abstract. 36 B. J. Wieder and C. L. Kane, Phys. Rev. B 94, 155108 (2016), URL http://link.aps.org/doi/10.1103/PhysRevB.94. 155108. 37 K. Shiozaki, M. Sato, and K. Gomi, Phys. Rev. B 91, 155120 (2015), URL https://link.aps.org/doi/10.1103/PhysRevB. 91.155120. 38 L. Fidkowski, T. S. Jackson, and I. Klich, Phys. Rev. Lett. 107, 036601 (2011). 39 A. Alexandradinata, Private communication. 40 C. Z. Xiong and A. Alexandradinata, Organizing symmetry-protected topological phases by layering and symmetry reduction: A minimalist perspective (2018), URL https://link.aps.org/doi/10.1103/PhysRevB.97.115153. 41 C. Fang, L. Lu, J. Liu, and L. Fu, Nat Phys 12, 936 (2016), ISSN 1745-2473, article, URL http://dx.doi.org/10.1038/ nphys3782. 42 A. Alexandradinata and B. A. Bernevig, Phys. Rev. B 93, 205104 (2016), URL http://link.aps.org/doi/10.1103/ PhysRevB.93.205104. 43 F. Merlo, Revue de chimie min´erale 21, 78 (1984). 44 G. Bruzzone, E. Franceschi, and F. Merlo, Journal of the Less Common Metals 81, 155 (1981). 45 P. Chai and J. D. Corbett, Acta Crystallographica Section C 67, i53 (2011), URL https://doi.org/10.1107/ S010827011103589X. 46 C. Druska, T. Doert, and P. Bttcher, Zeitschrift fr anorganische und allgemeine Chemie 622, 401 (1996), ISSN 1521-3749, URL http://dx.doi.org/10.1002/zaac.19966220304. 47 C. Gumi´nski, Journal of Phase Equilibria and Diffusion 26, 81 (2005), ISSN 1863-7345, URL http://dx.doi.org/10.1007/ s11669-005-0070-z. 48 Z. Wang, H. Weng, Q. Wu, X. Dai, and Z. Fang, Phys. Rev. B 88, 125427 (2013), URL http://link.aps.org/doi/10. 1103/PhysRevB.88.125427. 49 G. Cordier and M. Steher, Zeitschrift fur Naturforschung B 43, 463 (1988), ISSN 0932-0776. 50 R. Jackiw and C. Rebbi, Phys. Rev. D 13, 3398 (1976), URL http://link.aps.org/doi/10.1103/PhysRevD.13.3398. 51 M. Tinkham, Group Theory and Quantum Mechanics (McGraw-Hill Book Company, New York City, New York, 1964), ISBN 0486432475. 52 F. Zhang, J. Jung, G. A. Fiete, Q. Niu, and A. H. MacDonald, Phys. Rev. Lett. 106, 156801 (2011), URL http://link. aps.org/doi/10.1103/PhysRevLett.106.156801. 53 T. Ohta, A. Bostwick, T. Seyller, K. Horn, and E. Rotenberg, Science 313, 951 (2006), ISSN 0036-8075, URL http: //science.sciencemag.org/content/313/5789/951. 54 J. B. Oostinga, H. B. Heersche, X. Liu, A. F. Morpurgo, and L. M. K. Vandersypen, Nature Materials 7, 151 (2007), ISSN 1476 -- 1122, URL http://www.nature.com/nmat/journal/v7/n2/suppinfo/nmat2082_S1.html. 55 F. Schindler, A. M. Cook, M. G. Vergniory, Z. Wang, S. S. P. Parkin, B. A. Bernevig, and T. Neupert, Science Advances 4 (2018), URL http://advances.sciencemag.org/content/4/6/eaat0346. 56 Z. Song, Z. Fang, and C. Fang, Phys. Rev. Lett. 119, 246402 (2017), URL https://link.aps.org/doi/10.1103/ PhysRevLett.119.246402. 57 F. Schindler, Z. Wang, M. G. Vergniory, A. M. Cook, A. Murani, S. Sengupta, A. Y. Kasumov, R. Deblock, S. Jeon, I. Drozdov, et al., ArXiv e-prints (2018), 1802.02585. 58 C. Wu, B. A. Bernevig, and S.-C. Zhang, Phys. Rev. Lett. 96, 106401 (2006), URL https://link.aps.org/doi/10.1103/ PhysRevLett.96.106401. 59 G. W. Semenoff, Phys. Rev. Lett. 53, 2449 (1984), URL https://link.aps.org/doi/10.1103/PhysRevLett.53.2449. 60 D. P. DiVincenzo and E. J. Mele, Phys. Rev. B 29, 1685 (1984), URL https://link.aps.org/doi/10.1103/PhysRevB.29. 1685. 61 D. Hsieh, D. Qian, L. Wray, Y. Xia, Y. S. Hor, R. J. Cava, and M. Z. Hasan, Nature 452, 970 (2008). 62 Z. K. Liu, B. Zhou, Y. Zhang, Z. J. Wang, H. M. Weng, D. Prabhakaran, S.-K. Mo, Z. X. Shen, Z. Fang, X. Dai, et al., Science (2014), ISSN 0036-8075, URL http://science.sciencemag.org/content/early/2014/01/15/science.1245085. 63 S. Borisenko, Q. Gibson, D. Evtushinsky, V. Zabolotnyy, B. Buchner, and R. J. Cava, Phys. Rev. Lett. 113, 027603 (2014), 34 URL http://link.aps.org/doi/10.1103/PhysRevLett.113.027603. 64 S. Weinberg, W. S, and T. de campos, The Quantum Theory of Fields, no. v. 1 in Quantum Theory of Fields, Vol. 2: Modern Applications (Cambridge University Press, 1995), ISBN 9780521550017, URL https://books.google.be/books? id=doeDB3_WLvwC. 65 L. Alvarez-Gaum´e and E. Witten, Nucl. Phys. B 234, 269 (1984). 66 A. N. Redlich, Phys. Rev. Lett. 52, 18 (1984). 67 R. Jackiw, Phys. Rev. D 29, 2375 (1984). 68 X. L. Qi, T. L. Hughes, and S.-C. Zhang, Phys. Rev. B 78, 195424 (2008). 69 M. Mulligan and F. J. Burnell, Phys. Rev. B 88, 085104 (2013). 70 L. Alvarez-Gaum´e, S. Della Pietra, and G. Moore, Ann. Phys. 163, 288 (1985). 71 H. B. Nielsen and M. Ninomiya, Nucl. Phys. B 185, 20 (1981). 72 L. Fu, C. L. Kane, and E. J. Mele, Phys. Rev. Lett. 98, 106803 (2007), URL http://link.aps.org/doi/10.1103/ PhysRevLett.98.106803. 73 B.-J. Yang and N. Nagaosa, Nat. Comm. 5, 4898 (2014). 74 C. J. Bradley and A. P. Cracknell, The Mathematical Theory of Symmetry in Solids (Clarendon Press Oxford, Oxford, United Kingdom, 1972), ISBN 0199582580. 75 B. A. Bernevig and T. L. Hughes, Topological Insulators and Topological Superconductors (Princeton University Press, Princeton, NJ, 2013). 76 C. L. Kane and E. J. Mele, Phys. Rev. Lett. 95, 146802 (2005). 77 J. L. Manes, Phys. Rev. B 85, 155118 (2012), URL https://link.aps.org/doi/10.1103/PhysRevB.85.155118. 78 G. Chang, S.-Y. Xu, B. J. Wieder, D. S. Sanchez, S.-M. Huang, I. Belopolski, T.-R. Chang, S. Zhang, A. Bansil, H. Lin, et al., Phys. Rev. Lett. 119, 206401 (2017), URL https://link.aps.org/doi/10.1103/PhysRevLett.119.206401. 79 I. C. Fulga and A. Stern, Phys. Rev. B 95, 241116 (2017), URL https://link.aps.org/doi/10.1103/PhysRevB.95.241116. 80 Z. Wang, Y. Sun, X.-Q. Chen, C. Franchini, G. Xu, H. Weng, X. Dai, and Z. Fang, Phys. Rev. B 85, 195320 (2012), URL http://link.aps.org/doi/10.1103/PhysRevB.85.195320. 81 S. M. Young and B. J. Wieder, Phys. Rev. Lett. 118, 186401 (2017), URL https://link.aps.org/doi/10.1103/ PhysRevLett.118.186401. 82 J. C. Y. Teo and C. L. Kane, Phys. Rev. B 79, 235321 (2009), URL http://link.aps.org/doi/10.1103/PhysRevB.79. 235321. 83 A. H. Castro Neto, F. Guinea, N. M. R. Peres, K. S. Novoselov, and A. K. Geim, Rev. Mod. Phys. 81, 109 (2009), URL http://link.aps.org/doi/10.1103/RevModPhys.81.109. 84 E. McCann and V. I. Fal'ko, Phys. Rev. Lett. 96, 086805 (2006), URL http://link.aps.org/doi/10.1103/PhysRevLett. 96.086805. 85 M. Killi, T.-C. Wei, I. Affleck, and A. Paramekanti, Phys. Rev. Lett. 104, 216406 (2010), URL http://link.aps.org/ doi/10.1103/PhysRevLett.104.216406. 86 B. J. Wieder, F. Zhang, and C. L. Kane, Phys. Rev. B 92, 085425 (2015), URL http://link.aps.org/doi/10.1103/ PhysRevB.92.085425. 87 L. Ju, Z. Shi, N. Nair, Y. Lv, C. Jin, J. Velasco Jr, C. Ojeda-Aristizabal, H. A. Bechtel, M. C. Martin, A. Zettl, et al., Nature 520, 650 (2015), ISSN 0028-0836, URL http://dx.doi.org/10.1038/nature14364. 88 J. Li, K. Wang, K. J. McFaul, Z. Zern, Y. Ren, K. Watanabe, T. Taniguchi, Z. Qiao, and J. Zhu, Nat Nano advance online publication (2016), ISSN 1748-3395, letter, URL http://dx.doi.org/10.1038/nnano.2016.158. 89 F. Zhang and C. L. Kane, Phys. Rev. B 90, 020501 (2014), URL http://link.aps.org/doi/10.1103/PhysRevB.90.020501. 90 A. Alexandradinata, C. Fang, M. J. Gilbert, and B. A. Bernevig, Phys. Rev. Lett. 113, 116403 (2014), URL http: //link.aps.org/doi/10.1103/PhysRevLett.113.116403. 91 A. Jain, S. P. Ong, G. Hautier, W. Chen, W. D. Richards, S. Dacek, S. Cholia, D. Gunter, D. Skinner, G. Ceder, et al., APL Materials 1, 011002 (2013), https://doi.org/10.1063/1.4812323, URL https://doi.org/10.1063/1.4812323. 92 Inorganic Crystal Structure Database (ICSD) (Fachinformationszentrum Karlsruhe, Karlsruhe, Germany, 2015). 93 P. E. Blochl, Phys. Rev. B 50, 17953 (1994), URL http://link.aps.org/doi/10.1103/PhysRevB.50.17953. 94 G. Kresse and J. Furthmuller, Phys. Rev. B 54, 11169 (1996), URL http://link.aps.org/doi/10.1103/PhysRevB.54. 11169. 95 J. P. Perdew, K. Burke, and M. Ernzerhof, Phys. Rev. Lett. 77, 3865 (1996), URL http://link.aps.org/doi/10.1103/ PhysRevLett.77.3865. 96 N. Marzari, A. A. Mostofi, J. R. Yates, I. Souza, and D. Vanderbilt, Rev. Mod. Phys. 84, 1419 (2012), URL http: //link.aps.org/doi/10.1103/RevModPhys.84.1419. 97 N. Marzari and D. Vanderbilt, Phys. Rev. B 56, 12847 (1997), URL http://link.aps.org/doi/10.1103/PhysRevB.56. 12847. 98 A. A. Mostofi, J. R. Yates, Y.-S. Lee, I. Souza, D. Vanderbilt, and N. Marzari, Computer physics communications 178, 685 (2008). 99 I. Souza, N. Marzari, and D. Vanderbilt, Phys. Rev. B 65, 035109 (2001), URL http://link.aps.org/doi/10.1103/ PhysRevB.65.035109. 100 P. Giannozzi et al., J. Phys. Condens. Mat. 21, 395502 (2009). 101 A. M. Rappe, K. M. Rabe, E. Kaxiras, and J. D. Joannopoulos, Phys. Rev. B 41, 1227 (1990). 102 N. J. Ramer and A. M. Rappe, Phys. Rev. B 59, 12471 (1999). 103 M. L. Sancho, J. L. Sancho, and J. Rubio, Journal of Physics F: Metal Physics 14, 1205 (1984). 104 M. L. Sancho, J. L. Sancho, J. L. Sancho, and J. Rubio, Journal of Physics F: Metal Physics 15, 851 (1985). 105 O. Vafek and A. Vishwanath, Ann. Rev. Condensed Matter Phys. 5, 83 (2014). 106 H. Stokes and D. M. Hatch, J. Appl. Cryst. 38, 237 (2005), ISSN 1600-5767. 35
1803.10651
4
1803
2018-07-04T15:30:10
Photon echo from localized excitons in semiconductor nanostructures
[ "cond-mat.mes-hall" ]
An overview on photon echo spectroscopy under resonant excitation of the exciton complexes in semiconductor nanostructures is presented. The use of four-wave-mixing technique with the pulsed excitation and heterodyne detection allowed us to measure the coherent response of the system with the picosecond time resolution. It is shown that, for resonant selective pulsed excitation of the localized exciton complexes, the coherent signal is represented by the photon echoes due to the inhomogeneous broadening of the optical transitions. In case of resonant excitation of the trions or donor-bound excitons, the Zeeman splitting of the resident electron ground state levels under the applied transverse magnetic field results in quantum beats of photon echo amplitude at the Larmor precession frequency. Application of magnetic field makes it possible to transfer coherently the optical excitation into the spin ensemble of the resident electrons and to observe a long-lived photon echo signal. The described technique can be used as a high-resolution spectroscopy of the energy splittings in the ground state of the system. Next, we consider the Rabi oscillations and their damping under excitation with intensive optical pulses for the excitons complexes with a different degree of localization. It is shown that damping of the echo signal with increase of the excitation pulse intensity is strongly manifested for excitons, while on trions and donor-bound excitons this effect is substantially weaker.
cond-mat.mes-hall
cond-mat
Photon echo from localized excitons in semiconductor nanostructures S.V. Poltavtseva,b,, I.A. Yugovab, I.A. Akimova,c, D.R. Yakovleva,c, M. Bayera,c a Experimentelle Physik 2, Technische Universität Dortmund D-44227, Dortmund, Germany b Spin optics laboratory, St. Petersburg State University, 198504, St. Petersburg, Peterhof, Russia c Ioffe Institute, Russian Academy of Sciences, 194021, St. Petersburg, Russia Abstract An overview on photon echo spectroscopy under resonant excitation of the exciton complexes in semiconductor nanostructures is presented. The use of four-wave- mixing technique with the pulsed excitation and heterodyne detection allowed us to measure the coherent response of the system with the picosecond time resolution. It is shown that, for resonant selective pulsed excitation of the localized exciton complexes, the coherent signal is represented by the photon echoes due to the inhomogeneous broadening of the optical transitions. In case of resonant excitation of the trions or donor-bound excitons, the Zeeman splitting of the resident electron ground state levels under the applied transverse magnetic field results in quantum beats of photon echo amplitude at the Larmor precession frequency. Application of magnetic field makes it possible to transfer coherently the optical excitation into the spin ensemble of the resident electrons and to observe a long-lived photon echo signal. The described technique can be used as a high-resolution spectroscopy of the energy splittings in the ground state of the system. Next, we consider the Rabi oscillations and their damping under excitation with intensive optical pulses for the excitons complexes with a different degree of localization. It is shown that damping of the echo signal with increase of the excitation pulse intensity is strongly manifested for excitons, while on trions and donor-bound excitons this effect is substantially weaker. e-mail: [email protected] 1. Introduction Coherent optical spectroscopy provides rich information about the energy structure and the natural linewidth of the spectral lines of the studied system. Use of pulsed light sources makes it possible to conduct experiments with the temporal resolution and get the information on the dynamical processes and the main mechanisms which lead to loss of coherence (phase relaxation). The inherent property of the majority of macroscopic systems is the inhomogeneous broadening of the optical transitions. Resonant excitation of such a system by a train of optical pulses results in a photon echo phenomenon – a nonlinear coherent response of the system in a form of delayed optical pulse [1,2]. Delay times, at which the photon echo can be observed, are defined by the coherent properties of the local (individual) quantum-mechanical excited state. Thereby, the study of photon echoes allows one to overcome the inhomogeneous broadening of optical transitions and acquire the information about the coherent dynamics of the individual excitation in a large ensemble of emitters. Two-pulse and three-pulse photon echoes are actively exploited to investigate the energy structure and coherent evolution of the optical excitations in atomic systems, rare-ion crystals and semiconductors [3-5]. Moreover, photon echo is considered as a possible candidate for the realization of the optical memory based on the ensemble of emitters [6]. In semiconductors, the elementary optical excitations are exciton complexes (coupled electron-hole pairs). Excitons possess a large oscillator strength, which permits the rapid and efficient optical excitation using the sub-picosecond laser pulses. It should be noted that the exciton-exciton interaction in semiconductor crystals results in a complex dynamics of the optical coherent response [4]. Such dynamics can be observed on a time scale of several picoseconds. In case of strong exciton localization, however, many-body interactions are suppressed and localized excitons can be considered as single non-interacting complexes with a discrete energy spectrum. In the simplest case of the resonant excitation, exciton complex can be treated as a two-level energy system with single ground and excited states. Fluctuations in the composition and the localization potential determine the inhomogeneous broadening of the optical transitions, which leads to the formation of photon echoes [7,8]. A good example of the system with the localized excitons is the ensemble of self-organized quantum dots. Four-wave-mixing (FWM) experiments with the use of femtosecond laser pulses have shown that, at low temperature, the exciton coherence time T2 can be comparable with its lifetime T1 ~ 1 ns [9]. Thus, the localization of the exciton results in an extension of its coherence time. Moreover, since many-body interactions are suppressed, there is a possibility of coherent manipulation of exciton states using the intense laser pulses. As an example of such coherent manipulation, the Rabi oscillations in two-level system can be demonstrated [10,11]. Special interest represent the systems with more than two electron states interacting with light. Here, the most remarkable examples are the V- (Λ-) type energy systems, where the single ground (excited) state is optically coupled with the two excited (ground) states [12]. Such systems allow observation of different interesting phenomena, including quantum beats, coherent population trapping and electromagnetically-induced transparency [6,13]. The main feature of the Λ-type scheme is the large coherence time of the ground states. In semiconductors, Λ-type scheme is realized when localized resident carriers, e.g. electrons in the conduction band or holes in the valence band, are optically excited and their spin degree of freedom is involved. In case of resident electrons, the negatively charged exciton (trion, X−) or the donor-bound exciton (D0X) are possible optical excited states [14]. Optical manipulation of the resident carrier spin using the short picosecond laser pulses has been demonstrated in different semiconductor systems [15-17]. However, the majority of the FWM and photon echo studies in semiconductors did not use so far the spin degree of freedom in the ground state [18-21]. In this paper, we present an overview of recent results on photon echo spectroscopy using the resonant excitation of the localized exciton complexes. A special attention is devoted to the systems with the resident electrons under the application of transverse magnetic field. In this case, the step-like stimulated Raman process following after the excitation of the system by the two optical pulses allows the coherent transfer of the optical excitation into the spin ensemble of the resident electrons and observation of the long-lived photon echoes [22,23]. The long-lived photon echo monitors the local dynamics of the ground state of the system and provides rich information about the spin processes in the resident electron ensemble even if the optical transitions are strongly broadened [24]. The choice of material and dimensionality of the quantum system plays a crucial role here. They influence the selection rules of the optical transitions, the localization of exciton complexes and the spin relaxation processes for both excited and ground states. In the paper the main effects are considered for a model system – CdTe/(Cd,Mg)Te quantum wells (QWs), where inhomogeneous broadening of the optical transitions is small and it is possible to excite selectively the various exciton complexes with the different degree of localization [24,25]. We also consider the photon echo signals in the hexagonal epitaxial ZnO self-organized (In,Ga)As/GaAs quantum dots (QDs) placed inside the planar microcavities in order to enhance the exciton-light coupling. The experimental results are obtained by means of the four-wave-mixing with heterodyne detection described in section 2. In section 3, long-lived photon echoes measured from the ensemble of resident electrons are considered. In section 4, the Rabi oscillations at the intensive optical excitation, which is the one of the necessary conditions to perform an efficient optical control of quantum states, are described. [26], ZnSe/(Zn,Mg)(S,Se) QWs and layers 2. Experimental technique In order to study FWM and photon echo signals the experimental set-up featuring high sensitivity and picosecond temporal resolution was developed. The optical scheme of the set-up is shown in Fig. 1. As a source of picosecond laser pulses the Ti:sapphire laser Mira-900 tunable in the range 700-1000 nm and pumped by the 532 nm Verdi-V10 laser was exploited. Laser pulses with the duration of about 2 ps are split by the non-polarizing beamsplitters into the first, second, and third excitation pulses as well as the reference pulse. All pulses, except the first one, are delayed by means of the mechanical translation stages, as shown in Fig. 1: the second pulse is delayed by the interval τ12 with respect to the first one, the third pulse – by the interval τ23 with respect to the second one, and the reference pulse – by the interval τRef with respect to the first one. The sample is placed into the helium bath cryostat and cooled down to the temperature of about 2 K. Three excitation pulses are focused to the sample into the spot of about 300 μm in diameter using the spherical mirror with the focal length of 500 mm. All laser pulses are linearly copolarized. The first and the second pulses with the wavevectors k1 and k2 hit the sample under 3° and 4°, accordingly. The third pulse propagates in the same direction as the second one (k3 = k2). The FWM signal is collected in the reflection geometry in 2k2 – k1 direction by means of the same spherical mirror. The signal is split equally into two channels of the balanced photodetector using the non-polarizing beamsplitter. The reference pulse is guided to the same photodetector avoiding the sample and the cross-correlation between the reference pulse and FWM signal is measured. In order to detect weak FWM signal the technique of optical heterodyning is applied. For that purpose, the two acousto-optic modulators AOM-1 and AOM-2 are used to shift the optical frequencies of the first excitation pulse and the reference pulse by ‒81 MHz and +80 MHz, respectively. The optical frequency of the FWM signal amounts to FWM = 22 – 1, where 1 = 0 – 81 MHz, 2 = 0 (0 is the original frequency of light), which results in FWM = 0 + 81 MHz. The interference of FWM signal with the reference pulse field (Ref = 0 + 80 MHz) gives rise to the optical beats at the differential frequency ∆f = 1 МHz, which are measured at the photodetector output. The DC components, which are defined by the total intensities of the detected beams, are canceled in the balanced detection, while the amplitude of optical beats is doubled. The latter is detected by a "fast" lock-in at the frequency of 1 MHz and its magnitude is proportional to the modulus of the product of the *. reference pulse amplitude ERef and the FWM signal amplitude EPE: δIDet ~ EPEERef In order to exclude the spurious signals we modulate the intensity of the first excitation pulse using a chopper at the frequency of about 1 kHz. The second stage of the detection is performed at this frequency by means of a "slow" lock-in. External magnetic field up to 6 Tesla is applied along the sample plane. In experiments with the wide-band-gap semiconductor nanostructures (ZnO, ZnSe) the second harmonic generation (SHG) unit is additionally used in order to convert the original infrared (IR) ps-pulses into the ultraviolet (UV) pulses with the wavelength of 350 – 495 nm and duration of 1.3 ps. FWM signal from the ZnO-based samples with the polished substrate is detected in the transmission geometry using another 500-mm spherical mirror and the same detection scheme. [22-24], Fig. 1. Schematic presentation of the experimental set-up for measuring the photon echoes with the picosecond time resolution. Notations: SHG – second harmonic generation unit; Cryo LH – helium bath cryostat, PD – photodetector, AOM – acousto-optic modulator; UV – ultraviolet laser light. Inset: temporal diagram of the excitation pulses and echo signals. Two-pulse echo (PE) and three-pulse echo (SPE) are delayed by τ12 after the second and the third pulse, accordingly. The studied objects are various A2B6 and A3B5 epitaxial heterostructures including CdTe/(Cd,Mg)Te QWs ZnSe/(Zn,Mg)(S,Se) QWs, (In,Ga)As/GaAs QDs as well as ZnO epitaxial layers [26]. The resonances in QWs and bulk materials possess large oscillator strength, which does not cause problems with the detection of FWM signal. The oscillator strength of the QD excitons is weaker. Moreover, the strong inhomogeneous broadening significantly limits the amount of QDs, whose transition energy matches the resonant optical excitation. In order to enhance the FWM signal QDs are incorporated inside the microcavity, which comprises, for example, two Bragg mirrors formed during the growth of the structure. Use of microcavities with small Q-factor (100-200) ensures that the photon mode trion layer, transmits the picosecond laser pulse without any distortion and the effects of strong coupling do not take place. in ZnSe/(Zn,Mg)(S,Se) QW, as well as excitons The described set-up allows for measurement of different contributions to the FWM signal, in particular, two-pulse photon echo (PE) and three-pulse PE (stimulated PE, SPE) propagating in time in accordance with the diagram shown in the inset of Fig. 1. Variation of the reference pulse delay τRef makes it possible to measure the PE temporal profile with the picosecond resolution. Figure 2(a) displays the temporal profiles of the PEs measured in four different systems: donor-bound exciton (D0X) in the CdTe/(Cd,Mg)Te single QW, D0X in the 140 nm-thick ZnO epitaxial in (In,Ga)As/GaAs QDs placed in the Bragg microcavity. Since we detect cross- correlation between the FWM signal and the reference pulse, the PE profile corresponds to the convolution of the echo pulse with the reference pulse. The width of PE profile reflects partly the inhomogeneous broadening of the excited ensemble, *. When the spectral which is related to the reversal phase relaxation rate: Γ2 width of the ensemble is significantly narrower than the laser pulse spectrum, the temporal PE profile is accordingly longer than the laser pulse duration. PE from the D0X complex in CdTe/(Cd,Mg)Te QW with the duration of about 8 ps corresponds to this case. However, when the inhomogeneous broadening of the ensemble is comparable or substantially broader than the laser pulse spectrum, the echo pulse profile approximately corresponds to the pulse auto-correlation function. This is the case for PE measured from the other systems in Fig. 2(a). It should be mentioned that the UV laser pulse becomes √2 times shorter after the conversion from the IR range. The PE profile, however, may also have a complicated shape, when the excitation intensity is sufficiently increased. In this case, measurements of the FWM signal go beyond the χ(3) regime and enter the regime of Rabi oscillations. Section 4 of this paper is devoted to Rabi oscillations measurements. *  1/T2 (circles), D0X Fig. 2. The PE temporal profile (a) and PE amplitude decay (b) measured from D0X in CdTe/(Cd,Mg)Te single QW in ZnSe/(Zn,Mg)(S,Se) QW (triangles), and exciton in (In,Ga)As/GaAs QDs placed in the Bragg microcavity (diamonds). in ZnO epitaxial When the second pulse delay is varied simultaneously with the reference pulse delay under the condition τRef = 2τ12, then PE amplitude decay can be measured. Figure 2(b) shows the PE decay dynamics for the four studied systems. The decays can be approximated with the single exponential function ~exp(‒2τ12/T2), from which (squares), layer trion the time of irreversible phase relaxation (coherence time) T2 of the studied resonance is obtained. It corresponds to the homogeneous spectral linewidth (full width at half- maximum) Γ2 = 2ħ/T2 of the resonance. The longest time T2 = 750 ps is measured on the excitons in (In,Ga)As/GaAs QDs ensemble, which corresponds to Γ2 = 1.8 μeV. The shortest time T2 = 16 ps is evaluated for the trion in ZnSe/(Zn,Mg)(S,Se) QW, for * and irreversible phase which Γ2 = 82 μeV. The reversible phase relaxation time T2 relaxation time T2 do not correlate in general case and can be measured independently using the photon echo technique. By tuning the wavelength of the excitation pulses one can measure the spectral dependence of the PE decay dynamics. Spectral dependences of T2 obtained in that way on the CdTe/(Cd,Mg)Te QW and ZnO epitaxial layer are shown in Fig. 3. Interestingly, in both systems we observe non-monotonous dependence of T2 in the vicinity of D0X resonances where coherence time decreases with increasing the photon energy. This observation contradicts to the common hypothesis about longer coherence times of the exciton complexes with the lowest energy of the optical transition due to the stronger localization. Apparently, it is not applied in case of the donor-bound excitons in the studied systems. Fig. 3. Spectral dependences of irreversible phase relaxation time T2 measured in CdTe/(Cd,Mg)Te single QW (a) and in 140 nm-thick ZnO epitaxial layer (b). Solid line in panel (a) corresponds to the PL spectrum with the following resonances: exciton (X), trion (X–) and donor-bound exciton (D0X). Dashed line in panel (b) is the PE amplitude spectrum measured at τ12 = 27 ps. 3. Long-lived photon echo from ensemble of resident electrons This section describes the studies in the weak excitation regime χ(3), when the FWM signal intensity depends linearly on the intensity of every excitation pulse (pulse energy ~ 10 – 100 nJ/cm2). Let us consider PE signal from the trions localized in CdTe/(Cd,Mg)Te QW. The energy level structure and optical transitions are shown in Fig. 4(a). The ground state corresponds to the resident electron and is defined by the doublet with the electron spin S = 1/2. Optically excited state with the lowest energy corresponds to the trion with the zero electron spin (singlet state). Thus, the trion angular momentum J = 3/2 is defined by the heavy hole and the excited state represents a doublet as well. Under the transverse magnetic field B applied along the QW plane (perpendicular to the structure growth axis), the electron spin states are split by the energy ћωL = gμBB, where ωL – is the Larmor precession frequency, g – is the electron g-factor and μB – is the Bohr magneton. The trion doublet splitting is not large because of the strong heavy hole g-factor anisotropy in the QW structures [29]. The resonant excitation of the trion is performed by the light pulses propagating along the structure growth axis, i.e. perpendicular to the magnetic field axis (Voigt geometry). In this case, the optical transitions are allowed between all four states, which are defined by the angular momentum projection to the magnetic field direction. These transitions are linearly polarized along (H) or perpendicular (V) to the magnetic field axis, as shown in Fig. 4(a). The similar level scheme and selection rules work for the donor-bound excitons. Thereby, our consideration is applied not only to the trion, but also to the D0X complex. Moreover, the similar energy level scheme in the transverse magnetic field and selection rules for the optical transitions are realized for D0X complex in the hexagonal ZnO bulk crystal, if the optical excitation is performed along the c-axis of the crystal. In that case, the crystal field leads to the splitting of the hole states in the valence band and plays the same role as the confinement potential in a quantum well. ) s t i n u . b r a ( e d u t i l p m a E P S (c) 1.0 0.8 0.6 0.4 0.2 0.0 1.596 1.597 1.598 1.599 Photon energy (eV) 24.8 24.6 24.4 ) V e  ( L 24.2   24.0 Fig. 4. (a) Scheme of energy levels and optical transitions describing the excitation process of the trion (X−) or donor-bound exciton (D0X) in the singlet state in the CdTe/(Cd,Mg)Te QW. H and V correspond to the linear polarizations of the optical transitions parallel or perpendicular to the magnetic the trion (1.5985 eV) and D0X (1.5972 eV) complexes measured at T = 2 K, B = 260 mT, τ12 = 27 ps. field axis, respectively. (b) SPE amplitude as a function of 23 delay time for the resonant excitation of (circles) and the Zeeman splitting in the ground state ℏωL (triangles). Polarization sequence of the excitation pulses is HVV. (c) Spectral dependences of the SPE amplitude For the demonstration of the long-lived PE we accomplish the optical excitation of the system by application of a train of three laser pulses. Proper choice of the light polarization in the pulse train provides an additional selectivity between the different excitation paths [24]. We consider polarization sequence HVV (the first pulse polarized along H, the second and third pulses polarized along V). This corresponds to the most interesting case when all optical transitions are exploited and the coherent superposition between the one pair of states is transferred into the other pair of states after the each excitation event in a step-like process. In this case, the first pulse (H-polarized) results in the excitation of trions by means of the optical transitions between the states 1 and 3 at the frequency Ω0 − ωL/2 or between the states 2 and 4 at the frequency Ω0 + ωL/2. Here, Ω0 corresponds to the trion resonant frequency in the absence of magnetic field (ωL = 0). The first pulse creates coherent superpositions between the states pairs 1-3 and 2- 4, i.e. the optical polarization is generated. Using the density matrix notation, this polarization corresponds to the nondiagonal elements 13 and 24. It is implied here that, before the first pulse arrival, the system is in the ground state with the zero spin polarization, i.e. the Zeeman splitting of the levels ћωL is small compared to the Boltzmann energy kBT and the only nonzero density matrix elements are 11 = 22 = 1/2. Here, kB – is the Boltzmann constant, and T – is the crystal temperature. This condition is well fulfilled at the small magnetic fields up to 1 Tesla at T = 2 K. Let us assume that coherence of every excited trion is preserved until the second pulse arrival, despite the fact that the macroscopic polarization of the medium rapidly decays due to the inhomogeneity of the optical transitions (reversal dephasing). The second pulse (V-polarized) stimulates the optical transition down into the ground state in such a way that the optical coherences 13 and 24 are transferred into the spin coherence of the electron ensemble 12. In this state, the optical dephasing process is frozen and the further evolution of the system is determined only by the spin dynamics of the resident electrons under the applied external magnetic field. It should be stressed that the electron spin relaxation time can exceed the trion lifetime by several orders of magnitude [30]. The third laser pulse (also V-polarized) again optically excites the trions, thus, creating the optical polarization 42 and 31. This initiates the rephasing process and results in emission of the long-lived SPE pulse. In the case, when the Zeeman splitting of the electron levels in the ground state is smaller than the spectral width of the laser pulse (~1 meV) and the inhomogeneous broadening of the optical transitions, the SPE signal is well described by the Gaussian-shape pulses with the amplitude where Т – is the trion spin lifetime. Equation (1) is the solution of the Lindblad equation in the short rectangular laser pulse approximation [23,24]. In addition it is assumed that the pulse duration is small as compared to the Larmor spin precession period in the ground state TL = 2π/ωL. In turn, ТL is considered to be shorter than the second pulse delay 12. Equation (1) comprises two terms. The first term on the right- hand side is responsible for the spin relaxation and the trion recombination (up to 100 ps in CdTe/(Cd,Mg)Te QWs), which decays rapidly. The second term, in turn, is determined by the time of transverse spin relaxation in the ensemble of localized e. It is the latter term which is responsible for the long-lived SPE resident electrons T2 signal occurring when T2 From Eq.(1) it follows that the three-pulse SPE amplitude under the applied magnetic field oscillates at the Larmor precession frequency. Therefore, it is possible e >> τT. 2  12  T 2 eP      ))      23   T e cos(  12 L  23  e T 2 )  e cos(  23  12 L ( , (1) to measure the Zeeman splitting in the ground state using the selective resonant optical excitation. It should be noted that the measurement is possible even when the energy splitting since the long-lived SPE signal is defined exclusively by the broadening of the ground state levels. Thereby, the method described can be exploited as an optical coherent spectroscopy with the high spectral resolution. homogeneous spectral linewidth of the optical transition 2ℏ/T2 is larger than the interval between the second and the third pulses τ23 was varied and the SPE signal was measured at the temporal position τRef = 2τ12 + τ23. This experiment is performed τ12 = 27 ps. From Fig. 4(b) it follows that the variation of time interval τ23 results in The dynamics of the long-lived SPE under the resonant excitation of the trions and donor-bound excitons in CdTe/(Cd,Mg)Te QW is presented in Fig. 4(b). The time at the external magnetic field strength B = 260 mT and the second pulse delay an oscillating signal decaying on the time scale of several nanoseconds, which is significantly longer than the optical coherence time Т2 measured in the same sample as shown for the different excitation energies in Fig. 3(a). We stress that the long- lived SPE signal is observed only in the presence of the magnetic field in the spectral range of 1.597-1.599 eV, i.e. for resonant excitation of the trion and D0X complexes. It is clear that for observation of the long-lived SPE signals it is necessary to address the optical transitions with resident electrons in the ground state. Using Eq. (1) we retrieved the spectral dependence of long-lived SPE e. Spectral amplitude as well as the oscillation frequency ωL and the decay time T2 dependence of the Zeeman splitting at B = 260 mT is shown in Fig. 4(c). The most remarkable feature here is the variation of the oscillation frequency with the photon energy demonstrating the step-like behavior. Namely, ћωL increases from 24.0 up to 24.6 μeV when the excitation energy is tuned from the spectral position of D0X to that of the trion. From these data we evaluated g-factors g = 1.595 and 1.635 for the resident electrons bound to donors and electrons localized on the potential fluctuations, respectively. Thereby, for the case of CdTe/(Cd,Mg)Te QW we have measured the splitting between the Zeeman sub-levels of the electrons in the ground state with the accuracy below 1 μeV. This revealed the difference between the two electron sub-ensembles: The donor-bound electrons and electrons localized on the potential fluctuations. Fig. 5. Dependence of the SPE amplitude on 23 delay time for the resonant excitation of D0XA τ12 = 27 ps. Polarization sequence of the excitation pulses is HVV. (a) B = 0 T; (b) B = 250 mT. complex (photon energy 3.3566 eV) in the 140 nm-thick ZnO epitaxial layer measured at T = 2 K and complex, i.e. SPE amplitude ~exp(‒τ23/T1). Here, the decay time is T1 = 27 ps [26]. From Fig. 5(b) it follows that oscillating signal is observed even at the "negative" τ23 delays. This delay range corresponds to the large τ23 time intervals comparable with the laser pulse repetition period (13 ns). In that case, an interesting regime may be realized, in which, similar to the effect of resonant spin amplification [31], accumulation of the spin polarization under excitation with a periodic sequence of optical pulses would lead to the amplification or damping of the SPE signal depending on the magnetic field amplitude. 4. Rabi oscillations It should be noted that long-lived SPE signal, whose dynamics is dictated by the spin coherence in the ground state, can be observed also in other semiconductor systems. An example is the ZnO bulk crystal under the resonant excitation of the A donor-bound excitons (D0XA complex). Measurements performed on the 140 nm- thick epitaxial ZnO layer are demonstrated in Fig. 5. In this case the hexagonal crystal axis (c-axis) is directed perpendicular to the sample plane and, therefore, practically coincides with the propagation direction of the excitation pulses. In the absence of the magnetic field, the SPE signal decays exponentially with the lifetime of the D0XA Application of the magnetic field results in the oscillating long-lived SPE signal. From the oscillation period TL=150 ps at B=250 mT we deduce g = 1.92, which corresponds to the electron g-factor. We note that the spin dephasing time T2е in ZnO is longer than that in the CdTe/(Cd,Mg)Te QW, which is apparently determined by smaller scattering of g-factor values in the ensemble of the donor-bound electrons. Resonant excitation of the optical transitions with sufficiently large intensity makes it possible to switch from χ(3) regime of photon echo generation to the regime of coherent Rabi oscillations, which might be observed in certain systems [25,27,28]. Since the generation of the echo signal requires at least two optical pulses, the amplitude of each of them can be varied for that purpose. In order to perform such experiments the setup was equipped with controllable optical attenuators in both excitation beams. The measurement procedure includes consistent increase of the amplitude for one of the excitation pulses after each acquisition of two-pulse PE temporal profile. This results in a two-dimensional presentation of Rabi oscillations in PE amplitude. The measurements were performed in zero magnetic field where the energy scheme of optical transitions can be reduced to the two-level system with single ground and excited states. Figure 6 displays the experimental data and the theoretical simulations obtained for the different optical transitions in the CdTe/(Cd,Mg)Te single QW [25]. It is seen that the measurements of Rabi oscillations are very sensitive to the excitation energy which can be compared with the photoluminescence spectrum, shown in Fig. 3(a). Excitation of the localized exciton in the energy range 1.5985 – 1.5990 eV does not show oscillations in PE amplitude when the first pulse amplitude is increased, but it causes a strong damping of the echo amplitude [see Fig. 6(a)]. This damping is due to the excitation-induced dephasing (EID) processes emerging due to weak exciton localization [4]. In the case of the localized trion the situation changes drastically. Figures 6 (b) and (c) show the results of the photon echo transients when scanning the amplitude of the first and second pulses, respectively. In the first case, we observe the oscillations in PE amplitude, where the second maximum is shifted in the time, and PE amplitude is damped due to the EID. In the second case, no temporal shift of PE is observed and the oscillations are strongly smoothed. Fig. 6. Rabi oscillations in the PE amplitude measured in the CdTe/(Cd,Mg)Te single QW: (a) Variation of the first pulse amplitude for excitation of the exciton at two different energies; (b) and (c) variation of the first and the second pulse amplitudes, respectively, for excitation of the trion; (d) variation of the first pulse amplitude for excitation of the D0X complex. Upper panels of (b)-(d) are experimental data; bottom panels are theoretical simulations. The excitation pulse areas are measured in units of π. The temporal shift of PE amplitude with variation of the first pulse amplitude were observed also in the ensemble of (In,Ga)As/GaAs QDs embedded in the Bragg microcavity [27]. This effect occurs when the spectrally broad ensemble is excited by the spectrally narrow laser pulses. As a result, the ensemble experiences dephasing already during the action of optical pulse due to the large scattering of the resonant frequencies of the oscillators with respect to the central frequency of light. When the second pulse reverses the temporal evolution of the ensemble, the rephasing of oscillators is slightly shifted in time and PE is advanced with respect to the 2τ12 time [32]. It is possible to describe this effect in a simple model of two-level system ensemble, whose coherent dynamics follows the solutions of optical Bloch equations. The results of the numerical calculation describing the trion experimental data are shown in the bottom panels of Fig. 6(b) and 6(c). Apart from the EID process, the spatial inhomogeneity of the excitation spot approximated by the Gaussian shape is also taken into account [25]. Rabi oscillations detected from the D0X transition appear qualitatively similar to those measured from the trion, but there are few differences. First, the echo pulse duration observed from D0X complex is longer than that from the trion, which corresponds to the smaller inhomogeneous broadening of the D0X ensemble. And second, the EID influence is lower for the D0X complex than for the trion, which results in a slower decay of Rabi oscillations, when the excitation pulse amplitude is increasing. The photon echo measurements demonstrate that the ensemble of the D0X in the CdTe/(Cd,Mg)Te QWs with a small donor concentration complexes (~ 1010 cm–2) represents a good system for generation of efficient photon echo signal using the sequence of two laser pulses with the areas of π/2 and π, respectively. However, further increase of the pulse power leads to unavoidable losses of coherence and attenuation of the photon echo signal due to many-body interactions and heating of the electron system. Acknowledgements The authors acknowledge their colleagues L. Langer, M. Salewski, T. Meier, M. Reichelt, M. M. Glazov, L. E. Golub, G. G. Kozlov and Yu. V. Kapitonov. The authors thank Deutsche Forschungsgemeinschaft ICRC TRR-160 (Project A3) and Russian Foundation for Basic Research (Project № 15-52-12016 NNIO_a) for the financial support. This work is also supported by the St-Petersburg University 11.34.2.2012 grant. Literature U. Kh. Kopvillem and V. R. Nagibarov, Physics of Metal and Metallography "Svetovoe echo na paramagnitnykh kristallakh" 15, 313 (1963). N. A. Kurnit, I. D. Abella, and S.R. Hartmann, "Observation of a photon echo," Phys. Rev. Lett. 13, 567–568 (1964). D. A. Wiersma, and K. Duppen, "Picosecond holographic-grating spectroscopy," Science 237, 1147–1154 (1987). D. S. Chemla, and J. Shah, "Many-body and correlation effects in semiconductors," Nature 411, 549–557 (2001). V. V. Samartsev, "Coherent optical spectroscopy of promising materials for solid-state optical processors," Laser Phys. 20, 383–446 (2010). A. I. Lvovsky, B. C. Sanders, and W. Tittel, "Optical quantum memory," Nature Photon. 3, 706–714 (2009). G. Noll, U. Siegner, S. G. Shevel, and E. O. Göbel, "Picosecond stimulated photon echo due to intrinsic excitations in semiconductor mixed crystals," Phys. Rev. Lett. 64, 792-795 (1990). D. G. Steel and S. T. Cundiff, "Photon Echoes in Disordered Semiconductor Quantum Wells," Laser Physics 12, 1135 (2002). [1] [2] [3] [4] [5] [6] [7] [8] [9] P. Borri, W. Langbein, S. Schneider, U. Woggon, R. L. Sellin, D. Ouyang, and D. Bimberg, "Ultralong Dephasing Time in InGaAs Quantum Dots", Phys. Rev. Lett. 87, 157401 (2001). [10] T. H. Stievater, X. Li, D. G. Steel, D. Gammon, D. S. Katzer, D. Park, C. Piermarocchi, and L. J. Sham, "Rabi Oscillations of Excitons in Single Quantum Dots," Phys. Rev. Lett. 87, 133603 (2001). [12] [11] A. Zrenner, S. Beham, E. Stufler, F. Findeis, M. Bichler, and G. Abstreiter, "Coherent properties of a two-level system based on a quantum-dot photodiode," Nature (London) 418, 612-614 (2002). M. O. Scully, and M. S. Zubairy, Quantum Optics, Chap. 7, Cambridge University Press, Cambridge, England, (1997). [13] M. Fleischhauer, A. Imamoglu, and J. P. Marangos, , "Electromagnetically induced transparency: Optics in coherent media," Rev. Mod. Phys. 77, 633- 673 (2005). [14] M. Dyakonov, Spin Physics in Semiconductors, Springer-Verlag, Berlin (2008). [15] A. Greilich, D. R. Yakovlev, A. Shabaev, Al. L. Efros, I. A. Yugova, R. Oulton, V. Stavarache, D. Reuter, A. Wieck, and M. Bayer "Mode locking of electron spin coherences in singly charged quantum dots," Science 313, 341- 345 (2006). [16] S. G. Carter, Z. Chen, and S. T. Cundiff, "Ultrafast below-resonance Raman rotation of electron spins in GaAs quantum wells," Phys. Rev. B 76, 201308 (2007). [17] D. Press, T. D. Ladd, B. Zhang, and Y. Yamamoto, "Complete quantum control of a single quantum dot spin using ultrafast optical pulses," Nature 456, 218–221 (2008). [18] I. Broser, B. Lummer, R. Heitz, and A. Hoffmann, "Degenerate four-wave mixing at bound excitons in II-VI semiconductors," J. Cryst. Growth 138, 809- 814 (1994). [19] D. Brinkmann, J. Kudrna, P. Gilliot, B. Hönerlage, A. Arnoult, J. Cibert, and S. Tatarenko, "Trion and exciton dephasing measurements in modulation- doped quantum wells: A probe for trion and carrier localization," Phys. Rev. B 60, 4474-4477 (1999). [20] G. Moody, I. A. Akimov, H. Li, R. Singh, D. R. Yakovlev, G. Karczewski, M. Wiater, T. Wojtowicz, M. Bayer, and S. T. Cundiff, "Coherent coupling of excitons and trions in a photoexcited CdTe/CdMgTe quantum well," Phys. Rev. Lett. 112, 097401 (2014). [21] F. Fras, Q. Mermillod, G. Nogues, C. Hoarau, C. Schneider, M. Kamp, S. Höfling, W. Langbein, and J. Kasprzak, "Multi-wave coherent control of a solid-state single emitter," Nat. Photonics 10, 155-158 (2016). [22] L. Langer, S.V. Poltavtsev, I. A. Yugova, D. R. Yakovlev, G. Karczewski, T. Wojtowicz, J. Kossut, I.A. Akimov, and M. Bayer, "Magnetic-field control of photon echo from the electron-trion system in a CdTe quantum well: Shuffling coherence between optically accessible and inaccessible states," Phys. Rev. Lett. 109, 157403 (2012). [23] L. Langer, S. V. Poltavtsev, I. A. Yugova, M. Salewski, D. R. Yakovlev, G. Karczewski, T. Wojtowicz, I. A. Akimov, and M. Bayer, "Photon echoes retrieved from semiconductor spins: access to long-term optical memories," Nature Photonics 8, 851–857 (2014). [24] M. Salewski, S. V. Poltavtsev, I. A. Yugova, G. Karczewski, M. Wiater, T. Wojtowicz, D. R. Yakovlev, I. A. Akimov, T. Meier, and M. Bayer, "High resolution two-dimensional optical spectroscopy of electron spins," Phys. Rev X 7, 031030 (2017). [25] S. V. Poltavtsev, M. Reichelt, I. A. Akimov, G. Karczewski, M. Wiater, T. Wojtowicz, D. R. Yakovlev, T. Meier, and M. Bayer, "Damping of Rabi oscillations in intensity-dependent photon echoes from exciton complexes in a CdTe/(Cd,Mg)Te single quantum well," Phys. Rev. B 96, 075306 (2017). [26] S.V. Poltavtsev, A.N. Kosarev, I.A. Akimov, D.R. Yakovlev, S. Sadofev, J. Puls, S.P. Hoffmann, M. Albert, C. Meier, T. Meier, and M. Bayer, "Time- resolved photon echoes from donor-bound excitons in ZnO epitaxial layers", Phys. Rev. B 96, 035203 (2017). [27] S.V. Poltavtsev, M. Salewski, Yu. V. Kapitonov, I. A. Yugova, I. A. Akimov, C. Schneider, M. Kamp, S. Höfling, D. R. Yakovlev, A. V. Kavokin, and M. Bayer, "Photon echo transients from an inhomogeneous ensemble of semiconductor quantum dots", Phys. Rev. B 93, 121304(R) (2016). [28] M. Salewski, S. V. Poltavtsev, Yu. V. Kapitonov, J. Vondran, D. R. Yakovlev, C. Schneider, M. Kamp, S. Höfling, R. Oulton, I.A. Akimov, A.V. Kavokin, and M. Bayer, "Photon echoes from (In,Ga)As quantum dots embedded in a Tamm-plasmon microcavity", Phys. Rev. B 95, 035312 (2017). [29] A. A. Sirenko, T. Ruf, M. Cardona, D. R. Yakovlev, W. Ossau, A. Waag, and G. Landwehr, "Electron and Hole g Factors Measured by Spin-Flip Raman Scattering in CdTe/CdMgTe Single Quantum Wells", Phys. Rev. B 56, 2114 (1997). [30] E. A. Zhukov, D. R. Yakovlev, M. Bayer, M.M. Glazov, E. L. Ivchenko, G. Karczewski, T.Wojtowicz, and J. Kossut, "Spin Coherence of a Two- Dimensional Electron Gas Induced by Resonant Excitation of Trions and Excitons in CdTe/CdMgTe Quantum Wells", Phys. Rev. B 76, 205310 (2007). J. M. Kikkawa and D. D. Awschalom, "Resonant spin amplification in n-type GaAs", Phys. Rev. Lett. 80, 4313 (1998). [32] V. V. Samartsev, R. G. Usmanov, G. M. Ershov, B. Sh. Khamidullin, "Advance and delay effects in photon echo signals", Zh. Exp. Theor. Fiz., 74, 1979 (1978). [31]
1211.7042
2
1211
2013-07-29T17:59:08
Temperature-dependent dynamical nuclear polarization bistabilities in double quantum dots in the spin-blockade regime
[ "cond-mat.mes-hall", "nlin.CD" ]
The interplay of dynamical nuclear polarization (DNP) and leakage current through a double quantum dot in the spin-blockade regime is analyzed. A finite DNP is built up due to a competition between hyperfine (HF) spin-flip transitions and another inelastic escape mechanism from the triplets, which block transport. We focus on the temperature dependence of the DNP for zero energy-detuning (i.e. equal electrostatic energy of one electron in each dot and a singlet in the right dot). Our main result is the existence of a transition temperature, below which the DNP is bistable, so a hysteretic leakage current versus external magnetic field B appears. This is studied in two cases: (i) Close to the crossing of the three triplet energy levels near B=0, where spin-blockade is lifted due to the inhomogeneity of the effective magnetic field from the nuclei. (ii) At higher B-fields, where the two spin-polarized triplets simultaneously cross two different singlet energy levels. We develop simplified models leading to different transition temperatures T_TT and T_ST for the crossing of the triplet levels and the singlet-triplet level crossings, respectively. We find T_TT analytically to be given solely by the HF couplings, whereas T_ST depends on various parameters and T_ST>T_TT. The key idea behind the existence of the transition temperatures at zero energy-detuning is the suppression of energy absorption compared to emission in the inelastic HF transitions. Finally, by comparing the rate equation results with Monte Carlo simulations, we discuss the importance of having both HF interaction and another escape mechanism from the triplets to induce a finite DNP.
cond-mat.mes-hall
cond-mat
Temperature dependent dynamical nuclear polarization bistabilities in double quantum dots in the spin-blockade regime Anders Mathias Lunde,1 Carlos L´opez-Mon´ıs,1,2 Ioanna A. Vasiliadou,3,4 Luis L. Bonilla,3,5 and Gloria Platero1 1Instituto de Ciencia de Materiales de Madrid, CSIC, 28049 Cantoblanco, Madrid, Spain 2Institute for Theoretical Physics, University of Regensburg, D-93040 Regensburg, Germany 3G. Millan Institute, Fluid Dynamics, Nanoscience and Industrial Mathematics, Universidad Carlos III de Madrid, Spain 4Materials Science and Engineering and Chemical Engineering Department, Universidad Carlos III de Madrid, Spain 5Unidad Asociada al Instituto de Ciencia de Materiales de Madrid, CSIC, 28049 Cantoblanco, Madrid, Spain (Dated: June 15, 2021) The interplay of dynamical nuclear polarization (DNP) and leakage current through a double quantum dot in the spin-blockade regime is analyzed. A finite DNP is built up due to a compe- tition between hyperfine (HF) spin-flip transitions and another inelastic escape mechanism from the triplets, which block transport. We focus on the temperature dependence of the DNP for zero energy-detuning (i.e. equal electrostatic energy of one electron in each dot and a singlet in the right dot). Our main result is the existence of a transition temperature, below which the DNP is bistable, so a hysteretic leakage current versus external magnetic field B appears. This is studied in two cases: (i) Close to the crossing of the three triplet energy levels near B = 0, where spin-blockade is lifted due to the inhomogeneity of the effective magnetic field from the nuclei. (ii) At higher B-fields, where the two spin-polarized triplets simultaneously cross two different singlet energy levels. We develop simplified models leading to different transition temperatures Tc,tt and Tc,st for the crossing of the triplet levels and the singlet-triplet level crossings, respectively. We find Tc,tt analytically to be given solely by the HF couplings, whereas Tc,st depends on various parameters and Tc,st > Tc,tt. The key idea behind the existence of the transition temperatures at zero energy-detuning is the suppression of energy absorption compared to emission in the inelastic HF transitions. Finally, by comparing the rate equation results with Monte Carlo simulations, we discuss the importance of having both HF interaction and another escape mechanism from the triplets to induce a finite DNP. I. INTRODUCTION The high degree of experimental control in modern quantum dot systems allows detailed manipulation of electrons and their spin in confined states.1 -- 4 A particu- larly intriguing example was investigated by Ono et al.5,6 in a series of experiments. These revealed that, not only the charge, but also the Pauli exclusion principle for spin states can block the electronic transport through a dou- ble quantum dot (DQD) coupled in series. To observe this phenomenon -- dubbed spin-blockade 5,7 -- 9 (SB) -- the energy levels of the two dots are tuned asymmetrically, so an electron with a definite spin is trapped in -say- the right dot. Now, only electrons with the opposite spin (to the trapped one) can pass through the DQD, since two electrons with equal spins in the right dot is tuned to be energetically forbidden. Therefore, once an electron with the same spin (as the trapped one) tunnels into the left dot, then transport through the DQD is blocked. SB re- quires non-linear bias and due to the asymmetric energy level tuning of the dots, current is only blocked in one direction leading to the observed current rectification.5 An electron can escape from the states blocking trans- port by a spin relaxation process, which leads to a small leakage current in the SB regime. Analyzing the leakage current is therefore an excellent tool to obtain informa- tion about the spin relaxation processes from a transport experiment.6,10,11 There are several ways to escape from the blocking states: via co-tunneling processes,5,12 -- 16 spin-orbit meditated spin relaxation17 -- 25 and/or by hy- perfine interaction26 (HFI) between the electronic spins and the nuclear spins of the host material.6,10,11,27 -- 47 The relative importance of these mechanisms depends on the material and the external parameters. For instance, a specific co-tunneling process can become important by tuning the gate-voltages such that the virtual energy ex- change in the co-tunneling process becomes low.12 Ever since the experiments by Ono et al.5,6 in vertical GaAs DQDs, several geometries and materials have been used to further study the leakage current in the SB regime due to different relaxation mechanisms.10,11,19,48 -- 52 For instance, Churchill et al.51 analyzed experimentally the leakage current in carbon nanotube DQDs varying the amount of 13C -- the only stable carbon isotope with a non-zero spin. This amounts to varying the spin relax- ation due to HFI from very important (high 13C concen- tration) to not important (almost no 13C present). This shows how different the leakage current can be with and without nuclear spins.51 Nowadays, spin-orbit coupling is also thought to play a role in carbon nanotubes.53 -- 55 SB in Silicon DQDs has also been studied.50,52,56 -- 59 In a recent work, Lai et al.52 eliminated the HFI in Sili- con DQDs by isotopic purification -- along the same lines as Churchill et al.51 In this case, co-tunneling processes caused the leakage current in the SB regime (in good agreement with recent theories15,16), since the spin-orbit coupling is expected to be weak in Silicon.52 In contrast, spin-orbit interaction is generally believed to be strong in InAs. This enabled Pfund et al.19 to investigate its im- portance on the leakage current in InAs nanowire DQDs. Finally, recent studies show bipolar SB triple dots60 and 3 1 0 2 l u J 9 2 ] l l a h - s e m . t a m - d n o c [ 2 v 2 4 0 7 . 1 1 2 1 : v i X r a valley-spin blockade in carbon nanotube DQDs.61 -- 63 A HF-induced spin relaxation process from a blocking state will flip the electronic and nuclear spin in opposite directions, Fig. 1(b). The electronic spin relaxation in the SB regime can therefore change the average occupa- tions of the nuclear spin states, since the nuclear spin relaxation time is very long compared to the electronic tunneling timescales.10,51,64 The repeated electronic spin- flip due to the leakage current can therefore produce a dynamical nuclear polarization (DNP). The DNP acts back on the electronic states of the DQD as an effec- tive magnetic field, the so-called Overhauser field.65 The Overhauser field is generally inhomogeneous and there- fore often different in the two dots. DNP is also studied in optical66 -- 75 and quantum Hall76 -- 86 systems. It is important to emphasize that even though the HF- induced spin-relaxation do flip a nuclear spin, it will not always change the average nuclear polarization in the steady state of the SB regime. For instance, if HFI is the only spin relaxation mechanism causing the leakage current, then the nuclear spins remain unpolarized.30,33 Essentially, this is because tunneling into one of the two blocking states consisting of two spin-up electrons, ↑,↑(cid:105), or two spin-down electrons, ↓,↓(cid:105), are equal. Escape from these two states will polarize the nuclei in opposite direc- tions and therefore on average the polarization does not change. This is so, even though the escape rates from the blocking states might be very different.19,33 In this case of HFI being the only cause of leakage current, the nuclear spins can be modeled as an effective magnetic field with zero mean value and non-zero statistical deviation30,87 -- 90 as has also been used to fit experimental data.11 Never- theless, if more than one spin relaxation mechanism con- tribute to the leakage current in the SB regime, then the nuclei can indeed obtain a non-zero DNP.33,34,37 -- 39 The finite DNP leads to experimentally measurable signatures in the leakage current.6,10,19,31,32,44 -- 46,51,91 Perhaps the most fascinating of these signatures is that of a hysteretic leakage current versus external parame- ters like the magnetic field or a gate-voltage as has been observed.6,10,19,45,51,92 For instance, Pfund et al.19 found hysteresis due to a competition between HF and spin- orbit induced escape from the blocking states. The hys- teresis signals a bistability in the DNP: For a certain range of parameters, there exists two stable values of the DNP leading to two values of the current. For other pa- rameters the nuclear spins might be polarized, but the DNP is single-valued and hence also the current.93 Very high polarizations94 of about half of the nuclei have been found experimentally in the SB regime,31,51 and even higher DNPs were not excluded. Spin diffusion from the DQD to the environment and dipole-dipole in- teractions are very weak, but nevertheless expected to reduce the polarizations somewhat.10,51,64 Other experimental findings like long-lived current os- cillations in time6,10 and transient phenomena in the leakage current44 have also been attributed to the nuclear spin environment.95 -- 97 Furthermore, it has been shown 2 FIG. 1. (Color online) (a) Illustration of the two escape paths from the blocking triplets T , which compete to create a non- zero DNP in the SB regime. The cycle of transporting an electron through a blocking triplet state T begins and ends with a single electron trapped in the right dot σ(0, 1). During a single transport cycle, the DNP P is changed by a small amount ±dP (depending on the specific transition), if the escape from T is HF mediated (upper branch). The DNP acts back on the energy levels E(P ) via the Overhauser fields and, in turn, on both the HF spin-flip rates W and the tunneling rates Γ. In contrast, the weak inelastic escape mechanism33 -- 36 (lower branch) leaves the DNP unchanged. (b) A real-space example of a HF-induced escape process from a triplet to the right contact through a singlet S. This changes the DNP. that DNP can build up in DQDs by cycles in gate-voltage space -- without transport through the DQD.98 -- 100 The HFI is most effective to lift SB close to the crossing of the electronic energy levels between e.g. a triplet and the singlet state such that energy is conserved in the spin- flip process.101 In order to get close to a level crossings, the local gate voltages on the dots, the inter-dot tunnel- ing or the external magnetic field can be varied experi- mentally. The local gate voltages change the energy lev- els of the individual dots and thereby the so-called energy detuning (i.e. the electrostatic energy difference between one electron in each dot and a singlet in the right dot). The barrier between the dots controls the wavefunction overlap and therefore the quantum mechanical exchange energy between the singlet and triplet states. Moreover, the external magnetic field splits up the triplet levels. A. Main ideas of this work and comparison to previous works In this work, we analyze the leakage current and the DNP in the SB regime. Finite DNP occurs due to a competition between (i) the HF-induced escape from the blocking states and (ii) another weaker inelastic escape LeakageCurrentFeedbackSchemeTunnelingInΓ(P)σ(0,1)→TPHyperfineInt.W[E(P),P]T→SP→P±dPTunnelingOutΓ(P±dP)S→σ(0,1)P±dPInelasticEscapeΓineT→σ(0,1)P✟✟✟✯✲✛P→P±dP❍❍❍❍❍❥✻Γ(P)PW(P)P→P±dPΓ(P±dP)P±dP(a)(b) mechanism such as co-tunneling or spin-orbit interaction -- as in the works by Rudner et al.33 -- 36 The induced DNP acts back on the electronic energy levels of the DQD, which in turn change the transition rates until the steady state is reached. Hence, we are dealing with a non-linear system with feedback present as illustrated in Fig. 1. We consider the gate-voltage configuration with zero energy detuning, i.e. the electrostatic energy of one elec- tron in each dot and a singlet in the right dot is the same. Thus, the external magnetic field is varied to get close to level crossings. The Overhauser magnetic field from the DNP is different in each dot, which mixes the triplet and singlet states with zero total angular momen- tum projection.10,36,45 Thus, we study two kinds of level crossings in detail: The crossing of the triplet (like) lev- els and the crossing between singlet and triplet levels. What we name the crossing of triplet levels is in fact the crossing of the pure spin-polarized triplets and the triplet that has a small mixing with the singlet subspace. Hence, escape from the mixed state is possible. The main focus of this work is the presence and de- scription of a transition temperature Tc for the DNP. For temperatures T below Tc the leakage current shows hys- teresis versus the external magnetic field B, while for T > Tc the hysteresis disappears even though the system can still have a non-zero DNP. The transition tempera- ture is related to a bistability of the DNP for T < Tc, which is the reason for the current hysteresis. Interest- ingly, we find that the transition temperatures for the crossing of the triplet levels near B = 0, Tc,tt, and the singlet-triplet crossing at finite B-field, Tc,st, respec- tively, are in general different and Tc,tt < Tc,st for typical parameters. Thus, for Tc,tt < T < Tc,st current hysteresis is expected near the singlet-triplet level crossings at finite B-field, but not near the crossing of the triplet levels. The DNP in the SB regime is current induced and, hence, a result of a non-equilibrium situation. Remark- ably, spontaneous order of the nuclear spins in equilib- rium generally happens at orders of magnitude lower temperatures than Tc,tt and Tc,st due to the weakness of dipole-dipole interaction among the nuclear spins.26,33,102 We find the transition temperature Tc,tt analytically to be given only by the strength of the HF couplings in the DQD. This is derived from a simplified model valid in the limit of the singlets being far away in energy from the triplets, i.e. at large exchange energy. To describe the singlet-triplet crossing, we also derive a simplified model leading to an implicit equation for the DNP. In contrast to Tc,tt, we find the transition temperature Tc,st for the singlet-triplet crossing to depend on various parameters. The possibility of not conserving energy in the HF transitions is present in this work. Rudner et al.33 -- 36 include this effect as level broadening, whereas we allow for energy emission and absorption e.g. by phonons in the HF rates. Hence, energy absorption and emission in a HF process is equally likely in Refs.[33 -- 36]. In contrast, here the probability for energy absorption is exponen- tially suppressed compared to energy emission.103,104 We 3 show that this is indeed an essential difference between this study and the previous ones,33 -- 36 since the presence of both transition temperatures exactly stem from this asymmetry between energy emission and absorption. In previous works by some of us,37 -- 39 non-zero DNP in the SB regime arise due to the competition between HF- induced spin-flips and escape from the blocking states by tunneling through excited states in the right dot. In contrast, such excited states are assumed to be far away in energy in this work and, hence, do not play a role. Moreover, we work with coherently coupled dots such that the inter-dot tunneling is not treated as a pertur- bation as in Refs.[37 -- 39]. This approach, for instance, includes the expected triplet with zero angular momen- tum projection. Moreover, previously37 -- 39 phonon ab- sorption processes were neglected, so the physics treated here regarding the transition temperature was missed. Some of us have numerically studied a similar approach recently.42 However, in this case, the rate equation for the DNP turned out to be inappropriate, because HFI was taken to be the only escape mechanism from the blocking states. In contrast, here we find DNP to appear due to a competition between HF-induced escape and another in- elastic escape mechanism. Here we put our results from the rate equation approach on a firm basis by compar- ing to Monte Carlo simulations. Furthermore, we point out in detail how the rate equation approach becomes sensitive to some initial occupations in the case without an inelastic escape path, and therefore become unable to describe the physical setup. Moreover, we emphasize that this work contains many new insights and results compared to Ref.[42]. For instance, the simple analytical models describing the various level crossings, which lead to the transition temperatures described above. The paper is organized as follows: Sec. II describes the model of the DQD energy levels and their interplay with the DNP. Then we address the crossing of the triplets (Sec. III) and the singlet-triplet crossings (Sec. IV). Fi- nally, the Monte Carlo simulations are discussed (Sec. V). II. THE MODEL The model used below aims at describing the basic physics of a DQD coupled to a nuclear environment in the SB regime -- instead of focusing on a specific material. A. The states of the DQD, the Hamiltonian and the Overhauser field The three triplet states blocking the transport in the SB regime are T+(cid:105) = d†L↑ 1 √2 T0(cid:105) = (cid:16) d†R↑0(cid:105), d†L↑ d†R↓ (cid:17) T−(cid:105) = d†L↓ d†R↓0(cid:105), 0(cid:105), d†R↑ + d†L↓ (1a) (1b) where the indices 0 and ± represent the total angular momentum projection, m = 0,±1. The singlet states with one electron in each dot, S(1, 1)(cid:105), and two electrons in the right dot, S(0, 2)(cid:105), respectively, are d†R↑ d†R↓ − d†L↓ 0(cid:105), (cid:17) (2a) (cid:16) d†L↑ d†R↑0(cid:105). 1 √2 S(1, 1)(cid:105) = S(0, 2)(cid:105) = d†R↓ (2b) σ α=L,R A single electron with spin σ trapped in the right dot is described by the one-electron state σ(0, 1)(cid:105) = d†Rσ0(cid:105) for σ =↑,↓. Here we only include a single spin-degenerate state created (annihilated) by d†ασ (dασ) in the right (α = R) or left (α = L) dot. The empty state is 0(cid:105). The entire system is described by the Hamiltonian H = HDQD+Hleads+HT+HHF, where HDQD, Hleads and HT describe the two dots in series, the electronic leads and the tunneling coupling between them, respectively. The HFI, HHF, is between the electrons in the DQD and the nuclear spins. The DQD is described by an Anderson- type Hamiltonian HDQD =(cid:80) (cid:1) + URLnRnL + t(cid:80) (cid:0)εαnα + Uαnα↑nα↓ + (cid:0)d†LσdRσ + d†RσdLσ (cid:1). Here gµBBSz,α nα = nα↑ + nα↓ is the number operator on each dot, nασ = d†ασdασ, and Sz,α = 1 2 (nα↑ − nα↓) is the spin op- erators z-component on dot α. Both inter-dot, URL, and intra-dot, Uα, Coulomb interaction are included. The on- site energies εα and the inter-dot tunneling t can be tuned experimentally by gates. An external magnetic field B causes a Zeeman splitting, gµBB, where g is the g-factor and µB is the Bohr magneton. The B-field is taken to be in-plane, so orbital effects can be safely neglected.6,16 For t = 0, the triplets (1) and singlets (2) are eigenstates of HDQD, whereas the singlets (2) mix for t (cid:54)= 0. The leads αkσ εkσαc†kσαckσα, where c†kσα (ckσα) creates (annihilates) the orbital state k with spin σ in lead α of energy εkσα. The coupling of the leads αkσ(tαkc†kσαdασ + t∗αkd†ασckσα) are described by Hleads = (cid:80) to the DQD is HT = (cid:80) states26,105 -- 109 HHF = (cid:80) orbital α,n Aα(Rn)Sα · In con- nects the electronic spin Sα = (Sx,α, Sy,α, Sz,α) on dot α = L, R with the nuclear spin In = (Ix,n, Iy,n, Iz,n) at position Rn. The coupling between the spins depends on the value of the electronic envelope wavefunction Ψα(r) of dot α = L, R at the position of the nuclear spin Rn, i.e. Aα(Rn) = νAΨα(Rn)2. Here ν is the unit cell volume and A is the atomic HF constant. For simplicity, the nuclear spins are taken to be spin -- 1/2 and we model the HFI using homogeneous HF constants,37,110,111 i.e. with the lead-DQD tunneling couplings tαk. contact HFI for S-like relevant The (cid:88) (cid:88) HHF = Aα N α n Sα · In, (3) where N is the total number of nuclear spins in the DQD. The effective HF constants, Aα (cid:39) AN/(2Nα), for the two dots are of the same order of magnitude, but are not necessarily the same42,90,111 for realistic dots containing 4 different numbers of nuclear spins, NL (cid:54)= NR. The polarization of the nuclei acts back on the elec- tronic states as an effective Overhauser magnetic field.65 To include this, we divide the HF Hamiltonian into a mean-field part H MF HF , the Overhauser field, and a spin- flip part H sf HF, which leads to the HF-induced spin-flips necessary for dynamically polarizing the nuclei. The ex- ternal magnetic field provides a direction along which the nuclei can polarize,26,44 such that the rotational symme- try is broken as in the experimental situation.44 This is a customary approach for mean-field theories describing phenomena such as magnetization of a ferromagnet.112 Hence, the mean-field from the nuclei is taken to be along the z-direction as the external magnetic field and given in terms of the average number of spin up and down Nσ (σ =↑,↓) in the nuclear environment,31,36,42 i.e.(cid:80) n(cid:104)In(cid:105) =(cid:80) n(cid:104)Iz,n(cid:105) = (N↑ − N↓)/2. Thus, H MF AαP Sz,α, HF = (cid:88) (4) 1 2 α=L,R where the nuclear spin polarization P ≡ (N↑ − N↓)/N was introduced and N = N↑ + N↓ is the total number of nuclear spins. The number of nuclear spin-up (down) N↑(↓) -- and thus P -- change dynamically according to the external conditions of the current. The spin-flip part of the HFI is H sf HF = Aα 2N (S−,αI+,n + S+,αI−,n) , (5) (cid:88) α,n From H MF nuc = AαP 2gµB nuc (cid:54)= BL where S±,α = Sx,α± iSy,α and I±,n = Ix,n± iIy,n are the raising and lowering operators of the electronic and nu- clear spins, respectively. The electronic spin-flips induced by H sf HF are included perturbatively below (see Sec. II B). HF , we can read off the Overhauser magnetic field in each dot:37 Bα . Importantly, the Over- hauser fields in the two dots are different, BR nuc, which is crucial for lifting the SB10,45 (as will be clear below). Here we introduce this difference by having AL (cid:54)= AR, but keep the DNP P as a common quantity for both dots.33 -- 35,42 In principle, the DNP can be spatially inhomogeneous, which is challenging to model in detail. A step on that way, is having different -- but homogeneous -- polarizations in the two dots and AL = AR.36,37 How- ever, for coherently coupled dots, the overlap between the envelope functions is sizeable and thereby also the amount of nuclei under both envelope functions. This makes it less clear how to separate the nuclei into form- ing two independent homogeneous polarizations.113 For simplicity, we therefore use a single DNP for both dots. We find the basis states of the DQD including the Overhauser fields and the inter-dot tunneling by diag- onalizing HDQD + H MF HF within the space of triplets Tm(cid:105) (1), singlets (2) and one-electron states σ(0, 1)(cid:105), since all other states are not energetically relevant in the SB regime.114 We specialize to the zero detuning limit such that the electrostatic energy of one electron in each dot, FIG. 2. (Color online) The energy levels (8) versus magnetic field in energy units gµBB for the five two-electron states T+ (red full line), T− (green dashed line), Tp (purple dotted line), S+ (blue dashed line) and S− (brown full line) for zero de- tuning, P = 0 and t = 50µeV. The crossings of the triplets and the triplet-singlet crossing points (for B > 0) are indicated. εL + εR + URL, and of a singlet in the right dot, 2εR + UR, are the same: εL + εR + URL = 2εR + UR = 0 (chosen as the zero of energy). For zero detuning, the diagonaliza- tion gives the following particularly simple basis states nν = ν(cid:48) − nν 5 a blocking state anymore, whereas T± still block trans- port. Therefore, if P = 0 or AR = AL, then p = 0 leading to transport blocking by all three triplets (Tp → T0). In contrast to finite detuning,10,31 -- 33,45 the crossing of the singlet and triplet energies (8) always happens in pairs for zero detuning, e.g. ET+ and ES+ cross if and only if ET− cross. Moreover, here the energy levels relative differences have certain symmetries around ETp : ET+− ETp = ETp− ET− and ES+− ET+ = ET−− ES− . and ES− B. The dynamical coupling of the nuclear spins to the DQD energy levels and the leakage current The dynamics is determined within the rate equation approach15,16,34,35,37,42 written compactly as (cid:88) (cid:0)Wν,ν(cid:48) + Γν,ν(cid:48) + Γine (cid:1)nν(cid:48) ν,ν(cid:48) (cid:0)Wν(cid:48),ν + Γν(cid:48),ν + Γine ν(cid:48),ν (cid:1), (9) (cid:88) ν(cid:48) tion condition is (cid:80) where nν denotes the time derivative of the average oc- cupation nν for ν = T±, Tp, S±,↑,↓ [using the short-hand notation σ =↑,↓ for σ(0, 1)(cid:105)]. Moreover, the normaliza- ν nν = 1. We include three kinds of rates: (i) The HF spin-flip rates Wf,i from the initial to the final two-electron state, i(cid:105) → f(cid:105). (ii) The tunneling rates Γf,i from i(cid:105) to f(cid:105), which connect the leads to the DQD. (iii) Finally, we include another inelastic escape rate Γine f,i from the triplet states T± and Tp. Sec. II C gives the detailed rates. Fig. 3 provides an illustration of the non-zero rates in the rate equations (9), which are given explicitly in Appendix A for completeness. In order to obtain non-zero DNP, a preferred direction of angular momentum transfer from the electrons to the nuclei needs to exist. If HFI is the only source of SB lifting, then no such preferred direction exists. The rea- son is that HF-induced escape from the spin-polarized triplets T± changes the DNP in opposite directions and since the probabilities of loading T+ and T− are equal (ΓT+,↑ = ΓT−,↓), no net DNP build up.19,30,33,34 This is so, even though the HF rates from T± might be very dif- ferent, but since only one way to escape from T± exists, it does not matter if escaping from T+ or T− is the fastest. Here we allow two ways to escape from the triplets, both lifting SB. Either by the HF spin-flip transitions Wf,i or by the inelastic escape rates Γine f,i . The additional inelastic rates can e.g. be provided by co-tunneling12 -- 16 or spin-orbit mediated19 -- 23 spin relaxation processes. Importantly, the inelastic processes contained in Γine f,i give an additional escape path from the triplets without a nu- clear spin-flip. Therefore, the two escape paths from the blocking states now compete, such that it becomes im- portant which of the HF-induced escape paths from T+ or T− is the fastest. This competition is therefore crucial to obtain non-zero DNP.19,33,34 We do not specify the inelastic escape rate further as Rudner et al.33 -- 36 (cid:104) d†R↑0(cid:105), T+(cid:105) = d†L↑ (cid:20) 1 T0(cid:105) − pS(0, 2)(cid:105) Tp(cid:105) = N 1 1 √2 S±(cid:105) = S(1, 1)(cid:105) ± N (cid:105) T−(cid:105) = d†L↓ (cid:16) , d†R↓0(cid:105), (cid:17)(cid:21) (6a) (6b) , (6c) S(0, 2)(cid:105) + pT0(cid:105) and the one-electron states remain the same, σ(0, 1)(cid:105) = d†Rσ0(cid:105) and we set  = 1. Here we introduced A− 2√2t p ≡ P, N ≡ 1 + p2, A± ≡ AL ± AR 2 (7) (cid:112) and the energies are found to be (see Fig. 2) (cid:18) (cid:19) ETp = 0, ES± = ± ET± √2tN . = ± gµBB + 1 2 A+P , (8a) (8b) nuc + BR nuc − BR Here the inter-dot tunneling mixes S(0, 2)(cid:105) and S(1, 1)(cid:105). Moreover, these two singlets mix with T0 due to the difference of the Overhauser fields between the two dots,36,42,45 gµB(BL nuc) = A−P/2. The triplet- singlet mixing is controlled by the dimensionless param- eter p in Eq.(7). The sum of the Overhauser fields, gµB(BL nuc) = A+P , splits the spin-polarized triplets T± as a magnetic field does. Hence, ET± de- pend stronger on P than the exchange energy splitting ES± − ETp = √2tN for p (cid:28) 1. The singlet-triplet mix- ing p is indeed small, since we are interested in the limit A− (cid:28) t. Therefore, we keep calling the state Tp for a triplet and the states S± for singlets (as indicated by the notation), even though strictly speaking they are not. In the SB regime, the triplets T± and T0 block trans- port. Due to the singlet-triplet mixing, the state Tp is not -100-5050-100-3050100EnergylevelsforzerodetuningandP=0Singlet-tripletcrossingCrossingofthetripletlevelsgµBBET+ES+ET−ETpES− 6 FIG. 3. (Color online) Illustration of the transitions connecting the DQD states. Each HF transition Wf,i flip the electronic and nuclear spins in opposite directions and change the DNP by dP = +2/N or dP = −2/N depending on the transition -- as specified in the label of the figure. We allow for emission or absorption of energy e.g. as a phonon (ph.) in the HF processes. Thus, transitions between misaligned energy levels are possible, but they become less probable the larger the difference between the energy levels. Moreover, as discussed in the main text, absorption of energy is suppressed by a factor of e−∆E/kbT compared to emission, where ∆E > 0 is the difference between the levels. Additional inelastic escape rates Γine f,i from the triplets without a nuclear spin-flip are also included (red arrows). The competition between these rates and the HF rates creates the possibility of finite DNP.19,33,34 We work in the high bias limit, so transitions from one-electron to two-electron states is always associated with tunneling into the DQD from the left lead and vice versa, as illustrated in the figure. Moreover, a particular order of the energy levels is chosen in the figure, which depends on the exchange energy √ 2tN , the B-field and the DNP, see Eq.(8). P = 2 N Each HF-induced electronic spin-flip will changes the DNP by dP = ±2/N depending on the transition, see Fig. 3. Therefore, in the case of competing escape rates, we describe the DNP, P , by the rate equation (cid:20)(cid:0)WT−,S+ −WT+,S+ (cid:1)nS+ (cid:1)nS− +(cid:0)WT−,S−−WT+,S− +(cid:0)WT−,Tp−WT+,Tp +(cid:0)WS+,T+ +WS−,T+ +WTp,T+ (cid:1)nT+ (cid:1)nT− (cid:0)WS+,T− +WS−,T− +WTp,T− (cid:21) − (cid:1)nTp (10) as used in several other studies.31,33 -- 37,99 The idea be- hind Eq.(10) is to describe a competition between vari- ous rates that polarize the nuclear spins in opposite di- rections, which is different from the aforementioned com- petition between various escape paths. However, in order to have a competition between various rates that polar- ize the nuclei in the first place, it is strictly necessary to have competing escape paths, i.e. both Wf,i and Γine f,i non-zero. In Sec. V, we show that the rate equation ap- proach (9-10) fails for Γine f,i = 0. Moreover, we validate the rate equation approach for Γine f,i (cid:54)= 0, by showing that it leads to the same results as a Monte Carlo simulation. Furthermore, we neglect processes leading to depolar- ization of the nuclear bath in equilibrium,115 since these are much slower than the HF spin-flip processes during transport through the DQD in the SB regime.10,51,64 In this work, we use the high bias limit, where electrons only enter the DQD from the left lead and leave it to the right lead. Hence, all the transitions from the two- to the (cid:88) (cid:104) σ=↑,↓ (cid:105) one-electron states give the particle leakage current I as I = Γine σ,T+nT+ + Γine σ,T− nT− + (Γine σ,Tp + Γσ,Tp )nTp + Γσ,S+ nS+ + Γσ,S− nS− . (11) Experimentally, the high-bias limit and the zero energy detuning of the levels can be adjusted independently. Below, the non-linear system of rate equations (9-10) is solved in the stationary limit by analytical and numerical means leading to the leakage current I in Eq.(11). C. The transition rates Now we give the rates used in the explicit calculations. 1. The inelastic escape rates For simplicity, we take the inelastic escape rates to be equal and constant following Refs.[33 -- 36], i.e. Γine σ,T+ = Γine σ,T− = Γine σ,Tp ≡ Γine for σ =↑,↓ . (12) Since we consider the limit where the largest of the HF rates dominates over the inelastic escape rates close to the level crossings, then leaving out their energy depen- dence plays less of a role.33 -- 36 Experimentally, the co- tunneling rates can be decreased by tuning the energy levels compared to the Fermi levels of the leads,12 while the spin-orbit strength e.g. can be decreased by material choice.19 Appendix B discuss non-equal inelastic rates. ThetransitionratesconnectingtheenergylevelsoftheDQDWT+,S+WTp,T+WT−,TpWS−,T−WT−,S+WS−,T+WS+,T+WT+,TpWTp,T−WT−,S−WS+,T−WT+,S−ΓS+,σΓT+,↑ΓTp,σΓT−,↓ΓS−,σΓσ,S+Γσ,Tp∼p2Γσ,S−Γineσ,T+Γineσ,TpΓineσ,T−S+iT+iTpiT−iS−iHFtransition,Ph.emission,dP<0HFtransition,Ph.emission,dP>0HFtransition,Ph.absorption,dP<0HFtransition,Ph.absorption,dP>0TunnelinginoroutoftheDQDInelastictransitionrates and the non-zero rates for tunneling out of the DQD are = 1 2N 2. The tunneling rates The tunneling rates are found by Fermi golden rule. In general, the rates for tunneling into (out of) the DQD are proportional to (one minus) the Fermi distribution of the corresponding lead.112 Due to the high bias limit, we can leave out the Fermi functions from the explicit expressions of the tunneling rates below. The non-zero rates for tunneling into the DQD are42 ΓT+,↑ = ΓT−,↓ = ΓL, ΓL ΓTp,↑ = ΓTp,↓ = 2 ΓL ΓS+,↑ = ΓS−,↓ = 4 ΓL ΓS−,↑ = ΓS+,↓ = 4 1 (cid:16) N 2 , (cid:16) 1 − 1 + (13a) (13b) (13c) (13d) (cid:17)2 (cid:17)2 , , p N p N p2 Γσ,Tp = ΓR N 2 , Γσ,S+ = Γσ,S− = ΓR 2 1 N 2 for σ =↑,↓, (14a) (14b) i.e. the probability of leaving behind a single electron in the right dot with spin up or down are equal. The rate of leaving Tp goes to zero for p → 0 (i.e. P → 0 or AL → AR), since the triplet-singlet mixing vanishes so Tp becomes a blocking state. Here we use Γα = 2πtαk2Dα in the standard wide-band approximation,112,116 where Dα is the density of states of lead α. For the calculations to follow, it is helpful to note that they are invariant under the simultaneous interchange of ↑↔↓, T+ ↔ T− and S+ ↔ S−. (15) 3. The hyperfine-induced spin-flip rates The HF rates are found perturbatively in H sf HF us- ing the Fermi golden rule.33,34,37,42,112 The HF transition from, say, T+ to S+ implies a nuclear spin-flip from down to up, so the presence of a spin down among the nuclei is required. Thus, the rate WS+,T+ is proportional to the probability of finding a random nuclear spin to be down: WS+,T+ ∝ N↓/N , where Nσ is the number of nuclei with spin σ =↓,↑ and N = N↑ + N↓.33,34,37,42,117 Likewise the other HF rates Wf,i are proportional to either N↓ N = 1−P or N↑ 2 depending on the direction of the nuclear spin-flip in the process, see Fig. 3. N = 1+P 2 Here we allow the HF transitions to exchange energy with the environment e.g. by phonons.27,37,57,78,88,118 -- 122 Phonon emission has been shown to be significant even in low temperature transport experiments.103,119 In the transition, it is much easier to emit energy compared to absorbing energy by phonons,103,104 since the rate for ab- 7 sorbing an energy of ω is proportional to the phonon oc- cupation factor nB(ω), while the rate for emitting an en- ergy of ω is ∝ nB(ω)+1. Here nB(E) = [eE/kbT −1]−1 is the Bose function.88,103,104,118 Thus, the absorption rate is suppressed by nB(ω)/[nB(ω) + 1] = e−ω/kbT compared to the emission rate, which we show below to be crucial for the DNP bistability at zero detuning. The asymmetry between emitting and absorbing energy is not unique to phonons and can also appear from other ways of exchanging energy with a bath due to detailed balance. Therefore, the two main physical ingredients in the HF rates Wf,i are: (i) the asymmetry between absorbing and emitting energy and, (ii) including the number of the relevant nuclei spin species needed for the transition. A detailed derivation of the rates used here is given in Ref. 42. The non-zero HF rates between the triplets are WTp,T+ = WT−,Tp WT+,Tp = WTp,T− = 1 2N (cid:20) 1 − P (cid:20) 1 + P 2 (cid:21)(cid:34)√2A+ (cid:21)(cid:34)√2A+ N (cid:35)2 (cid:35)2 2 N (16a) Fph(ET+ − ETp ), (16b) Fph(ETp − ET+), where the phonon part of the rate Fph(Ei − Ef ) only depends on the difference between the initial and final energies.101 Note that ETp − ET− = ET+ − ETp follows from Eq.(8). The non-zero singlet-triplet HF rates are (17a) Fph(ET+ − ES+), (17b) Fph(ES+ − ET+), (17c) A− − A− − WS+,T+ = WT−,S− WT+,S+ = WS−,T− (cid:21)(cid:20) (cid:21)(cid:20) (cid:21)(cid:20) (cid:21)(cid:20) 2 (cid:20) 1 − P (cid:20) 1 + P (cid:20) 1 − P (cid:20) 1 + P 2 2 2 = 1 2N = 1 2N = 1 2N = 1 2N WS−,T+ = WT−,S+ WT+,S− = WS+,T− (cid:21)2 (cid:21)2 (cid:21)2 (cid:21)2 pA+ N pA+ N pA+ N pA+ N A− + Fph(ET+ − ES− ), (17d) A− + Fph(ES− − ET+), where ET+−ES− , so the difference between initial and final energies is the same for e.g. WS−,T+ and WT−,S+. In the explicit calculations, we use the function = ES+−ET− Fph(Ei − Ef ) = γph γ2 ph + (Ei − Ef )2 c(Ei − Ef ) (18) to account for the phonon emission/absorption. Here γph is a characteristic phonon energy scale (e.g.103 γph ∼ µeV) and c(Ei − Ef ) = θ(Ei − Ef ) + θ(Ef − Ei)e−(Ef−Ei)/kbT is the crucial factor that exponentially suppresses absorbing compared to emitting energy. Here θ(E) is the unit step function. For simplicity, we disre- gard many details of the phonon description and only in- clude two important aspects: (i) the asymmetry between absorbing and emitting energy and (ii) the further apart the energy levels are, the less probable a transition is -- included phenomenologically in the Lorentzian.37,38,42 Moreover, this form includes the limit of HF spin-flips without energy exchange. To get a more detailed phonon description in the rates, both H sf HF and the electron- phonon interaction could be included as perturbations in a T -matrix approach,112 which gives a description de- pending on more parameters e.g. the material.57,88,118 An important difference between the triplet-triplet and singlet-triplet rates, is that the triplet-triplet rates (16) are ∝ A2 +, whereas the singlet-triplet rates (17) have a common prefactor of A2 − (remembering that p ∝ A−). Thus, the strength of the two kinds of rates near their respective crossings are very different, and the singlet- triplet rates are sensitive to the difference in the Over- hauser field between the dots -- in contrast to the triplet- triplet rates. However, if AR = AL, then all three triplets block transport, since p = 0 so Γσ,Tp = 0, see Eq.(14a). Finally, we observe that the HF rates Eqs.(16-17) are invariant under the interchange of ↑↔↓, T+ ↔ T−, S+ ↔ S− and initial ↔ final. (19) 8 This is not the same as (15) for the tunneling rates, since here the final and initial states are also interchanged. III. THE CROSSING OF THE TRIPLETS Next, we analyze the DNP and leakage current close to the crossing of the three triplet levels near B = 0. Since Tp Eq.(6b) is not a pure triplet state, it allows for leakage current. As we shall see below, analytical insights -- such as the transition temperature -- can be achieved from the rate equations (9-10) in this case. A. The implicit equation for the nuclear polarization and the simplified rate equations Now we derive an implicit equation for the DNP from a simplified system of rate equations -- valid close to the crossing of the triplet levels. We consider the limit of large energy separation between the triplet and sin- glet levels compared to γph. This can be obtained by large inter-dot tunneling t (cid:29) γph and sweeping the mag- netic field close to zero. In this limit, we can neglect the singlet-triplet HF rates (17) compared to the triplet- triplet HF rates (16), so the system of rate equations (A1) for equal inelastic escape rates Γine Eq.(12) simplifies to = WT−,Tp nTp + ΓT−,↓n↓ − [WTp,T− nT+ = WT+,Tp nTp + ΓT+,↑n↑ − [WTp,T+ + 2Γine]nT+, + 2Γine]nT− , nT− nTp = WTp,T+nT+ + WTp,T− nS+ = ΓS+,↑n↑ + ΓS+,↓n↓ − = ΓS−,↑n↑ + ΓS−,↓n↓ − nS− nS− n↑ = Γ↑,S+nS+ + Γ↑,S− n↓ = Γ↓,S+nS+ + Γ↓,S− nS− (cid:2)Γ↑,S+ + Γ↓,S+ (cid:2)Γ↑,S− (cid:3)nS+, (cid:3)nS− + Γ↓,S− nT− + ΓTp,↑n↑ + ΓTp,↓n↓ − , + (Γ↑,Tp + Γine)nTp + Γine(nT+ + nT− + (Γ↓,Tp + Γine)nTp + Γine(nT− (cid:2)WT+,Tp + WT−,Tp + Γ↑,Tp + Γ↓,Tp + 2Γine(cid:3)nTp , (cid:3)n↑, (cid:2)ΓS+,↑ + ΓS−,↑ + ΓTp,↑ + ΓT+,↑ (cid:2)ΓS+,↓ + ΓS−,↓ + ΓTp,↓ + ΓT−,↓ (cid:3)n↓, ) − + nT+) − (20a) (20b) (20c) (20d) (20e) (20f) (20g) as illustrated in Fig. 4. Similarly, Eq.(10) simplifies to (cid:104) P = 2 N (WT−,Tp−WT+,Tp )nTp + WTp,T+nT+ − WTp,T− (cid:105) . nT− (21) In this approximation, the rate equations for the triplet and singlet occupations only couple indirectly through the one-electron occupations. Moreover, we avoid very low temperatures, where the approximation could fail.123 To find the stationary DNP, we solve the system of P = 0 and nν = 0 for all ν. rate equations (20-21), i.e. To this end, we subtract n↓ Eq.(20g) from n↑ Eq.(20f) and use the symmetries under interchange of indices for the tunneling rates (see Eqs.(13-15)), i.e. (ΓT+,↑ + ΓS+,↓ + ΓS+,↑ + ΓTp,σ)(n↓ − n↑) = 0, so n↑ − n↓ = n↓ = n↑ (22) in the stationary limit. Using the symmetries for the tunneling rates and the HF rates, the relation (22) leads to nT+ − nT− P = 2Γine(nT− − nT+) = 0, i.e. + N 2 nT+ = nT− (23) (cid:0)WTp,T+ − WT+,Tp (cid:1)(cid:0)nTp in the stationary limit. Hence, Eq.(21) becomes 2 N + nT+ (cid:1) = 0 using that P = WTp,T− = WT+,Tp and WT−,Tp = WTp,T+, see Eq.(16). 9 FIG. 5. (Color online) The DNP P versus temperature in units of the average hyperfine coupling, kbT /A+, at zero (main figure) and finite magnetic field gµBB/A+ = 0.01 (in- set). Both figures are obtained by solving numerically the implicit equation (26). For B = 0 and T < Tc,tt, the DNP has two stable solutions (full black lines) and one unstable so- lution (dashed blue line). Above Tc,tt, only one stable DNP exist. The inset shows similar behavior for B (cid:54)= 0, but here the multiple DNP solutions appear at a lower temperature. ergy is modelled as being equally likely in these works, see Sec. I A. By inserting the energies Eq.(8) into Eq.(25), we end up with the following implicit equation for P , (cid:19) (cid:18) gµBB + 1 2 A+P 2kbT P = tanh . (26) From this equation, it is not possible to obtain a closed analytical expression for P . Remarkably, it shows that P near the crossing of the triplet levels only depends on the Zeeman splitting gµBB/A+ and the temperature kbT /A+ -- both measured in units of A+ = (AL +AR)/2. The form of the implicit equation (26) resembles the one found by using mean-field theory to a Heisenberg spin model, which describes an equilibrium ferromagnetic phase transition driven by temperature.112 In contrast, here the polarization is dynamically induced by the leak- age current, i.e. a non-equilibrium situation. The DNP versus T and B is easily found numerically from Eq.(26), see Fig. 5. For B = 0, the DNP has a bi- furcation at a certain transition temperature Tc,tt, where the system goes from one stable DNP for T > Tc,tt to two stable DNPs and an unstable one for T < Tc,tt. The stability of the DNP is found by numerical iteration of the set of differential equations.125 The transition tem- perature for B = 0 is readily found from Eq.(26) to be126 kbTc,tt = 1 4 A+. (27) This is a remarkably simple and insightful result. It shows that the transition temperature Tc,tt is given only by the average HF constants A+ = (AL + AR)/2. For T < Tc,tt, the DNP can have two stable values and therefore so can the current. Hence, the current shows FIG. 4. (Color online) Illustration of the processes in the rate equations (20) relevant for large energy separation be- tween singlets and triplets and close to the crossing of the three triplets. In this case, only HF rates between the triplets Eq.(16b) (vertical yellow are effective: WT+,Tp = WTp,T− dashed arrows) polarizing the nuclei negatively (dP < 0) and WTp,T+ = WT−,Tp Eq.(16a) (vertical green full arrows) polar- izing the nuclei positively (dP > 0). The tunneling in and out of the DQD Γf,i (blue arrows) and the inelastic escape rates Γine (red arrows) are the same as in Fig. 3. In the present case, we show that the stationary DNP is determined by the condition that all triplet-triplet rates are equal, see Eq.(24). Thus, since the occupations are positive, we arrive at WTp,T+ = WT+,Tp , (24) which is the implicit equation for the steady state DNP P -- remembering that both rates depend on P ex- plicitly and through the Overhauser split energy levels ET± , see Eq.(16). Equivalently, this can be written as using Eq.(16). Physically, the relation WTp,T− (24) means that the DNP stabilizes at a value such that the phonon emission and absorption transitions between the two levels Tp and T+ (T−) become equally probable. Inserting Eq.(16), the relation (24) can be rewritten as = WT−,Tp 1 + P 1 − P = Fph(ET+ − ETp ) Fph(ETp − ET+) . (25) This shows that the DNP is insensitive to the part of Fph, which is even in energy, and only depends on the differ- ence between emitting and absorbing energy. In other words, the even-energy part of the function Fph cancels out on the right-hand side of Eq.(25) and we are left with the ratio between absorbing and emitting energy in the transition. Hence, the DNP is largely independent of the way the phonons are modelled in the HF rates, as long as the important asymmetry between emitting and absorb- ing energy is included.124 We observe a crucial difference to previous works,33 -- 36 where non-zero DNP is induced only at finite detuning, since emitting and absorbing en- ImportantratesclosetothecrossingofthetripletsWTp,T+WT−,TpWT+,TpWTp,T−ΓS+,σΓT+,↑ΓTp,σΓT−,↓ΓS−,σΓσ,S+Γσ,Tp∼p2Γσ,S−ΓineΓineΓineS+iT+iTpiT−iS−i0.180.200.220.240.260.280.300.32-1.0-0.50.00.51.0NuclearPolarizationversusTemperature0.180.200.220.240.260.280.300.32-1.0-0.50.00.51.0TransitiontemperatureTc,ttkbT/A+PB=0gµBBA+=0.01 10 First, we find the stationary occupations using n↓ = n↑ Eq.(23) and the implicit equation Eq.(22), nT+ = nT− (24) for P . Now, subtracting nS+(20d) and nS− (20e) using n↑ = n↓ and the index invariances of Γf,i Eqs.(13- 15), we find nS+ − nS− = 2Γ↑,S+(nS− − nS+) = 0, so nS− = nS+ (28) in the steady state. This simplifies the sum of nS+ (20d) and nS− (20e), nS+ + nS− = 0, and leads to nS+ = ΓS+,↓ + ΓS+,↑ 2Γ↑,S+ n↑ (29) tions into the normalization condition(cid:80) by again using n↑= n↓ and the index exchange symme- tries of Γf,i Eqs.(13-15). Inserting these occupation rela- ν nν=1, we get n↑ = Γ↑,S+ Υ [1 − nTp − 2nT+], (30) where Υ ≡ 2Γ↑,S+ +ΓS+,↓ +ΓS+,↑. By inserting Eqs.(22), (23), (24), (28), (29) and (30) into nTp = 0 (20c) and nT+ + nT− = 0 (20a-20b), we obtain two coupled equa- tions for the occupations nTp and nT+ with the solution (cid:2)2ΓineΓTp,↑ + WT+,Tp (ΓT+,↑+ΓTp,↑)(cid:3) (cid:104) Λ ΓT+,↑(Γine+Γ↑,Tp ) + WT+,Tp (ΓT+,↑+ΓTp,↑) (31b) , , (31a) (cid:105) Λ ≡Γ↑,S+ 2ΓT+,↑Γ↑,Tp + (2Γine+3WT+,Tp )(ΓT+,↑+ΓTp,↑) . (31c) 2Γine(Γine+Γ↑,Tp ) + (3Γine+Γ↑,Tp )WT+,Tp +Υ The explicit expressions for n↑ = n↓ can easily be found by inserting Eq.(31) into Eq.(30). This in turn leads to the expression for nS+ = nS− via Eq.(29). Thus, we now have all the stationary occupations close to the crossing of the triplets in terms of the rates. The leakage current in the high bias limit is now ob- tained by inserting the occupations into Eq.(11), i.e. 2Γ↑,S+ I = (cid:104) (ΓT+,↑ + ΓS+,↓ + ΓS+,↑ + ΓTp,↑) ζ 2Γine(Γine + Γ↑,Tp ) + WT+,Tp (3Γine + Γ↑,Tp ) (32a) (cid:105) FIG. 6. (Color online) The regions in parameter space close to the crossing of the triplets with one (white region) or three (blue region) DNP solutions, respectively. These regions are found numerically from Eq.(26). In the blue region, only two out of the three DNP solutions are stable against small fluctu- ations. From Eq.(26), it is evident that the DNP only depend on gµBB/A+ (vertical axis) and T /Tc,tt (horizontal axis), where Tc,tt = A+/(4kb). The DNP versus B-field for fixed T in Fig. 7(a,d,g), corresponds to vertical sweeps in this figure. hysteresis for T < Tc,tt, which disappears for T ≥ Tc,tt. For B (cid:54)= 0, the DNP also has multiple solutions below a certain temperature, which is generally lower than the transition temperature Tc,tt Eq.(27) for B = 0, see the inset of Fig. 5. Figure 6 shows the number of DNP solu- tions for a specific value of gµBB and kbT . From Fig. 6, it is evident that by sweeping the external magnetic field, the region of multiple solutions of DNP -- and therefore also current hysteresis -- becomes broader the lower the temperature. Especially, multiple solutions appear only for T < Tc,tt, which underlines the importance of Tc,tt in connection to the current hysteresis. The leakage current and its hysteresis is treated in greater detail in Sec. III B. For B = 0 and T close to Tc,tt, we can expand the right-hand side of Eq.(26) in P (cid:28) 1, which gives that the DNP vanishes as P ∝ ±[(Tc,tt − T )/Tc,tt]1/2 for 0 ≤ (Tc,tt − T )/Tc,tt (cid:28) 1. This is typical behavior for mean- field theories112 as the one used here. Above equal inelastic escape rates are used. If we in- stead use non-equal inelastic rates following the symme- tries (15) under interchange of indices, then the implicit equation (24) for the DNP and the transition tempera- ture (27) remain unchanged, see Appendix B. However, the polarization condition (24) and/or transition temper- ature might change, if the inelastic escape rates follow e.g. other symmetries under index exchange. nTp = nT+ = Γ↑,S+ Γ↑,S+ Λ where (cid:104) (cid:104) (cid:105) (cid:105) B. The leakage current and the occupations close to the crossing of the triplets × 1. Analytical stationary occupation and current expressions in terms of the rates Next, we find the leakage current close to the crossing of the triplets using the simplified rate equations (20-21). ζ ≡ (Γine + Γ↑,Tp )(2ΓT+,↑Γ↑,S+ + 2ΓineΥ) + 2ΓineΓ↑,S+ΓTp,↑ +WT+,Tp (cid:104) 3ΓT+,↑Γ↑,S++3Γ↑,S+ΓTp,↑+Υ(3Γine+Γ↑,Tp ) . (32b) (cid:105) where we introduced 0.00.20.40.60.81.01.2-0.50.00.5RegionwithonepolarizationsolutionRegionwiththreepolarizationsolutionsT/Tc,ttgµBBA+ We emphasize that in the derivation of the occupations and the leakage current, we have only used the invariance of the rates under exchange of indices (see Secs. II C 2 and II C 3) and not the explicit expressions for the rates. Thus, the above expressions are indeed rather general. Furthermore, equal inelastic escape rates Γine from the three triplets were used here. If we instead only assume that the inelastic rates follow the same symmetries un- der index exchange as Γf,i in Eq.(15), then the current expressions above only change slightly, see Appendix B. Next, we focus on the case of the explicit tunneling rates in Eqs.(13-14), so the leakage current (32) becomes (cid:110) +(cid:2)2γine(γine + γRL) + (3γine + γRL)w(cid:3)p2(cid:111) γine(2γine + 3w) (33a) I ΓL = 8γRL ξ which is overall proportional to ΓL. Here we introduced γRL ≡ ΓR ΓL , γine ≡ Γine ΓL , w ≡ WT+,Tp ΓL and ξ ≡(2γine + 3w)(cid:2)3γRL + 2γine(1 + 2γRL)(cid:3) + 2 4γineγRL(1 + γRL) + γ2 ine(6 + 4γRL) , (33b) (cid:105) p2 (cid:105) (cid:104) (cid:104) + 3γine(3 + 2γRL)w + 2γRL(γRLw + γRL + 2w) + 4 2γine(γine + γRL) + (3γine + γRL)w p4. (33c) Thus, the current is expressed in terms of the dimen- sionless triplet-singlet mixing parameter p in Eq.(7) and the three rates, γRL, γine and w -- all measured in units of the basic tunneling rate ΓL. The asymmetry between the coupling of the DQD to the left and right lead is de- scribed by γRL, where γRL = 1 for the symmetric case. Hence, γRL is on the order of unity. In contrast, both the dimensionless HF triplet-triplet rate127 w and the dimen- sionless inelastic escape rate γine are much smaller than unity: γine, w (cid:28) γRL ∼ 1. Moreover, here we focus on the limit of the inelastic escape rate being much smaller than the HF rate close to the crossing of the triplets. We note that without singlet-triplet mixing, p = 0 (i.e. P = 0 or AL = AR), the current (33) reduces to I(p = 0) ΓL = 8γRLγine 3γRL + 2γine(1 + 2γRL) , (34) which is independent of the HF rate w. Physically, this can be understood in the following way. For p = 0, the escape channel from Tp due to singlet-triplet mixing dis- appears. Thus, for p = 0 only the inelastic escape channel Γine contributes to the current through the three triplet states (remembering that the S± singlet levels are far away in energy). Since we use equal inelastic escape rates Γine from the three triplet states here, then the current 11 does not depend on from which triplet state the electron tunnels out. Thus, the current has to be independent of the HF transitions between the three triplets for p = 0 as found in Eq.(34). In contrast, if the inelastic escape rates from the three triplets are not equal, then the cur- rent can indeed depend on the HF rate even for p = 0. An example of this, is given in Eq.(B4) in Appendix B. We stress that the rates still depend on the DNP, which in general is not analytically known in terms of the exter- nal parameters. Thus, the occupations (31) and current expressions (32-33) are also not explicit functions of the external parameters. To obtain explicit expression versus external parameters, the DNP needs to be found from the implicit DNP equation (26). This will be done below. 2. The leakage current versus magnetic field: Emergence of hysteresis below the transition temperature Next, we analyze the leakage current versus B-field as shown in Fig. 7 for T < Tc,tt, T = Tc,tt and T > Tc,tt. To this end, the DNP P is found numerically from the implicit equation (26) [Fig. 7(a,d,g)] and then inserted into the current (33) [Fig. 7(b,e,h)]. Hence, if multiple stable DNP solutions exist, then there will also be mul- tiple possible stable values of the current. The actually stationary leakage current and DNP in a concrete situa- tion therefore depend on the initial value in time of the DNP as in other non-linear dynamical systems.125 The hysteresis in the current comes about in the fol- lowing way: Consider T < Tc,tt and the magnetic field tuned so high that there is only a single DNP solution, e.g. gµBB = 7µeV in Fig. 7(a). By decreasing gµBB one will enter the region of multiple possible DNPs [at gµBB ∼ 2.34µeV in Fig. 7(a)]. Since the DNP is a sta- ble solution against small fluctuations, the system will remain on the upper stable branch (P > 0) until the crit- ical B-field, where the upper branch cease to exist [about gµBB ∼ −2.34µeV in Fig. 7(a)]. At this critical field, the system has to go to the lower stable DNP branch (with P < 0). Thus, the DNP change discontinuously versus B. This in turn leads to a jump in the current (as seen in the blue full curve on Fig. 7(b) for sweeping the field backwards from a high value). For B-fields lower than the critical one, the DNP is single valued again and so is the current. Now, if at this point the field is increased be- yond the critical field [of gµBB ∼ −2.34µeV in Fig. 7(a)] one will follow the lower stable DNP branch with P < 0, leading to the dashed red curve in Fig. 7(b). This sweep direction also leads to a sharp jump once the lower sta- ble DNP branch cease to exist [at gµBB ∼ +2.34µeV]. Thus, the hysteretic behavior of the leakage current for T < Tc,tt is now evident. The discontinuity versus gµBB for T < Tc,tt is also seen in the energy levels Fig. 7(c), where only one sweeping direction is shown for clarity. We observe that the width of the hysteresis loop in- creases with decreasing T , since this width is given by the vertical distance between the two (full) lines in Fig. 6. 12 FIG. 7. (Color online) The DNP P , the leakage current I/ΓL (33) and the energy levels versus external magnetic field gµBB (in energy units) close to the crossing of the triplet states T+, T− and Tp for temperatures T = 0.8Tc,tt < Tc,tt (a-c), T = Tc,tt (d-f) and T = 1.2Tc,tt > Tc,tt (g-i). It is clearly seen that a hysteretic current appears only below the transition temperature T < Tc,tt. The reason is that for T < Tc,tt, a magnetic field region with two stable DNPs (black full lines) exists as seen in Fig. (a). In this region, an unstable solution to the DNP (blue dashed line) also shows up. In contrast, for T ≥ Tc,tt only a single stable DNP is found in Figs. (d) and (g) [see also Fig. 6]. For clarity, only the backward sweeping of the magnetic field (from a gµBB higher than 2.34µeV) is seen for the energy levels on Fig. (c). Furthermore, note that the vertical scale in (b) does not include zero, in contrast to (e) and (h). The inset in Fig. (e) for T = Tc,tt shows the sharp current dip at very low magnetic fields. The parameters used here are: AL = 80µeV, AR = 70µeV, t = 100µeV, γph = 1µeV, ΓL = ΓR (i.e. γRL = 1) and128 ΓLN = 107µeV. Moreover, we choose the dimensionless inelastic escape rate to be γine = Γine/ΓL = 10−6, such that it is much smaller than the HF rate w Eq.(33b) in the regions close to the crossing of the triplets (see Fig. 8). Identifying that the transition temperature Tc,tt sim- ply is given by the average HF constants (27), is an im- portant result of this paper. Experimentally, the HF con- stants are of order19,51 100µeV, so Tc,tt is on the order of 0.3K, which is within range of modern experiments. To test the results of the simplified model without HF triplet-singlet rates presented in Fig. 7, we have numer- ically iterated the full set of rate equations (A1,10) in- cluding all rates. For the parameters of Fig. 7 -- where the ES± levels are far way from the triplet levels -- the two calculations give the same results (not shown in the figure), i.e. the presented simplified model works well. Now we give a better understanding of the form of the current versus B-field. In this work, we focus on the limit where the HF rates dominate the inelastic escape rate close to the level crossings as in Refs.[33 -- 36]. Never- theless, the inelastic escape rate plays an important role for the current in the following. First, we analyze in de- tail current versus gµBB for T ≥ Tc,tt, where the DNP is single valued and therefore no current hysteresis is found [Fig. 7(e,h)]. The DNP goes continuously through P = 0 at gµBB = 0. As discussed above (see Eq.(34)), the singlet-triplet mixing disappears at P = 0, which in turn closes the escape path from Tp as Γσ,Tp ∼ P 2 for P (cid:28) 1, see Eq.(14a). Thus, the current decreases for B → 0 and T ≥ Tc,tt to a value only given by the inelastic escape rate -- even though it is weak. For γRL = 1 Eq.(34) gives I(B = 0, T ≥ Tc,tt) ΓL = 8γine 3 + 6γine , (35) which agrees perfectly with the value of ∼ 2.7 × 10−6 found in Fig. 7(e,h) for B = 0. The slope of P at gµBB = 0 increases rapidly when approaching T = Tc,tt from temperatures above Tc,tt, see Fig. 7(d,g). Hence, the dip in the current at gµBB = 0 becomes increasingly sharper -505-1.0-0.50.00.51.0-505-1.0-0.50.00.51.0-505-1.0-0.50.00.51.0-5053.5´10-64.´10-64.5´10-65.´10-65.5´10-6-50501.´10-62.´10-63.´10-64.´10-65.´10-66.´10-67.´10-6-50501.´10-62.´10-63.´10-64.´10-65.´10-66.´10-67.´10-6-505-150-100-50050100150-505-150-100-50050100150-505-150-100-50050100150T<Tc,ttT=Tc,ttT>Tc,ttgµBB[µeV]gµBB[µeV]gµBB[µeV]gµBB[µeV]gµBB[µeV]gµBB[µeV]gµBB[µeV]gµBB[µeV]gµBB[µeV]PolarizationPPolarizationPPolarizationPCurrentI/ΓLCurrentI/ΓLCurrentI/ΓLEnergylevels[µeV]Energylevels[µeV]Energylevels[µeV]-0.4-0.20.00.20.401.´10-62.´10-63.´10-64.´10-65.´10-66.´10-67.´10-6BackwardsweepingForwardsweepingBackwardsweepingUnstablesolutionZoomonB=0regionES+ET+ETpET−ES−ES+ET+ETpET−ES−ES+ET+ETpET−ES−(a)(b)(c)(d)(e)(f)(g)(h)(i) 13 FIG. 9. (Color online) The occupations of the triplets nT+ = (red full line) and nTp (purple dotted line) Eq.(31) ver- nT− sus magnetic field gµBB for T = 1.2Tc,tt > Tc,tt. Inset: The (brown full line) and occupations of the singlets nS+ = nS− the one-particle states n↑ = n↓ (gray dashed line). In the SB regime, the triplets are the bottleneck of the transport, so the system spends a long time in these states, leading to high occupations. In contrast, the singlet and one-electron states have orders of magnitude lower occupations. Furthermore, we remark that at the leakage current side-peak positions gµBB (cid:39) ±1.4µeV in Fig. 7(h), the occupations nT+ = nT− dominate nTp . The parameters are the same as in Fig. 7(g-i). crossing) now only consist of T±. For T ≥ Tc,tt, we only get P (cid:54)= 0 for B (cid:54)= 0. Moreover, note that nTp becomes far from the level crossing for negligible compared to nT± T ≥ Tc,tt (see Fig. 9). The point is that in the region close to the level crossing, the HF triplet-triplet transi- tions leads to an escape path from T± via Tp. Since the HF rate w is much larger than γine close to the crossing, this escape route is so effective that it creates the side- peaks of the current at finite gµBB seen in Fig. 7(e,h). These current side-peaks are therefore sensitive to the value of the inelastic rate: if γine is increased by a factor of 5 or more, then the side-peaks in Fig. 7(h) disappear. In contrast, if γine is decreased the side-peaks remain. Fig. 9 shows that near the current side-peaks [with max- ima at gµBB (cid:39) ±1.4µeV in Fig. 7(h)], the occupations nT± Finally, we point out that the form of the current for T < Tc,tt can be understood by using the above consid- erations, but taking into account that the DNP jumps between rather high values [e.g. P ∼ 0.45 to P ∼ −0.8 at gµBB (cid:39) 2.34µeV in Fig. 7(a)]. Therefore, the rich region around P = 0 is simply skipped. [Note also the vertical scale change in Fig. 7(b) compared to (e) and (h).] are much larger than nTp . Therefore, we have now obtained an understanding of the leakage current versus magnetic field close to the crossing of the triplet levels under the assumption that the singlet levels ES± are far away in energy. 3. The current in the high temperature and low B-field limit Next, we show that the leakage current can be given analytically in terms of the external parameters for low magnetic fields and T > Tc,tt. if Specifically, FIG. 8. (Color online) The dimensionless HF rate w (black full line) and inelastic escape rate γine = Γine/ΓL (gray dashed line) versus magnetic field gµBB for T = 1.2Tc,tt. The inset shows that γine (cid:38) w for gµBB (cid:38) 2.7µeV. In contrast, the HF rate w dominates by orders of magnitude over γine around B = 0. The parameters are the same as in Fig. 7(g-i). for T approaching Tc,tt, see Fig. 7(e,h) and the inset. The HF rates w goes to zero as the triplet energies move apart, i.e. w → 0 for increasing gµBB, see Fig. 8. Thus, the inelastic escape rate γine will eventually be- come larger than w, since γine is constant. For the values used in Fig. 7(g-i), we have γine > w for gµBB (cid:38) 2.7µeV as shown in the inset of Fig. 8. Thus, once the triplet levels move further apart, the triplet-triplet rate w goes to zero and can be neglected in the current (33). That Iw→0 ΓL = merical example of Fig. 7(g-i). For γRL = 1, we find129 (cid:2)γine + (1 + γine)p2(cid:3) corresponds to gµBB (cid:38) 2.7µeV for T > Tc,tt in the nu- 2p2 + γine(3 + 2p2)(cid:2)1 + 2γine + 2(1 + γine)p2(cid:3) Here γine (cid:28) p for P (cid:38) 0.1 for A− and t similar to those used in Fig. 7. In Figs. 7(b,e,h), we see that the current levels off to a constant value of ∼ 4× 10−6 far away from the level crossing, which is in perfect agreement with the prediction (36). Therefore, we have found that = 4γine + O[(γine)2]. 8γine (36) I(B = 0, T ≥ Tc,tt) Iw→0 2 3 (cid:39) for γine (cid:28) 1, (37) so the value at the crossing for B = 0 (for T ≥ Tc,tt) is generically lower than the value that the current levels off to asymptotically [Fig. 7(e,h)]. Now we have shown that the current value both at the crossing and far away form the crossing of the triplets is determined by the inelastic escape rate. Albeit the HFI of course is essential in having a DNP in the first place. Next, we discuss how the HF triplet-triplet tran- sitions can increase the leakage current close to -- but not exactly at -- the level crossing. At the crossing (B = 0 for T ≥ Tc,tt), all three triplet states form the bottle- neck for transport through the DQD. For P (cid:54)= 0, the triplet-singlet mixing leads to the additional escape from Tp such that the transport bottleneck (far away from the -551‰10-42‰10-4HyperfineandInelasticratesforT>Tc,tt-50502.´10-64.´10-66.´10-68.´10-6gµBB[µeV]ZoomonratecrossinggµBB[µeV]wγinewγine-550.10.20.30.40.5OccupationsforT>Tc,tt-50505.´10-71.´10-61.5´10-6gµBB[µeV]nT+=nT−nTpnS+=nS−n↑=n↓gµBB[µeV] 2gµBB/A+ + P (cid:28) T /Tc,tt then the hyperbolic tangent in the implicit DNP equation (26) can be expanded, so P (cid:39) 1 2 gµBB/kb T − Tc,tt . (38) This is similar to a Curie-Weiss law for a ferromag- net in the paramagnetic region and the fact that P ∝ (T − Tc,tt)−1 is typical for the mean-field approach used here.130 Expanding the current (33) in P and inserting Eq.(38), the current for low B-fields explicitly becomes: 14 I(T > Tc,tt) 8γRLγine (cid:20) (39) (cid:21)2 . gµBB kb[T − Tc,tt] (cid:39) ΓL + A2 − 4t2 γRL(γ2 3γRL + 2γine(1 + 2γRL) RL + γineγRL − 4γ2 ine) (cid:2)3γRL + γine(2 + 4γRL)(cid:3)2 This describes the current dip close to B = 0 seen in Fig. 7(h). It shows explicitly that the current increases by changing slightly B away from B = 0, since γine < (1 + √17)γRL/8 for reasonable parameters. We observe that the HF rate w only appears beyond the second order term, however, already this term contains A− = (AL − AR)/2. The lowest order term for B = 0 coincides with Eq.(34) for no triplet-singlet mixing as expected. IV. THE SINGLET-TRIPLET CROSSING In this section, we analyse the DNP and leakage cur- rent close to the crossing of the singlet levels ES± and the pure triplet levels ET± at finite magnetic field. Since we consider zero detuning, the crossing of the levels always happens in pairs, e.g. ET+ and ES+ cross at the same B- field as ET− do. Interestingly, here we find that the transition temperature Tc,st for the singlet-triplet level crossing is enhanced compared to Tc,tt Eq.(27). and ES− A. A simplified model for the singlet-triplet crossing and its implicit polarization equation Next, we develop a simplified set of rate equations valid ) for pos- close to the crossing of ET+ (ET− itive B-field splitting, gµBB > 0. From these equations, we derive an implicit equation for the DNP. The level crossings for gµBB < 0 follow along similar lines.131 ) and ES+ (ES− As a first approach, one might intend to follow the same strategy as in Sec. III A for the crossing of the triplets: Keep only the HF rates between the levels, which are close in energy. Hence, we keep only the HF terms in Eqs.(A1,10) involving WT±,S± in the present case. Such a simplification leads to WS+,T+ = WT+,S+ as an implicit equation for the DNP -- much like in the case of the crossing of the triplets Eq.(24). This leads to the same transition temperature as Tc,tt Eq.(27) to a very good approximation. However, for the singlet- and WS±,T± FIG. 10. (Color online) The transitions included in the sim- plified rate equations (40-41) tailored to described the DNP and leakage current close to the singlet-triplet crossing for gµBB > 0. The HF transitions S± → T± are neglected, since they are much less probable than simply tunneling out of the singlets. Furthermore, the triplet-triplet transitions are included, since these can play a role even though the levels have a large energy separation (see the main text for further discussion). The HF phonon emission (absorption) processes are shown as full (dotted) vertical arrows. The processes in- dicated by green (yellow) arrows change the DNP positively (negatively). The inelastic escape rates Γine (red arrows) and the tunneling rates Γf,i (blue arrows) are the same as in Fig. 3. triplet level crossing, this approach is actually not a good approximation. Explicitly, we find that this approach does not reproduce the DNP found by a numerical itera- tion of the rate equations (A1,10) including all rates (for an inter-dot coupling t about two orders of magnitude larger than γph).132 The approach fails for the following reasons: Firstly, the occupations nS± are much smaller than the occupations nT± and nTp , since escape from the singlets are much easier than from the triplets in the SB regime. Secondly, the triplet-triplet and the singlet- triplet HF rates have the overall prefactors of A2 + and A2 −, respectively, such that the triplet-triplet rate is enhanced compared to the singlet-triplet rate (for comparable en- ergy level splitting). Due to these two facts, the triplet- triplet HF terms can still be comparable in magnitude to the singlet-triplet terms in the rate equations (A1,10) -- even though ET± − ETp (cid:29) γph close to the singlet- triplet crossing. In other words, we cannot neglect terms like WTp,T+nT+ compared to terms like WS+,T+nT+. Here, we have to adopt a different approach of simpli- fying the rate equations from the one used for the cross- ing of the triplets in Sec. III A. This is done in order to describe the regime of large singlet-triplet energy split- ting ES± − ETp compared to γph, however, not so large that the triplet-triplet rates cannot still play a role. Our approach is to neglect two kinds of terms in the rate equa- tions (A1,10). (i) The HF singlet-triplet terms between T+ (T−) and S− (S+) can safely be neglected, because of Importantprocessesclosetothesinglet-tripletcrossingWTp,T+(dP>0)WT−,Tp(dP>0)WS−,T−(dP<0)WS+,T+(dP>0)WT+,Tp(dP<0)WTp,T−(dP<0)ΓS+,σΓT+,↑ΓTp,σΓT−,↓ΓS−,σΓσ,S+Γσ,Tp∼p2Γσ,S−ΓineΓineΓineS+iT+iTpiT−iS−i large energy separation (for gµBB > 0) combined with an overall prefactor of A2 − in the rate. Thus, we ne- , where glect terms of the form WT¯ν ,Sν ν = ± and ¯ν = −ν. (ii) Due to the SB regime, nSν(cid:28) nTν(cid:48) and WS¯ν ,Tν nSν nTν 15 for ν(cid:48), ν = ±, so we neglect the terms WT±,S± . We thereby neglect HF transitions from S± to T±, since tun- neling out from S± are much more probable processes. Using equal inelastic escape rates (12), these two simplifi- cations lead to the following rate equations for gµBB > 0 nS± nT+ = WT+,Tp nTp + ΓT+,↑n↑ − = WT−,Tp nTp + ΓT−,↓n↓ − nT− nTp = WTp,T+nT+ + WTp,T− nT− nS+ = WS+,T+nT+ + ΓS+,↑n↑ + ΓS+,↓n↓ − + ΓS−,↑n↑ + ΓS−,↓n↓ − nS− n↑ = Γ↑,S+nS+ + Γ↑,S− n↓ = Γ↓,S+nS+ + Γ↓,S− (cid:2)WS+,T+ + WTp,T+ + 2Γine(cid:3)nT+, + 2Γine(cid:3)nT− (cid:2)WS−,T− (cid:2)WT+,Tp + WT−,Tp + Γ↑,Tp + Γ↓,Tp + 2Γine(cid:3)nTp , (cid:3)nS+, (cid:3)nS− (cid:3)n↑, (cid:2)ΓS+,↑ + ΓS−,↑ + ΓTp,↑ + ΓT+,↑ (cid:2)ΓS+,↓ + ΓS−,↓ + ΓTp,↓ + ΓT−,↓ (cid:3)n↓. + (Γ↑,Tp + Γine)nTp + Γine(nT+ + nT− + (Γ↓,Tp + Γine)nTp + Γine(nT− (cid:2)Γ↑,S+ + Γ↓,S+ (cid:2)Γ↑,S− + ΓTp,↑n↑ + ΓTp,↓n↓ − ) − + nT+) − = WS−,T− + WTp,T− + Γ↓,S− nS− nS− nT− , , (40a) (40b) (40c) (40d) (40e) (40f) (40g) (cid:104) Likewise, Eq. (10) for the DNP simplifies to P = (WT−,Tp − WT+,Tp )nTp 2 N +(WS+,T++WTp,T+)nT+ − (WS−,T− by solving nS± and that Γ↑,S+ (cid:54)= 0. Hence, nS+ (cid:54)= nS− WS−,T− (cid:54)= WS+,T+. These relations are used to derive = 0 using n↑ = n↓ and nT+ = nT− if and only if (41) (cid:105) +WTp,T− )nT− . nT+ =n↑ . nT± The simplified model is illustrated on Fig. 10. The only difference compared to the rate equations (20-21) for the crossing of the triplets, is the direct coupling of the sin- glets and triplets via the terms WS±,T± Now we derive the implicit equation for the station- ary DNP from these rate equations. We do not use the explicit form of the rates, but only the invariances un- der index interchange (15,19). We begin by noting that n↑ − n↓ = 0 leads to n↑ = n↓ in the stationary state. In- serting this into nT+− nT− = nT− in the steady state. These two relations are the same as in the description of the triplet level crossing, see Eqs.(22- 23). In fact, n↑ = n↓ and nT+ can be derived form the rate equations (A1,10) including all rates and equal inelastic rates. However, at this point the two descrip- tions separate, since the stationary singlet occupations are no longer equal [as in Eq.(28)]. Instead, we find +(N/2) P = 0 gives nT+ = nT− nS± = (ΓS+,↓ + ΓS+,↑)n↑ + WS±,T± 2Γ↑,S+ nT+ , (42) (cid:104) (cid:104) 2 κ 2 κ nTp =n↑ 2ΓT+,↑(Γine + Γ↑,Tp ) + (ΓTp,↑ + ΓT+,↑)(WT+,Tp + WTp,T+) ΓTp,↑(4Γine + WS+,T+ + WS−,T− + (ΓTp,↑ + ΓT+,↑)(WT+,Tp + WTp,T+) ) (43a) (43b) , (cid:105) (cid:105) from n↑ + n↓ = 0 and nTp non-zero quantity = 0. Here we introduced the κ ≡8(Γine)2 + 2(Γ↑,Tp + 3Γine)(WT+,Tp + WTp,T+) + 8ΓineΓ↑,Tp + (WS+,T+ + WS−,T− (cid:2)2Γine + 2Γ↑,Tp + WT+,Tp + WTp,T+ (cid:3). ) × (43c) Note that the triplet occupation expressions are propor- tional to the one-electron occupation. By inserting the occupation expressions into Eq.(41), we find P = n↑ χ κ , where χ is a combination of rates (given below). In order to satisfy P = 0 in steady state, we have to require that χ = 0, since the occupation is positive. Thus, we arrive at the implicit DNP equation, χ = 0, which explicitly is 2 N (cid:26) 0 =(WT+,Tp − WTp,T+) (cid:26) ΓT+,↑(Γ↑,Tp +Γine) + (WT+,Tp +WTp,T+)(ΓT+,↑+ΓTp,↑) + ΓTp,↑ + (WS−,T− − WS+,T+) ΓT+,↑(Γ↑,Tp + Γine) + 1 2 (WT+,Tp + WTp,T+)(ΓT+,↑ + ΓTp,↑) = χ. (cid:104) 1 (cid:27) 2 (WS+,T++WS−,T− )+2Γine(cid:105)(cid:27) (44) γine = 10−6 γine = 10−7 γine = 10−8 γine = 10−9 2.64A+ t = 50µeV t = 100µeV 2.72A+ t = 150µeV 2.50A+ 2.65A+ 2.80A+ 2.62A+ 2.65A+ 2.81A+ 2.66A+ 2.65A+ 2.82A+ 2.67A+ TABLE I. The transition temperatures kbTc,st for the singlet- triplet crossing for various inter-dot couplings t and inelastic escape rates γine = Γine/ΓL. Here, γine are chosen smaller than the dominant hyperfine rate close to the level crossing. The fixed parameters here are: AL = 80µeV, AR = 70µeV, γph = 1µeV, ΓL = ΓR and ΓLN = 106µeV. This implicit equation for P is more involved than the one describing the DNP around the crossing of the triplet levels Eq.(24). Moreover, a simple formula for the tran- sition temperature Tc,st is not immediately apparent. Nevertheless, the implicit equation (44) does give some insights. For instance, it describes the crossing of the triplets as a special case: Close to the crossing of the triplets, WS±,T± is negligible such that Eq.(44) simplifies to WT+,Tp − WTp,T+ = 0, which is exactly Eq.(24). Furthermore, the implicit equation (44) shows that Tc,st stems from the asymmetry between energy emis- sion and absorption in the HF process. We show this by assuming the opposite: absorbing or emitting an energy in the HF process is equally likely [i.e. Fph(E) is even in Eqs.(16,17)]. This assumption leads to (WS−,T− − WS+,T+) ∝ P and (WT+,Tp − WTp,T+) ∝ P by using Eqs.(16,17), such that the implicit equation (44) can be written as 0 = P G(P ), where G(P ) is a strictly positive function.133 Thus, P = 0 is the only DNP solution with- out the asymmetry between emission and absorption of energy such that no DNP bistability occurs. Here, we find the DNP from the implicit equation (44) numerically. This in turn gives the leakage current and transition temperature Tc,st as we will discuss next. B. The nuclear polarization, leakage current and the singlet-triplet crossing transition temperature We extract the DNP versus magnetic field for vari- ous temperatures numerically from the implicit equation (44), see Fig. 11(a,d,g). In this way, we can pinpoint the region of temperature and B-field with one and three DNP solutions, respectively, as shown in Fig. 12. This in turn allows to determine the transition temperature Tc,st for the triplet-singlet crossing, where the DNP becomes single-valued. In the specific case of parameters in Fig. 11 and 12, we find Tc,st (cid:39) 2.80A+/kb, which is about one or- der of magnitude larger than Tc,tt = A+/(4kb) Eq.(27). We have repeated this procedure to find the transition temperatures for different parameters as seen in tables I and II. We find that the transition temperature Tc,st depends on various external parameters -- in contrast to the crossing of the triplets, where Tc,tt = A+/(4kb). In table I, we find that Tc,st seems largely insensitive to decreasing the inelastic rate γine = Γine/ΓL as long as 16 A− = 1µeV A− = 5µeV A− = 10µeV (AL = 76µeV) (AL = 80µeV) (AL = 85µeV) ΓLN = 105µeV 6.65A+ ΓLN = 106µeV 6.94A+ 2.38A+ 2.80A+ 1.32A+ 1.39A+ TABLE II. The transition temperatures kbTc,st for the singlet- triplet crossing varying the difference between the effective HF constants A− = (AL − AR)/2 and the number of nuclei ΓLN (measured with the rate ΓL). By varying A−, we change the overall strength of the singlet-triplet rates compared to the triplet-triplet rates. The fixed parameters here are: A+ = 75µeV, γine = 10−7, t = 100µeV, γph = 1µeV and ΓL = ΓR. − (whereas WT,T ∝ A2 it is smaller than the dominant singlet-triplet rates close to the level crossing. This makes sense from the implicit equation (44), since a small Γine is negligible compared to WS±,T± and Γ↑,Tp . Table I also reveals a small non- monotonous dependence of Tc,st on t, which controls the level splitting ES±−ETp and, in turn, the size of the HF triplet-triplet rates close to the singlet-triplet crossing. An effective way to change the relative magnitudes of the singlet-triplet and the triplet-triplet rates, is to change A−, since the singlet-triplet rate have an overall prefactor of A2 +). Table II shows Tc,st for varying the relative strength of the singlet-triplet and triplet-triplet rates. The largest transition tempera- ture, kbTc,st (cid:39) 6.94A+, is found when the singlet-triplet, triplet-triplet and inelastic rates all are of the same or- der. In contrast, the smallest value, kbTc,st (cid:39) 1.32A+, is found when the singlet-triplet rate dominates by more than two orders of magnitude over the triplet-triplet rate. Moreover, the number of nuclei change Tc,st slightly. Fi- nally, we remark that Tc,st is not simply proportional to A+. Nevertheless, we give kbTc,st in units of A+ in order to compare it with a typical energy scale of the problem. Altogether, a common feature for all the parameters con- sidered here, is that Tc,st is found to be larger than Tc,tt. The leakage current is found from Eq.(11) by inserting the stable DNP found from the implicit equation (44). To ν nν = 1 to specify all the occupations. In Fig. 11, we investigate the DNP, leakage current and energy levels in the regime, where the HF singlet-triplet rates dominate in magnitude over the triplet-triplet and inelastic rates close to the level crossing,134 see Fig. 13. Current hysteresis is found as a natural consequence of two stable DNP solutions for T < Tc,st -- just as for the crossing of the triplets. For instance, if one in- creases the magnetic field from, say, gµBB = 120µeV for T < Tc,st [Fig. 11(a)], then the DNP will remain on the lower DNP solution until the critical field of about gµBB (cid:39) 140.9µeV, where the lower branch cease to ex- ist. At this point, the DNP jumps discontinuously to the upper stable branch, such that the current also changes discontinuously as seen in Fig. 11(b). Likewise, when sweeping the field backwards from a high value of gµBB, then a discontinues jump is found in the current at the point, where the upper stable DNP cease to exist. this end, we use(cid:80) The stability of the DNP solution does not follow di- 17 FIG. 11. (Color online) The nuclear polarization P , leakage current I/ΓL and energy levels versus positive external magnetic field gµBB (in energy units) close to the singlet-triplet crossing for temperatures T = 0.5Tc,st < Tc,st (a-c), T = Tc,st (d-f) and T = 1.5Tc,st > Tc,st (g-i). For T < Tc,st, we observe two stable DNP values (black full lines) and an unstable one (blue dashed line) in Fig. (a), which leads to the hysteretic leakage current as seen in Fig. (b). The corresponding energy levels are seen in Fig. (c), where only the case of sweeping the magnetic field forward is shown for clarity. For T ≥ Tc,st, the DNP is single valued [Fig. (d,g)] such that no hysteretic current appears, see Fig. (e,h). Note the difference in the vertical scales between the DNP in Fig. (a) and Fig. (d,g). The vertical dashed black line indicates the simultaneous crossing of (i) the triplet energy ET+ (red full line) with the singlet energy ES+ (blue dashed line) and (ii) ET− (brown full line). The current is seen to peak at the level crossing -- essentially due to the enhanced HF singlet-triplet rate, which lifts the SB. The inset of Fig. (f) shows the non-monotonous energy level variation close to the crossing of ET+ and ES+ . In general, Tc,st depends on several parameters of the system (see the main text). For the numerical example seen here, we find kbTc,st (cid:39) 2.80A+, which is about one order of magnitude larger than kbTc,tt = A+/4. The parameters used here are: AL = 80µeV, AR = 70µeV, t = 100µeV, γph = 1µeV, ΓL = ΓR (i.e. γRL = 1), ΓLN = 106µeV and the dimensionless inelastic escape rate is chosen to be γine = Γine/ΓL = 10−7, such that the HF rates dominates close to the singlet-triplet crossing (see Fig. 13). (green dashed line) with ES− rectly from the solution of the implicit equation (44). To determine the stability of the DNP against small fluc- tuations, we numerically propagate the rate equations (40-41) in time until a stationary solution is reached.125 The solution of the simplified rate equations (40-41) and the numerical solution of the full rate equations (A1,10) with all rates match extremely well. The results in Fig. 11-14 calculated in the two ways fit perfectly. Next, we consider the regime of T ≥ Tc,st, Fig. 11(d-i). By increasing the B-field away from the crossing of the triplets at B = 0, the triplet-triplet rates decrease, while the singlet-triplet rates increase, since the triplet energy ET+ (ET− ) ) approaches the singlet energy ES+ (ES− from below (above) [Fig. 11(f,i)]. Therefore, two new processes come into play to lift the SB, namely T+ → S+ and T− → S−, see Fig. 10. The closer the singlet and triplet levels are, the more effective are these two new processes, which in turn produce a leakage current peak at the singlet-triplet level crossing as seen in Fig. 11(e,h). Moreover, the pure triplet occupations nT± decrease close to the singlet-triplet level crossing as a consequence of the enhanced triplet-singlet processes as seen in Fig. 14(a). Simultaneously, the occupation of the mixed triplet Tp peaks at the level crossing. The reason is that the DNP decreases such that the escape rate Γσ,Tp ∼ p2 Eq.(14a) becomes heavily suppressed as seen in Fig. 13(c). The two dominant HF processes close to the singlet- triplet crossing, T+ → S+ and T− → S−, polarize the nuclei in opposite directions. When approaching the singlet-triplet crossing from below (ET+ < ES+), 1201251301351401451500.00.10.20.30.40.50.61201251301351401451500.000.050.100.150.200.250.300.351201251301351401451500.000.050.100.150.200.250.300.3512012513013514014515001.´10-62.´10-63.´10-64.´10-612012513013514014515001.´10-62.´10-63.´10-64.´10-612012513013514014515001.´10-62.´10-63.´10-64.´10-6120125130135140145150-150-100-50050100150120125130135140145150-150-100-50050100150120125130135140145150-150-100-50050100150T<Tc,stT=Tc,stT>Tc,stgµBB[µeV]gµBB[µeV]gµBB[µeV]gµBB[µeV]gµBB[µeV]gµBB[µeV]gµBB[µeV]gµBB[µeV]gµBB[µeV]PolarizationPPolarizationPPolarizationPCurrentI/ΓLCurrentI/ΓLCurrentI/ΓLEnergylevels[µeV]Energylevels[µeV]Energylevels[µeV]125130135140145150130140150160ES+ET+ZoomonthelevelcrossingBackwardsweepingForwardsweepingForwardsweepingUnstablesolutionES+ET+ETpET−ES−ES+ET+ETpET−ES−ES+ET+ETpET−ES−(a)(b)(c)(d)(e)(f)(g)(h)(i) 18 FIG. 12. (Color online) The regions in parameter space close to the singlet-triplet crossing with one (white region) or three (blue region) DNP solutions, respectively. Only two of the three solutions for P in the blue region are stable against small fluctuations. Here we use the same parameters as in Fig. 11 and find the transition temperature to be Tc,st (cid:39) 2.80A+/kb. the DNP decreases [Fig. 11(d,g)]. This is consistent with the fact that the negatively-polarizing phonon- emission process T− → S− is larger than the positively- polarizing phonon-absorption process T+ → S+ as seen in Fig. 13(a). The DNP is seen to increase again, once the magnetic field gµBB is tuned beyond the singlet-triplet crossing (indicated by the dashed vertical line in Fig. 11). Interesting, a very sharp -- yet continuous -- increase in the DNP is seen for T = Tc,st at a higher magnetic field than the one at which the singlet and triplet lev- els cross, see Fig. 11(d). This behavior is qualitatively different from the one observed for the crossing of the triplets. In that case, the sharp increase in DNP is found at the same magnetic field as the one where the triplets cross; compare Fig. 7(d) to Fig. 11(d). In both cases, the sharp DNP increase is a precursor of the DNP bista- bility. The sharp DNP increase at a gµBB beyond the singlet-triplet level crossing, is also reflected in the sud- den increase of level splitting just after the level crossing as seen in the inset of Fig. 11(f). The mismatch between the level crossing and the sharp DNP increase indicates that triplet-singlet processes are not the only important ingredient close to the singlet-triplet crossing -- although their rates dominate in magnitude. The triplet-triplet transitions also play a role. In fact, it is the inclusion of the triplet-triplet rates in the simplified rates (40-41) that leads to an enhancement of the transition temperature. Inset: FIG. 13. (Color online) (a) The HF triplet-singlet rates WS−,T− (red full line) and WS+,T+ (blue dashed line) be- come much larger than the inelastic escape rate Γine (gray dot- ted line) close to the singlet-triplet crossing (vertical dashed line). far away from the singlet-triplet crossing the HF rates goes to zero so Γine becomes larger. (b) The HF triplet-triplet rates WTp,T+ = WT−,Tp (brown full line) and WT+,Tp = WTp,T− (violet dashed line) are seen to be on the same order of magnitude as Γine (gray dotted line) close to the level crossing. (c) The rate Γσ,Tp (black full line) for tunneling out of the DQD from Tp is reduced close to the crossing, since the DNP decreases [see Fig. 11(d)]. Thus, Γσ,Tp becomes on the order of Γine (dotted gray line) close to the level crossing (see inset). In (a), (b) and (c), all rates are in units of ΓL. The parameters are the same as in Fig. 11(d-e). For T > Tc,st qualitatively similar curves are found. V. MONTE CARLO SIMULATIONS AND THE BREAKDOWN OF THE RATE EQUATION APPROACH the Monte Carlo simulations show that no polarization can be induced by the leakage current as expected.30,33 Now the rate equation approach is shown to be consis- tent with Monte Carlo simulation including an inelastic escape mechanism. We pay special attention to the case without the inelastic escape mechanism, where the rate equation approach is shown to break down. In this case, A. Breakdown of the rate equation description without the inelastic escape mechanism If HFI is the only mechanism lifting SB, then the av- erage DNP does not change.30,33 Now, we show that this 0.51.01.52.02.53.0110120130140150RegionwithonestablepolarizationsolutionRegionwiththreepolarizationsolutionskbT/A+gµBB[µeV]12012513013514014515001.´10-62.´10-63.´10-64.´10-65.´10-66.´10-612012513013514014515002.´10-84.´10-86.´10-88.´10-81.´10-71.2´10-71.4´10-712012513013514014515000.000010.000020.00003Singlet-triplethyperfineratesforT=Tc,stTriplet-triplethyperfineratesforT=Tc,stTunnelingoutratesfromTpforT=Tc,st(a)(b)(c)12012513013514014515005.´10-81.´10-71.5´10-72.´10-72.5´10-73.´10-713613814014202.´10-74.´10-76.´10-78.´10-7gµBB[µeV]gµBB[µeV]gµBB[µeV]gµBB[µeV]gµBB[µeV]ZoomonratecrossingWS−,T−WS+,T+ΓineWS−,T−WS+,T+ΓineWTp,T+=WT−,TpWT+,Tp=WTp,T−ΓineΓ↑,Tp=Γ↓,TpΓineZoomontheinelasticrateΓσ,TpΓine n↓] is not conserved, which is evident from Eq.(46). 19 B. Monte Carlo simulations For Γine (cid:54)= 0 -- even if it is very small -- the rate equa- tions (9-10) gives a reliable description of the DNP in the SB regime. To validate this, we have performed Monte Carlo simulations leading to the same results. The idea of the Monte Carlo simulation is -- in some sense -- to carry out a numerical experiment. The simula- tion is begun by placing the system in some initial state, say Tp(cid:105), with some initial polarization P (t = 0). There- after, the system is updated in discrete time steps. From each state i(cid:105), there is a certain probability pf,i to go to another state f(cid:105) of the system within a single time step. We use a computer-generated random number to decide, if the system goes to another state or simply remains in the same state in a time step. The probability for a cer- tain transition in a time step is proportional to its rate. A HF transition changes the nuclear polarization for the next time step, and, in turn, also the transition proba- bilities. Thus, the polarization dynamically changes in time along with the probabilities during the simulation. At some point in time, the polarization is such that the system has found a stationary state on the average. In order to get average properties, that can be compared to the results of the rate equation approach, we need to time average over the fluctuations of the simulation. Appendix C gives more details on implementing the Monte Carlo simulations and shows examples of the DNP in single Monte Carlo simulations with and without the inelastic escape mechanism, respectively, in Figs. 15-17. The main difference between the rate equation ap- proach and the Monte Carlo approach is that the rate equations solely deal with average quantities. Therefore, the rate equations allow in some sense many processes to take place on average side by side. In contrast, the sys- tem is in a specific state in each instant of time during a Monte Carlo simulation. Both approaches neglect all quantum mechanical coherences in the description. In Fig. 16, we see that the rate equation description and the Monte Carlo simulations agree for the DNP ver- sus T close to the crossing of the triplets.135 In the same way, we find excellent agreement between the two meth- ods for finite B-fields close to the crossing of the triplets, and for B-fields around the singlet-triplet crossings. Furthermore, we have performed Monte Carlo simu- lations in the case of zero inelastic escape probability, pine = 0, where the rate equation approach for the DNP breaks down. We find that if pine = 0, then the time- averaged polarization is simply equal to the initial polar- ization of the simulation. See Fig. 17 for an example and it caption for a discussion. These simulations therefore confirm that no finite DNP is built up on average for HFIs being the only mechanism lifting SB, as expected.30,33 (Color online) (a) Occupations of the triplets FIG. 14. = nT+ (red full line) and nTp (purple dashed line). (b) nT− (orange dotted line) and Occupations of the singlets, nS− nS+ (brown full line), are seen to differ slightly as antici- pated in Eq.(42). (c) Occupations of the one-electron states n↑ = n↓ (black full line). The vertical dashed black line in- dicate the position of the singlet-triplet level crossing. We observe a decrease in the occupations of the pure triplets T± close to the level crossing due to the enhanced HF singlet- triplet rates in this region, see Fig. 13(a). In contrast, the occupation of the triplet Tp increases heavily due to the DNP decrease [Fig. 11(d)], which reduces the escape rate form Tp [see Eq.(14a) and Fig. 13(c)]. The parameters are the same as in Fig. 11(d-e) and the similar behavior is found for T > Tc,st. situation cannot be described by the rate equations (9- 10). To see this, we use rate equations (A1,10) to obtain nT+ − nT− (cid:2) n↑ − n↓ (cid:3) = + 1 2 P + N 2 1 (ΓS+,↓ + ΓS+,↑ + ΓTp,↑ − ΓT+,↑)[n↓ − n↑] 2 + 2Γine[nT− − nT+] (45) P + 1 2 N 2 by utilizing the index invariances (15,19) and equal in- elastic escape rates (12). The expressions for the rates (13) lead to (ΓS+,↓ + ΓS+,↑ + ΓTp,↑− ΓT+,↑) = 0 such that (cid:2) n↑− n↓ (cid:3) = 2Γine[nT−− nT+]. (46) + nT+− nT− This shows that if Γine = 0, then the quantity nT+ − + (N/2)P + (1/2)[n↑ − n↓] is conserved in the time nT− evolution of the rate equations. In other words, for Γine = 0, the stationary state of the rate equations depends on and nσ, which is unphysical. the initial occupations nT± Thus, the rate equation description (9-10) breaks down for Γine = 0. This is the basic problem with the dynamics presented in Ref. [42]. However, for Γine (cid:54)= 0 as used in this paper, the quantity nT+ −nT− +(N/2)P +(1/2)[n↑− 1201251301351401451500.00.10.20.30.40.50.60.712012513013514014515002.´10-74.´10-76.´10-78.´10-71.´10-61.2´10-612012513013514014515002.´10-74.´10-76.´10-78.´10-7OccupationsforT=Tc,stgµBB[µeV]gµBB[µeV]gµBB[µeV]nT+=nT−nTpnS−nS+n↑=n↓(a)(b)(c) 20 rally to hysteresis in the leakage current. We have found that the transition temperature for the crossing of the triplet levels, Tc,tt, is generally different from the tran- sition temperature for the singlet-triplet crossing, Tc,st. Moreover, Tc,tt < Tc,st for experimentally relevant pa- rameters and the difference can be sizable, e.g. an order of magnitude. This enhancement of Tc,st stems from an in- terplay between the triplet-triplet and singlet-triplet HF rates, even though the latter often dominates by at least an order of magnitude. For Tc,tt < T < Tc,st, current hysteresis appears around the singlet-triplet crossings at finite magnetic field, but is absent close to B = 0. More- over, we found analytically Tc,tt = (AL + AR)/(8kb), where AL(R) is the effective HF constant of the left (right) dot. In contrast, Tc,st depends on various parameters, e.g. the inhomogeneity of the Overhauser field. Realistic HF constants of about19,51 100µeV gives Tc,tt ∼ 300mK, which is within experimental reach. Due to the enhance- ment of Tc,st compared to Tc,tt, it might be harder to observe due to the broadening of the Coulomb blockade peaks. However, this depends heavily on the actual pa- rameters and experimental setup (see Tables I-II). Fur- thermore, we have analyzed the details of the leakage current versus magnetic field and given various analyti- cal limits in the case of the crossing of the triplet levels. Importantly, we have identified that the asymmetry between energy emission and absorption in the HF spin- flip transitions is the crucial ingredient for the existence of the transition temperatures at zero energy-detuning. Such an asymmetry can appear for many types of en- ergy exchange mechanisms with an external bath due to detailed balance. Here we have considered phonons. In contrast, energy emission and absorption in Refs.[33 -- 35] is equally likely, such that no DNP is found in these works for zero energy-detuning. Nevertheless, they find bista- bilities and current hysteresis for finite energy-detuning. We have observed that our rate equation approach is consistent with the results produced by Monte Carlo sim- ulations, if the inelastic escape mechanism is included. We discussed how the rate equation approach for the DNP becomes invalid without the inelastic escape rate. Through out the paper, we have neglected depolariz- ing processes such as nuclear spin-diffusion, since these are typically much slower than the HF spin-flip pro- cesses. Nevertheless, such processes might affect our re- sults slightly in the case of large DNP, where the depolar- ization is stronger. On the other hand, very large DNP has also been reported experimentally.31 Furthermore, we have modeled the DNP of the nuclear spins as a single valued quantity, P , as in e.g. Refs.[33 -- 35, and 42]. In reality, the polarization will vary in space leading to a more complex behavior, which is more in- volved to model.113 An extension of the model could be to use different DNPs for each dot.36,37 In such an ap- proach, it is an open question, if the two DNPs would become bistable at the same transition temperature or not. Moreover, as emphasized in the paper, the difference in the Overhauser field between the dots is important to FIG. 15. (Color online) An example of a Monte Carlo sim- ulation of the DNP (blue full line) versus time. The DNP is seen to level off to a stationary value of P (cid:39) 0.829 (black horizontal line) from an initial DNP of P (t = 0) = 0.9. This is in perfect agreement with the rate equation result. As ex- pected, the DNP is seen to fluctuate due to the randomness of the electron transport. The parameters are: AR = 50µeV, AL = 30µeV, t = 310µeV and γph = 5µeV such that singlet and triplet levels are far apart for B = 0. The probability for tunneling into T± is set to pL = pR = 0.45 and the inelastic probability is chosen to be pine = 0.15. Moreover, the change of the DNP due to a HFI is set to dP = 0.0005 and an overall prefactor of ηHF = 0.1 is used in the HF probabilities (see Appendix C for details). FIG. 16. (Color online) The stable polarization versus tem- perature T /Tc,tt close to the crossing of the triplets from the rate equations (black full lines) and the Monte Carlo simula- tions with positive (red circles) and negative (blue squares) initial polarizations. The two methods agree very well. (We average over 25 simulations and use the same parameters as in Fig. 15 (except dP = 0.005), see Appendix C for details). VI. SUMMARY, DISCUSSION AND OUTLOOK In summary, we have analyzed the DNP and leakage current through a DQD in the SB regime due to a compe- tition between HFIs and another inelastic escape mech- anism from the triplets. We have demonstrated in detail how the DNP becomes bistable for temperatures T below the transition temperature around both (i) the crossing of the three triplet levels and (ii) the crossing of the triplets T± with the singlets S±. The bistable DNP leads natu- 02000004000006000008000000.800.820.840.860.880.90ExampleofaMonteCarlosimulation,pine6=0Timesteps(arb.unit)NuclearPolarizationpine=0.15T=0.7Tc,ttB=0aeaeaeaeaeaeaeààààààà0.60.81.01.2-1.0-0.50.00.51.0ComparisonofMonteCarloSimulationsandRateEqsT/Tc,ttPB=0 21 (cid:39) 0.26, nT− (cid:54)= nT− (cid:39) 0.15, nTp (cid:39) 0.48, nS+ FIG. 17. (Color online) (a) An example of a Monte Carlo simulation showing that the nuclear polarization (blue full line) is not changed on the average due to the leakage current when only HFIs lift the SB, i.e. pine = 0. The magnetic field is tuned close to the singlet-triplet crossing leading to a level ordering as seen in (b). Moreover, we choose a very low temperature such that finite DNP could appear for pine (cid:54)= 0. The initial polarization, P (t = 0) = 0 (black dashed line), is found to be equal to the time-averaged polarization, P (cid:39) 0.002, within the uncertainty. Similarly, the average occupations in the simulation are (cid:39) 0.017. Thus, in contrast to the found to be nT+ pine (cid:54)= 0 case, we find nT+ . The parameters are: AR = 50µeV, AL = 30µeV, t = 50µeV and γph = 5µeV such that the singlet and triplet energy levels are well separated, i.e. t (cid:29) γph. Moreover, we use pL = pR = 0.45, dP = 0.0005 and ηHF = 2. (b) An illustration of why no nuclear polarization is expected to be induced, when HFI is the only mechanism lifting SB. In the figure, we include all transition probabilities p larger than 10−4 for the numerical example shown in (a). The HF spin-flip processes either increase (green arrows) or decrease (yellow arrows) the polarization on the average. We begin by noting that escape from neither S− nor S+ change the overall polarization. For instance, the escape path S+ → T+ → Tp → T− → S− → σ consist of an equal amount of positive and negative nuclear spin-flips: −dP +dP +dP−dP = 0. Similarly, escape from Tp also leave the DNP polarization unchanged. In contrast, escape from T± polarize the nuclei by ±dP, respectively. However, since T± also load with the same probability, pT+,↑ = pT−,↓, no average DNP can be build up, even though escape from T+ is less probable than from T− (as reflected in nT+ (cid:39) 0.0063, nS− (cid:39) 0.063 and n↑ (cid:39) n↓ > nT− ) in the case considered here. produce an escape path from the triplet with zero angu- lar moment. Here, we have included this effect by having slightly different effective HF constants in the dots. We have studied in detail the case of a single constant inelastic escape rate from the triplets, which compete with the HF rates.33 -- 36 Neglecting the energy dependence of the inelastic rates is a valid approach as long as the inelastic rate is smaller than the dominant HF rate close to the level crossing, as studied here. Nevertheless, the inelastic rates can be increased experimentally, e.g. by choosing a material with strong spin-orbit coupling19 or by tuning the levels compared to the chemical potentials of the leads, so co-tunneling becomes more probable.12 In such cases, it could be interesting to repeat our analy- sis including the energy dependences of the co-tunneling rates and/or spin-orbit rates. Future work could also an- alyze the effects of including a more detailed description of the phonons. However, we believe that the essential physics is captured by including the asymmetry between energy emission and absorption in the HF rates. VII. ACKNOWLEDGMENTS We are especially thankful to J´anos Asb´oth and An- dras P´alyi for insightful discussions on the nature of non-zero nuclear polarization in the SB setup. More- over, we thank Andrea Donarini, Sigmund Kohler, Rafael S´anchez, Gerold Kiesslich, Marta Prada, Jeroen Danon, Jes´us Inarrea and Fernando Dom´ınguez for useful dis- cussions. AML acknowledges the Juan de la Cierva pro- gram (MICINN) and the Carlsberg Foundation. AML, CLM and GP acknowledge Grant No. MAT2011-24331 and the ITN Grant 234970(EU). LLB and IV acknowl- edge Grant No. FIS2011-28838-C02-01. We acknowledge FIS2010-22438-E (Spanish National Network Physics of Out-of-Equilibrium Systems). Appendix A: Expressions for the full rate equations of the model In the main paper, we give the full set of rate equations including all non-zero rates in a compact form in Eq.(9). For completeness, we provide here the detailed equations 0200000400000600000800000-0.10-0.050.000.050.10ExampleofaMonteCarlosimulation,pine=0Timesteps(arb.unit)NuclearPolarizationpine=0kbT=0.0025A+gµBB=√2t−γph/2pT+,S+≃0.15dP<0pTp,T+≃3×10−2dP>0pT−,Tp≃3×10−2dP>0pS−,T−≃0.15dP<0pS+,σ≃0.1pT+,↑≃0.45pTp,σ≃0.2pT−,↓≃0.45pS−,σ≃0.1pσ,S+≃0.2pσ,S−≃0.2S+iT+iTpiT−iS−i(a)(b) nT+ = WT+,S+nS++WT+,S− nT− = WT−,S+nS++WT−,S− nTp = WTp,T+nT+ +WTp,T− nS+ = WS+,T+nT+ + WS+,T− = WS−,T+nT+ + WS−,T− nS− n↑ = Γ↑,S+nS++Γ↑,S− nS− nS− n↓ = Γ↓,S+nS++Γ↓,S− nS− nS− nT− nT− nT− + (Γ↑,Tp +Γine + (Γ↓,Tp +Γine +WT+,Tp nTp +ΓT+,↑n↑ − +WT−,Tp nTp +ΓT−,↓n↓− +ΓTp,↑n↑ + ΓTp,↓n↓ − + ΓS+,↑n↑ + ΓS+,↓n↓ − + ΓS−,↑n↑ + ΓS−,↓n↓ − + WT−,S− ↑,T+nT+ + Γine ↑,Tp )nTp + Γine nT−− ↑,T− ↓,Tp )nTp + Γine + Γine ↓,T+nT+− ↓,T− nT− 22 + Γine , (A1b) + WTp,T− + WS−,T− ↑,T+ + Γine ↓,T+ + Γine ↑,T− ↓,T− ↑,Tp + Γine ↓,Tp (cid:2)WS+,T+ + WS−,T+ + WTp,T+ + Γine (cid:2)WS+,T− (cid:2)WT+,Tp +WT−,Tp + Γ↑,Tp + Γ↓,Tp + Γine (cid:2)WT+,S+ + WT−,S+ + Γ↑,S+ + Γ↓,S+ (cid:3)nS+, (cid:3)nS− (cid:2)WT+,S− (cid:3)nT+ , (A1a) (cid:3)nT− (cid:3)nTp , (A1c) (cid:3)n↑, (A1f) (cid:2)ΓS+,↑ + ΓS−,↑ + ΓTp,↑ + ΓT+,↑ (cid:3)n↓, (A1g) (cid:2)ΓS+,↓ + ΓS−,↓ + ΓTp,↓ + ΓT−,↓ 2WTp,T+)(cid:3). Therefore the current expression becomes + Γ↑,S− + Γ↓,S− (A1d) (A1e) , as illustrated in Fig. 3. Appendix B: Current expressions close to the crossing of the triplet levels for non-equal inelastic escape rates Throughout the paper, we have considered the case of equal and constant inelastic escape rates Γine σ,T from the triplet states T = T±, Tp, see Eq.(12).33 -- 36 However, depending on the inelastic escape mechanism, the rates might be different. For completeness, we discuss this briefly in this appendix in a particularly simple case. Here we consider the case, where the inelastic escape rates are invariant under the same exchange of indices as the tunneling rates Eq.(15), i.e. ↑,Tp = Γine Γine ↓,Tp , Γine ↑,T+ = Γine ↓,T− , Γine ↓,T+ = Γine ↑,T− . (B1) Following the same steps leading to the polarization equation in Sec. III A, we find that n↑ = n↓ and nT+ = nT− still hold true such that the implicit equation (24) for the polarization, WTp,T+ = WT+,Tp = WTp,T− = WT−,Tp , (B2) and the transition temperature, kbTc,tt = A+/4 (27), remain unchanged compared to the main text. Further- more, nS+ = nS− and Eqs.(29) and (30) also still hold true, whereas the explicit expressions for the occupa- tions (31) and the current (32) are changed slightly. In the numerator of nT+ (31b), the rate Γine is replaced by Γine . Similarly, in the numerator of nTp (31a), one has ↑,Tp to make the replacement 2Γine → Γine . The ↑,T+ common denominator of the occupations (31) changes to Λ = 2ΓT+,↑Γ↑,S+(Γine + ↑,Tp Γ↑,Tp )Υ+3Γ↑,S+ΓTp,↑ Υ+ (ΓS+,↓ + ΓS+,↑)(Γ↑,Tp + WTp,T+) + Γ↑,S+(2Γ↑,Tp + ΓTp,↑ + +Γ↑,Tp )+(cid:2)3ΓT+,↑Γ↑,S+ +(Γine (cid:3)WTp,T+ +(Γine )(cid:2)Γine + Γine +Γine ↑,T− ↑,T− ↑,T+ ↑,Tp ↑,Tp (ΓT+,↑ + ΓS+,↓ + ΓS+,↑ + ΓTp,↑) (B3) (cid:104) 2Γ↑,S+ ζ (Γine ↑,T− I = × where + Γine ↑,T+)(Γine ↑,Tp + Γ↑,Tp ) + Γine ↑,T+ + Γine + WT+,Tp (Γine ↑,T− ↑,Tp +Γ↑,Tp )(cid:2)2ΓT+,↑Γ↑,S+ + (Γine ζ ≡(Γine + (Γine ↑,T+ + Γine ↑,T− )Γ↑,S+ΓTp,↑ (cid:104) + WT+,Tp ↑,Tp + Γ↑,Tp ) ↑,T++Γine ↑,T− 3ΓT+,↑Γ↑,S+ + 3Γ↑,S+ ΓTp,↑ + Υ(Γine ↑,Tp + Γine ↑,T+ + Γine ↑,T− + Γ↑,Tp ) . (cid:105) )Υ(cid:3) (cid:105) (cid:104) 8ΓL (cid:105) Note the similarity to the simpler case of equal inelastic rates in Eq.(32). In passing, we note that even though the current ex- pressions (B3) and (32) are similar, they have an interest- ing difference: Without singlet-triplet mixing p = 0 the current (B3) still depends on the HF rate in contrast to the simpler case of the main text, see Eq.(34). In order to see this explicitly, we insert p = 0 and the tunneling rates (13-14) into the current expression (B3), i.e. (cid:105) (cid:3) (cid:2)6Γine I(p = 0) = ↑,T+ + Γine ↑,T+) where ΓL = ΓR was used for simplicity and WT+,Tp (Γine ↑,T− ↑,Tp (Γine ↑,T− + Γine + Γine + Γine ↑,Tp ) (B4a) (cid:105) ≡WT+,Tp + (6Γine ↑,Tp + 6Γine ↑,T− ↑,Tp + ΓL)(Γine ↑,T− + 6Γine + Γine ↑,T+ + 9ΓL ↑,T+) + 4Γine ↑,Tp ΓL. (B4b) Thus, the current for p = 0 still depends on the HF rate WT+,Tp in general. In summary, when the inelastic rates have the same invariances under interchange of indices as the tunnel- ing rates, then the polarization equation (24) does not change and the current expression changes only slightly. However, if the inelastic rates are invariant under other interchange of indices, then the polarization condition (24) and the transition temperature might change. Appendix C: Details on the implementation of the Monte Carlo simulations We implement all the possible transitions between the states {↑,↓, T+, T−, Tp, S+, S−} as shown graphically on Fig. 3. Therefore, we are not limited to simulate a specific level crossing. For the transition probabilities in a sin- gle time step, we use the same functional dependences as for the rate expressions (12,13,14,16,17), since rates and probabilities are proportional. In the formulas (12,13,14), we exchange the rates ΓR(L) by pR(L) and Γine by pine. For instance, pTp,↑ = pL/(2N 2) is the probability for go- ing to Tp given that the system is in the one-electron state ↑. Likewise, we exchange the factor 1/(2N ) in the HF rates (16,17) by the parameter ηHF in the HF prob- abilities. Thereby we can tune the magnitude of the HF transition probabilities compared to the inelastic transi- tion probabilities. Thus, we can easily study the same physical situation as in the rate equation approach. For instance, Figs. 5 and 16 both study large singlet-triplet energy separation and zero magnetic field. To minimize the computational load, we choose the transition probabilities within a single time step as high as possible, such that the system does not remain in the same state over too many time steps. This can be un- derstood as a long physical time duration for each time step. Nevertheless, we have to choose numbers such that the sum of all probabilities for leaving a specific state is always smaller than one in each time step, e.g. for Tp 23 this amounts to T+,Tp (t) + pHF 2pine + p↓,Tp + p↑,Tp + pHF T−,Tp (t) < 1. (C1) In this way, the possibility of staying in the same state (here Tp) within a time step remains in the simulation. In the real experiment, the polarization change by dP = ±2/N due to a single HF transition. In the sim- ulation, however, dP is increased substantially in order to obtain faster convergence to a stationary polarization. We emphasize that the choice of dP does not affect the value of the stationary polarization, but it does indeed af- fect the typical fluctuations around this value. Thus, an artifact of choosing dP larger than 2/N is the artificially increased fluctuations around the stationary polarization -- as seen Figs. 15 and 17 -- compared to the experimental situation. However, since we are only interested in av- erage values, this is not a concern here. Choosing dP is therefore a compromise between maximizing convergence time and minimizing fluctuations. In order to find the stationary DNP, we choose a dP , perform the Monte Carlo simulation a number of times for a given initial DNP and then average over the results. The averaging makes it easier to decide in a computation- ally cheap way, if convergence is reached. To make sure that the found stationary DNP ¯P is stable, we show that an initial DNP P (t = 0) > ¯P decreases versus time and that an initial DNP with P (t = 0) < ¯P increases versus time. We stress that the stationary DNP can also be found from doing the single Monte Carlo simulation as seen in Fig. 15, but it requires a much smaller dP . More- over, the fluctuations in DNP increase with temperature, since higher T increases the phonon-absorption HF tran- sition probabilities, which increases the number of likely transitions. 1 R. Hanson, L. P. Kouwenhoven, J. R. Petta, S. Tarucha, and L. M. K. Vandersypen, Rev. Mod. Phys. 79, 1217 (2007). 2 W. G. van der Wiel, S. De Franceschi, J. M. Elzerman, T. Fujisawa, S. Tarucha, and L. P. Kouwenhoven, Rev. Mod. Phys. 75, 1 (2002). 3 S. M. Reimann and M. Manninen, Rev. Mod. Phys. 74, 1283 (2002). 4 L. P. Kouwenhoven, D. G. Austing, and S. Tarucha, Re- ports on Progress in Physics 64, 701 (2001). 10 F. H. L. Koppens, J. A. Folk, J. M. Elzerman, R. Han- son, L. H. W. van Beveren, I. T. Vink, H. P. Tranitz, W. Wegscheider, L. P. Kouwenhoven, and L. M. K. Van- dersypen, Science 309, 1346 (2005). 11 A. C. Johnson, J. R. Petta, J. M. Taylor, A. Yacoby, M. D. Lukin, C. M. Marcus, M. P. Hanson, and A. C. Gossard, Nature 435, 925 (2005). 12 A. C. Johnson, J. R. Petta, C. M. Marcus, M. P. Hanson, and A. C. Gossard, Phys. Rev. B 72, 165308 (2005). 13 A. B. Vorontsov and M. G. Vavilov, Phys. Rev. Lett. 101, 5 K. Ono, D. G. Austing, Y. Tokura, and S. Tarucha, Sci- 226805 (2008). ence 297, 1313 (2002). 6 K. Ono and S. Tarucha, Phys. Rev. Lett. 92, 256803 (2004). 7 D. Weinmann, W. Hausler, and B. Kramer, Phys. Rev. Lett. 74, 984 (1995). 14 K. Saito, S. Okubo, and M. Eto, Physica E 40, 1149 (2008), ISSN 1386-9477, 17th International Conference on Electronic Properties of Two-Dimensional Systems. 15 F. Qassemi, W. A. Coish, and F. K. Wilhelm, Phys. Rev. Lett. 102, 176806 (2009). 8 D. Weinmann, W. Hausler, and B. Kramer, Annalen der 16 W. A. Coish and F. Qassemi, Phys. Rev. B 84, 245407 Physik 508, 652 (1996), ISSN 1521-3889. 9 M. Ciorga, A. S. Sachrajda, P. Hawrylak, C. Gould, P. Za- wadzki, S. Jullian, Y. Feng, and Z. Wasilewski, Phys. Rev. B 61, R16315 (2000). (2011). 17 A. V. Khaetskii and Y. V. Nazarov, Phys. Rev. B 61, 12639 (2000). 18 V. N. Golovach, A. Khaetskii, and D. Loss, Phys. Rev. 24 Lett. 93, 016601 (2004). 19 A. Pfund, I. Shorubalko, K. Ensslin, and R. Leturcq, Phys. Rev. Lett. 99, 036801 (2007). 20 J. Danon and Y. V. Nazarov, Phys. Rev. B 80, 041301 (2009). 21 S. Weiss, E. I. Rashba, F. Kuemmeth, H. O. H. Churchill, and K. Flensberg, Phys. Rev. B 82, 165427 (2010). 22 S. Nadj-Perge, S. M. Frolov, J. W. W. van Tilburg, J. Danon, Y. V. Nazarov, R. Algra, E. P. A. M. Bakkers, and L. P. Kouwenhoven, Phys. Rev. B 81, 201305 (2010). 23 D. Stepanenko, M. Rudner, B. I. Halperin, and D. Loss, Phys. Rev. B 85, 075416 (2012). 24 P. Stano and J. Fabian, Phys. Rev. Lett. 96, 186602 (2006). 25 C. F. Destefani and S. E. Ulloa, Phys. Rev. B 72, 115326 (2005). 26 W. A. Coish and J. Baugh, physica status solidi (b) 246, 2203 (2009), ISSN 1521-3951, an excellent review on Hy- perfine interaction. 27 S. I. Erlingsson, Y. V. Nazarov, and V. I. Fal'ko, Phys. Rev. B 64, 195306 (2001). 28 M. Eto, T. Ashiwa, and M. Murata, J. Phys. Soc. Jpn. 73, 307 (2004). 29 S. Tarucha, Y. Kitamura, T. Kodera, and K. Ono, physica status solidi (b) 243, 3673 (2006). 30 O. N. Jouravlev and Y. V. Nazarov, Phys. Rev. Lett. 96, 176804 (2006). Phys. Rev. B 72, 161305 (2005). 49 M. R. Buitelaar, J. Fransson, A. L. Cantone, C. G. Smith, D. Anderson, G. A. C. Jones, A. Ardavan, A. N. Khlobystov, A. A. R. Watt, K. Porfyrakis, et al., Phys. Rev. B 77, 245439 (2008). 50 N. Shaji, C. B. Simmons, M. Thalakulam, L. J. Klein, H. Qin, H. Luo, D. E. Savage, M. G. Lagally, A. J. Rim- berg, R. Joynt, et al., Nature Physics 4, 540 (2008). 51 H. O. H. Churchill, A. J. Bestwick, J. W. Harlow, F. Kuemmeth, D. Marcos, C. H. Stwertka, S. K. Watson, and C. M. Marcus, Nature Physics 5, 321 (2009). 52 N. S. Lai, W. H. Lim, C. H. Yang, F. A. Zwanenburg, W. A. Coish, F. Qassemi, A. Morello, and A. S. Dzurak, Scientific Reports 1, 110 (2011). 53 F. Kuemmeth, S. Ilani, D. C. Ralph, and P. L. McEuen, Nature 452, 448 (2008). 54 H. O. H. Churchill, F. Kuemmeth, J. W. Harlow, A. J. Bestwick, E. I. Rashba, K. Flensberg, C. H. Stwertka, T. Taychatanapat, S. K. Watson, and C. M. Marcus, Phys. Rev. Lett. 102, 166802 (2009). 55 T. S. Jespersen, K. Grove-Rasmussen, J. Paaske, K. Mu- raki, T. Fujisawa, J. Nygard, and K. Flensberg, Nature Physics 7, 348 (2011). 56 H. W. Liu, T. Fujisawa, Y. Ono, H. Inokawa, A. Fujiwara, K. Takashina, and Y. Hirayama, Phys. Rev. B 77, 073310 (2008). 57 M. Prada, R. H. Blick, and R. Joynt, Phys. Rev. B 77, 31 J. Baugh, Y. Kitamura, K. Ono, and S. Tarucha, Phys. 115438 (2008). Rev. Lett. 99, 096804 (2007). 32 J. Baugh, Y. Kitamura, K. Ono, and S. Tarucha, physica status solidi (c) 5, 302 (2008). 33 M. S. Rudner and L. S. Levitov, Phys. Rev. Lett. 99, 58 C. B. Simmons, T. S. Koh, N. Shaji, M. Thalakulam, L. J. Klein, H. Qin, H. Luo, D. E. Savage, M. G. Lagally, A. J. Rimberg, et al., Phys. Rev. B 82, 245312 (2010). 59 M. Raith, P. Stano, and J. Fabian, Phys. Rev. B 86, 036602 (2007). 34 M. S. Rudner and L. S. Levitov, Nanotechnology 21, 274016 (2010). 35 M. S. Rudner and E. I. Rashba, Phys. Rev. B 83, 073406 (2011). 36 M. S. Rudner, F. H. L. Koppens, J. A. Folk, L. M. K. Vandersypen, and L. S. Levitov, Phys. Rev. B 84, 075339 (2011). 37 J. Inarrea, G. Platero, and A. H. MacDonald, Phys. Rev. B 76, 085329 (2007). 38 J. Inarrea, C. Lopez-Monis, A. H. MacDonald, and G. Platero, Appl. Phys. Lett. 91, 252112 (2007). 39 J. Inarrea, C. Lopez-Monis, and G. Platero, Appl. Phys. Lett. 94, 252106 (2009). 205321 (2012). 60 M. Busl, G. Granger, L. Gaudreau, R. Sanchez, A. Kam, M. Pioro-Ladriere, S. A. Studenikin, P. Zawadzki, Z. R. Wasilewski, A. S. Sachrajda, et al., Nature Nanotech 8, 261 (2013). 61 A. P´alyi and G. Burkard, Phys. Rev. B 80, 201404 (2009). 62 A. P´alyi and G. Burkard, Phys. Rev. B 82, 155424 (2010). 63 F. Pei, E. A. Laird, G. A. Steele, and L. P. Kouwenhoven, Nature Nanotechnology 7, 630 (2012). 64 A. K. Huttel, J. Weber, A. W. Holleitner, D. Weinmann, K. Eberl, and R. H. Blick, Phys. Rev. B 69, 073302 (2004). 65 A. W. Overhauser, Phys. Rev. 92, 411 (1953). 66 S. W. Brown, T. A. Kennedy, D. Gammon, and E. S. 40 S. Tarucha and J. Baugh, J. Phys. Soc. Jpn. 77, 031011 Snow, Phys. Rev. B 54, R17339 (1996). (2008). 41 M. S. Rudner and L. S. Levitov, Phys. Rev. B 82, 155418 (2010). 42 C. L´opez-Mon´ıs, J. Inarrea, and G. Platero, New J. Phys. 13, 053010 (2011). 43 M. Gullans, J. J. Krich, J. M. Taylor, H. Bluhm, B. I. Halperin, C. M. Marcus, M. Stopa, A. Yacoby, and M. D. Lukin, Phys. Rev. Lett. 104, 226807 (2010). 44 R. Takahashi, K. Kono, S. Tarucha, and K. Ono, Phys. Rev. Lett. 107, 026602 (2011). 67 D. Gammon, A. L. Efros, T. A. Kennedy, M. Rosen, D. S. Katzer, D. Park, S. W. Brown, V. L. Korenev, and I. A. Merkulov, Phys. Rev. Lett. 86, 5176 (2001). 68 A. S. Bracker, E. A. Stinaff, D. Gammon, M. E. Ware, J. G. Tischler, A. Shabaev, A. L. Efros, D. Park, D. Ger- shoni, V. L. Korenev, et al., Phys. Rev. Lett. 94, 047402 (2005). 69 C. W. Lai, P. Maletinsky, A. Badolato, and A. Imamoglu, Phys. Rev. Lett. 96, 167403 (2006). 70 P. Maletinsky, A. Badolato, and A. Imamoglu, Phys. Rev. 45 T. Kobayashi, K. Hitachi, S. Sasaki, and K. Muraki, Phys. Lett. 99, 056804 (2007). Rev. Lett. 107, 216802 (2011). 71 M. S. Rudner and L. S. Levitov, Phys. Rev. Lett. 99, 46 R. Takahashi, K. Kono, S. Tarucha, and K. Ono, Applied 246602 (2007). Physics Express 5, 025002 (2012). 47 C. L´opez-Mon´ıs, C. Emary, G. Kiesslich, G. Platero, and T. Brandes, Phys. Rev. B 85, 045301 (2012). 48 H. W. Liu, T. Fujisawa, T. Hayashi, and Y. Hirayama, 72 A. I. Tartakovskii, T. Wright, A. Russell, V. I. Fal'ko, A. B. Van'kov, J. Skiba-Szymanska, I. Drouzas, R. S. Kolodka, M. S. Skolnick, P. W. Fry, et al., Phys. Rev. Lett. 98, 026806 (2007). 25 73 J. Danon and Y. V. Nazarov, Phys. Rev. Lett. 100, coby, Nature Phys. 5, 903 (2009). 056603 (2008). 74 X. Xu, W. Yao, B. Sun, D. G. Steel, A. S. Bracker, D. Gammon, and L. J. Sham, Nature 459, 1105 (2009). 75 J. Danon, I. T. Vink, F. H. L. Koppens, K. C. Nowack, L. M. K. Vandersypen, and Y. V. Nazarov, Phys. Rev. Lett. 103, 046601 (2009). 76 M. Dobers, K. v. Klitzing, J. Schneider, G. Weimann, and K. Ploog, Phys. Rev. Lett. 61, 1650 (1988). 77 K. R. Wald, L. P. Kouwenhoven, P. L. McEuen, N. C. van der Vaart, and C. T. Foxon, Phys. Rev. Lett. 73, 1011 (1994). 78 J. H. Kim, I. D. Vagner, and L. Xing, Phys. Rev. B 49, 101 Here the Zeeman energy splitting between the nuclear spins states is negligible compared to the one of the elec- tronic states. This is because the nuclear magneton is about 2000 times smaller then the Bohr magneton due to the mass ratio of the electron and the proton. 102 T. A. Knuuttila, J. T. Tuoriniemi, K. Lefmann, K. I. Jun- tunen, F. B. Rasmussen, and K. K. Nummila, J. Low Temp. Phys. 123, 65 (2001). 103 T. Fujisawa, T. H. Oosterkamp, W. G. van der Wiel, B. W. Broer, R. Aguado, S. Tarucha, and L. P. Kouwen- hoven, Science 282, 932 (1998). 104 T. Brandes and B. Kramer, Phys. Rev. Lett. 83, 3021 16777 (1994). (1999). 79 D. C. Dixon, K. R. Wald, P. L. McEuen, and M. R. Mel- 105 J. Schliemann, A. Khaetskii, and D. Loss, J. Phys.: Con- loch, Phys. Rev. B 56, 4743 (1997). 80 T. Machida, S. Ishizuka, T. Yamazaki, S. Komiyama, K. Muraki, and Y. Hirayama, Phys. Rev. B 65, 233304 (2002). 81 K. Hashimoto, K. Muraki, T. Saku, and Y. Hirayama, Phys. Rev. Lett. 88, 176601 (2002). 82 E. V. Deviatov, A. Wurtz, A. Lorke, M. Yu. Melnikov, V. T. Dolgopolov, D. Reuter, and A. D. Wieck, Phys. Rev. B 69, 115330 (2004). 83 A. Wurtz, T. Muller, A. Lorke, D. Reuter, and A. D. dens. Matter 15, R1809 (2003). 106 J. Fischer, W. A. Coish, D. V. Bulaev, and D. Loss, Phys. Rev. B 78, 155329 (2008). 107 J. Fischer, B. Trauzettel, and D. Loss, Phys. Rev. B 80, 155401 (2009). 108 J. Fischer and D. Loss, Phys. Rev. Lett. 105, 266603 (2010). 109 A. M. Lunde and G. Platero, arxiv:1304.5096 (2013). 110 A. Khaetskii, D. Loss, and L. Glazman, Phys. Rev. B 67, 195329 (2003). Wieck, Phys. Rev. Lett. 95, 056802 (2005). 111 B. Erbe and J. Schliemann, Phys. Rev. B 81, 235324 84 A. Kou, D. T. McClure, C. M. Marcus, L. N. Pfeiffer, and (2010). K. W. West, Phys. Rev. Lett. 105, 056804 (2010). 85 T. Nakajima, Y. Kobayashi, and S. Komiyama, Phys. Rev. B 82, 201302 (2010). 86 T. Nakajima and S. Komiyama, Phys. Rev. B 85, 115310 112 H. Bruus and K. Flensberg, Many-body quantum theory in condensed matter physics (Oxford university press, 2004), 1st ed. 113 F. Dom´ınguez and G. Platero, Phys. Rev. B 80, 201301 (2012). 87 I. A. Merkulov, A. L. Efros, and M. Rosen, Phys. Rev. B 65, 205309 (2002). 88 S. I. Erlingsson and Y. V. Nazarov, Phys. Rev. B 66, 155327 (2002). 89 S. I. Erlingsson and Y. V. Nazarov, Phys. Rev. B 70, 205327 (2004). 90 W. A. Coish and D. Loss, Phys. Rev. B 72, 125337 (2005). 91 G. Petersen, E. A. Hoffmann, D. Schuh, W. Wegscheider, G. Giedke, and S. Ludwig, Phys. Rev. Lett. 110, 177602 (2013). 92 S. M. Frolov, J. Danon, S. Nadj-Perge, K. Zuo, J. W. W. van Tilburg, V. S. Pribiag, J. W. G. van den Berg, E. P. A. M. Bakkers, and L. P. Kouwenhoven, Phys. Rev. Lett. 109, 236805 (2012). 93 We remark that hysteretic behavior due to DNP is not limited to quantum dot systems, but have also been found experimentally e.g. in quantum Hall systems77,82. 94 Generally, the amount of polarization depends on the ex- periment performed. Petta et al.99 finds DNP on the order of ∼ 1% by doing slow cycles in gate-voltage space, where one nuclear spin is flipped per cycle. At the other end of the scale, optical methods can achieve DNPs of ∼ 60%68. 95 S. I. Erlingsson, O. N. Jouravlev, and Y. V. Nazarov, Phys. Rev. B 72, 033301 (2005). 96 B. Hu and X. R. Wang, Phys. Rev. B 87, 035311 (2013). 97 M. S. Rudner and L. S. Levitov, Phys. Rev. Lett. 110, 086601 (2013). 98 G. Ramon and X. Hu, Phys. Rev. B 75, 161301 (2007). 99 J. R. Petta, J. M. Taylor, A. C. Johnson, A. Yacoby, M. D. Lukin, C. M. Marcus, M. P. Hanson, and A. C. Gossard, Phys. Rev. Lett. 100, 067601 (2008). 100 S. Foletti, H. Bluhm, D. Mahalu, V. Umansky, and A. Ya- (2009). 114 Formally, this is equivalent to including the inter-dot tun- neling and the Overhauser field in usual degenerate per- turbation theory for the chosen states. nomenological term −WrelP in the DNP rate Eq.(10). 116 U. Peskin, J. Phys. B: At Mol. Opt. 43, 153001 (2010). 117 A. M. Lunde and G. Platero, Phys. Rev. B 86, 035112 115 The depolarization can be described by including a phe- (2012). 118 V. A. Abalmassov and F. Marquardt, Phys. Rev. B 70, 075313 (2004). 119 T. Meunier, I. T. Vink, L. H. Willems van Beveren, K.-J. Tielrooij, R. Hanson, F. H. L. Koppens, H. P. Tranitz, W. Wegscheider, L. P. Kouwenhoven, and L. M. K. Van- dersypen, Phys. Rev. Lett. 98, 126601 (2007). 120 V. N. Golovach, A. Khaetskii, and D. Loss, Phys. Rev. B 77, 045328 (2008). 121 J. Danon, arXiv:1302.7169 (2013). 122 V. Srinivasa, K. C. Nowack, M. Shafiei, L. M. K. Vander- sypen, and J. M. Taylor, arXiv:1303.1839 (2013). 123 For very low temperatures compared to the triplet energy splitting, the phonon absorption triplet-triplet rates be- come heavily suppressed (see Sec. II C 3). Thus, they can become comparable to the emission singlet-triplet rates, which in turn can cause the approximation used here to fail. However, due to the difference between the overall prefactors (WT,T ∝ A2 −), kbT indeed has to be very much lower than the triplet level splitting ET± − Ep in order for the triplet-triplet absorption and singlet-triplet emission rates to be comparable. Here we do not consider such very low temperatures. 124 Note for instance that if ET+ > ETp and we take WTp,T+ ∝ nB(ET+ − ETp ) + 1 and WT+,Tp ∝ nB(ET+ − + and WT,S ∝ A2 26 ETp ), then we get the same ratio on the right-hand side of Eq.(25) as if we use our model for the rates in Eq.(18). Thus, in this respect, the DNP becomes model indepen- dent. Here nB(E) = [eE/kbT − 1]−1 is the Bose function. 125 S. Strogatz, Nonlinear dynamics and chaos : with ap- plications to physics, biology, chemistry, and engineering (Perseus Books, 1994), 1st ed. 126 This can, for instance, be done by using that Eq.(26) for B = 0 goes from having one to three solutions for P , when the derivative of tanh[A+P/(4kbT )] at P = 0 is one. 127 Remember that all the non-zero HF rates between the triplets become equal in steady state due to the implicit polarization equation (24), and therefore the final and ini- tial state is not emphasized in the notation of w. 128 Note that there is no need to choose the rate ΓL explicitly in these plots. However, to specify the dimensionless hy- perfine rate w = WT+,Tp /ΓL Eq.(33b) one needs to give a number for ΓLN , where N is the total number of nu- clear spins in the DQD, around 104 − 107 depending on the material etc. Choosing ΓLN = 107µeV corresponds to -- for instance -- having ΓL ∼ 100µeV and N ∼ 105. 129 The lowest order term in the expansion in γine gives the same for γRL (cid:54)= 1. 130 N. W. Ashcroft and N. D. Mermin, Solid State Physics (Thomson Learning, 1976). 131 For gµBB < 0, the energy levels that cross are reversed, and ET− cross ES+ . This means that i.e. ET+ cross ES− one has to neglect other HF rates in order to construct a simple model describing the level crossing for gµBB < 0. However, this is straight forward following the same principles as outlined in the main text. 132 We note that this approach fails for the parameters con- sidered here, e.g. AL = 80µeV, AR = 70µeV, t = 100µeV, γph = 1µeV, ΓL = ΓR, ΓLN = 106µeV and γine = 10−7. Nevertheless, the approximation becomes better (but far from perfect) very close to the singlet-triplet crossing by increasing t to t = 104µeV, since this decreases the influ- ence of the triplet-triplet HF rates. However, we are not interested in such extreme cases of very large t. 133 To see that G(P ) > 0 and G(P ) (cid:54)= 0, we begin by not- ing that G(P ) is a sum of products of rates, which are all greater than or equal to zero. Thus, G(P ) ≥ 0. How- ever, at least one of these terms is always strictly positive, namely ΓT+,↑Γine > 0. Hence, G(P ) > 0. 134 We choose slightly different parameters in Fig. 11 com- pared to Fig. 7. Using the parameters of Fig. 7, a very sim- ilar transition temperature is found, Tc,st (cid:39) 2.81A+/kb, -- even though the inelastic rate dominates for magnetic fields close to the singlet-triplet crossing. 135 We remark that close to the critical temperature in Fig. 16, it is hard to obtain conclusive average polarization values of the Monte Carlo simulations due to fluctuations.
1802.01101
2
1802
2018-06-02T18:20:39
Universal quantum noise in adiabatic pumping
[ "cond-mat.mes-hall", "cond-mat.str-el" ]
We consider charge pumping in a system of parafermions, implemented at fractional quantum Hall edges. Our pumping protocol leads to a noisy behavior of the pumped current. As the adiabatic limit is approached, not only does the noisy behavior persist but the counting statistics of the pumped current becomes robust and universal. In particular, the resulting Fano factor is given in terms of the system's topological degeneracy and the pumped quasiparticle charge. Our results are also applicable to the more conventional Majorana fermions.
cond-mat.mes-hall
cond-mat
Universal Quantum Noise in Adiabatic Pumping Yaroslav Herasymenko,1 Kyrylo Snizhko,2 and Yuval Gefen2 1Instituut-Lorentz for Theoretical Physics, Leiden University, Leiden, NL-2333 CA, The Netherlands 2Department of Condensed Matter Physics, Weizmann Institute of Science, Rehovot, 76100 Israel (Dated: June 5, 2018) 8 1 0 2 n u J 2 ] l l a h - s e m . t a m - d n o c [ 2 v 1 0 1 1 0 . 2 0 8 1 : v i X r a We consider charge pumping in a system of parafermions, implemented at fractional quantum Hall edges. Our pumping protocol leads to a noisy behavior of the pumped current. As the adiabatic limit is approached, not only does the noisy behavior persist but the counting statistics of the pumped current becomes robust and universal. In particular, the resulting Fano factor is given in terms of the system's topological degeneracy and the pumped quasiparticle charge. Our results are also applicable to the more conventional Majorana fermions. Adiabatic quantum pumping, first introduced by Thouless [1], is a powerful instrument in studying proper- ties of quantum systems. The underlying physics can be related to the system's Berry phase [1], disorder config- urations [2], scattering matrix and transport [3], critical points [4], and topological properties [5–8]. In many cases [1, 4–8], adiabatic pumping is noiseless at zero temper- ature, as the same number of quanta (of charge, spin, etc.) is pumped every cycle and the pumping precision is increased (the noise vanishes) as the adiabatic limit is approached. On the other hand, noisy adiabatic quan- tum pumps are known and have been extensively studied [9–14]. The simplest (and a typical) example of such a noisy pump is two reservoirs of electrons connected by a junction described by a scattering matrix. As the phase of the reflection amplitude r is varied from 0 to 2π, an electron is pumped with probability r2 [9]. The proba- bilistic nature of the adiabatic pumping process relies on the degeneracy of scattering states. The pumped current and its noise are sensitive to r, which in turn is highly sensitive to the system parameters. In fact, in all such ex- amples [9–14], the pumped current and its noise depend on the details of the pumping cycle and/or of coupling the system to external leads. In this Letter, we implement the concept of adiabatic pumping to a setup of topological matter. We find that, when the adiabatic limit is approached, not only is the pumped current noisy (a manifestation of the degeneracy of the underlying Hilbert space), but it is also universal: the current and its noise become largely independent of the specific parameters used in the pumping cycle, and the related Fano factor is directly related to the under- lying topological structure; cf. Eq. (1). Before going into technical details, we now summarize the essence and the physical origin of our findings. Qualitative overview of our protocol.-The topologi- cal system underlying our adiabatic pump is an array of parafermions (PFs), depicted in Fig. 1a. Consider an example of the system employing fractional quantum Hall (FQH) puddles of filling factor ν = 1/3. Each of the superconducting (SC) domains, SCi, is character- ized by the fractional component of its charge Qi/e = (0, 1/3, 2/3, ..., 5/3), defined modulo 2e as charge quanta of 2e can be absorbed by the proximitizing SC. Each of the two SC domains in Fig. 1a thus has d = 6 states [15]. The system's topological nature renders the states of dif- ferent Qi degenerate, leading to d2-degenerate Hilbert space. Let us now consider a coherent source that is capable of injecting FQH quasiparticles (QPs) of charge e∗ = e/3 into SC1. As the coherent source of QPs, we employ a quantum antidot (QAD) [18–22], which is a de- pleted region in the FQH incompressible puddle that can host fractional QPs. At low energies, this injection can take place only at domain walls between SC1 and the neighboring FM domains. As a result of such an injec- tion, Q ≡ Q1 would change Q → (Q + 1/3) mod 2. The two trajectories of injection (through the left or the right domain wall) interfere with each other, implying that the probability of a successful injection may be smaller than 1 (and even tuned to 0). The latter, P (Q), depends on the domain charge Q. QAD1 used for the injection of QPs into SC1 is denoted as 1 in Fig. 1a. It turns out that in the limit of adiabatic manipulation with the QAD parameters, P (Q) can be either 0 when the interference is fully destructive or 1 otherwise [see the discussion after Eq. (12)]. By tuning P (Q = QB) = 0 for one of the system states QB, while P (Q (cid:54)= QB) = 1, one blockades the repeated injection of QPs as shown in Fig. 1b: starting from any state, the system eventu- ally arrives in Q = QB, stopping any further injection of quasiparticles. We dub this phenomenon a topological pumping blockade [23]. We now employ an additional QAD (QAD2, denoted as 2 in Fig. 1a) for lifting the blockade. A QP from QAD2 may be injected to either the second or the third do- main wall. In the former case it would change the SC1 charge QB → (QB + 1/3) mod 2, allowing for several more successful injections from QAD1, while in the lat- ter case the QP is injected to SC2, leaving Q unchanged. The probability of each outcome is governed by the QP tunneling amplitude from QAD2 to the respective do- main wall. Consider a protocol whose elementary cy- cle consists of d − 1 QP injection attempts from QAD1 (sufficiently many to reach the blockade irrespectively of 2 injection process. In the adiabatic limit, a tiny cycle- to-cycle variation of these parameters leads to a strong variation of the interference phases. Therefore, averaged over many pumping cycles, the probability of starting the cycle in any of the d possible states Q is the same and is equal to 1/d. The average current of charge pumped from QAD1 into the array, I, and its zero-frequency noise S, are then given, respectively, by I = I0 d − 1 2d , S = d + 1 6 e∗I, (1) where I0 = e∗/τ and τ is the duration of a single injection attempt. The model. Parafermions.-Following Refs. [27, 28], we consider a parafermion array realized on the bound- ary of two ν = 1/(2p + 1) FQH puddles, consisting of electrons of opposite spin; cf. Fig. 1a. The dynamics of the respective FQH edges is described by fields φs(x), s = ±1 =↑ / ↓, satisfying [ φs(x), φs(y)] = iπssgn(x − y) and [ φ↑(x), φ↓(y)] = iπ [28]. The edges support domains that are gapped by proximity coupling to a superconduc- tor (SC) or a ferromagnet (FM); H = Hedge+HSC+HFM, where Hedge = (v/4π) with edge velocity v, ´ L 0 dx (∂x N(cid:88) HSC = − ∆ N +1(cid:88) a HFM = −M j=1 dx cos SCj dx cos a j=1 FMj φ↑)2 + (∂x (cid:104) φ↓)2(cid:105) (cid:32) φ↑(x) + φ↓(x) (cid:33) (cid:32) φ↑(x) − φ↓(x) √ ν , (cid:33) √ ν (2) , (3) with ∆ (respectively, M) being the absolute value of the induced amplitude for SC pairing (for tunneling between edge segments proximitized by FMs), short- distance cutoff a, and N = 2 is the number of SC do- mains. All the proximitizing SCs (FMs) are implied to be parts of a single bulk SC (FM), respectively. The bulk SC is assumed to be grounded. For ∆a/v, Ma/v > √ 2πν2) when ν ≤ 1/3 [29] and for 2ν − ln 2ν − 1/(2 any nonzero values of ∆a/v and Ma/v when ν = 1, each domain has a gap for QP excitations. At low energies, each domain can be described by a single integer-valued operator [27, 28] √ (cid:12)(cid:12)(cid:12)(cid:12)(cid:12)x∈FMj /SCj (cid:26) mj, nj. = φ↑(x) ∓ φ↓(x) √ 2π ν (4) The only nontrivial commutation relation is [ mj, nl] = i/(πν) for j > l, while [ mj, nl] = 0 for j ≤ l. Be- ing integer-valued noncommuting operators, they are de- i.e., mj (nj) ∼ mj (nj) + d. fined modulo d = 2/ν, The fractional component of the jth SC domain's charge Qj is given by Qj mod 2e = e∗( mj+1 − mj) mod 2e = Figure 1. a - The system layout. In the regions proximitized by ferromagnets (FM) and superconductors (SC), the FQH edges (of opposite spin FQH puddles each of the same fill- ing factor ν) are gapped out in two respective distinct ways. Each domain wall between a SC and a FM region hosts PF zero mode operators (blue stars). The free edges of spin-↑ and spin-↓ parts are glued together by total reflection at the FMs. The bulk of the FQH puddles hosts quantum anti-dots (QADs, denoted as 1 and 2) - regions depleted by local gates. QADs behave as local enclaves that can support FQH QPs. Tunnel couplings (red dashed and dot-dashed lines) between QADs and parafermionic domain walls allow QPs to tunnel between them, influencing the state of the PFs. All the prox- imitizing SCs (FMs) are implied to be parts of a single bulk SC (FM), respectively. b - The mechanism of QAD1 pump- ing blockade. Under repeated pumping attempts, the system eventually reaches the state of SC1 domain charge Q = 0, in which pumping is blockaded. c - The elementary cycle of the protocol producing universal pumping noise. the system initial state) followed by disconnecting QAD1 from the array, then a single injection from QAD2, and finally disconnecting QAD2; cf. Fig. 1c. Then in each cy- cle the number of qps successfully injected from QAD1 is determined by the value of Q at the beginning of the cycle and should therefore be either 0 or 5 with the cor- responding probabilities. A more careful consideration, however, shows that the mere connection of QAD2 to the two domain walls si- multaneously allows for transfer of QPs between SC1 and SC2: a QP can jump (through a virtual or a real process) from one domain wall to the QAD and then to the other domain wall. As a result, any state Q at the beginning of the cycle is possible. For example, if the QP from QAD2 is injected to SC1 and on top of that k QPs are transferred from SC2 to SC1, then QB → (QB + (k + 1)/3) mod 2. Moreover, transfers of k and k + d QPs lead to the same value of Q, and, there- fore, these processes interfere. The interference phases of these processes are sensitive to such parameters as the strength of tunneling amplitudes between QAD2 and the domain walls, the QAD potential, or the duration of the ν [( mj+1 − mj) mod d], where e∗ = νe and e are, re- spectively, the charge of the fractional QP and the elec- tron charge, and we put e = 1. The parafermion ar- ray Hilbert space may be spanned by states m1, Q, m3(cid:105), where mj is the eigenvalue of mj and Q is the eigen- value of ( Q1 mod 2e). Alternatively, one can use the m1, S, m3(cid:105) with S being the eigenvalue of basis of ν [(n1 − n2) mod d]. The possible values for both Q and S are 0, ν, ..., (d − 1)ν ≡ 2 − ν [31]. These two bases are related as m1, S, m3(cid:105) = 1√ d eiπdQS/2m1, Q, m3(cid:105). (5) (d−1)ν(cid:88) Q=0 (cid:40) Our protocols involve tunneling fractional QPs into the parafermion array. At low energies such tunneling may take place only at the interfaces between different do- mains. The low-energy projection of the QP operators is given by (cf. Refs. [27, 28]) αjs = eiπν(nl+s ml), eiπν(nl+s ml+1), j = 2l − 1, j = 2l, , (6) where j is the domain wall number and s = ±1 =↑ / ↓ is the spin of the edge into which the QP tunnels. For ν = 1, αjs become Majorana fermions. In addition to the parafermion-hosting domain walls, quantum antidots are the second main ingredient of our model. We consider small QADs in the Coulomb block- ade regime. Such a QAD can be modeled as a system of two levels, q(cid:105) and q + ν(cid:105), corresponding to the QAD hosting charge q or q + ν respectively. The QP operator on the QAD and the QAD Hamiltonian assume then the forms (cid:18) HQAD = νVQAD (cid:18)0 0 (cid:19) (cid:19) , 1 0 ψQAD − 1 2 ψQAD = † ψ QAD (cid:18)1 0 0 −1 (7) (cid:19) , (8) = VQAD d (cid:88) where VQAD is an electrostatic gate potential. One can consider several QADs, each described by such a two- level Hamiltonian [32]. The Hamiltonian describing tunneling of QPs between a QAD and the PF system is Htun = ψQAD,s α † js + H.c. ηjs (9) j Here ηjs is the tunneling amplitude to the jth domain wall, and αjs is the PF operator in this domain wall. Fractional QPs can tunnel only through a FQH bulk but not through a vacuum. The QAD is embedded in the FQH puddle of spin s and is therefore coupled only to the PFs of the same spin; this is indicated by index s of the QAD operator. 3 Injection of a QP from QAD1.-In Fig. 1a, QAD1 is connected to parafermions α1↑ and α2↑. The tunneling Hamiltonian (9) then allows for transitions only between states q + ν(cid:105)QAD1m1, Q, m3(cid:105) ≡ 1(cid:105) and q(cid:105)QAD1m1, Q + ν, m3 +1(cid:105) ≡ 0(cid:105). The problem of QP tunneling can there- fore be mapped onto a set of 2×2 problems each described by the Hamiltonian (cid:18) 1 d VQAD(t) η∗ Q HLZ(t) = ηQ = e−iπνm1 − 1 d VQAD(t) ηQ η1↑ + η2↑e−iπ(Q+ ν , . (10) (11) (cid:16) (cid:19) 2 )(cid:17) For this Hamiltonian, consider the Landau-Zener prob- lem [33, 34]: VQAD(t) = ν−1λt with λ > 0; at t = −T the effective two-level system is prepared in the lower-energy state ψ(−T )(cid:105) = 1(cid:105) (1(cid:105) and 0(cid:105) are the diabatic states of the QAD–PF system). Then at t = +T it will generally be in a superposition of the two diabatic states. When T → +∞, the probability of staying in state 1(cid:105) (i.e., not injecting the QP) is PLZ = exp (−2πγ) , (12) where γ = ηQ2/λ. Unless ηQ = 0, the probability P (Q) = 1 − PLZ of switching from 1(cid:105) to 0(cid:105), i.e., of injecting a QP to SC1 domain, is exponentially close to 1 in the adiabatic limit (λ → 0, the limiting QAD po- tential V0 = ν−1λT = const (cid:29) maxQ ηQ). By fine- tuning η1↑/η2↑ = −e−iπ(QB+ ν 2 ) with a certain QB = 0, ν, ..., 2 − ν, one achieves P (QB) = 0. If the fine-tuning is imperfect, the precision of P (QB) = 0 is determined by how well ηQB is tuned to zero: Cλ im- plies P (QB) ≤ 1 − e−2πC ≤ 2πC. Summing up, in the adiabatic limit an injection attempt is either successful with unit probability or has zero probability of success depending on the system state Q and the tunneling am- plitudes' ratio η1↑/η2↑. Below, we employ QAD1 with the above fine-tuned tunneling amplitudes. A successful injection implies m1, Q, m3(cid:105) → eiθQm1, Q + ν, m3 + 1(cid:105) with phases θQ that are unimportant to us, while an un- successful one implies m1, QB, m3(cid:105) → m1, QB, m3(cid:105). ηQB ≤ √ The origin of the topological pumping blockade [Fig. 1b] now becomes clear. Define a pumping (injection) attempt as preparing QAD1 in the state q + ν(cid:105)QAD1, connect- ing QAD1 to parafermions, adiabatically sweeping VQAD from −V0 to V0, and disconnecting the QAD from the array. Prepare the array in a generic superposition of Q- states. A single injection attempt transforms the initial state of the QAD and parafermions: q + ν(cid:105)QAD1 AQm1, Q, m3(cid:105) → 2−ν(cid:88) q + ν(cid:105)QAD1A0m1, 2 − ν, m3(cid:105) 2−ν(cid:88) Q=0 + q(cid:105)QAD1 AQ−νeiθQ−νm1, Q, m3 + 1(cid:105), Q=ν 4 (13) ηS = eiπνm1 η2↓e−iπ(S+ ν 2 ) + η3↓ . (14) = AQm1, Q, m3 + 1(cid:105), (17) 2−ν(cid:88) S=0 where we assumed without the loss of generality that QB = 2 − ν. The injection attempt will be unsuccess- ful (projecting the state to Q = QB(cid:105)) with probability A02, while with probability 1 − A02 the pumping at- tempt will be successful, resulting in the Q-state being a superposition of m1, Q, m3 + 1(cid:105), Q = ν, ..., 2 − ν. After k − 1 such attempts, the array will be either in the state with Q = QB or in a superposition of Q between (k− 1)ν and 2− ν ≡ (d− 1)ν. Following d− 1 pumping attempts, the array state will definitely have Q = QB, and further pumping will be blockaded [cf. Fig. 1b]. Consider now in detail the process of injecting of a QP from QAD2. QAD2 is connected to parafermions α2↓ and α3↓, rendering m1, S, m3(cid:105) a convenient basis to work with. Indeed, the tunneling Hamiltonian (9) allows for transitions only between states q + ν(cid:105)QAD2m1, S, m3(cid:105) ≡ 1(cid:105) and q(cid:105)QAD2m1, S + ν, m3 + 1(cid:105) ≡ 0(cid:105). In this basis, tunneling from QAD2 is described by the same Hamilto- nian as in (10) except ηQ should be replaced with (cid:16) (cid:17) 2λ θS = (cid:19) (cid:18) (νV0)2 The physics of injecting a QP from QAD2 is therefore similar to that of injection from QAD1. However, we employ QAD2 only in the non-blockaded regime. In other words, ηS (cid:54)= 0 for all S. Therefore, in the adiabatic limit the injection is always successful, implying m1, S, m3(cid:105) → eiθSm1, S + ν, m3 + 1(cid:105) with phases ηS2 λ − π − i ln (νV0)2 ηS2 ηSηS + −(cid:113)ηS2 + (VQAD(t)/d)2; cf. Fig. 2. (15) These phases are of utmost importance for our proto- ´ col. The terms proportional to λ−1 can be understood as dynamical phases − −T ES(t)dt associated with the adiabatic states of the process having energies ES(t) = In the adiabatic limit λ → 0, these terms tend to infinity. As a result, the phase is highly sensitive even to the tiniest variations of the parameters involved. For a example, a small change δV0 (cid:28) V0 of the limiting QAD potential V0 modifies the phase by 1 + ln T . Figure 2. Energy of adiabatic states when injecting a quasi- particle from QAD2. The states of different S have different energies and hence accumulate different dynamical phase dur- ing the process. The sensitivity of the dynamical phase to the process parameters is the origin of universal noise in our pro- tocol. We are now in a position to discuss the pumping pro- tocol whose cycle is schematically shown in Fig. 1c. After the sequence of injection attempts from QAD1, the sys- tem evolves into a state with Q = QB, say, m1, QB, m3(cid:105). The injection of a QP from QAD2 evolves this state to eiθSm1, S + ν, m3 + 1(cid:105)(cid:104)m1, S, m3m1, QB, m3(cid:105) (cid:88) 2−ν(cid:88) S=0 1 d AQ = Q eiπd(Q−QB)S/2+iπQ+iθS . (18) Therefore, the probability of pumping r QPs from QAD1 in the next pumping cycle is given by AQ=QB−rν2. Assume that in each pumping cycle the limiting QAD2 potential V0 is slightly different. The phases θS exhibit then cycle-to-cycle fluctuations; we are interested in the probabilities AQ=QB−rν2 averaged over these fluctua- tions: 2−ν(cid:88) S,S(cid:48)=0 (cid:104)AQ2(cid:105)δV0 = 1 d2 Note that eiπd(Q−QB)(S−S(cid:48))/2(cid:104)ei(θS−θS(cid:48) )(cid:105)δV0. (19) δθS − δθS(cid:48) = 2 ηS2 − ηS(cid:48)2 λ δV0 V0 (20) ηS2 λ which diverges in the adiabatic limit. δV0 V0 (νV0)2 δθS = + 2 λ δV0 V0 , (16) diverges in the adiabatic limit for arbitrarily small fluc- tuations δV0, provided that ηS (cid:54)= ηS(cid:48); the latter is generically true. Hence, (cid:104)ei(θS−θS(cid:48) )(cid:105)δV0 = 0 for S (cid:54)= S(cid:48) and (cid:104)AQ2(cid:105)δV0 = 1/d. Therefore, the number of QPs of nature topological Discussion.-The pumped from QAD1 in each cycle has a universal proba- bility distribution, leading to a universal counting statis- tics of the pumping current. In particular, the average current and the zero-frequency noise are given by Eq. (1). our parafermion system gives rise to a degenerate set of "scattering states". The latter render charge pumping in the adiabatic limit noisy. In sharp contrast to earlier studies of noisy pumping, here the average current as well as the noise (and, in fact, the entire counting statistics) are found to be topology-related universal. Specifically, the Fano factor (d + 1)e∗/6 is directly related to the topological degeneracy d of the parafermionic space. In analogy with the quantum Hall effect, where static disor- der is needed to provide robustness to the quantized Hall conductance, here we require (minute) time-dependent (cycle-to-cycle) variations of the pumping parameters used for QAD2. Majorana zero modes are a special case of our protocol (d = 2). In that case, the system does not support fractional quasiparticles, and one pumps electrons (rather than fractionally charged anyons) into the array of topological modes; therefore, conventional quantum dots (rather than quantum antidots embedded in FQH puddles) can be employed. For realizing the Majorana array, one can use the boundary between two ν = 1 quantum Hall puddles or, alternatively, a set of Majorana wires. The Fano factor will then be 1/2. Acknowledgments. K. S. thanks A. Haim for discus- sions. Y. H. thanks the Kupcinet-Getz program at Weiz- mann Institute of Science during participation in which he joined this project. We acknowledge funding by the Deutsche Forschungsgemeinschaft (Bonn) within the network CRC TR 183 (Project No. C01) and Grant No. RO 2247/8-1, by the ISF, and the Italia-Israel project QUANTRA. Y. G. acknowledges funding by the IMOS Israel-Russia program. This text was prepared with the help of LyX software [35]. Y. H. and K. S. have made equal contributions. [1] D. J. Thouless, Phys. Rev. B 27, 6083 (1983). [2] B. Spivak, F. Zhou, and M. T. Beal Monod, Phys. Rev. B 51, 13226 (1995). [3] P. W. Brouwer, Phys. Rev. B 58, R10135 (1998). [4] E. Sela and Y. Oreg, Phys. Rev. Lett. 96, 166802 (2006). [5] L. Fu and C. L. Kane, Phys. Rev. B 74, 195312 (2006). [6] Y. E. Kraus, Y. Lahini, Z. Ringel, M. Verbin, and O. Zil- berberg, Phys. Rev. Lett. 109, 106402 (2012). [7] A. Keselman, L. Fu, A. Stern, and E. Berg, Phys. Rev. Lett. 111, 116402 (2013). [8] P. Marra, R. Citro, and C. Ortix, Phys. Rev. B 91, [9] A. Andreev and A. Kamenev, Phys. Rev. Lett. 85, 1294 125411 (2015). (2000). [10] J. E. Avron, A. Elgart, G. M. Graf, and L. Sadun, Phys. Rev. Lett. 87, 236601 (2001). 5 [11] Y. Makhlin and A. D. Mirlin, Phys. Rev. Lett. 87, 276803 [12] M. Moskalets and M. Büttiker, Phys. Rev. B 66, 035306 [13] M. Moskalets and M. Büttiker, Phys. Rev. B 70, 245305 (2001). (2002). (2004). [14] R.-P. Riwar, J. Splettstoesser, and J. König, Phys. Rev. B 87, 195407 (2013). [15] Our protocol is also applicable to Majorana fermions that can be obtained employing ν = 1 quantum Hall pud- dles or more conventional nanowires [16, 17]. Then each SC domain/nanowire has d = 2 states corresponding to Qi/e = 0 or 1. Instead of fractional quasiparticles, one would then pump electrons. [16] R. M. Lutchyn, J. D. Sau, and S. Das Sarma, Phys. Rev. Lett. 105, 077001 (2010). [17] Y. Oreg, G. Refael, and F. von Oppen, Phys. Rev. Lett. [18] S. A. Kivelson and V. L. Pokrovsky, Phys. Rev. B 40, 105, 177002 (2010). 1373 (1989). [19] S. Kivelson, Phys. Rev. Lett. 65, 3369 (1990). [20] V. J. Goldman and B. Su, Science 267, 1010 (1995). [21] V. Goldman, Physica E (Amsterdam) 1, 15 (1997). [22] I. J. Maasilta and V. J. Goldman, Phys. Rev. B 55, 4081 (1997). (2015). [23] Cf. Refs. [24–26] which address the phenomenon of topo- logical blockade, albeit not in the context of pumping. [24] K. Flensberg, Phys. Rev. Lett. 106, 090503 (2011). [25] B. van Heck, M. Burrello, A. Yacoby, and A. R. Akhmerov, Phys. Rev. Lett. 110, 086803 (2013). [26] A. Kamenev and Y. Gefen, Phys. Rev. Lett. 114, 156401 [27] N. H. Lindner, E. Berg, G. Refael, and A. Stern, Phys. Rev. X 2, 041002 (2012). [28] D. J. Clarke, J. Alicea, and K. Shtengel, Nat. Commun. 4, 1348 (2013). [29] The expressions follow from the analysis of renormaliza- tion group (RG) equations for a single infinite domain. The Hamiltonian for a single domain is essentially that of the sine-Gordon model, and the RG flow is that of the Berezinskii-Kosterlitz-Thouless transition [30, section 8.6]. [30] A. Altland and B. D. Simons, Condensed Matter Field Theory (Cambridge University Press, Cambridge, Eng- land, 2010). [31] For the sake of brevity, in the formulas below we allow values of Q and S beyond the interval [0; 2 − ν], implying that those are shifted to this interval by taking them mod 2. [32] In principle, one has to introduce Klein factors to en- sure appropriate permutation relations between the QP operators of different QADs and also between the QP operators and the PFs. However, it turns out that the Klein factors do not influence the physical observables in the present analysis. Indeed, they multiply the QAD QP operator by a phase that depends on the total charge of the PF system and on the occupation of the other QADs. However, these phase factors do not influence the observ- ables in the proposed protocol. [33] C. Zener, Proc. R. Soc. A 137, 696 (1932); L. D. Landau, Phys. Z. Sowjetunion 2, 46 (1932); E. C. G. Stueckelberg, Helv. Phys. Acta 5, 369 (1932); E. Majorana, Nuovo Ci- mento 9, 43 (1932). [34] L. D. Landau and E. M. Lifshitz, in Quantum Mech. Non- relativistic Theory (Pergamon, New York, 1977) 3rd ed., pp. 342–351. [35] LyX Team, http://www.lyx.org/. 6
1104.1473
2
1104
2011-05-26T21:32:01
The Scaling of the Anomalous Hall Effect in the Insulating Regime
[ "cond-mat.mes-hall" ]
We develop a theoretical approach to study the scaling of anomalous Hall effect (AHE) in the insulating regime, which is observed to be $\sigma_{xy}^{AH}\propto\sigma_{xx}^{1.40\sim1.75}$ in experiments over a large range of materials. This scaling is qualitatively different from the ones observed in metals. Basing our theory on the phonon-assisted hopping mechanism and percolation theory, we derive a general formula for the anomalous Hall conductivity, and show that it scales with the longitudinal conductivity as $\sigma_{xy}^{AH}\sim\sigma_{xx}^{\gamma}$ with $\gamma$ predicted to be $1.38\leq\gamma\leq1.76$, quantitatively in agreement with the experimental observations. Our result provides a clearer understanding of the AHE in the insulating regime and completes the scaling phase diagram of the AHE.
cond-mat.mes-hall
cond-mat
The Scaling of the Anomalous Hall Effect in the Insulating Regime Xiong-Jun Liu, Xin Liu1 and Jairo Sinova1, 2 1Department of Physics, Texas A&M University, College Station, Texas 77843-4242, USA 2Institute of Physics ASCR, Cukrovarnick 10, 162 53 Praha 6, Czech Republic (Dated: November 23, 2018) We develop a theoretical approach to study the scaling of anomalous Hall effect (AHE) in the insulating regime, which is observed to be σAH in experiments over a large range of materials. This scaling is qualitatively different from the ones observed in metals. Basing our theory on the phonon-assisted hopping mechanism and percolation theory, we derive a general formula for the anomalous Hall conductivity, and show that it scales with the longitudinal conductivity xx with γ predicted to be 1.38 ≤ γ ≤ 1.76, quantitatively in agreement with the as σAH experimental observations. Our result provides a clearer understanding of the AHE in the insulating regime and completes the scaling phase diagram of the AHE. xy ∝ σ1.40∼1.75 xy ∼ σγ xx PACS numbers: 75.50.Pp, 72.20.Ee, 72.20.My The anomalous Hall effect (AHE) is a central topic in the study of Ferromagnetic materials [1]. It exhibits the empirical relation ρxy = R0Bz +RSMz between the total Hall resistivity and the magnetization Mz and external magnetic field Bz. Here R0 and RS are respectively the ordinary and anomalous Hall coefficients. When trans- formed to an anomalous Hall conductivity (AHC), σAH xy , three regimes are observed with respect to its dependence on the diagonal conductivity, σxx. In the metallic regime the AHE σAH is observed to be linearly proportional xy to σxx for the highest metallic systems (σxx > 106Ω−1 cm−1) and roughly constant for the rest of the metallic regime. This dependence indicates the different domi- nant mechanisms in ferromagnetic metals. These are un- derstood to be the skew scattering, side jump scattering, and intrinsic deflection mechanisms. The intrinsic con- tribution is induced by a momentum-space Berry phase linked to the electronic structure of the multi-band SO coupled system [1, 2]. The side jump scattering mecha- nism gives the same scaling relation as the intrinsic con- tribution, i.e. σAH−sj xx, and the skew scattering is ∝ σxx. linear in the longitudinal conductivity, σAH−sk While these mechanisms are now better understood, the maximum scaling exponent of the AHC cannot exceed unity in the metallic regime [1]. ∝ σ0 xy xy xx On the other hand, experiments in the insulating regime exhibit an unexpected scaling relation of the AHC xy ∝ σ1.40∼1.75 σAH , which remains unexplained and a ma- jor challenge in understanding fully the phase diagram of the AHE [4 -- 14]. The available microscopic theories of metals fail in this regime since the condition kF l (cid:29) 1 is no longer satisfied for disordered insulators [1, 15]. The few previous studies of the AHE in the insulating regime focused on manganites and Ga1−xMnxAs; while the man- ganites do not exhibit this scaling, the studies on insu- lating Ga1−xMnxAs did not show this scaling [16 -- 18]. In this Letter we study the scaling of the AHE in the in- sulating strongly disordered amorphous regime, where at low temperatures charge transport results from phonon- assisted hopping between impurity localized states [19, 20]. We calculate the upper and lower limits of the AHC, and show it scales with σxx as σAH xx with γ predicted to be 1.38 ≤ γ ≤ 1.76, in agreement with the experimental observations. This scaling remains the same regardless of whether the hopping process is Mott- variable-range-hopping or influenced by interactions, i.e. Efros-Shkolvskii (ES) regime. xy ∼ σγ FIG. 1: AHE in the insulating regime. In this regime charge transport occurs via hopping between impurity sites. To capture the Hall effect one requires the hopping process between impurity sites (Fig. 1) to break the time- reversal (TR) symmetry. The two-site direct hopping preserves TR symmetry, and therefore more than two sites must be considered. The hopping through three sites, as depicted in Fig. 2, is the minimum requirement to model theoretically the ordinary Hall effect (OHE) [21]. The total hopping amplitude is obtained by adding the direct and indirect (through the intermediate k-site) hopping terms from i to j sites. The two hopping paths give rise to an interference term for the transition rate which breaks TR symmetry and is responsible for the Hall current in the hopping regime. For the OHE, the interference is a reflection of the Aharonov-Bohm phase, and for the AHE it reflects the Berry phase due to SO coupling. Furthermore, the dominant contribution to the Hall transport will be given by the one- and two-real- phonon processes through triads (Fig. 2) [21]. Our theory is based on a minimal tight-binding Hamil- tonian. With the particle-phonon coupling considered, the total Hamiltonian H = Hp + Hc + Hph, with (cid:88) (cid:88) (cid:88) iαλ iα Hp = Hc = iη Hph = ωλb iαciα − (cid:88) † ic ((cid:126)qλ · (cid:126)eλ)ω † λbλ. † tiα,jβ c iαcjβ + iα,jβ −1/2 λ (bλei(cid:126)qλ·(cid:126)r − b (cid:88) † † λe−i(cid:126)qλ·(cid:126)r)c iαciα iαβ † M · ταβ c iαciβ λ Here Hp describes localized states, Hc gives the particle- phonon coupling with η the coupling constant, Hph is the phonon Hamiltonian, α is the local on-site total angular momentum index, and i is the energy measured from the fermi level. Here we consider that the magnetization is saturated and thus assume M = M ez. The hopping matrix tij is generally off-diagonal due to SO coupling (see Supplementary Information (SI)). The localization regime has the condition tiα,jβ (cid:28) i − j in average. The specific form of the relevant parameters (tij, M , spin operator ταβ) are material dependent and do not affect the scaling relation between σAH xy and σxx. FIG. 2: (Color online) The hopping processes through triads with up to two real phonons absorbed or emitted. (Top) Typ- ical diagrams of the two-phonon direct and indirect hopping processes. (Bottom) One-phonon direct process and typical three-phonon (one real phonon) indirect hopping processes. Considering the dominant contributions to the longi- tudinal and Hall transports, we obtain the charge current between i and j sites in a single triad with applied volt- ages [17]: Iij = GijVij +Gijk(Vik + Vjk), with Gij the di- rect conductance and Gijk responsible for Hall transport. The formula of Iij gives the microscopic conductances in any single triad (see SI). To evaluate the macroscopic AHC, we need to properly average it over all triads in the random system. This is achieved with the aid of per- colation theory, a fundamental tool to understand the hopping transport. We first map the random impurity system to a ran- dom resistor network by introducing the connectivity be- tween impurity sites with the help of a cut-off Gc(T ). 2 When the conductance between two impurity sites sat- isfies Gij ≥ Gc, we consider the i, j sites are connected with a finite resistor Zij = 1/Gij. Otherwise, they are treated as disconnected, i.e. Gij → 0. The Hall effect will be treated as a perturbation to the obtained resis- tor network. The cut-off Gc should be properly chosen so that the long-range critical percolation paths/clusters appear and span the whole material, and dominate the charge transport in the hopping regime. The macroscopic physical quantities will finally be obtained by averaging over the percolation path/cluter. (cid:1). (cid:82) d3 (cid:126)Rijρ(j, (cid:126)Ri)Θ(cid:0)Gij − Gc The hopping coefficient generally has the form tiα,jβ = iα,jβe−aRij , with a−1 the localization length and Rij = t(0) Ri − Rj. The direct conductance holds the form Gij = 2 β(iα+jβ+iα−jβ), and then the cut- G0(T )e−2aRij− 1 off can be introduced by Gc = G0e−βξc(T ). Here βξc is a decreasing function of T , indicating the material in lated by n(i, ξc) = (cid:82) dj the insulating regime. The number of impurity sites con- nected to a specific site i with energy i can be calcu- (cid:80) Here Θ(x) is the step function and the DOS ρ(, (cid:126)Ri) ≈ i δ( − i) is approximated to be spatially homo- n(i, ξc) =(cid:80) 1 V geneous. The number n(i, ξc) can also be given by n Pn(i, ξc), with Pn(i, ξc) being the proba- bility that the n-th smallest resistor connected to the site i has the resistance less than 1/Gc. The function Pn reads e−xxn−1dx [2]. The percolation Pn(i, ξc) = 1 path/cluster appears when the average connections per impurity site ¯n = (cid:104)n(i)(cid:105)c reaches the critical value ¯nc, where the definition of (cid:104)...(cid:105)c is given in Eq. (6). Suppose a physical quantity F (1, ..., m; (cid:126)r1, ..., (cid:126)rm) being a m-site function, requiring the i-th site to have at least ηi sites connected to it. The averaging of F (; (cid:126)r) reads (cid:82) n(i) (n−1)! 0 (cid:90) (cid:90) (cid:90) (cid:104)F (; (cid:126)r)(cid:105)c = d1... dm d3(cid:126)r12... d3(cid:126)rm−1,m Pηi(i)F (1, ..., m; (cid:126)r1, ..., (cid:126)rm), (1) i=1 k≥ηi k≥ηi ity function Pηi(i) = ρ(i)(cid:80) (cid:80) where NF is a normalization factor and the probabil- Pk(i). The term Pk(i) entering the probability function has im- portant physical reason. The configuration averaging is not conducted over the whole impurity system, but over the percolation cluster which covers only portion of the impurity sites. Therefore the probability that an im- purity site belonging to the percolation cluster must be taken into account for probability function. Moreover, this probability function also distinguishes the physical origins of the AHC and σxx. For σAH xy one has ηi = 3, and for σxx one has ηi = 2. This indicates the averag- ing of σxx is performed along the one dimensional (1D) percolation path, while for AHE which is a two dimen- sional (2D) effect, one shall evaluate AHC over all triads connected in the 2D percolation cluster. (cid:90) × m(cid:89) 1 NF Numerical solutions show the critical site connectiv- ity is ¯nc = 2.6 ∼ 2.7 for the appearance of a percolation path/cluster in three dimensional materials [24, 25]. This indicates the triads are sparsely distributed in the per- colation cluster, as shown in Fig. 3. The AHC can be derived by examining the transverse voltage V H (along y the y-axis) induced by the applied longitudinal current I0. Denote by N (x) the number of triads distributed FIG. 3: (Color online) Typical resistor network in the ma- terial. The present situation indicates V H N in the region from x− ∆x to x + ∆x are zero, where no triads form. N−2 and V H y (x) =(cid:80)N (x) along the y-axis in the region around position x (Note M is along the z-axis, hence we assume the system in this direction to be uniform). The transverse voltage equals the summation over the voltage drops of the N (x) triads: V H can be obtained in the limit N (x) → ∞, which from Eq. (6) we find (see SI for details) . The average Hall voltage ¯V H y l=1 V H l (cid:80) (cid:2)Im(tiα,jβtjβ,kγtkγ,iα)T (3) (cid:80) i↔j↔k tijtjk2T (2) ij T (2) ijk jk (cid:3) αβγ σAH xy = 3Lσ2 xx kBT e2 (cid:104) (cid:105)c,(2) with L the correlation length of the network. Note the configuration integral given by Eq. (6) is first derived for the AHC in this letter. This is an essential difference from the former theory by Burkov et al [17], where the con- figuration averaging applies to the whole system rather than to 2D percolation cluster. With our formalism the key physics that Hall currents are averaged over perco- lation clusters can be studied, which is a crucial step to understand the insulating regime of the AHE phase dia- gram. The above configuration integral cannot be solved analytically. In the following we study the upper and lower limits of the AHC by imposing further restrictions in Eq. (2), with which the range of the scaling relation between σAH xy and σxx can be determined. The lower (upper) limit of the AHC can be formulated by keeping only the maximum (minimum) term in the denominator and the minimum (maximum) term in the 3 numerator. Furthermore, for simplicity we first approxi- mate the DOS to be constant although this approxima- tion is relaxed later. As a result, with further simplifica- tion (see SI) we find (cid:39) 3Lσ2 {σAH min max (cid:104)R xy }min kBT min max ijk (cid:105)c(cid:104) ijk (cid:105)c, (3) max xx e2t(0) max/min (cid:104)Rmax ijk (cid:105)c = ea(cid:104)Rij +Rjk−Rik(cid:105)cRij ,Rjk<Rik ,(cid:104)min ijk (cid:105)c = where (cid:104)Rmin e0.5β(cid:104)i+j+j−k−i−k(cid:105)ci<j<k, ijk (cid:105)c and (cid:104)max ijk (cid:105)c hold the same form for the calculation but the restrictions change to be Rij, Rjk > Rik and i > j > k, respectively. The coefficient t(0) max/min represents the maxmimum/minimum element in the matrix t(0) ij . It is instructive to point out the underlying physics of the two limits. In the hopping regime, charge transport may pre- fer a short and straight path in the forward direction with larger resistance than a long and meandrous path with somewhat smaller resistance [2, 19]. This picture in- troduces an additional restriction complementary to the percolation theory for charge transport. What bonds in a triad play the major role for the current flowing through it is determined by the optimization of the resis- tance magnitudes and spatial configuration of the three bonds. A quantitative description can be obtained by phenomenologically introducing an additional probabil- ity factor to restrict the charge transport [2, 19]. Here we only need to adopt this picture to present the two xy }min/max. To extreme situations corresponding to {σAH get the upper limit we assume that for each triad of the percolation cluster the two bonds with smaller direct con- ductance dominate the charge transport, i.e. the product of two smallest conductances minimize the denominator, and take the maximum value for the numerator of Eq. (2). The opposite limit corresponds to the situation that the two bonds with larger conductances in each triad dominate the charge transport. ijk (cid:105)c (cid:39) e0.483βξc, (cid:104)min For a constant DOS, one obtains straightforwardly the number n(i) and then the probability Pn(i). Substi- tuting them into Eq. (42) we finally obtain (cid:104)Rmin ijk (cid:105)c (cid:39) e0.156βξc,(cid:104)Rmax ijk (cid:105)c (cid:39) e0.086βξc , and ijk (cid:105)c (cid:39) e0.138βξc (see SI for details). The longitudi- (cid:104)max nal conductivity is obtained based on the 2-site func- tion Gij which should be no less than Gc in a perco- lation path. The result of σxx equals Gc divided by the correlation length of the network and takes the form σxx = σ0(T )e−βξc, where σ0(T ) gives at most a power- law on T [2, 22]. Comparing this form with the AHC, we reach {σAH γa/b xx with γa = 1.76 and γb = 1.38. This leads to the scaling relation, the central result of this Letter, between σAH xy and σxx of the AHE in the insulating regime: xy }min/max ∼ σ 2−γa/b 0 σ xy ∝ σγ σAH xx, 1.38 < γ < 1.76. (4) The maximum (minimum) of the AHC corresponds to 4 the smaller (larger) power index γb (γa). This scaling range can be confirmed with a numerical calculation of the Eq. (42). Furthermore, a direct numerical study for the configuration integral (2) gives the scaling exponent γ ≈ 1.62, which is consistent with our prediction of the lower and upper limits. So far in the calculation we have assumed a constant DOS. This approximation is applicable for the ferromag- netic system with strong exchange interaction between local magnetic moments and charge carriers (e.g. oxides, magnetites) and half metals in general. In this case we do not need to sum over spin-up and spin-down states which contribute oppositely to the AHE, and the previ- ous results are valid. n=0 σ(n) n 1 n! dnρ0 dn F xy = (cid:80)∞ DOS by ρ() =(cid:80) However, when the Fermi energy crosses both spin-up and -down impurity states, a symmetric DOS with ρ() = ρ(−) leads to zero AHC. This is because under the trans- formation l,σ → −l,−σ (l = i, j, k), Gijk changes sign, while Gij is invariant. Thus the averaging for AHC over all spin states and on-site energies cancels [17]. We re- lax the previous simplifying restriction by expanding the n, where  ≤ ξc and we con- sider ρ0 = ρ(F ) > 0. Substituting this expansion into Eq. (2) yields σAH xy , with the 1st and 2nd and d3ρ0 nonzero terms respectively proportional to dρ0 . d3 dF F We can similarly evaluate the lower and upper limits of σAH xy as before. The first two nonzero terms in the expan- sion are {σ(1) and σ {σ(2) γa/b xx . The appearance of M is due to the summation over the spin- up and -down states. We have also employed the result (cid:104)(cid:105)c = 0.112ξc. The specific formulas of σ0(T ) and ξc(T ) do not affect the qualitative scaling between σAH xy and σxx. For the Mott and ES hopping regimes, we have re- xy }min/max ∼ M dρ0 dF ξ3 c (T )σ xy }min/max ∼ 0.002M d3ρ0 spectively ξc = kBT(cid:0)T0/T(cid:1)1/4 and ξc = kBT(cid:0)T0/T(cid:1)1/2 d3 F ξc(T )σ 2−γa/b 0 2−γa/b 0 σ γa/b xx with T0 the constant depending on the DOS [2, 22, 27]. xy and σ(2) Note that σ(1) xy have different physical meanings. The term σ(1) xy dominates when the DOS varies monoton- ically versus . Furthermore, when the DOS has a local minimum at the Fermi level, which may be obtained due to particle-particle interaction (coulomb interaction), we have dρ/dF = 0. Then the term σ(1) xy varnishes and σ(2) xy dominates the AHE. The above results also indicate that the AHC may change sign when dρ0/dF or d3ρ0/d3 F changes sign, which is consistent with the observation by Allen et al [7]. Fig. 4 shows our theoretical prediction is consistent with the experimental observations of the scaling relation in this regime, hence completing the understanding of the phase diagram of the AHE. This work is supported by NSF under Grant No. DMR-0547875, NSF-MRSEC DMR-0820414, NHARP, and by SWAN-NRI, and the Research Corporation for FIG. 4: (Color online) Scaling relation between the AHC and longitudinal conductivity. The theoretical results are com- pared with the experimental observations. the Advancement of Science. [1] N. Nagaosa, J. Sinova, S. Onoda, A. H. MacDonald, and P. Ong, Rev. Mod. Phys. 82, 1539 (2010). [2] R. Karplus and J. M. Luttinger, Phys. Rev. 95, 1154 (1954). [3] M. Z. Hasan and C. L. Kane, Rev. Mod. Phys. 82, 3045 (2010). [4] B. A. Aronzon et al., JETP, 70 90 (1999). [5] A. V. Samoilov etal., Phys. Rev. B 57, 14032(R) (1998). [6] H. Toyosaki et al., Nat. Mater. 3, 221 (2004). [7] W. Allen et al., Phys. Rev. B 70, 125320 (2004). [8] Sh. U. Yuldashev et al., Phys. Rev. B 70, 193203 (2004). [9] K. Ueno et al., Appl. Phys. Lett. 90, 072103 (2007). [10] S. Shen, et al., J. Appl. Phys. 103, 07D134 (2008). [11] A. Fern´andez-Pacheco, et al., Phys. Rev. B 77, 100403(R) (2008). [12] D. Venkateshvaran, et al., Phys. Rev. B 78, 092405 (2008). [13] M. Glunk et al., Phys. Rev. B 80, 125204 (2009). [14] D. Chiba et al., Phys. Rev. Lett. 104, 106601 (2010). [15] S. Onoda, N. Sugimoto, and N. Nagaosa, Phys. Rev. Lett. 97, 126602 (2006). [16] S. H. Chun et al., Phys. Rev. Lett. 84, 757 (2000). [17] A. A. Burkov and L. Balents, Phys. Rev. Lett. 91, 057202 (2003). [18] A metallic theory introducing strong disorder broadening showed an above unity scaling outside its range of validity (kF l (cid:28) 1), but predicts, expectedly, metallic conductiv- ities at zero temperature and is therefore invalid in the insulating regime [1, 15]. [19] A. Miller and E. Abrahams, Phys. Rev. 120, 745 (1960). [20] N. F. Mott, Phil. Mag. 19, 835 (1969). [21] T. Holstein, Phys. Rev. 1, 1329 (1961). [22] V. Ambegaokar al., Phys. Rev. B 4, 2612 (1971). [23] M. Pollak, J. Non-Cryst. Solids 11, 1-24 (1972). [24] G. E. Pike et al., Phys. Rev. B 10, 1421 (1974). [25] H. Overhof, Phys. Stat. Sol. (b) 67, 709 (1975). [26] G. A. Fiete et al., Phys. Rev. Lett. 91, 097202 (2003). [27] A. L. Efros and B. I. Shklovskii, J. Phys. C 8, L49 (1975). 5 SUPPLEMENTARY INFORMATION FOR "SCALING OF THE ANOMALOUS HALL EFFECT IN THE INSULATING REGIME" HOPPING MATRIX In the case the magnetization is saturated and thus M = M ez, we rewrite the Hamiltonian Hp in the diagonal basis of the exchange term and obtain Hp = (cid:88) iαciα − (cid:88) † iαc † iαcjβ, tiα,jβ c (5) α iα,jβ where iα = i + M ταα. Below are two different examples. First, for the dilute Ga1−xMnxAs, the matrix tiα,jβ describes the hopping of the holes localized on the Mn impurities. Under the spherical approximation tiα,jβ can be obtained based on by a unitary rotation U (Rij) from the ez direction to the hopping direction i → j [1]. We thus have tiα,jβ = [U†(Rij)tdiagU (Rij)]αβ with tdiag = diag[t3/2, t1/2, t−1/2, t−3/2] representing the situation that the hopping direction is along the z axis. Another case is for the localized s-orbital electrons. In this case, the hopping is given by (∇V (r) × d(cid:126)r(cid:48)) with V (r) including tij = U†(Rij)[tij(1 + i(cid:126)vij · (cid:126)σ)]U (Rij). Here tij = diag[t1/2, t−1/2] and (cid:126)vij = α the ion and external potentials, the spin-orbit coupling coefficient α = /(4m2c2) and m the effective mass of the electron. (cid:82) (cid:126)rj (cid:126)ri CONFIGURATIONAL INTEGRALS The averaging of a m-site physical quantity F (1, 2, ..., m; (cid:126)r1, (cid:126)r2, ..., (cid:126)rm) along critical percolation path/cluster is given by (cid:104)F (1, 2, ..., m; (cid:126)r1, (cid:126)r2, ..., (cid:126)rm)(cid:105)c = (cid:90) (cid:90) d1 (cid:90) 1 NF (cid:90) ∞(cid:88) × × d2... dm × (cid:90) (cid:90) ∞(cid:88) d3(cid:126)r12 d3(cid:126)r23... d3(cid:126)rm−1,mρ(1) ∞(cid:88) k=n1 Pk(1)ρ(2) × Pk(2)...ρ(m) Pk(m)F (1, 2, ..., m; (cid:126)r1, (cid:126)r2, ..., (cid:126)rm), (6) k=n2 k=nm (cid:82) n(i) where Pn(i, ξc) = 1 n(i, ξc) in the percolation cluster. Note n(i, ξc) is a 1-site function. The averaging is straightforward and e−xxn−1dx [2]. Some examples are given below. The first one is the average value of (n−1)! 0 ¯n = (cid:104)n(, ξc)(cid:105)c = k=1 Pk(i) = k=1 Pk(i) . (7) The hopping conduction occurs when the average value ¯n reaches the critical value ¯nc. When the DOS ρ(i) = ρ0 is a constant, the number n(i) is given by n(i) = 2π 3 c − i2). Then we have (2akB T )3 (ξc − i)2(ξ2 (cid:82) din(i)ρ(i)n(i) (cid:82) din(i)ρ(i) ¯nc = 2π 3 ρ0 (2akBT )3 from which we obtain the cut-off value ξc by c − i2)2di c − i2)di (cid:21)1/4 (cid:20) (2akBT )3 ¯nc 0.406πρ0 . ξc(T ) = = 0.406π ρ0 (2akBT )3 ξ4 c , (8) (9) (cid:82) din(i)ρ(i)(cid:80)∞ (cid:82) dρ(i)(cid:80)∞ (cid:82) (ξc − i)4(ξ2 (cid:82) (ξc − i)2(ξ2 ρ0 Thus it gives βξc = (cid:18) T0 (cid:19)1/4 T , T0 = 16 a3 ¯nc kBρ0 , 6 (10) T which is the Mott law. Accordingly, if we assume the density of states ρ() ∼ 2, we obtain straightforwardly the [3]. Second, we give the formula for the longitudinal resistance based on the 2-site function Zij = 1/Gij. The longitudinal resistance for a percolation path is calculated by Efros-Shklovskii (E-S) law βξc =(cid:0) T0 (cid:1)1/2 (cid:82) dj (cid:82) d3(cid:126)rijZij(i, j; (cid:126)rij)ρ(i)(cid:80)∞ N(cid:82) di (cid:82) di (cid:82) dj (cid:82) d3(cid:126)rijρ(i)(cid:80)∞ (cid:82) d3(cid:126)rijZij(i, j; (cid:126)rij)ρ(i)[n(i, ξc)]2ρ(j)[n(j, ξc)]2 (cid:82) dj N(cid:82) di (cid:82) di (cid:82) dj (cid:80)∞ k=2 Pk(i) = n(i, ξc) − P1(i, ξc) ∝ [n(i, ξc)]2. We then reach (cid:82) d3(cid:126)rijρ(i)[n(i, ξc)]2ρ(j)[n(j, ξc)]2 k=2 Pk(i)ρ(j)(cid:80)∞ k=2 Pk(i)ρ(j)(cid:80)∞ where N is the number of links along the percolation path. The above formula can be simplified by the fact that . (12) , (11) k=2 Pk(j) k=2 Pk(j) ¯Rxx = ¯Rxx = The longitudinal resistivity is given by ¯Rxx/(ndLx), with nd the density of the percolation paths and Lx the length of the material along x direction [2]. Finally, if the physical quantity is a function of a triad with each site of the triad having at least three sites connected to it, the averaging of such physical quantity is given by (cid:82) d1d2d3 (cid:82) d3(cid:126)r12 (cid:82) d3(cid:126)r23F (; (cid:126)r)ρ(1)[n(1)]3ρ(2)[n(2)]3ρ(3)[n(3)]3 (cid:82) d1d2d3 (cid:82) d3(cid:126)r12 (cid:82) d3(cid:126)r23ρ(1)[n(1)]3ρ(2)[n(2)]3ρ(3)[n(3)]3 ¯F (1, 2, 3; (cid:126)r1, (cid:126)r2, (cid:126)r3) = . (13) The anomalous Hall conductivity/resistivity will be calculated with this formula. FORMULA FOR MACROSCOPIC ANOMALOUS HALL CONDUCTIVITY Now we show rigorously the formula for macroscopic AHC in the hopping regime. The transverse voltage difference for the region from x − ∆x to x + ∆x (Fig. 3 in the manuscript) reads Vy(x) = V H 1 + V H 2 + ... + V H N . (14) i i 's to be zero (see Fig. 3 in the manuscript). For the general situation we allow some V H In that case no triad forms for the incoming current Ii under the condition all direct conductances in a triad must be no less than Gc. To calculate V H , the voltage contributed by the i-th triad, we employ perturbation theory to the equation [4] k Gijk(Vik + Vjk). First, in the zeroth order, we consider only the normal current, namely, the Hall at each site. Then, ij = ij = 0, with which one can determine the voltage V (0) Iij = GijVij +(cid:80) current is zero and thus(cid:80) j Iij =(cid:80) for the first-order perturbation, we have (cid:80) ik ) = −(cid:80) (cid:80) (cid:80) (cid:88) (cid:88) j Iij = (cid:80) (cid:88) j GijVij +(cid:80) ij = 0, which leads to J (H) j GijVij. The current J (H) can also be written as = (cid:80) k Gijk(V (0) jk + V (0) j GijV (0) (cid:88) j J (H) j J (H) j i i i Gijk(V (0) ik + V (0) jk − V (0) ij − V (0) kj ) = GijkV (0) jk . (15) Gijk(V (0) jk + V (0) ik ) = 3 2 jk J (H) i = j k 1 2 jk For the hopping regime, the triads are dilutedly distributed and the Hall voltages induced by different triads are FIG. 5: (Color online) Resistor network transformation. considered to be uncorrelated. Therefore, we obtain the Hall voltage of the i-th triad from the transformation indicated in fig. 5 that 7 Gi1i2J (H) 3 − Gi1i3J (H) = V (H) i = V (H) i3i2 From the resistor network configuration one can see(cid:80)N (x) (cid:80) Gi1i2Gi2i3 + Gi1i3 Gi2i3 + Gi3i1 Gi1i2 y (x) =(cid:80) 2 i λi = 1. Generally V H Ii = 2I0. For convenience, we denote Ii = 2I0λi(x) with is a function of position x, and one needs to average it along the x direction. For a macroscopic system, one has N (x) → ∞. Furthermore, we consider at the position x, for each λi there are ni(x) number triads that have such same current fraction λi. Thus we have i V (H) i i 3IiG(i) i1i2i3 Gi1i2Gi2i3 + Gi1i3Gi2i3 + Gi3i1Gi1i2 = . (16) G(j) j1j2j3 Gj1j2 Gj2j3 + Gj1j3Gj2j3 + Gj3j1Gj1j2 j=1 , (17) (cid:90) ¯V H y = 6I0 1 Lx ni(cid:29)1(cid:88) (cid:88) {ni} dx λi (cid:82) dx(cid:80)ni(x) (cid:88) ¯niλi(cid:104) (cid:82) dx(cid:80){ni} λi To simplify this formula we extend the current distribution {λi} for the region between x − ∆x and x + ∆x to the whole space along x direction, and then we can exchange the order of the integral and the first summation: j=1 . In the limit N (x) → ∞ and the length Lx much larger than 1 Lx the typical length L of the triad, the calculation 1 j=1 gives the average of all possible configurations of the Lx triads through the percolating cluster. This leads to (cid:80)ni(cid:29)1 j=1 → (cid:80){λi} λi (cid:82) dx(cid:80)ni(x) 1 Lx G(i) i1i2i3 (cid:105)c, ¯V H y = 6I0 with ¯ni = (1/Lx)(cid:82) dxni(x) the average number of triads with in/outgoing current Ii. Note the identity(cid:80) is independent of position x, and therefore we have also (cid:80) i niλi = 1 i ¯niλi = 1. The transverse electric field is given by y /Ly. The longitudinal current density reads j0 = I0/(LyL), where LyL represents the area of the cross Gi1i2Gi2i3 + Gi1i3Gi2i3 + Gi3i1Gi1i2 ¯EH section. With these results we obtain the Hall conductivity y = ¯V H {λi} (18) xx(cid:104) xy = 6Lσ2 σAH = 3Lσ2 xx kBT e2 (cid:104) Gi1i2Gi2i3 + Gi1i3Gi2i3 + Gi3i1 Gi1i2 Gi1i2i3 (cid:80) αβγ (cid:105)c, (cid:2)Im(tiα,jβtjβ,kγtkγ,iα)T (3) (cid:3) ijk tijtjk2T (2) ij T (2) jk + tiktjk2T (2) ik T (2) jk + tijtik2T (2) ij T (2) ik where T (2) jk and T (3) ijk are defined by with ∆ij = iα − jβ, and ij = ∆ije T (2) − 1 2kB T (iα+jβ+iα−jβ), ijk = ∆ij∆ike T (3) +∆ij∆jke +∆ik∆kje − 1 2kB T (jβ+kγ+iα−kγ+iα−jβ) 2kB T (iα+kγ+jβ−kγ+iα−jβ) − 1 − 1 2kB T (iα+jβ+iα−kγ+kγ−jβ). The configuration integral will be performed according to the Eq. (13). (cid:105)c, (19) (20) (21) For the lower limit, we let Rij, Rjk < Rik, and iα < jβ < kγ. By keeping only the maximum term in the denominator and the minimum one in the numerator of the Eq. (19) we obtain UPPER AND LOWER LIMITS {σAH xy }min = 3Lσ2 (cid:39) 3Lσ2 xx xx kBT e2 kBT e2 1 t(0) max 1 t(0) max (cid:104)ea(Rij +Rjk−Rik)e 1 2kB T (iα+jβ+jβ−kγ−iα−kγ)(cid:105)c (cid:104)ea(Rij +Rjk−Rik)(cid:105)c(cid:104)e 1 2kB T (iα+jβ+jβ−kγ−iα−kγ)(cid:105)c. (22) To make the calculation realistic, we further consider the approximation by replacing the configuration integral of the exponential functions by configuration integral of the exponents. Then we get 8 {σAH xy }min (cid:39) 3Lσ2 xx kBT e2 1 t(0) max (cid:104)a(Rij +Rjk−Rik)(cid:105)cRij ,Rjk <Rik e e (cid:104) 1 2kB T (iα+jβ+jβ−kγ−iα−kγ)(cid:105)ciα<jβ<kγ. (23) Similarly, the upper limit can be formulated with the restrictions Rij, Rjk > Rik and iα > jβ > kγ. By the same procedure we obtain {σAH xy }max (cid:39) 3Lσ2 xx kBT e2 1 t(0) min (cid:104)a(Rij +Rjk−Rik)(cid:105)cRij ,Rjk >Rik e e (cid:104) 1 2kB T (iα+jβ+jβ−kγ−iα−kγ)(cid:105)ciα>jβ>kγ. (24) First we calculate the lower limit of AHC, which is given by Lower limit {σAH xy }min (cid:39) 3Lσ2 xx kBT e2t(0) max (cid:104)Rmin ijk (cid:105)c(cid:104)min ijk (cid:105)c, (25) (cid:104)Rij + Rjk − Rik(cid:105)c = (cid:82) didjdk where (cid:104)Rmin spin indices. The configuration integral (cid:104)Rij + Rjk − Rik(cid:105)cRij ,Rjk<Rik is given by ijk (cid:105)c = ea(cid:104)Rij +Rjk−Rik(cid:105)cRij ,Rjk<Rik ,(cid:104)min ijk (cid:105)c = e0.5β(cid:104)i+j+j−k−i−k(cid:105)ci<j<k. We neglect the (cid:82) d3Rij (cid:82) didjdk (cid:82) d3Rjkρ(i)[n(i)]3ρ(j)[n(j)]3ρ(k)[n(k)]3(Rij + Rjk − Rik) (cid:82) d3Rij (cid:82) d3Rjkρ(i)[n(i)]3ρ(j)[n(j)]3ρ(k)[n(k)]3 with Rij, Rjk < Rik. We shall first perform the integral over position(cid:82) d3Rij then R3 = Rik =(cid:112)R2 Nr =(cid:82) d3Rij (cid:82) d3Rjk. Let Rij = R1, Rjk = R2, and (cid:82) d3Rij (cid:82) d3Rjk(Rij + Rjk − Rik) with (cid:82) d3Rjk. To write down the explicit formula of this integral, we apply the restrictions: Ri ≤ Ri,max 2 − 2R1R2 cos θ. Denote by the integral I = 1Nr 1 + R2 (26) , and R1, R2 ≤ R3, with Ri,max determined through 2aRmax ij = Gc or Z max Gmin ij = 1/Gc). With the basic triangle geometry (Fig. 6) we obtain ij + 1 2 β(i + j + i − j) = βξc (from the condition FIG. 6: (Color online) Triangle geometry for the configuration integral over the position space. I = 1 Nr 8π2 (cid:90) π/2 (cid:90) R2max (cid:90) Rb 0 dθ dR2R2 2 (cid:90) Ra (cid:2)(cid:90) π 1 sin θ(R1 + R2 −(cid:113) dR1R2 dθ π/2 0 dR1R2 1 sin θ(R1 + R2 −(cid:113) R2 1 + R2 2 − 2R1R2 cos θ)(cid:3), R2 1 + R2 π/3 2R2 cos θ 2 − 2R1R2 cos θ) + where with (cid:113) Λ2 − R2 (cid:113) 2 sin2 θ}, Ra = min{R1max, R2 + Rb = min{R1max, R2 , R2 cos θ + 2 cos θ Λ2 − R2 2 sin2 θ}, (cid:2) ξc kBT − 1 2kBT (εi + εk + εi − εk)(cid:3)2 . Λ2 = 1 4a2 (27) (28) (29) (cid:82) R1max (cid:82) R2 cos θ+ R2 cos θ+ 0 √ √ Λ2−R2 2 sin2 θ Λ2−R2 2 sin2 θ (cid:82) R1max (cid:82) Rb Rb 2R2 cos θ dR1R2 dR1R2 when Rb < R1max. Based on these results, we find that + π/3 dθ 8π2 I ≤ 1 Nr (cid:90) π/2 Nr = 8π2(cid:2)(cid:90) R2max Employing the integral(cid:82) π/2 with 0 (cid:90) R2max (cid:90) R1max 0 dR2R2 2 dR1R2 2R2 cos θ (cid:90) π π/2 dθ dR2R2 2 π/3 dθ sin θ(cid:112)R2 0 finally I (cid:39) 2π2 Nr max − 1.576 R7 Nr 1 + R2 2 − 2R1R2 cos θ) , (30) 1 + R2 2 − 2R1R2 cos θ) ≥ 1 sin θ(R1 + R2 −(cid:112)R2 1 sin θ(R1 + R2 −(cid:112)R2 dR1R2 Λ2−R2 2 sin2 θ 1 sin θ dR1R2 1 sin θ 0 2 sin2 θ R2 cos θ+ Λ2−R2 Λ2 − R2 dR1R2 √ dR1R2 √ (cid:82) R1max (cid:82) R2 cos θ+ (cid:113) 1 sin θ(R1 + R2 −(cid:112)R2 (cid:82) R1max 1 sin θ(R1 + R2 −(cid:112)R2 (cid:82) Rb (cid:2)(cid:90) π (cid:90) R1max 1 sin θ(R1 + R2 −(cid:113) (cid:90) R1max 2R2 cos θ dR1R2 dR1R2 dR1R2 dθ π/2 Rb 0 dR1R2 1 sin θ + 1 sin θ 1 sin θ 1 + R2 2 − 2R1R2 cos θ) = 1 3R1R2 1 + R2 2 − 2R1R2 cos θ) ≥ 1 + R2 2 − 2R1R2 cos θ) 1 sin θ(R1 + R2 −(cid:113) R2 1 + R2 (cid:90) R2max 0 R2 1 + R2 2 − 2R1R2 cos θ)(cid:3), (cid:90) π/2 (cid:90) R1max (cid:2)(R1 + R2)2 − (R2 dR2R2 2 dθ π/3 2R2 cos θ 1 + R2 , (31) 2 − 2R1R2 cos θ) (32) 1 sin θ(cid:3). (33) dR1R2 2 − R1R2)3/2(cid:3), we get Therefore the integral domain is not uniquely specified and depends on the the integral variables, which makes the Eq. (27) be still not analytically solvable. We need to simplify it by amplifying the integral domain. From the geometry of the triangle composed of (R1, R2, R3), we can show the following inequalities: 9 which is needed in the case R2 cos θ + 2 sin2 θ < R1max, and π2R7 max (cid:39) 0.424π2R7 max/Nr (34) with Rmax = max{R1max, R2max}. It is easy to obtain the normalization factor as Nr = 23 over position given above we can now do it over the on-site energies. This gives 18 π2R6 max. After the integral (cid:82) didjdkρ(i)[n(i)]3ρ(j)[n(j)]3ρ(k)[n(k)]3R7 (cid:82) didjdk (cid:82) ρ(i)[n(i)]3ρ(j)[n(j)]3ρ(k)[n(k)]3R6 max max (cid:104)R1 + R2 − R3(cid:105)cR1,R2<R3 = 0.424 23/18 (cid:39) 0.156βξc/a. In above calculation we have considered the approximation that the density of states is a constant. Now we evaluate the average of energy. Similarly, the configurational average of the energy is given by 1 2kB T (cid:104)i + j + j − k − i − k(cid:105)ci<j<k = (cid:82) didjdkρ(i)[n(i)]3ρ(j)[n(j)]3ρ(k)[n(k)]3(i + j + j − k − i − k) (cid:82) didjdkρ(i)[n(i)]3ρ(j)[n(j)]3ρ(k)[n(k)]3 = 1 2kBT (36) To simplify the above integral, we check j − k − i − k with the restriction: i < j < k. For the case i) Sgn(i) = Sgn(j) = Sgn(k) = ±1, we have j−k−i−k = −i−j; For ii) Sgn(i) = Sgn(j) = −Sgn(k) = ±1, (35) . 10 we have j−k−i−k = −i−j; For iii) Sgn(i) = Sgn(k) = −Sgn(j) = ±1, we have j−k−i−k = −i−j; For iv) Sgn(j) = Sgn(k) = −Sgn(i) = ±1, we have j − k − i − k = i − j. For this we obtain that (cid:104)i + j + j − k − i − k(cid:105)c (cid:39) (cid:104)i + j − 1 2 i − j(cid:105)c. Then by a straightforward calculation one can verify that (cid:104)i + j + j − k − i − k(cid:105)ci<j<k = 0.086βξc. β 2 From eqs. (35) and (38) we have a(cid:104)Rij + Rjk − Rik(cid:105)Rij ,Rjk<Rik + β 2 (cid:104)i + j + j − k − i − k(cid:105)ci<j<k = 0.242βξc. The lower limit of the AH conductivity is then obtained by xy }min = 3Lσ2 {σAH xx form σxx = σ0(T )e−βξc (for the Mott hopping regime, one has βξc =(cid:0) T0 kBT e2t(0) max e0.242βξc. {σAH xy }min = 3Lσ0.242 0 kBT e2t(0) max xx ∝ σγ σ1.758 (cid:1)1/4 xx, γ (cid:39) 1.76. T ). We reach further The longitudinal conductivity σxx is given by Gc divided by the correlation length of the network and thus takes the (37) (38) (39) (40) (41) Now we show the result of the upper limit, which can be done in a similar procedure. The upper limit is given by UPPER LIMIT {σAH xy }max (cid:39) 3Lσ2 xx (cid:104)Rmax ijk (cid:105)c(cid:104)max ijk (cid:105)c, (42) kBT e2t(0) min 1 Nr I = 8π2 1 + R2 (cid:90) π/3 (cid:112)R2 (cid:90) R2max (cid:82) d3 (cid:126)R1 ijk (cid:105)c = ea(cid:104)Rij +Rjk−Rik(cid:105)cRij ,Rjk>Rik ,(cid:104)max ijk (cid:105)c = e0.5β(cid:104)i+j+j−k−i−k(cid:105)ci>j>k. To calcu- where (cid:104)Rmax late (cid:104)Rij + Rjk − Rik(cid:105)cRij ,Rjk>Rik we again consider first the integral I = 1Nr Ri ≤ Ri,max and R1, R2 ≥ R3, and with the triangle geometry (Fig. (6)) we obtain (cid:82) d3 (cid:126)R2(R1 + R2 − (cid:82) d3 (cid:126)R2. Note the integral restrictions for the upper limit are: 2 − 2R1R2 cos θ) with Nr = (cid:82) d3 (cid:126)R1 (cid:90) Ra (cid:113) 1 sin θ(R1 + R2 −(cid:112)R2 1 sin θ(R1 + R2 −(cid:112)R2 (43) 2 sin2 θ}. Again we simplify the integral by amplifying the where Ra = min{R1max, 2R2 cos θ, R2 cos θ + integral domain. For this we consider the following inequality: Λ2 − R2 1 sin θ(R1 + R2 −(cid:113) (cid:82) R1max (cid:82) R2 cos θ+ 2 − 2R1R2 cos θ), 2 − 2R1R2 cos θ) 2 − 2R1R2 cos θ) dR1R2 √ R2 1 + R2 dR2R2 2 dR1R2 1 sin θ 1 + R2 1 + R2 Λ2−R2 2 sin2 θ Λ2−R2 2 sin2 θ dR1R2 √ √ R2 cos θ+ Λ2−R2 ≥ 0 R2 2 cos θ dθ 0 0 , (44) 2 sin2 θ R2 cos θ+ (cid:82) R1max (cid:82) R2 cos θ+ (cid:113) (cid:90) π/3 Λ2 − R2 0 dR1R2 √ (cid:90) R1max Λ2−R2 2 sin2 θ dR1R2 1 sin θ 1 sin θ(R1 + R2 −(cid:113) which is needed in the case R2 cos θ + 2 sin2 θ < R1max. With this we find that (cid:90) R2max I (cid:39) 1 Nr 8π2 0 dR2R2 2 dθ 0 R2 2 cos θ dR1R2 R2 1 + R2 2 − 2R1R2 cos θ). (45) 0 lower dR1R2 (cid:82) π/3 0 dθ(cid:82) R1max R2 2 cos θ 8π2(cid:82) R2max By the same procedure used in the dR2R2 2 11 and Nr = 1 sin θ = 0.361π2R6 max. Further doing the integral over the on-site energies yields (cid:104)Rij + Rjk − Rik(cid:105)cRij ,Rjk<Rik = 0.483βξc/a. 2 β(cid:104)i +j +i − k−j − k(cid:105)ci>j>k can be simplified by checking i − k − j − k with the restriction: i > j > k. Through a similar analysis as applied in the lower limit one 2i − j(cid:105)c. Substituting this result into the original integral can verify (cid:104)i +j +i − k−j − k(cid:105)c (cid:39) (cid:104)i +j + 1 ijk (cid:105)c (cid:39) e0.483βξc, we obtain finally 1 (cid:104)max ijk (cid:105)c (cid:39) e0.138βξc, and the upper limit of the AHC by 2 β(cid:104)i +j +j − k−i − k(cid:105)ci>j>k = 0.1375βξc. For this we obtain (cid:104)Rmax limit we obtain I (cid:39) 0.3729π2R7 The configurational average of energy 1 max/Nr, {σAH xy }max = 3Lσ0.621 0 xx ∝ σγ σ1.379 xx, γ (cid:39) 1.38. kBT e2t(0) min (46) Based on the results obtained above we thus conclude {σAH xy } ∝ σγ xx with 1.38 < γ < 1.76. [1] G. A. Fiete, G. Zar´and, and K. Damle, Phys. Rev. Lett. 91, 097202 (2003). [2] M. Pollak, J. Non-Cryst. Solids 11, 1-24 (1972). [3] A. L. Efros and B. I. Shklovskii, J. Phys. C 8, L49 (1975). [4] H. Bottger and V. V. Bryksin., phys. stat. sol. (b) 81, 433 (1977).
1103.2895
2
1103
2011-07-08T01:45:13
Spin filling of valley-orbit states in a silicon quantum dot
[ "cond-mat.mes-hall" ]
We report the demonstration of a low-disorder silicon metal-oxide-semiconductor (Si MOS) quantum dot containing a tunable number of electrons from zero to N=27. The observed evolution of addition energies with parallel magnetic field reveals the spin filling of electrons into valley-orbit states. We find a splitting of 0.10 meV between the ground and first excited states, consistent with theory and placing a lower bound on the valley splitting. Our results provide optimism for the realization in the near future of spin qubits based on silicon quantum dots.
cond-mat.mes-hall
cond-mat
Spin filling of valley-orbit states in a silicon quantum dot W. H. Lim,1, ∗ C. H. Yang,1 F. A. Zwanenburg,1 and A. S. Dzurak1 1Centre for Quantum Computation and Communication Technology, School of Electrical Engineering & Telecommunications, The University of New South Wales, Sydney 2052, Australia (Dated: October 23, 2018) We report the demonstration of a low-disorder silicon metal-oxide-semiconductor (Si MOS) quan- tum dot containing a tunable number of electrons from zero to N = 27. The observed evolution of addition energies with parallel magnetic field reveals the spin filling of electrons into valley-orbit states. We find a splitting of 0.10 meV between the ground and first excited states, consistent with theory and placing a lower bound on the valley splitting. Our results provide optimism for the realization in the near future of spin qubits based on silicon quantum dots. Keywords: quantum dot, silicon, spin filling, valley splitting 1. Introduction Semiconductor quantum dots [1] are islands to which electrons can be added one by one by means of an elec- tric field. Like real atoms they have discrete quantum levels and can exhibit phenomena such as shell filling [2], where orbital levels are filled by spin-paired electrons to produce a spin-zero many-electron state. Quantum dots also provide a promising platform for spin qubits, which can have long coherence times due to the weak coupling of spins to local fluctuations in charge. For a quantum dot to be useful as a spin qubit it is essential to understand the details of its excitation spectrum and its spin-filling structure. One powerful method to probe the spin fill- ing is via magnetospectroscopy. This has been applied to both vertical [2] and lateral GaAs/AlGaAs quantum dots [3], showing ground-state spin filling in agreement with Hund's rule. Silicon devices are attractive for spin-based quantum computing [4, 5] and spintronics [6] because of their scala- bility and long spin coherence times [7]. Silicon quantum dots, in particular, have potential as electron-spin qubits, but to date it has not been possible to create devices with the low disorder present in their GaAs counterparts [2, 3]. This is primarily due to disorder at the Si/SiO2 interface, which has made it difficult to achieve single-electron oc- cupancy [8, 9]. In addition, the conduction band structure in silicon is complex and only a few experiments have been car- ried out to examine the spin states in either Si MOS or Si/SiGe quantum dots [9 -- 13]. The valley degree of free- dom makes the measurement and interpretation of spin states in all silicon-based dots non-trivial [14, 15], while for Si MOS dots the substantial amount of disorder usu- ally present at the Si/SiO2 interface impedes the ability to make smooth potential wells. In this work we present the investigation of a Si MOS quantum dot with lower disorder than any studied to date, in which it is possible to analyse the electron oc- cupancy in a manner previously inaccessible. We deduce the spin filling of the first 12 electrons in the dot from ground-state magnetospectroscopy measurements. The formation of a two-electron (N = 2) spin-singlet state at low magnetic fields confirms that there is no valley de- generacy present, while the magnetic field dependence of the higher-order Coulomb peaks allows us to deduce the level structure for the first four electrons. In the following section we present the architecture of the quantum dot and the charge stability diagram in the few-electron regime. We then, on section 3, study the valley-orbit states in this quantum dot and extract a valley-orbit splitting of 0.10 meV. In section 4 we investigate the spin filling of the first 4 electrons in this quantum dot in detail. We then present the spin filling of the 5th to 12th electrons, discussing some anomalies observed, before concluding in section 6. 2. Low-disorder silicon MOS quantum dot The triple-layer gate stack in our structure (Figure 1a and 1b) provides excellent flexibility for tuning the bar- rier transparency and the energy levels of the dot in- dependently, see Supplementary Information for fabrica- tion processes. The lowest layer defines the barrier gates (B1 and B2). They are used to define the dot spatially and control the tunnel coupling. The second layer of gates defines the soure-drain leads (L1 and L2). The lead gates induce the electron accumulation layers that act as source-drain reservoirs. The plunger gate (P) ex- tends over the barrier gates, lead gates and the dot is- land, and is used to control the electron occupancy of the dot. Figure 1c is a plot of the differential conductance dI/dVSD of the device versus plunger gate voltage VP and source-drain voltage VSD, showing the familiar "Coulomb diamond" charge stability map. Before the first charge transition the diamond edges open entirely to a source- drain voltage VSD > 20 mV, because the quantum dot has been fully depleted of electrons. We have previously reported a device with similar gate architecture but an accidental parallel quantum dot created distortion of the charge stability map in the few-electron regime, compli- cating the interpretation of the dot's level structure [8]. 1 1 0 2 l u J 8 ] l l a h - s e m . t a m - d n o c [ 2 v 5 9 8 2 . 3 0 1 1 : v i X r a 2 flat interface produces a potential step in the z-direction and lifts the degeneracy of the Γ-valleys in two levels separated by the valley splitting EV . Theoretical predic- tions for the valley splitting are generally on the order of 0.1 -- 0.3 meV [15, 20]. Experimental values in Si inver- sion layers mostly vary from 0.3 -- 1.2 meV [23]. A very large valley splitting of 23 meV in a similar structure has also been measured [24] and is explained in [20, 21]. Re- cently, resonant tunneling features spaced by ∼0.1 meV in a single-crystal silicon quantum dot were attributed to valley excited states [26], while measurements on Si/SiGe quantum dots revealed valley splittings in the range of 0.12 -- 0.27 meV [12]. Valleys and orbits can also hybridise [19], making it inappropriate to define distinct orbital and valley quan- tum numbers. Depending on the degree of mixing, the valley-orbit levels behave mostly like valleys or like or- bits. Instead of referring to a pure valley splitting we therefore adopt the term valley-orbit splitting, ∆EVO = EVO2 − EVO1 for the difference in energy between the first two single-particle levels, EVO1 and EVO2. This is sometimes referred to as the ground-state gap [19]. Full electrostatic control of the electron number allows us to investigate the spin filling by measuring the mag- netic field dependence of the electrochemical potential µN , which is by definition the energy required for adding the N th electron to the dot. The slope of µN (B) is given by [14] ∂µN ∂B = −gµB∆Stot(N ), (1) where g is the g-factor, the Bohr magneton µB = 58 µeV/T and ∆Stot(N ) is the change in total spin of the dot when the N th electron is added. The electrochemical potential has a slope of +gµB/2 when a spin-up electron is added, whereas addition of a spin-down electron results in a slope of −gµB/2. The rate at which µN changes with magnetic field thus reveals the sign of the added spin. For the experiments in this work we apply the magnetic field B parallel to the Si/SiO2 interface. The conductance at the first two charge transitions is plotted as a function of the electrochemical potential energy and the magnetic field in Figure 2b. Here, the Coulomb peak positions in gate voltage are converted to electrochemical potential µN using the lever arm αP ex- tracted from the corresponding Coulomb diamonds. The blue lines above the Coulomb peaks are guides for the eye with slopes of ±gµB/2, as predicted by equation (1) using g = 2 for bulk silicon. Since the first Coulomb peak moves down in energy with increasing magnetic field the peak corresponds to a spin-down electron enter- ing the quantum dot, as expected for the N = 1 ground state. For B ≥ 1 T the second Coulomb peak also falls in energy with increasing B at a rate close to −gµB/2, however, for low magnetic fields the peak noticeably in- creases in energy with B, leading to a "kink" (marked FIG. 1: a, Scanning electron microscope image and b, Schematic cross-section of a Si MOS quantum dot. c, Sta- bility diagram of the device in the few-electron regime. By decreasing the plunger gate voltage VP, electrons are depleted one-by-one from the dot. The first diamond opens up com- pletely indicating that the first electron has tunneled off the dot. d, Coulomb oscillations as a function of plunger gate voltage VP for the first 27 electrons in the dot. VP is com- pensated by VB2 to suppress the non-monotonic background conductance. Inset: Addition voltage Vadd versus electron number N calculated as the difference between two consecu- tive Coulomb peaks in plunger gate voltage. Here, clear and sharp Coulomb peaks mark the first 27 electrons entering the dot, see Fig. 1d, while the charge stability map of Fig. 1c shows no distortions from disor- der potentials. As with quantum dots in GaAs/AlGaAs [2], shell filling has very recently been observed in Si/SiGe quantum dots, with a filled shell structure observed for N = 4 electrons [12]. The addition spectrum of our Si MOS quantum dot (inset of Figure 1d) also shows a noticeable peak at N = 4. A filled shell at N = 4 would be consistent with the filling of a first orbital state in a two-valley system, however, an accurate description of orbital and valley levels in silicon quan- tum dots is somewhat more complex, as described below. 3. Valley-orbit splitting In recent years, valley physics in silicon has been stu- died extensively both theoretically [15 -- 22] and experi- mentally [23 -- 26]. In bulk silicon, there are six degenerate conduction band minima (valleys) in the Brillouin zone, as depicted in Figure 2a. Confinement of electrons in the z-direction at the Si/SiO2 interface lifts the six-fold valley degeneracy: four ∆-valleys with a heavy effective mass parallel to the interface have an energy several tens of meV higher than the two Γ-valleys [27]. The sharp and n+ohmicregionsnearintrinsicSisubstrateSiO2aluminumgatesoxidizedaluminumSDElectronReservoirB1L1L2B2PDotB1B2L1L2P100nmabcdN=05101.0VSD(mV)VP(V)1.52.020100-10-20dI/dVsd(nS)100010010112NN==00110022001122NVadd(V)0055110011552200225500..005500..110000..115544001122 3 netic field is applied, the spin-down and spin-up states are split by the Zeeman energy EZ. Above 0.86 T the spin-up state of valley-orbit level 1 (VO1) is higher in energy than the spin-down state of valley-orbit level 2 (VO2) and it becomes energetically favoured for the sec- ond electron to occupy the latter, i.e. VO2. At the kink the valley-orbit splitting equals the Zeeman energy, which is 0.10 meV at 0.86 T. With the interfacial elec- tric field of ∼2×107 V/m extracted from Technology Computer-Aided-Design modeling for our device struc- ture, the valley-orbit splitting agrees well with modeling results (0.08 -- 0.11 meV) based on the effective-mass ap- proximation [20, 22]. We note that if no valley-orbit mix- ing were present, then ∆EVO = 0.10 meV would place a lower bound on the valley splitting for this structure. For B > 0.86 T the first two electrons fill two differ- ent levels split by ∆EVO = 0.10 meV. We note that the presence of a doubly degenerate ground-state level would demand the two electrons to exhibit parallel spin filling starting from 0 T, since the two electrons would then occupy two different valley states in order to minimise the exchange energy [14]. A valley-degenerate state is therefore ruled out by the results in Figure 2b. To assess the degree of valley-orbit mixing we compare the expected values for the orbital level spacing and the valley splitting. As stated above, theoretical calculations of the latter predict 0.1 -- 0.3 meV. An estimate of the orbital level spacing in a quantum dot is given by 2π¯h2/gvgsm∗A [1], where gv (gs) is the valley (spin) degeneracy, m∗ the electron effective mass and A the dot area. For non-degenerate valleys, gv = 1 and gs = 2. Using the effective mass of 0.19m0, and the lithographic dot area of ∼ 30 × 60 nm2 we obtain an expected orbital level spacing of 0.7 meV. This value is considerably larger than the lower bound on the valley splitting, suggesting that the first two levels may be valley-like, however, to maintain generality we will continue to refer to the levels as valley-orbit states. 4. Spin filling of the first 4 electrons We now turn to the spin filling for N ≥ 2 electrons. Figure 3a shows the differential conductance as a func- tion of plunger gate voltage and barrier gate voltage VB2. The highly regular pattern of parallel Coulomb peak lines again demonstrates the low disorder in this device. In order to determine the spin filling for higher electron numbers we investigate the difference between successive electrochemical potentials as a function of magnetic field. The resulting addition energies Eadd(N ) = µN − µN−1 have slopes which depend on the spin filling of two con- secutive electrons, according to [28] ∂Eadd(N ) ∂B = 0 = −gµB = +gµB for ↓,↓ or ↑,↑ for ↑,↓ for ↓,↑ (2) FIG. 2: a, Conduction band minima (valleys) in bulk silicon, showing six ellipsoids of equal energy in the Brillouin zone. Each ellipsoid has two light traverse mass (mt) and a heavy longitudinal mass (ml). Under the z-direction confinement at the Si/SiO2 interface, the six-fold degenerate valleys split into two Γ-valleys (lower in energy) and four ∆-valleys (higher in energy). The sharp interface potentials split the Γ-valleys by an amount EV . b, Magnetospectroscopy of the first two elec- trons entering the quantum dot. The circle 2a marks a kink in the second Coulomb peak at ∼0.86 T. The arrows in the boxes (VO1 for valley-orbit 1 and VO2 for valley-orbit 2) represent the spin filling of electrons in the quantum dot. Coulomb peak positions in gate voltage are converted to energies using the lever arm αP extracted from the corresponding Coulomb dia- monds. c, A model showing that the valley-orbit splitting can be estimated from the magnetic field at which ∆EVO = ∆EZ, i.e. when the spin-up state of VO1 is at the same energy as the spin-down state of VO2. For B < 0.86 T, the first two electrons fill with opposite spins in the same valley-orbit level (left panel). As we increase the magnetic field, the Zeeman energy exceeds the valley-orbit splitting and the second elec- tron occupies a spin-down state in valley-orbit 2. The sign change appears as a kink and occurs when the valley-orbit splitting is equal to the Zeeman energy (0.10 meV). 2a) at B ∼ 0.86 T. This kink (2a) is confirmed by sev- eral repeated measurements over positive and negative magnetic field, see Supplementary Information (Fig. S2). These results imply that at low magnetic field (before the kink), the second electron fills the quantum dot with its spin up. As we increase the magnetic field (after the kink), the sign of the second electron spin changes from up to down at B ∼ 0.86 T. We note that in previous measurements on a similar quantum dot device, disorder and instability made it difficult to accurately probe this kink feature [8]. We explain the sign change observed here with a sim- ple model where the two lowest valley-orbit levels are separated by the valley-orbit splitting ∆EVO, see Figure 2c. At zero magnetic field, the first two electrons fill with opposite spins in valley-orbit level 1. When a mag- B=0TVO1VO2B~0.86TVO1VO2DDEZDDEVOB(T)N=0N=1N=2c02468kxkykzamlmt3D2D6degeneratevalleysConductionbandminimum4degenerateDD--valleys(±kx,±ky)2degenerateGG--valleys(±kz)ValleySplitting,EVbGGmtGGDD2aVO1VO2VO1VO2mmN(meV)01.22.41.2981.3061.1781.186VP(V)-gmmB12+gmmB12-gmmB12kykx 4 (up), in Fig. 3b. We now focus on the spin states of the these four elec- trons, N = 1 to N = 4. At low magnetic fields (< 0.8 T), the electrons populate the quantum dot ground states with alternating spin directions: ↓,↑,↓,↑. Conversely, at high magnetic fields (> 4 T) a configuration with four spin-down electrons has least energy: ↓,↓,↓,↓. Recently, parallel spin filling in a Si quantum dot was explained as a result of a large exchange energy and an unusually large valley splitting of 0.77 meV [9]. When the level spacing is smaller than the exchange energy, it is energetically favoured for two electrons to occupy two consecutive lev- els with the same spin sign. This is not the case for the device measured here: the anti-parallel spin filling of the first two electrons below 0.86 T is only possible in case of a small exchange energy (less than ∆EVO). This is an unexpected result for a dot of this size where the ex- change energy is predicted to be larger than the orbital level spacing [14]. Possibly the Coulomb interaction in the dot is strongly screened by the plunger gate. This is not unlikely since the distance from gate to dot (10 nm) is smaller than the dimensions of the dot itself (30−60 nm). In Figure 3c, we illustrate the magnetic-field evolution of four non-degenerate valley-orbit levels by means of an elementary model. Each level splits into spin-up and spin-down levels in finite magnetic field. We assume that the exchange interaction is small in comparison to the level spacing. The level crossings that follow from our model fit the kinks observed in the first four Coulomb peaks. The observed kink positions yield three valley-orbit levels which are 0.10, 0.23 and 0.29 meV above the lowest ground state level. The extracted level spacings for the first four valley-orbit states are then: EVO2 − EVO1 = 0.10 meV; EVO3 − EVO2 = 0.13 meV; and EVO4 − EVO3 = 0.06 meV. 5. Spin filling of electrons 5 -- 12 Finally in Figure 4, we plot the addition energies Eadd(N ) as a function of B for electrons N = 5 to 12. Once again, we predominantly observe slopes of ∂Eadd(N )/∂B = 0,±gµB, as expected from Equation (2). Occasionally, e.g. at N = 6 ↔ 7, a segment has a slope of ±2gµB, because the total spin on the dot changes by more than 1 2 . This can occur due to many- body interactions on the dot and lead to spin block- ade [29]. The latter phenomenon could also explain the suppression of current in the fifth charge transition at B = 2−5 T [10, 11, 14]. Also, the picture of alternating spin filling below 0.8 T no longer holds for N > 4. Unexpectedly, the fifth electron is spin up at low magnetic field, while the lowest-energy configuration predicts a spin-down state. This anomaly could be explained by an extra electron in a dot nearby, which alters the spin configuration of the main dot. Such a small dot can be created at high FIG. 3: a, Differential conductance dI/dVsd as a function of barrier gate voltage VB2 and plunger gate voltage VP at B = 0 T. The regular parallel Coulomb peaks are a signature of low disorder. b, Addition energies of the 3rd and 4th elec- trons versus magnetic field. Kinks are reproducible and ap- proximately symmetric over positive and negative magnetic fields. c, A simple model showing the evolution of single- particle energy levels EVOi of valley-orbit i assuming only the Zeeman shift. Each level splits into two levels EVOi ± 1 2 gµBB at non-zero magnetic fields. The level crossings fit the kinks observed in the first four Coulomb peaks shown in Fig. 2b and Fig. 3b. Figure 3b plots where the first (second) arrow depicts the spin of the (N − 1)th (N th) electron respectively. the measured addition energies, Eadd(N ) = µN − µN−1, for N = 2 to N = 4 electrons for magnetic fields B in the range of −8 T< B < 8 T. We see that the data in Fig. 3b tend to follow ∂Eadd(N )/∂B = 0,±gµB, as expected from Equation (2). Furthermore, the Eadd(N ) data is relatively symmetric about B = 0, indicating that the trends are real and not measurement artefacts. As a guide to the eye, we also show lines with slopes of exactly 0, ±gµB (blue lines in Fig. 3b) that we interpret the Eadd(N ) to be following. While in regions the match is not exact, we propose that these trend lines are the best qualitative fit to the data. We are thus able to infer spin states for each of the first 4 electrons at all values of magnetic field B < 8 T. These spin states are labelled with red (green) arrows, representing spin down b46820B(T)-6-4-2-8EVO1EVO2EVO3EVO4E(meV)2a3a4a3b4b4cN=2N=3N=4N=10.660.700.74VB2(V)1.401.802.202.60VP(V)dI/dVsd(nS)N=1VB1=0.78V1000100101N=10N=20aEadd(meV)0.0221133-1+10+1-10-1+1+10-1+1+10331144B(T)1.0((NN--11))11NN(2a,3a)(3b)(3a,4a)(3b,4b)(4c)c46820-6-4-2-80.51.5 5 6. Conclusion The results here show that silicon MOS quantum dots can be fabricated with the low levels of disorder neces- sary to form well-defined electron spin qubits in a host material that can be made almost free of nuclear spins. The excellent charge stability allows the spin states of the dot to be mapped up to N = 12 electrons and a valley- orbit splitting of 0.10 meV to be extracted. A recent theoretical study [15] has shown that a valley splitting of 0.1 meV is sufficient for the operation of a silicon double quantum dot as a singlet-triplet qubit, in analogy with recent experiments in GaAs [30]. Given that the valley- orbit splitting is strongly dependent on the interfacial electric field, it should be possible to further increase the splitting via appropriate device engineering. Our re- sults therefore provide real promise for the realization of low-decoherence spin qubits based upon silicon MOS technology. We thank M. Eto, R. Okuyama and M. Friesen for valuable discussions and comments on the manuscript. We thank D. Barber and R. P. Starrett for technical support. This work was supported by the Australian Re- search Council, the Australian Government, and by the U. S. National Security Agency (NSA) and U. S. Army Research Office (ARO) (under Contract No. W911NF- 08-1-0527). ∗ Electronic mail: [email protected] [1] Kouwenhoven, L. P. et al. in NATO Advanced Study In- stitute on Mesoscopic Electron Transport (eds Sohn, L. L., Kouwenhoven, L. P. & Schon G.) 105-214 (Springer, 1997). [2] Tarucha, S., Austing, D. G., Honda, T., van der Hage, R. J. & Kouwenhoven, L. P. Shell filling and spin effects in a few electron quantum dot. Phys. Rev. Lett. 77, 3613 (1996). [3] Ciorga, M. et al. Addition spectrum of a lateral dot from Coulomb and spin-blockade spectroscopy. Phys. Rev. B 61, R16315 (2000). [4] Loss, D. & DiVincenzo, D. P. Quantum computation with quantum dots. Phys. Rev. A 57, 120 (1998). [5] Kane, B. E. A silicon-based nuclear spin quantum com- puter. Nature 393, 133 (1998). [6] Zuti´c, I., Fabian, J. and Das Sarma, S. Spintronics: Fun- damentals and applications. Rev. Mod. Phys. 76, 323 (2004). [7] Tyryshkin, A. M., Lyon, S. A., Astashkin, A. V. & Rait- simring, A. M. Electron spin relaxation times of phospho- rus donors in silicon. Phys. Rev. B 68, 193207 (2003). [8] Lim, W. H. et al. Observation of the single-electron regime in a highly tunable silicon quantum dot. Appl. Phys. Lett. 95, 242102 (2009). [9] Xiao, M., House, M. G. & Jiang, H. W. Parallel spin filling and energy spectroscopy in few-electron Si metal- on-semiconductor-based quantum dots. Appl. Phys. Lett. 97, 032103 (2010). [10] Rokhinson, L. P., Guo, L. J., Chou, S. Y. & Tsui, D. C. FIG. 4: Addition energies of the 5th to 12th electron versus magnetic field, offset for clarity. Black numbers -2, -1, 0, +1 and +2 correspond to the slopes of the addition energy in mul- tiples of gµB and reveal the sign of the added spin (indicated as red and green arrows) according to equation (2). Coulomb peak spacings in gate voltage are converted to energies using the lever arm αP, which vary from 0.11 to 0.068 eV/V with in- creasing electron number. The measurement was taken along the dashed line marked in Fig 3(a) at VB2=0.738 V for the 5th to 7th electron. The addition energies of the 8th to 12th electron were taken at VB2=0.710 V. plunger gate voltages, where the potential well differs from a perfect parabola. As more electrons are added to the main dot, the wavefunctions extend further and would have more opportunity to spin-couple to the unintentional dot nearby, thus affecting the spin filling of electrons. +1-2-10-2+1-2-2-1000000-1-1+1+1551166661177048-4-8B(T)00-1-1-10+10+10-1+100+1011111111220123-1-1+1+1+1+100-1+1-1+10+1-1+10-1+10000+10-1+1-10+1-10+1-10+100-10+1000-10+10000000+1+1771188881199991111001100111111((NN--11))11NNEadd(meV)4544115500000+1+1 Spin transitions in a small quantum dot. Phys. Rev. B 63, 035321 (2001). [11] Hu, B. & Yang, C. H. Electron spin blockade and singlet- triplet transition in a silicon single electron transistor. Phys. Rev. B 80, 075310 (2009). [12] Borselli, M.G. et al. Measurement of valley splitting in high-symmetry Si/SiGe quantum dots. Appl. Phys. Lett. 98, 123118 (2011). [13] Simmons, C. B. et al. Tunable spin loading and T1 of a silicon spin qubit measured by single-shot readout. Phys. Rev. Lett. 106, 156804 (2011). [14] Hada, Y. & Eto, M. Electronic states in silicon quan- tum dots: Multivalley artificial atoms. Phys. Rev. B 68, 155322 (2003). [15] Culcer, D., Cywi´nski, L., Li, Q., Hu, X. & Das Sarma, S. Realizing singlet-triplet qubits in multivalley Si quantum dots. Phys. Rev. B 80, 205302 (2009). [16] Boykin, T. B. et al. Valley splitting in strained silicon quantum wells. Appl. Phys. Lett. 84, 115 (2004). [17] Friesen, M., Chutia, S., Tahan, C. & Coppersmith, S. N. Valley splitting theory of SiGe/Si/SiGe quantum wells. Phys. Rev. B 75, 115318 (2007). [18] Saraiva, A. L., Calder´on, M. J., Hu, X., Das Sarma, S. & Koiller, B. Physical mechanisms of interface-mediated intervalley coupling in Si. Phys. Rev. B 80, 081305(R) (2009). [19] Friesen, M. & Coppersmith, S. N. Theory of valley-orbit coupling in a Si/SiGe quantum dot. Phys. Rev. B 81, 115324 (2010). [20] Saraiva, A. L., Calder´on, M. J., Hu, X., Das Sarma, S. & Koiller, B. Intervalley coupling for silicon electronic spin qubits: Insights from an effective mass study. Preprint 6 arXiv:1006.3338 (2010). [21] Saraiva, A. L., Koiller, B. & Friesen, M. Extended in- terface states enhance valley splitting in Si/SiO2. Phys. Rev. B 82, 245314 (2010). [22] Culcer, D., Hu, X. & Das Sarma, S. Interface roughness, valley-orbit coupling and valley manipulation in quantum dots. Phys. Rev. B 82, 205315 (2010). [23] Kohler, H. and Roos, M. Quantitative determination of the valley Splitting in n-type inverted silicon (100) MOS- FET surfaces. physica status solidi (b) 91, 233 (1979). [24] Takashina, K., Ono, Y., Fujiwara, A., Takahashi, Y. & Hirayama, Y. Valley polarization in Si(100) at zero mag- netic field. Phys. Rev. Lett. 96, 236801 (2006). [25] Goswami, S. et al. Controllable valley splitting in silicon quantum devices. Nature Phys. 3, 41 (2007). [26] Fuechsle, M. et al. Spectroscopy of few-electron single- crystal silicon quantum dots. Nature Nanotech. 5, 502 (2010). [27] Ando, T., Fowler, A.B. & Stern, F. Electronic properties of two-dimensional systems. Rev. of Mod. Phys. 54, 437 (1982). [28] Hanson, R., Kouwenhoven, L. P., Petta, J. R., Tarucha, S. & Vandersypen, L. M. K. Spins in few-electron quan- tum dots, Rev. of Mod. Phys., 79, 1217 -- 1265 (2007). [29] Weinmann, D., Hausler, W. & Kramer, B. Spin blockades in linear and nonlinear transport through quantum dots. Phys. Rev. Lett. 74, 6 (1995). [30] Petta, J. R. et al. Coherent manipulation of coupled elec- tron spins in semiconductor quantum dots. Science 309, 2180 (2005).
1311.2681
1
1311
2013-11-12T05:57:56
Charge-noise-free Lateral Quantum Dot Devices with Undoped Si/SiGe Wafer
[ "cond-mat.mes-hall" ]
We develop quantum dots in a single layered MOS structure using an undoped Si/SiGe wafer. By applying a positive bias on the surface gates, electrons are accumulated in the Si channel. Clear Coulomb diamond and double dot charge stability diagrams are measured. The temporal fluctuation of the current is traced, to which we apply the Fourier transform analysis. The power spectrum of the noise signal is inversely proportional to the frequency, and is different from the inversely quadratic behavior known for quantum dots made in doped wafers. Our results indicate that the source of charge noise for the doped wafers is related to the 2DEG dopant.
cond-mat.mes-hall
cond-mat
3 Charge-noise-free Lateral Quantum Dot Devices 1 0 2 with Undoped Si/SiGe Wafer v o N 2 1 ] l l a h - s e m . t a m - d n o c [ 1 v 1 8 6 2 . 1 1 3 1 : v i X r a T. Obata1, K. Takeda1, J. Kamioka2, T. Kodera2,3, W.M. Akhtar1, K. Sawano4, S. Oda2, Y. Shiraki4, and S. Tarucha1,5. 1Department of Applied Physics, The University of Tokyo, Hongo, Bunkyo-ku, Tokyo, 113-8656, Japan 2Quantum Nanoelectronics Research Center, Tokyo Institute of Technology, O-okayama, Meguro-ku, Tokyo 152-8552, Japan 3PRESTO, Japan Science and Technology Agency (JST), Honcho Kawaguchi, Saitama, Japan 4Research Center for Silicon Nano-Science, Advanced Research Laboratories, Tokyo City University, 8-15-1 Todoroki, Setagaya-ku, Tokyo 158-0082, Japan 5RIKEN, Center for Emergent Matter Science (CEMS), Wako, Saitama, 351-0198, Japan E-mail: [email protected] We develop quantum dots in a single layered MOS structure using an undoped Si/SiGe wafer. By applying a positive bias on the surface gates, electrons are accumulated in the Si channel. Clear Coulomb diamond and double dot charge stability diagrams are measured. The temporal fluctuation of the current is traced, to which we apply the Fourier transform analysis. The power spectrum of the noise signal is inversely proportional to the frequency, and is different from the inversely quadratic behavior known for quantum dots made in doped wafers. Our results indicate that the source of charge noise for the doped wafers is related to the 2DEG dopant. KEYWORDS: SiGe, Quantum dot, undoped wafer 1. Introduction Single electron spin is one example of a two level system required to represent an elemental bit in quantum information processing. Important progress such as coherent manipulations of individual electron spins and elemental quantum gate operations are presented [1, 2, 4, 5], which drives studies of lateral double quantum dot (DQD) with Si/SiGe [7, 8] because one can make the decoherence time extremely long with isotopically purified Si/SiGe [11] without the need for any nuclear spin feed-back techniques [12 -- 14]. We previously fabricated lateral DQDs in a modulation doped Si/SiGe wafer and observed charge noise, which is one of the central problems in doped materials [9,10]. In order to further study the charge noise problem, we have fabricated lateral dot MOS devices using an undoped Si/SiGe wafer. We characterize the noise property by adopting a frequency analysis of the current through DQDs and find the power spectrum is inversely proportional to the frequency, not inversely quadratic. The latter is characteristic to the random telegraphic noise signals [9] therefore our results indicate the absence of telegraphic charge noise. This finding can suggest that the low frequency or telegraphic charge noise arises from ionized donors, and offer a stable sample fabrication method using an undoped Si/SiGe wafer. 1 (a) (b) Fig. 1. Schematic drawing of the sample and a channel conductance. (a) The sample has a MOS structure of a narrow channel in which a finite number of electrons are accumulated. (b) Gate bias dependence of the conductance through a MOS channel and calculated 2DEG density. The electron density is also calculated by Hall measurement (inset) at the position indicated by an arrow. 2. Sample fabrication We use a CVD grown undoped wafer (Fig. 1a) whose layer stacks are; 1. Si substrate, 2. 3µm thick graded buffer layer in which the germanium concentration linearly increases from 0 to 30%, 3. 1 µm thick Si0.7Ge0.3 buffer layer, 4. 15nm thick Si channel 5. 60nn thick Si0.7Ge0.3 without any dopant, 6. Si capping layer. The impurity concentration is well controlled to be less than 1014 atoms/cm−3. We micro-fabricate our sample on this undoped wafer. The active area for the 2DEG is re- stricted in a mesa area by reactive ion etching. Ohmic contacts are grown by ion-implantation of Antimony, followed by thermal annealing for re-allocation of the lattice. These contacts are covered with thin titanium and gold metals to protect the ohmic contacts against the following etching process for ohmic contacts. Hafnium oxide thin layer is added by an atomic layer deposition. This oxide is etched by RIE at the positions of the ohmic contacts. We deposit MOS gate metals for accumulating 2DEG layer and quantum dots. This MOS gate is stabilized by post-annealing at 300 degrees Celsius. We first fabricated a Hall bar to estimate the effective 2DEG density and its mobility at low temperature. The measurement result of the Hall bar is shown in Fig. 1b. An electron gas is accumulated with VMOS > 0.4V. We measured both transverse and longitudinal resistance by four-terminal measurement at 1.9K. The longitudinal resistance shows a small but clear undulation of the conductance. The transverse resistance shows the Hall resistance with small kinks around the conductance of 2e2/h. The reason why the kink is not just at 2e2/h would be due to imperfection of our wafer. When we increase the voltage, we have an abrupt increment of a strong leakage current which presumably comes down from the MOS gate to the boundary of the ohmic contacts. The typical 2DEG density and the mobility at VMOS = 0.6V is 1 × 1011cm−2 and 1 × 105cm2/V · s. 3. Coulomb oscillations We fabricate a MOS quantum dot device in Fig. 2(a), which has several surface met- als. The large metal is used for accumulating the 2DEG while the side gates are used for squeezing the 2DEG and forming tunneling barriers. The 2DEG is accumulated by applying a sufficiently large bias on the global gate at 0.3K. By tuning the gate voltages, a quan- tum dot is found in Fig. 2(a). We measure the conductance. The source-drain spectroscopy 2 (a) (b) Fig. 2. Coulomb oscillation and charge stability diagram. (a) Source-drain spectroscopy of the device. The well-known Coulomb diamond structure is obtained. Large asymmetry of the diamond is detected. Inset: The micrograph of the device. The conductance is measured from left to right beneath the wide metal, while it is modulated by the finger gates Lu, Ld, Ru, Rd, Cu, Cd. (b) The conductance modulation by side gate scan. The honeycomb-like structure is measured. reveals a Coulomb oscillation. The typical charging energy is about ∼1meV. An interesting feature found in Fig. 2 is the asymmetric shape of the Coulomb diamond and the asymmetric excited state around the side gate voltage of -0.7V. The asymmetric shape of the Coulomb diamond suggests the asymmetric shape of the electron orbital spread or the hypothesis that the quantum dot is a double quantum dot. In order to solve the internal mechanism of this asymmetry, we examined the side gate scan (Fig. 2(b)). We have observed two kinds of Coulomb peaks. One is modulated more by the voltages on Ru and Rd gates and the other is by Lu and Ld. This indicates we have two quantum dots in series; i.e. one is closer to the left and the other is closer to the right. At the crossing points of these peaks we have an enhancement of the current, and the peak positions undulate slightly. This phenomenon is a strong indication of a coupled double quantum dot. The current at the crossing point is completely suppressed when we make the voltages on Cu and Cd less than -0.2V. The center barrier is carefully adjusted to observe the data of Fig. 2(b) by tuning the voltages on Cu and Cd. In order to resolve the Coulomb peaks and the internal strtucture in the peaks due to the inter-dot coupling more clearly, we need to improve the gate structure to impose a strong confinement on the dots and a weak inter-dot tunnel coupling. 4. Frequency dependence of the current through the channel As was expected, we haven't measured any clear charge noise behavior. In order to specify the noise characteristics in an undoped wafer, we get a temporal signal at a fixed conductance at 4K and apply the Fourier analysis. The power spectrum is shown in Fig. 3. The frequency dependence of 1/f behavior is found and differs from that of doped wafers [9,10]. We applied finite bias on the side gates to squeeze the channel but we found no change of the dependence except for its magnitude. It is sometimes measured that the power spectrum of the signal shows 1/f 2 behavior with doped wafers, which would have a two-level fluctuation of the conductance. We can calculate the voltage fluctuation in VMOS by assuming all the conductance fluctu- ation is due to the gate fluctuation. The calculated value of this fluctuation is ∼ 10mV, which is 10 times larger than what we have reported [10]. We consider the reason that the MOS gate 3 Fig. 3. Fourier analysis of the conductance through the channel. (a) Power spectrum of the signal at constant voltage on VMOS. The signal is measured at 4K. The conductance is set at 20kΩ where the conductance is most sensitive to the fluctuation of MOS gate volt- age. has much larger surface area to accumulate all the area for quantum dots and reservoirs. The larger the metal is, the larger the capacitance is formed between the metal and 2DEG, which lowers the impedance. This largely fluctuates the gate leakage current and so does the gate voltage. The same analysis is done on VCu and VCd and we find this contribution is negligibly small, therefore the side gate voltage can be very stable. Our result instead suggests that the dopant layer in the doped wafer can attract a charge and temporarily disturb a constant flow of electrons in the tunneling process. 5. Conclusion We have used undoped Si/SiGe wafers to fabricated quantum dots by a single layer MOS gate. A stable 2DEG is accumulated by the MOS gate and electrically confined by applying a finite bias on the side gates. The temporal fluctuation of the signal through the Si channel is analyzed by the Fourier analysis and the power spectrum shows no telegraphic noise behavior, which strongly indicates the doped material can produce both 2DEG and trapping sites for charge noise. Our finding can offer a method to stably produce a lateral quantum dot with Si/SiGe devices. We gratefully thank J. Sailer, A. Wild, D. Bougeard, and G. Abstreiter for helpful discus- sions. This work was financially supported by GCOE for Physical Sciences Frontier, MEXT, Japan, Project for Developing Innovation Systems of the Ministry of Education, Culture, Sports, Science and Technology, MEXT, Japan, Grant-in-Aid for Scientific Research on In- novative Areas (21102003), MEXT, Japan, JSPS Grant-in-Aid for Young Scientists (B), Grant Number 24710148, and Funding for World-Leading Innovative R&D on Science and Technology (FIRST) Program, Japan. References [1] J. R. Petta, et al., Science 309, 2180 (2005). [2] F.H.L. Koppens et al., Nature 442, 766 (2006). [3] K.C. Nowack, F.H.L. Koppens, Y.V. Nazarov, and L.M.K. Vandersypen Science 318, 1430 (2007). [4] M. Pioro-Ladri`ere et al., Nat. Phys. 4, 776 (2008). [5] T. Obata et al., Phys. Rev. B 81, 085317 (2010). [6] R. Brunner et al., Phys. Rev. Lett. 107, 146801 (2011). [7] N. Shaji, et al., Nat. Phys. 4, 540 (2008). [8] B. M. Maune, et al., Nature 481, 344 (2012). [9] C. Buizert, et al., Phys. Rev. Lett. 101, 226603 (2008). [10] K. Takeda et al., Appl. Phys. Lett. 102, 123113 (2013). [11] A. Wild, et al., Appl. Phys. Lett. 100, 143110 (2012). 4 [12] M.S. Rudner and L.S. Levitov Phys. Rev. Lett. 99, 036602 (2007). [13] H. Bluhm et al., Nature Physics 7, 109 (2010). [14] T. Obata, M. Pioro-Ladri`ere, Y. Tokura and S. Tarucha New J. Phys. 14, 123013 (2012). 5
1903.06096
6
1903
2019-11-08T08:39:58
Thermoelectric property of a one dimensional channel in the presence of a transverse magnetic field
[ "cond-mat.mes-hall" ]
We studied the thermal conduction through a quantum point contact (QPC), defined in GaAs-AlGaAs heterostructure, in the presence of a transverse magnetic field. A shift in the position of thermo-voltage peak is observed with increasing field. The position of the thermo-voltage peak follows the Cutler-Mott relation in the small field regime (B < 0.5 T); it starts diverging from the Cutler-Mott relation in the moderate field regime, where a cubic magnetic field term dominates over the trivial quadratic term; eventually the shift saturates in the large field regime (B > 3.0 T). Our results suggest that additional calibration is necessary when using QPC as thermometry, especially when the transverse magnetic field is applied.
cond-mat.mes-hall
cond-mat
Thermoelectric property of a one dimensional channel in the presence of a transverse magnetic field Chengyu Yan,1, 2, 3, a) Michael Pepper,1, 2 Patrick See,4 Ian Farrer,5 David A. Ritchie,6 and Jonathan Griffiths6 1)London Centre for Nanotechnology, 17-19 Gordon Street, London WC1H 0AH, United Kingdom 2)Department of Electronic and Electrical Engineering, University College London, Torrington Place, London WC1E 7JE, United Kingdom 3)Micronova, Aalto University, Tietotie 3, Otaniemi, Espoo 02150, Finland 4)National Physical Laboratory, Hampton Road, Teddington, Middlesex TW11 0LW, United Kingdom 5)Department of Electronic and Electrical Engineering, University of Sheffield, Sheffield, S1 3JD, United Kingdom 6)Cavendish Laboratory, J.J. Thomson Avenue, Cambridge CB3 OHE, United Kingdom (Dated: 7 June 2021) We studied the thermal conduction through a quantum point contact (QPC), de- fined in GaAs-AlxGa1−xAs heterostructure, in the presence of a transverse magnetic field. A shift in the position of thermo-voltage peak is observed with increasing field. The position of the thermo-voltage peak follows the Cutler-Mott relation in the small field regime (B < 0.5 T); it starts diverging from the Cutler-Mott relation in the moderate field regime, where a cubic magnetic field term dominates over the trivial quadratic term; eventually the shift saturates in the large field regime (B > 3.0 T). Our results suggest that additional calibration is necessary when using QPC as thermometry, especially when the transverse magnetic field is applied. Thermal and electric conduction, in a conducting system, are generally strongly coupled to each other, the mode that carries charge is the same one that carries energy (heat). The coupling is found to be well established in two-dimensional electron gas (2DEG) in both the low magnetic field and integer quantum Hall regime1 -- 4, as well as one-dimensional electron gas5 -- 7. Some recent studies on the fractional quantum Hall regime (FQHE), where electron- electron interaction dominates, show different results regarding thermal conduction8 -- 11. In all these works, quantum point contacts (QPCs) or quantum dots (QDs) have been widely employed to probe the local temperature and reveal the heat flow through the system. However, the role of QPCs or QDs in terms of heat flow has not been well characterized, especially in the presence of a transverse magnetic field. In the present work, we focus on the impact of a transverse magnetic field on thermal conduction through a QPC. It is noticed the observations are well captured by Cutler- Mott relation at zero field; however, a noticeable discrepancy between Cutler-Mott relation and experimental results occurs at a finite magnetic field. A cubic magnetic field term, in terms of the position of the thermo-voltage peaks, dominates over the trivial quadratic term with a moderate magnetic field. Meanwhile, an anomalous lattice temperature dependence associated with the field is also revealed. Our results suggest that additional calibration is necessary when using QPC as thermometry. The thermoelectric property of a system is closely associated with a thermal gradient across the system. In the experiment setup [see note 1 of supplementary information for detailed setup] shown in Fig. 1(a) the whole system consists of three sections, once the split gates that define the quantum point contacts (QPCs) deplete electrons underneath the gates, namely the large 2DEG area with temperature T0 and the relatively small area enclosed by QPC1 (QPC2) with temperature T1 (T2). The QPCs serve as thermal valve a)Electronic mail: [email protected] 2 FIG. 1. Experiment setup and thermo-voltage at zero magnetic field. (a) Schematic of experiment setup. The squares at the edge of the mesa are Ohmic contacts. The shining metallic gates define QPC1 and 2, and the QPCs are separated by ∼1000 µm. The large separation ensures the coupling between the two QPCs is negligible. The schematic is not to scale. (b) The upper panel shows conductance characteristic of QPC2. The lower panel illustrates representative results of Vth as a function of V2 with QPC1 set to 3G0 (G0 = 2e2 h ). (c) Vth spectrum with both QPC1 and QPC2 set to quasi-1D regime (V1, V2 (cid:54) -0.3 V). The white dashed cut corresponds to results in (b). and local thermometry6,7,12. A heating current IH (at frequency f0, 33 Hz; 1 µA) is fed to the 2DEG to increase T0. Since T0 > T1,2, a thermo-voltage Vth is built between the large and small area (measured at 2f0, because heating power P ∝ I 2 H ). Vth depends on the conductance of the QPCs, it vanishes if the QPCs are transparent (i.e. the QPCs are set to n× 2e2 h , n is an integer), otherwise it takes a finite value. This behavior is well characterized by Cutler-Mott relation13,14 Vth,i Ti − T0 = − π2kB 3e (Ti + T0) ∂lnGi ∂µi (1) where Vth,i is the thermo-voltage between ith QPC and common 2DEG, kB is the Boltzmann constant, e is the elementary electron charge, Gi is the electric conductance of ith QPC and µi is the chemical potential within the QPCs. It is necessary to comment that the usage of two QPCs minimizes the effect due to temperature fluctuation in the large 2DEG region7,12. As a result, we focus on the net thermo-voltage drop between the two small area Vth = Vth,1 - Vth,2. However, most of the results can be obtained with a single-QPC setup. Fig. 1 (b) demonstrates the excellent agreement between Cutler-Mott relation (upper panel) and measured Vth (lower panel), which can be used to calibrate the system. The thermo-voltage was recorded by sweeping gate voltage applied to QPC2 whereas QPC1 was set to 3G0. Tuning V1 and V2 (gate voltage applied to QPC1 and 2) independently, we 3 FIG. 2. Magnetic field dependence of Vth peak position. (a) Trace in Fig. 1(b) as a function of transverse magnetic field (G1 = 3G0). A shift of position of Vth peaks, denoted as Vpos. (b) Position of the highlighted Vth peak in (a) (with respect to V2). Solid traces show two types of fitting with (red) and without (green) B3 term, respectively. Inset highlights contribution to peak shift from B2 and B3 terms. (c) Comparison between measured Vth peak position and prediction based on dlnG2 dV2 . Inset shows a zoom-in of low field regime. could extract a detailed Vth spectrum, as illustrated in Fig. 1(c). Well organized patterns were observed. The bright horizontal segments happened when QPC2 was transparent, and QPC1 was not; vice versa for the vertical segments. The dark square region corresponded to the situation when both QPC1 and QPC2 were transparent. A complete spectrum, including the 2D regime, can be found in supplementary material, S1. After calibrating the measurement system at zero magnetic field, a transverse magnetic field B⊥ was applied to the sample. B⊥ is expected to influence the thermal property of the system because it affects the electron cooling and heat generation processes. At zero field, the temperature reaches maximal at the center of the sample then decreases to reach the lattice temperature at the contacts; in the presence of a transverse magnetic field, the heat is generated when the edge channels connect to the contacts, creating two hot spots close to each contact and located on opposite sides (top and bottom) of the sample15. It is necessary to comment that the position of thermo-voltage peak with respect to gate voltage Vi is mainly determined by the i th QPC within the range of magnetic field in the present work. Using a single QPC or double QPCs leads to a similar result, as illustrated by Fig. S1. On the other hand, the amplitude of the peak could be sensitive to the arrangement of the QPCs. For instance, the amplitude of thermo-voltage peak with the QPCs locate at the same edge may differ from that with the QPCs locate at the opposite edges. Here we present a detailed magnetic field dependence of trace in Fig. 1(b) (i.e. QPC1 is set to 3G0 at zero field). It was found that the field had a twofold impact, as demonstrated in Fig. 2: first, the magnitude of Vth peaks was enhanced noticeably by B⊥, which is consistent with the predicted increase in thermopower (hence thermo-voltage) if size quantization owing to QPC is strong16; this enhancement is also related to the fact the hot spot moves 4 FIG. 3. Lattice temperature dependence of Vth peaks. (a) Temperature dependence at zero field. (b) Fitting of δVth against peak index at 200 mK at zero field. (c) Universal scaling behavior.(d) Temperature dependence at B⊥ = 0.8 T. (e) Upper panel shows the SdH oscillation of the raw 2DEG. The red dots highlight the magnetic field values presented in the lower panel. The nor- malized magnitude of Vth peak 1, the highlighted peak in Fig. 2(a) [it occurs at different V2 with increasing B⊥], at the different magnetic field as a function of lattice temperature ranging from 20 mK to 1.6 K. The measured results at higher temperature are normalized against that at 20 mK. towards the edge of the sample; second and more important, a shift in the position of Vth peaks was introduced by B⊥ as shown in Fig. 2(a) and (b) [also supplementary material, Fig.S2]. The position of Vth peak changed rapidly when -3 T < B⊥ < 3 T, and then saturated (when bulk Landau filling factor approaches ν = 2). The peak position follows such a relation Vpos = c3B3+c2B2+c0 [red solid trace in Fig.3(b)]. The B2 term dominated in small field regime whereas B3 became the leading factor when B⊥ (cid:62) 0.5 T. In addition, it was also noticed that the Vth peak position agreed well with that predicted by ∂lnG2 (i.e., ∂V2 Cutler-Mott relation) in small field (B⊥ < 0.6 T); however, it diverged significantly from the prediction in a large field regime [Fig. 2 (c)]. Besides, ∂lnG2 split into two spin-resolved ∂V2 branches with increasing field due to Zeeman splitting whereas there was no sign of such splitting in Vth peak. In principle, both Vth and ∂lnG2 ∂V2 monitor the position of the 1D subbands within the QPC, 5 which determine the heat and charge transport through the QPC. The fact that Vth peak correlates with ∂lnG2 in a small field regime where B2 dominated, indicates that the B2 term ∂V2 arises from magnetic depopulation induced 1D subbands rearrangement17,18. The origin of the B3 term, on the other hand, was unclear. Higher-order B dependence can be induced if the electrostatic confinement is not parabolic, for instance, due to disorder17; however, the impact of non-parabolic confinement should also be revealed in electrical measurement ∂lnG2 . Besides, the parabolicity of electrostatic confinement is double-checked by fitting the conductance to the saddle point model [note 2 and Fig. S3 of supplementary information]. Therefore the B3 term is a unique characteristic of heat transport. ∂V2 Anomalous temperature dependence associated with the magnetic field was also observed, as shown in Fig. 3 [see note 3 of supplementary information for details on the fitting]. It was found that the magnitude of thermo-voltage peak attenuated rapidly with increasing lattice temperature at low magnetic field similar to the previous report7,12 as seen in Fig. 3(a), and exhibited a universal scaling [Fig. 3(b) and (c)]; on the other hand, it was rather insensitive to temperature change in the regime where B3 term dominates, as exemplified by results at B = 0.8 T in Fig. 3(d). It is intuitive to think that the observed temperature might be relevant with the edge channels because the QPC is attached to the hot spot near the Ohmic contact via the medium of edge channels. This scenario is somehow not supported by the data shown in Fig. 3(e). It is particularly interesting to note Vth obtained at 500 (Shubnikovde Haas dip), 530 (peak) and 550 mT (dip) showed similar behavior, whereas it was well known that electrical measurements at SdH dip and peak had rather distinguishable temperature dependence19 -- 21. The results at zero magnetic field can be well described by Cutler-Mott relation, which is a single-electron framework. Our results suggest this framework does not hold in the presence of a finite transverse magnetic field. It is helpful to discuss several mechanisms beyond single-electron framework which are known to affect thermal conduction: I. Phonon drag. Phonon drag augments thermopower (also thermo-voltage) with increas- ing magnetic field22,23. However, phonon drag usually freezes out below 0.6 K in the GaAs heterojunction23. In our experiment, there was no sign of phonon drag switching on/off at the finite magnetic field. II. A spin density wave (SDW). An SDW can be induced by a transverse magnetic field24 -- 27, and it is separated from the single-particle mode by an energy gap28,29. The melting temperature of an SDW is enhanced by the magnetic field26,30, which might result in the observed evolution in temperature dependence. However, observations on an SDW in GaAs heterojunction usually involve excitation between several 2D subbands28,29, which is unlikely to be found in the current experiment. III. Electron-electron (e-e) interaction. It is known that e-e interaction can make thermal conduction of a 1D system diverge from Cutler-Mott relation, such as the finite thermopower at '0.7 conductance anomaly'7,31. However, a detailed theory on QPC-mediated thermal conduction that incorporates electron-electron interaction, especially in the presence of a transverse magnetic field, is lacking. More specifically, it is difficult to comment at the moment why the e-e interaction prevents the occurrence of spin splitting in Vth. In conclusion, we have observed unexplained thermal conduction through a 1D channel in the presence of a transverse magnetic field. The magnetic field and temperature dependence indicate that the thermal conduction at the finite magnetic field is beyond the single-particle picture. The results are important when employing the QPC to probe energy flow in integer/fractional quantum Hall or other exotic systems, where the magnetic field plays an important role. See the supplementary material for the results under different experimental conditions and details on the fitting of temperature dependence data. The authors gratefully acknowledge fruitful discussions with Karl-Fredrik Berggren, Kalarikad Thomas, and James Nicholls. The work was funded by United Kingdom Re- search and Innovation (UKRI). 1C. Possanzini, R. Fletcher, P. T. Coleridge, Y. Feng, R. L. Williams, and J. C. Maan, "Diffusion ther- 6 mopower of a two-dimensional hole gas in SiGe in a quantum Hall insulating state". Phys. Rev. Lett. 90, 176601 (2003). 2C. Possanzini, R. Fletcher, M. Tsaousidou, P. T. Coleridge, R. L. Williams, Y. Feng, and J. C. Maan, "Thermopower of a p-type Si/Si1−xGex heterostructure". Phys. Rev. B 69, 195306 (2004). 3Y. M. Zuev, W. Chang, and P. Kim, "Thermoelectric and magnetothermoelectric transport measurements of graphene". Phys. Rev. Lett. 102, 096807 (2009). 4G. Granger, J. P. Eisenstein, and J. L. Reno, "Observation of chiral heat transport in the quantum Hall regime". Phys. Rev. Lett. 102, 086803 (2009). 5P. Streda "Quantised thermopower of a channel in the ballistic regime". Journal of Physics: Condensed Matter 1, 1025 -- 1027 (1989). 6L. W. Molenkamp , H. Van Houten, C. W. J. Beenakker, R. Eppenga, and C. T. Foxon, "Quantum oscillations in the transverse voltage of a channel in the nonlinear transport regime". Phys. Rev. Lett. 65, 1052 -- 1055 (1990). 7N. J. Appleyard, J. T. Nicholls, M. Pepper, W. R. Tribe, M. Y. Simmons, and D. A. Ritchie, "Direction- resolved transport and possible many-body effects in one-dimensional thermopower". Phys. Rev. B 62, R16275 -- R16278 (2000). 8C.L.Kane, M.P.A Fisher, and J. Polchinski, "Randomness at the edge: Theory of quantum Hall transport at filling ν=2/3". Phys. Rev. Lett. 72, 4129 -- 4132 (1994). 9A. Bid, N. Ofek, H. Inoue, M. Heiblum, C. L. Kane, V. Umansky, and D. Mahalu, "Observation of neutral modes in the fractional quantum Hall regime". Nature 466, 585 (2010). 10M. Goldstein and Y. Gefen, "Suppression of interference in quantum Hall Mach-Zehnder geometry by upstream neutral modes". Phys. Rev. Lett. 117, 276804 (2016). 11R. Sabo, I. Gurman, A. Rosenblatt, F. Lafont, D. Banitt, J. Park, M. Heiblum, Y. Gefen, V. Umansky, and D. Mahalu, "Edge reconstruction in fractional quantum Hall states". Nature Physics 13, 491 (2017). 12N. J. Appleyard , J. T. Nicholls, M. Y. Simmons, W. R. Tribe, and M. Pepper, "Thermometer for the 2D electron gas using 1D thermopower". Phys. Rev. Lett. 81, 3491 -- 3494 (1998). 13M. Cutler and N. F. Mott , "Observation of Anderson localization in an electron gas". Phys. Rev. 181, 1336 -- 1340 (1969). 14U. Sivan and Y. Imry, "Multichannel Landauer formula for thermoelectric transport with application to thermopower near the mobility edge". Phys. Rev. B 33, 551 -- 558 (1986). 15U. Klass, W. Dietsche, K. von Klitzing, and K. Ploog, "Imaging of the dissipation in quantum-Hall-effect experiments". Zeitschrift fur Physik B Condensed Matter 82, 351 -- 354 (1991). 16V. A. Margulis and A. V. Shorokhov , "Thermopower of two-dimensional channels and quantum point contacts in a magnetic field". Journal of Physics: Condensed Matter 15, 4181 -- 4188 (2003). 17K. F. Berggren, T. J. Thornton, D. J. Newson, and M. Pepper, "Magnetic depopulation of 1D subbands in a narrow 2D electron gas in a GaAs:AlGaAs heterojunction". Phys. Rev. Lett. 57, 1769 -- 1772 (1986). 18B. J. van Wees, L. P. Kouwenhoven, E. M. M. Willems, C. J. P. M. Harmans, J. E. Mooij, H. Van Houten, C. W. J. Beenakker, J. G. Williamson, and C. T. Foxon, "Quantum ballistic and adiabatic electron transport studied with quantum point contacts". Phys. Rev. B 43, 12431 -- 12453 (1991). 19H. P. Wei, A. M. Chang, D. C. Tsui, and M. Razeghi, "Temperature dependence of the quantized Hall effect". Phys. Rev. B 32, 7016 -- 7019 (1985). 20M. P. Lilly, K. B. Cooper, J. P. Eisenstein, L. N. Pfeiffer, and K. W. West, "Evidence for an anisotropic state of two-dimensional electrons in high Landau levels". Phys. Rev. Lett. 82, 394 -- 397 (1999). 21Y. Zhang, Y. Tan, H. L. Stormer, and P. Kim, "Experimental observation of the quantum Hall effect and Berry's phase in graphene". Nature 438, 201 (2005). 22R. Fletcher, M. Diorio, A. S. Sachrajda, R. Stoner, C. T. Foxon, and J. J. Harris, "Evidence of phonon drag in the thermopower of a GaAs-Ga0.68Al0.32As heterojunction". Phys. Rev. B 37, 3137 -- 3140 (1988). 23C. Ruf, H. Obloh, B. Junge, E. Gmelin, K. Ploog, and G. Weimann, "Phonon-drag effect in GaAs- AlxGa1−xAs heterostructures at very low temperatures". Phys. Rev. B 37, 6377 -- 6380 (1988). 24D. Yoshioka and P.A. Lee, "Ground-state energy of a two-dimensional charge-density-wave state in a strong magnetic field". Phys. Rev. B 27, 4986 -- 4996 (1983). 25J. F. Kwak, J. E. Schirber, P. M. Chaikin, J. M. Williams, H. H. Wang, and L. Y. Chiang, "Spin-density- wave transitions in a magnetic field". Phys. Rev. Lett. 56, 972 -- 975 (1986). 26N. J. Naughton, R. V. Chamberlin, X. Yan, S. Y. Hsu, L. Y. Chiang, M. Ya Azbel, and P. M. Chaikin, "Reentrant field-induced spin-density-wave transitions". Phys. Rev. Lett. 61, 621 -- 624 (1988). 27A. A. Koulakov, M. M. Fogler, and Boris I. Shklovskii, "Charge density wave in two-dimensional electron liquid in weak magnetic field". Phys. Rev. Lett. 76, 499 -- 502 (1996). 28A. Pinczuk, S. Schmitt-Rink, G. Danan, J. P. Valladares, L. N. Pfeiffer, and K. W. West, "Large exchange interactions in the electron gas of GaAs quantum wells". Phys. Rev. Lett. 63, 1633 -- 1636 (1989). 29A. Schmeller, A. R. Goni, A. Pinczuk, J. S. Weiner, J. M. Calleja, B. S. Dennis, L. N. Pfeiffer, and K. W. West, "Inelastic light scattering by spin-density, charge-density, and single-particle excitations in GaAs quantum wires". Phys. Rev. B 49, 14778 -- 14781 (1994). 30R. C. Yu, L. Chiang, R. Upasani, and P. M. Chaikin, "Magnetothermopower of (TMTSF)2ClO4 and a new high-field phase". Phys. Rev. Lett. 65, 2458 -- 2461 (1990). 31B. Brun, F. Martins, S. Faniel, A. Cavanna, C. Ulysse, A. Ouerghi, U. Gennser, D. Mailly, P. Simon, S. Huant and M. Sanquer, "Thermoelectric scanning-gate interferometry on a quantum point contact". Phys. Rev. Applied 11, 034069 (2019).
1805.06054
1
1805
2018-05-15T22:27:55
Direct Observation of Valley Coupled Topological Current in MoS$_2$
[ "cond-mat.mes-hall" ]
The valley degree of freedom of electrons in two-dimensional transition metal dichalcogenides has been extensively studied by theory, optical and optoelectronic experiments. However, generation and detection of pure valley current without relying on optical selection have not yet been demonstrated in these materials. Here, we report that valley current can be electrically induced and detected through the valley Hall effect and inverse valley Hall effect, respectively, in monolayer molybdenum disulfide. Specifically, long-range valley transport is observed over half a micron distance at room temperature. Our findings will enable a new generation of electronic devices utilizing the valley degree of freedom, which can be used for future novel valleytronic applications.
cond-mat.mes-hall
cond-mat
Direct Observation of Valley Coupled Topological Current in MoS2 Terry Y.T. Hung1,2, Kerem Y. Camsari1, Shengjiao Zhang1,2, Pramey Upadhyaya1, and Zhihong Chen1,2* 1School of Electrical and Computer Engineering & 2Birck Nanotechnology Center Purdue University, West Lafayette, IN 47907 *Correspondence to: [email protected] Abstract: The valley degree of freedom of electrons in two-dimensional transition metal dichalcogenides has been extensively studied by theory (1–4), optical (5–9) and optoelectronic (10–13) experiments. However, generation and detection of pure valley current without relying on optical selection have not yet been demonstrated in these materials. Here, we report that valley current can be electrically induced and detected through the valley Hall effect and inverse valley Hall effect, respectively, in monolayer molybdenum disulfide. Specifically, long-range valley transport is observed over half a micron distance at room temperature. Our findings will enable a new generation of electronic devices utilizing the valley degree of freedom, which can be used for future novel valleytronic applications. One Sentence Summary: We report the first electrical generation and detection of valley currents in monolayer molybdenum disulfide, which is a step forward towards novel information processing and storage through valley degree of freedom of electrons. 1 Main Text: Electronic devices exploring carrier transport with spin and valley degree of freedom (DOF) have emerged as promising candidates for next-generation information storage and transport, since pure spin and valley currents do not accompany energy dissipation associated with Joule heating. The ability to electrically generate and detect such pure spin and valley currents in these devices is of particular importance. Over the last decade, driven by the emergence of the spin- orbit coupling engineering, tremendous experimental progress has been made to efficiently generate spin currents by electric currents. On the other hand, electrical control of the valley DOF has just started to attract interests in the past few years, initiated by theoretical studies of valleytronics in two dimensional honeycomb lattice systems, such as gapped graphene and transition metal dichalcogenides (TMDs) (1–4, 14, 15), revealing the interplay of their unique band structures and topologies. Experimentally, topological valley transport has been observed in graphene systems when a superlattice structure or perpendicular electric field is employed to break the inversion symmetry of this zero bandgap semiconductor (16–18). In contrast, monolayer TMDs, such as molybdenum disulfide (MoS2), is a direct bandgap semiconductor. Electronic transport in these materials is dominated by the inequivalent K and K' valleys of the Brillouin zone located at band edges. Because of the inherent absence of inversion symmetry in monolayer TMDs, carriers in these two valleys possess non-zero Berry curvature (Ω) without needing the assistance of external mechanisms to break the symmetry like in graphene systems. Importantly, K and K' valleys are related by time-reversal symmetry, which forces Berry curvature to flip its sign, i.e. Ω(K) = −Ω(K') , and allows for optical selection through optical pumping of valley polarization (5–7). Ω acts as a pseudo-magnetic field in the momentum space and results in an anomalous transverse velocity in the presence of an electric 2 v⊥ = − field, i.e. e ! E × Ω(k) . Consequently, carriers from K and K' valleys develop opposite v⊥ , providing a route to electrically generate pure valley currents transverse to the applied electric field. This so-called valley Hall effect (VHE) has been employed by Mak et al. in monolayer MoS2 devices to measure valley polarization created by circularly polarized light (10) and has successfully generated polarization in gated bilayer MoS2 that was then visualized by Kerr rotation microscopy (11). It is important to note that this unique VHE phenomenon would not appear in multi-layer MoS2 devices because inversion symmetry holds in multi-layers and carrier transport does not involve K and K' valleys in these indirect bandgap materials, which can be used as a direct comparison or control sample to monolayer devices. Figure 1A illustrates the VHE occurring in the left vertical electrode of a monolayer MoS2 Hall bar device. Analogous to the spin current, such valley current comprises of carriers of opposite (valley) polarization moving along opposite directions, resulting in charge neutral valley current along x-axis. Onsager reciprocity (19) then ensures the reciprocal effect, a phenomenon defined as the inverse valley Hall effect (iVHE) that converts a non-zero valley current into a transverse electric field, and finally develops charge accumulation across the right vertical electrode of the Hall bar in Fig. 1A. In this paper, we demonstrate electrical generation and detection of valley current in monolayer MoS2 by combining VHE and iVHE in the above-described non-local Hall bar device geometry. We observe large non-local signals at distances more than half micrometer away from the charge current path and a unique temperature dependence that is consistent with valley transport physics. A colored scanning electron microscopy (SEM) image of one of the MoS2 Hall bar devices measured is shown in Fig. 1C. Two types of measurements can be made, as illustrated in Fig. 1B. 3 A conventional four probe measurement (type II) allows the extraction of sheet resistance and contact resistance, while the non-local set up (type I) measures the Hall voltage induced by any carrier distributions due to the valley Hall effect or classical Ohmic contribution. A back gate voltage (Vg) is applied to the SiO2/Si substrate in order to modulate the carrier concentration in the MoS2 channel. Device fabrication and measurement details are provided in (20), section 1.1 and 1.2. Typical n-type MoS2 field-effect transistor behaviors are observed in two probe measurements of all devices; sheet resistance and contact resistances are extracted from type II measurements for various temperatures ranging from 4K to 300K [see (20), section 1.2]. Field effect mobility of ~10 cm2/Vs is typically measured for monolayer devices at room temperature. The most important spurious signal to be ruled out in our measurements is the Ohmic contribution that can result in a van der Pauw like signal (21) in a typical non-local, type I measurement. When a DC bias of Vds = 5V is applied to the left electrode of the Hall bar, non- local Hall voltage (Vnl) measured in the on-state of a monolayer MoS2 device (40V < Vg < 60V) can reach ~0.6V at T = 300K and increase to ~1.2V at T = 4K, as compared to ~10mV – 50mV Vnl readings in the on-state of a multi-layer device (20V < Vg < 40V), shown in Fig. 2(A, C). As mentioned above, VHE does not exist in multi-layer MoS2 since inversion symmetry is not broken and transport does not occur in K and K' valleys. Therefore, the detected finite Vnl signals in multi-layer devices can only be associated with Ohmic contribution or any other unknown effects. The magnitude of the non-local voltage due to the Ohmic contribution is expected to be dependent on the sheet resistance ( !"#$%&=()*+,#--./0123 (21), where L is the channel length and W and W1 are the width of the channel and the current electrode, respectively (labeled in Fig. 1A). Using individual ()* and +,# ρsh ) of the channel and device geometry: 4 measured for monolayer and multi-layer MoS2, we are able to calculate the Ohmic contribution as a function of the back gate voltage (Vg) for each device, as presented in Fig. 2(B, D). We notice that the magnitude of the measured Vnl of the multi-layer device from Fig. 2C matches the values of the calculated Ohmic contribution, while more than 1 order of magnitude larger Vnl signals are measured in the monolayer MoS2 device with an opposite temperature trend that we will discuss later. This significant magnitude difference in measured non-local voltages is also supported by a detailed potential analysis that resembles our experimental setup as shown in Fig. 3. Using experimentally measured contact resistance and MoS2 sheet resistance obtained from the four-probe measurement, only a fraction of the supply voltage (Vds = 5V) is actually applied across the injector lead, i.e. Vin = 1.8V. We then simulated in SPICE a resistor network with 4×106 identical resistors uniformly distributed over the Hall bar and observed that when a constant voltage of 1.8V is applied at the injector, the non-local voltage drop across the detector lead in the given geometry due to the Ohmic contribution is expected to be ~ 29 mV. This picture can get more complicated by the gate field controlled Schottky-barrier contacts (22). Nevertheless, we conclude that the magnitude of Vnl due to the Ohmic contribution calculated from the resistor network is in good agreement with the experimental measurements in multi- layer MoS2 devices. We benchmark the resistor network based SPICE simulation with the analytical equation in (20), section 2.1. In addition to the magnitude of Vnl, its temperature dependence provides another evidence in support of the VHE being responsible for the non-local carrier transport in monolayer MoS2. Fig. 4A shows increasing Vnl with decreasing temperature down to 50K in monolayer MoS2, while a completely opposite trend is observed for the multi-layer in Fig. 4B. Note that, since a voltage source, Vds, is used in our measurements (instead of a constant current source), the temperature 5 dependence of Vohmic due to the sheet resistance (+,#) is expected to be cancelled out. However, finite contact resistance (Rc) needs to be considered in all MoS2 devices, which prevents +,# to be eliminated in the evaluation of the Ohmic contribution. In fact, it is expected that !"#$%&= 789:;<6=2.3.>+,#--./0123 . Different temperature dependence of ?& and +,# are observed in four 456 probe measurements, presented in (20), section 1.2. Indeed, the increasing Vnl with increasing temperature observed in Fig. 4B for the multi-layer MoS2 device (dots) can be fitted by the modified Vohmic equation, considering the contribution from the contact resistance (lines). On the other hand, the increasing Vnl with decreasing temperature down to 50K for the monolayer MoS2 device is expected for enhanced intervalley scattering length (l) at low temperatures (9, 23, 24), confirming that valley transport is responsible for the observed large signals. More detailed analyses of non-local signals and inter-valley scattering length will be discussed in the following section. Interestingly, this increasing Vnl with decreasing temperature trend stops at T ~ 50K and reaches its maximum value. This unique maximum point results from two extreme limits of l approaching either zero or infinity. While smaller Vnl is expected with increasing temperature due to a shorter l, large l at temperatures lower than 50K can also lead to reduced non-local resistances, ?@A=!@A ()*⁄ . This transition can actually be analogized to the well-studied quenched Hall effect (25, 26), where the Hall voltage vanishes when the carriers' longitudinal velocity is much higher than the transverse velocity. We suggest that the observed non- monotonic temperature dependence of Vnl for monolayer MoS2 is an outcome of the monotonically increasing λ with decreasing temperature. We highlight that such temperature dependence of λ is consistent with the recent observation of increased intervalley scattering rate 6 at higher temperatures in TMDs, which is attributed to phonon activated intervalley relaxation (27). We will now quantitatively analyze this interesting temperature dependence of Rnl for monolayer MoS2 using a self-consistent theoretical model describing the VHE. This model, similar to other theoretical descriptions in the literature (18, 21) assumes a uniform and rectangular geometry without considering the arm lengths (Fig. 4C). Also following (18, 21), we use a circuit model , valley Hall conductivity includes both intrinsic and extrinsic contributions and can be written as that is equivalent (28, 29) to the standard spin-diffusion equation used in the context of materials with spin Hall effect to describe the VHE by defining the valley Hall angle as, C=DEF DEE⁄ where DEE and DEF denote longitudinal and transverse Hall conductivities, respectively. The DEF=DEF%@+DEFHE (30). When the Fermi-level lies close to the conduction band minima, a condition that is fulfilled by our MoS2 devices (see (20), section 2.5), sEF%@ dominates over sEFHE (30). Using DEF%@~8HJ# (16) and measured DEE, we estimate θ ∼ 0.4 at T = 50K for our devices calculation of θ as a function of temperature). As also noted in (18), when θ is not small (i.e. θ ∼ which is similar to Gorbachev et al.'s estimation (16) (see (20), section 2.5 for a detailed 1), one needs to self-consistently solve Rnl considering the feedback impact of iVHE that behaves as a load to the generating section (induced by the direct VHE), and the impact of VHE that serves as a load to the detecting section (governed by the iVHE). Our circuit model automatically captures such self-consistencies to arbitrary order when solved in SPICE, but it is possible to derive an analytical equation considering only the iVHE at the generator side and the VHE at the detector side as second order effects. Further, our model takes the width of the arms 7 explicitly and we can analytically obtain the following expression for the non-local resistance (see (20), section 2.2 for detailed derivation): 2+NO/PQR−TNUsinhROZ2NUsinhRO82NUC8 ?@A≡!@A()*= 7/PQROZ2NUOZ+2NsinhROZ2NUC8>7/PQRO82NUO8+2NsinhRO82NUC8> arm respectively, +,# is the sheet resistance and W is the width of the channel in Fig. 4C. We where λ is the intervalley scattering length, W1,2 are the widths of the generating and detecting (1) combine our VHE model (29) with non-magnetic circuit models that are also derived from a valley-diffusion equation (without any spin-orbit coupling) to obtain the infinite valley-loads on both ends, as well as to obtain the valley-diffusion in the middle channel whose length is denoted by L, based on the spin-circuit modeling described in (28). Conversely, the VHE model only considers charge transport in the vertical direction and valley coupled topological current in the longitudinal direction. It is important to note that, Eq. 1 is validated by a self-consistent numerical simulation of the composite valley-circuit in SPICE simulations and can be analytically reduced to the expression generally used in the literature (21), if we assume θ2 ≪ 1 and W1,2/λ ≪ 1, yielding: ?@A=Z87C8-]^>/PQ7_`^>. It is clear from the complete (Eq. 1) and reduced equation that the two extreme limits of λ naturally lead to an optimal intervalley scattering length to reach the maximum non-local resistance value. This unique behavior enables us to quantitatively extract λ. Suggested by Eq. 1, the temperature dependence of Rnl comes from that of λ and θ. With the calculated θ (T) shown in the inset of Fig. 4D ((20), section 2.5), we are able to fit the normalized non-local resistance, ?@A@ab$curve mechanisms are responsible for the decreasing ?@A@ab$ in the low and high temperature regimes, (dashed blue line in Fig. 4D, labeled as "Empirical") by tuning λ (T). Since different physical 8 we can separately fit the high temperature trend with a power law function of N∝d_e.gh, which extracted from ?@A@ab$ and plotted (blue dots) in Fig. 4E, in a good agreement with the power law Fitting for T > 75K regime is shown as the solid green line in Fig. 4D. λ (T) is then quantitatively is in line with the temperature dependence of intervalley scattering that will be discussed later. fitting at high temperatures. Furthermore, using the analytical expression in (31) describing both acoustic and optical intervalley phonon scattering together with the field effect mobility extracted from type II measurements, we are able to analytically derive λ (T) as shown as the solid line in the inset of Fig. 4E (see (20), section 2.7). A power law fitting of N∝d_e.i (dashed line) is obtained here, which is consistent with the experimental fitting of N∝d_e.gh at T > 100K. At low temperatures, the extraction of N>1 lm from the experimentally measured non- local signals is comparable to other valley Hall systems, as reported in (16–18). In general, λ is believed to be governed at low temperatures by atom-like defects that provide the necessary momentum required for carriers to scatter between K and K' valleys in the conduction band. In MoS2, these atom-like defects arise due to molybdenum and sulfur vacancies. Recently, it has been pointed out that owing to the symmetry of atomic defects, only molybdenum vacancies can participate in intervalley scattering (32). Fourier transform scanning tunneling spectroscopy studies also provide further evidences (33, 34). The relatively large λ on the micron-scale extracted from our devices could be a result of relatively low molybdenum vacancy density in our MoS2 sample. Last, non-local signals measured with in-plane magnetic field applied up to 5T were presented in (20), section 2.8. As expected, no impact from the magnetic field is observed, indicating the robustness of the valley polarization in monolayer MoS2 and further excluding the possibility of 9 spin Hall effect responsible for our measurements. Therefore, these results once again resonate with the mechanism of the valley Hall effect (5, 35). In summary, we report electrically generating and detecting valley-coupled topological current in monolayer MoS2 for the first time. Our approach provides a unique way to integrate charge, spin and valley degrees of freedom, which can be useful for emerging device technologies. Fig. 1. Valley coupled topological current (A) Schematic of valley coupled topological current due to VHE and iVHE in monolayer MoS2 and the device geometry (Bottom) where W1 = 1 um, W = W2 = 2 um, L1 = 4.5 um, and L = 0.5 um. (B) Schematics of two measurement set-ups, type I and type II. (C) A patterned MoS2 flake (green) and lithography defined metal electrodes (yellow). 10 Fig. 2. Comparison of non-local voltages obtained in monolayer and multilayer MoS2 devices. (A) Measured non-local voltage with respect to global back gate voltage Vg in monolayer MoS2 using type I setup. (Inset) Full range of Vg. Note that, data points in the range of Vg < 40V are not included in analysis since these large device resistances become comparable to the input impedance of the nano-voltmeter. (B) Ohmic contribution calculated from the measured sheet resistance: !"#$%&=()*+,#--./0123 as a function of Vg, plotted with the same y- axis range as in (A). (Inset) shows the zoom-in data. (C-D) Non-local voltage response in a multilayer MoS2 device for the same measurements performed in (A-B). Note that, the y-axis in both plots has a unit of mV. 11 Fig. 3. Electric potential mapping from a SPICE-based resistor network simulation. (A) SPICE simulation of a resistor grid with ~ 4 ´ 106 uniform resistors where each resistor corresponds to ~ 3 nm channel length, with (x=1500, y=1400) points. Vds applied at the two ends of the injector are V1 = 1.8V and V2 = 0 V, respectively. Values greater than 0.94 V and less than 0.86 V are denoted with the same colors to resolve the non-local voltage distribution. (B) Voltage profiles along the y direction for 4 different positions denoted by arrows (1-4) in (A). Non-local voltage difference under open circuit condition is calculated to be ~ 29 mV. 12 B Monolayer Mulyilayer Vnl 1 VDC IDC VDC V H E NM Vnl V H E NM NM E Experiment Empirical A C D Fig. 4. Temperature dependence and extraction of intervalley scattering length. (A) Measured Vnl as a function of temperature at different Vg for monolayer MoS2. (B) Temperature dependence of multilayer MoS2 at different Vg (dots) and the calculated trends (lines) using the modified Ohmic equation, !"#$%&= 789:;<6=2.3.>+,#--./0123 , with the consideration of the 456 contact resistance contribution (see (20), section 1.2). Note the trends of Vnl with respect to 13 temperature in (A) and (B) are completely opposite. (C) Device geometry and corresponding valley-circuit model that define the geometric parameters in Eq. 1. Details are given in (20), section 2.2. (D) Temperature dependence of ?@A@ab$ (normalized to the maximum point) measured at Vg = 58 V (orange dots in Fig. 4A). The empirical fittings use N(d)=5.5 d_e.qg− 0.16 (dashed blue line) and N=15 d-e.gh at T > 100 K (green line). (Inset) Calculated and N∝d_e.i to guide the eye (dashed). temperature dependence of valley Hall angle, θ. (E) l (T) extracted from Rnl and the power law dependence described in (D). (Inset) Theoretically calculated intervalley scattering length (solid) 14 References and Notes: 1. D. Xiao, G. Bin Liu, W. Feng, X. Xu, W. Yao, Coupled spin and valley physics in monolayers of MoS 2 and other group-VI dichalcogenides. Phys. Rev. Lett. 108, 196802 (2012). 2. T. Cao et al., Valley-selective circular dichroism of monolayer molybdenum disulphide. Nat. Commun. 3, 887 (2012). 3. W. Yao, D. Xiao, Q. Niu, Valley-dependent optoelectronics from inversion symmetry breaking. Phys. Rev. B - Condens. Matter Mater. Phys. 77, 235406 (2008). 4. W. Feng et al., Intrinsic spin Hall effect in monolayers of group-VI dichalcogenides: A first-principles study. Phys. Rev. B - Condens. Matter Mater. Phys. 86, 165108 (2012). 5. H. Zeng, J. Dai, W. Yao, D. Xiao, X. Cui, Valley polarization in MoS2 monolayers by optical pumping. Nat. Nanotechnol. 7, 490–493 (2012). 6. K. F. Mak, K. He, J. Shan, T. F. Heinz, Control of valley polarization in monolayer MoS2 by optical helicity. Nat. Nanotechnol. 7, 494–498 (2012). 7. A. M. Jones et al., Optical generation of excitonic valley coherence in monolayer WSe 2. Nat. Nanotechnol. 8, 634–638 (2013). 8. D. MacNeill et al., Breaking of valley degeneracy by magnetic field in monolayer MoSe2. Phys Rev Lett. 114, 37401 (2015). 9. L. Yang et al., Long-lived nanosecond spin relaxation and spin coherence of electrons in monolayer MoS2 and WS2. Nat. Phys. 11, 830–834 (2015). 15 10. K. F. Mak, K. L. McGill, J. Park, P. L. McEuen, The valley Hall effect in MoS₂ transistors. Science. 344, 1489–92 (2014). 11. J. Lee, K. F. Mak, J. Shan, Electrical control of the valley Hall effect in bilayer MoS2 transistors. Nat. Nanotechnol. 11, 421–425 (2015). 12. S. Wu et al., Electrical tuning of valley magnetic moment through symmetry control in bilayer MoS2. Nat. Phys. 9, 149–153 (2013). 13. Y. Ye et al., Electrical generation and control of the valley carriers in a monolayer transition metal dichalcogenide. Nat. Nanotechnol. 11, 598–602 (2016). 14. D. Xiao, W. Yao, Q. Niu, Valley-Contrasting Physics in Graphene: Magnetic Moment and Topological Transport. Phys. Rev. Lett. 99, 236809 (2007). 15. J. R. Schaibley et al., Valleytronics in 2D materials. Nat. Rev. Mater. 1 (2016), p. 16055. 16. R. V Gorbachev et al., Detecting topological currents in graphene superlattices. Science. 346, 448–451 (2014). 17. M. Sui et al., Gate-tunable topological valley transport in bilayer graphene. Nat. Phys. 11, 1027–1031 (2015). 18. Y. Shimazaki et al., Generation and detection of pure valley current by electrically induced Berry curvature in bilayer graphene. Nat. Phys. 11, 1032–1036 (2015). 19. P. Jacquod, R. S. Whitney, J. Meair, M. Büttiker, Onsager relations in coupled electric, thermoelectric, and spin transport: The tenfold way. Phys. Rev. B - Condens. Matter Mater. Phys. 86, 155118 (2012). 16 20. Materials and methods are available as supplementary materials on Science Online. 21. D. A. Abanin, A. V. Shytov, L. S. Levitov, B. I. Halperin, Nonlocal charge transport mediated by spin diffusion in the spin Hall effect regime. Phys. Rev. B. 79, 35304 (2009). 22. X. Cui et al., Multi-terminal transport measurements of MoS2 using a van der Waals heterostructure device platform. Nat. Nanotechnol. 10, 534–540 (2015). 23. X. Song, S. Xie, K. Kang, J. Park, V. Sih, Long-Lived Hole Spin/Valley Polarization Probed by Kerr Rotation in Monolayer WSe2. Nano Lett. 16, 5010–5014 (2016). 24. W. T. Hsu et al., Optically initialized robust valley-polarized holes in monolayer WSe 2. Nat. Commun. 6, 8963 (2015). 25. H. U. Baranger, A. D. Stone, Geometrical effects on the Hall resistance in ballistic microstructures. Surf. Sci. 229, 212–215 (1990). 26. C. J. B. Ford, S. Washburn, M. B ttiker, C. M. Knoedler, J. M. Hong, Influence of geometry on the Hall effect in ballistic wires. Phys. Rev. Lett. 62, 2724–2727 (1989). 27. J. Kim et al., Observation of ultralong valley lifetime in WSe2/MoS2heterostructures. Sci. Adv. 3, e1700518 (2017). 28. K. Y. Camsari, S. Ganguly, S. Datta, Modular approach to spintronics. Sci. Rep. 5, 10571 (2015). 29. S. Hong, S. Sayed, S. Datta, Spin Circuit Representation for the Spin Hall Effect. IEEE Trans. Nanotechnol. 15, 225–236 (2016). 17 30. N. Nagaosa, J. Sinova, S. Onoda, A. H. MacDonald, N. P. Ong, Anomalous Hall effect. Rev. Mod. Phys. 82, 1539–1592 (2010). 31. X. Li et al., Intrinsic electrical transport properties of monolayer silicene and MoS2 from first principles. Phys. Rev. B - Condens. Matter Mater. Phys. 87, 115418 (2013). 32. K. Kaasbjerg, J. H. J. Martiny, T. Low, A. P. Jauho, Symmetry-forbidden intervalley scattering by atomic defects in monolayer transition-metal dichalcogenides. Phys. Rev. B. 96, 241411 (2017). 33. H. Liu et al., Observation of intervalley quantum interference in epitaxial monolayer tungsten diselenide. Nat. Commun. 6, 8180 (2015). 34. C. Zhang et al., Probing Critical Point Energies of Transition Metal Dichalcogenides: Surprising Indirect Gap of Single Layer WSe2. Nano Lett. 15, 6494–6500 (2015). 35. G. Sallen et al., Robust optical emission polarization in MoS 2 monolayers through selective valley excitation. Phys. Rev. B - Condens. Matter Mater. Phys. 86, 81301 (2012). Acknowledgments: We would like to acknowledge fruitful discussions with Prof. Joerg Appenzeller and Prof. Supriyo Datta. P. U. would like to thank Héctor Ochoa for useful discussions on intervalley scattering in TMDs. K. Y. C. acknowledges support from ASCENT, one of six centers in JUMP, a Semiconductor Research Corporation (SRC) program sponsored by DARPA. T. H., S. Z., and Z. C. gratefully acknowledge the support of this work by the Semiconductor Research Corporation (SRC)'s Nanoelectronic Computing Research (nCORE) program through the NEW LIMITS center. 18 Chen1,2* Correspondence to: [email protected] This PDF file includes: Materials and Methods Supplementary Text Figs. S1 to S10 Supplementary Materials for Direct Observation of Valley Coupled Topological Current in MoS2 Terry Y.T. Hung1,2, Kerem Y. Camsari1, Shengjiao Zhang1,2, Pramey Upadhyaya1, and Zhihong 1 1 Materials and Methods 1.1 Device fabrication CVD grown MoS2 films were transferred to 90nm SiO2 substrates with highly doped Si on the back side serving as a global back gate (Vg). The transfer process includes: 1) the sample was spin-coated with Polystyrene (PS) followed by immersing in DI water; 2) the PS/MoS2 stack was then detached from the substrate and scooped up by the receiving SiO2 substrate; 3) PS was subsequently dissolved by toluene and bathed in acetone and isopropyl alcohol (IPA) to thoroughly clean it. Standard e-beam lithography using PMMA A4 950 resist was employed to pattern electric contacts on the CVD MoS2 flakes. Ti/Au (20/80nm) was deposited in an e-beam evaporator followed by a lift-off process in acetone. CVD grown BN film was transferred from Cu foil onto the devices through a process that involves etching the Cu foil with iron chloride (FeCl3) and immersing it in diluted HCl and DI water alternatingly for few times before scooping up. This BN layer was inserted to minimize device degradation from PMMA residues after the RIE etching process. RIE etching mask was defined by e-beam lithography using PMMA A4 950 resist and BN/MoS2 flakes were etched using Ar/SF6 for 10 seconds. The final devices were annealed in forming gas (N2/H2) at 300°C for three hours followed by vacuum annealing (∼ 10−8 torr) at 250◦C for 4 hours to minimize PMMA residue and threshold voltage shift due to trap charges. 1.2 Optical and electrical characterization Raman and contrast in optical images were used to confirm the thickness of the MoS2 flakes in both of the VHE device (monolayer) and the control sample (multilayer) shown in Fig. S1(A, B). Raman spectra were obtained using an excitation wavelength of 532nm with a 50X 2 objective lens. A Raman shift of 18 cm-1 between the E12g and A1g modes in the monolayer is clearly different from that in the multilayer, as shown in Fig. S1A (1–3). Due to the measurement limitation in the current meter used in our experiments, the lowest current that can be measured was ~10-10A (Fig. S1C), In MoS2 devices, sub-threshold current above 10-10A is dominated by tunneling current injected through the source/drain Schottky barriers, which shows weak temperature dependence. The observed threshold voltage shift is as expected since a larger gate voltage is required to compensate fewer carriers in the Fermi distribution at a lower temperature. Shown in Fig. S1(D, F), conventional four-probe measurements (type II) were used to extract sheet resistance (ρ) and contact resistances (Rc) for monolayer and multilayer devices, respectively (4, 5). 2 Supplementary Text 2.1 Details of resistor network for Ohmic contribution In order to simulate the Ohmic contribution in the multilayer sample, we constructed a general SPICE network that matches the known analytical results for extremely simple geometries as shown in Fig. S2A. Our resistor network however can be "patterned" to arbitrary shapes and structures by placing very large resistor values to patterned regions (as shown in Fig. 3A of the main text). 2.2 Derivation of non-local resistance, Rnl In this section, we outline the derivation details of Eq. 1, starting from a lumped "valley- circuit" model whose results are equivalent to those of the commonly used spin-diffusion equations (6). We then compare the analytical expression with a fully self-consistent SPICE- based numerical solution of the circuit. 3 Fig. S3 shows the circuit diagram that is based on (7). The lumped model combines non- magnetic (NM) regions that act as boundary conditions that are much longer than the diffusion length (λ) with two VHE layers that are bridged by another NM region that the valley polarized carriers diffuse over. We neglect the VHE physics in this middle layer but explicitly consider the spin-diffusion and loss. The VHE layers are composed of a charge-circuit and a valley-circuit that treat the charge and spin flows differently, as in (8). The model takes into account both the direct VHE and the inverse VHE with dependent current sources in the valley-circuit I1, I2 and in the charge circuit I3, I4, respectively. Therefore, the model captures effects such as self-induced inverse VHE due to a charge current flowing in the injection layer and a self-induced direct VHE in the detection layer due to an induced open-circuit voltage. We define σ as the sheet conductivity of the material (σ = σxxt) where σxx is the longitudinal conductivity and t is the thickness of the sample. The charge and valley conductance are defined in Fig. S3. We assume that a constant charge current IDC is being injected between nodes V1c and V2c and this gives rise to an open-circuit, non-local voltage ∆VNL between nodes V5c and V6c. We are then interested in a closed-form expression relating these two quantities, Rnl ≡ ∆VNL/IDC. We consider three terms contributing to this expression: • i1: Self-generated VHE current (opposing) due to an injected current IDC. • i2R: Direct VHE current due to an injected IDC. • i2L: Direct VHE current (opposing) due to an induced ∆VNL. We ignore the higher order terms assuming they get progressively smaller since θ < 1, and later show (Fig. S4) that the results are in good agreement with a full SPICE-based solution of the circuit without any assumptions. We start with the derivation of the current i1 which increases the 4 effective resistance of the injecting layer, similar to the Spin Hall Magnetoresistance effect. With a straightforward solution of the circuit we find: "#= 2&'()* 1+-./01#234 (1) (3) (4) Using this current term, we can specify the induced charge voltage (due to inverse VHE through the current source I3) and solve for the modified VDC that develops under a constant injected current IDC: V'(= 6'(1 )71#+*82(1−-./[−1# 2⁄])? which, in the limit λ ≪ W1 reduces to, R′ = VDC/IDC = W/W1σ(1 + θ2), implying that the (2) resistance of the injector arm increased by a factor proportional to θ2 due to the self-induced inverse VHE. We then use Eq. (2) to derive the term i2R. "8A=*)&'(-./[−(1#+B)2⁄](-./[1# 2⁄]−1) -./[18 2⁄]+1 Similarly, we obtain the current i2L by keeping ∆VNL as a variable and combine it with Eq. (3) to self-consistently solve for a ∆VNL in terms of IDC. With full simplifications, we obtain the following expression: CDE= 2F21-./0−B24sinh01#224sinh018224*8 K-./01#2241#+22sinh01#224*8LK-./01822418+22sinh018224*8L We note that this expression reduces to the well-known non-local resistance formula [4] under the following limits, θ2 ≪ 1 and W1,2 / λ ≪ 1, yielding: 5 CMN=12O*81)2P-./O−B2P (5) 2.3 Additional non-local measurements for multilayer MoS2 devices Experimentally, we performed the same non-local measurements for multilayer MoS2 devices in which the channel is known to have inversion symmetry and carrier transport is not governed by K and K' valleys, so the measured non-local voltage is attributed to the Ohmic contribution only. Fig. S5 shows qualitative agreement between the measured local Vnl in multilayer MoS2 devices and the Ohmic contribution equation &QRSTU=6'(FVRWWX-YZ[\ (9) for different channel lengths. 2.4 Additional non-local measurements from other monolayer MoS2 devices In Fig. S6, we present additional room temperature data from other monolayer devices to show the reproducibility of the VHE. All of them, including the one presented in the main text, show one to two orders of magnitude larger non-local signals than the Ohmic contribution signals under the same measurement conditions. We attribute the magnitude difference to the device-to-device fabrication variations. 2.5 Detailed θ calculation and its temperature trend In the main text, we define *=]^_]^^. In order to estimate *, we calculate )`a due to intrinsic (Berry's phase) contribution to the valley Hall conductivity, )`aTD , while directly extracting )`` from type II measurements shown in Fig. S1D. It should be noted that in doing so, we have ignored possible impurity scattering-induced extrinsic contributions (10, 11) to the valley Hall effect. As pointed out in (10, 11), this approximation is justified for the case when 6 valley Hall conductivity is given by (12) )`a(bc)=∑ ÑÖ Üá ∑ xlℏ #(8k)l Vá,â the position of the Fermi-level (as measured from the middle of the band gap) is given by Fermi-level (bc) lies close to the conduction band edge. This is indeed the case for the voltage range explored in our measurements. In particular, b(d)=±√∆8+h8ℏ8d8, for Vg-Vth = 40 V, bc~Dkℏl 8Sm∗+Δ~0.89 -&. Here, 2∆~1.72 -& is the band gap for MoS2, ℏ is the Plank constant divided by 2v, wx∗=0.4wx is the electron effective mass in MoS2, and z~7&{−&R?}~}/ ÅÇTQl- is the surface charge accumulated by the gate, with &{−&R being the overdrive voltage, }~} as the permittivity in SiO2, and ÅÇTQl=90 (zw) as the dielectric thickness. The intrinsic ∫ãd`ãdaΩ(d,ÑÖ,ç)é7bè,â?, where EF is the Fermi-level, ÑÖ is the valley index (ÑÖ=−1 for K and ÑÖ=+1 for K'), ç is the band index (ç=−1 for the valance band and ç=+1 for the conduction band), êÖ is the spin index (êÖ=−1 for up spin and êÖ=+1 for down spin), and Ω=ÑÖ 8(ëlìílℏlèl)î/l where h8= ëlílℏl ∆ wx∗⁄ (10–12). Here, putting bc~0.89 -& we find )`a~8xlR . This value is consistent with the * and using )`` from Fig. S1D, we plot * v.s. temperature in the inset in Fig. 4D. In general, increasing the temperature decreases )`a . This is because conduction and valence band contribute opposite signs to )`a , and increasing temperature increases conduction band Fermi-level position near the conduction band minima, and the band gap of 1.72 -&≫ dñó for T = 300K, the value of )`a is independent of temperature (as verified by directly calculating )`a occupation at the expense of the valence band's population. However, we highlight that for the fact that for the Fermi-level position close to conduction band minima, the valley Hall conductance is dominated by the filled valence bands. Substituting this value in the definition of (6) 7 for T = 1K and T = 300K using Eq. 6 and noting a decrease of less than 0.4%). In this case, the temperature dependence of * comes primarily from the temperature dependence of )`` in Fig. S1D. In Fig. S7, we plot this temperature dependence of *, which is also presented in the inset of Fig. 4D in the main text. 2.6 Non-local internal resistance measurements We add external resistors into the measurement set-up to extract the internal resistance (RMoS2 = 2Rc + 2Rarm + Rcross) in the non-local arm in both monolayer and multilayer MoS2 devices, as depicted in Fig. S8A. The measured voltage drop across the external resistor (Rext), can be described by Vext = Iext (Rext RMoS2) / (Rext + RMoS2). Simpler expression can be derived by normalizing to its maximum point: &Dò~S= Cx` Cx`+CôòÇ8 (7) By changing over a large range (102 to 108 W) of external resistance values (Rext) depicted in Fig. S8A and fitting with Eq. 7, we are able to extract the internal resistance. We notice that Vnl ≠ ∆Vnl, since ∆Vnl should be a fraction of Vnl, denoted in Fig. 4C in the main text. Intuitively, one might think that the ratio of ∆Vnl to Vnl should be equal to the ratio of Rcross to RMoS2 (non-local total resistance) shown in the Fig. S8A. However the extracted internal resistance (24MW) by fitting presented in Fig. S8B dose not agree with the non-local total resistance (7MW) in monolayer MoS2. In contrast, the extracted internal resistance (25kW) presented in Fig. S8C is very close to the non-local total resistance (35kW) in multilayer MoS2. Furthermore, we use SPICE resistor network discussed in section 2.1 to simulate this internal resistance extraction for multilayer MoS2 with two vastly different resistor values of 103 (red) and 106 (blue) shown in Fig. S8D. As expected, it shows very good agreement between the extracted internal resistance 8 and the non-local total resistance. Thus we speculate that for VHE governed monolayer MoS2 devices, it is not sufficient to take the resistance ratio (Rcross to RMoS2) for the internal resistance calculation. Instead, one should probably carefully take into account some resistance amplification due to the VHE over the entire electrode lead. Both Eq. 1 in the main text and SPICE capture the physics of the (L × W) rectangle shown in Fig. 4C without considering the extended arm. Further experiments, such as varying the arm length, direct measuring Rcross and independently controlling the contact and channel resistance, are required to understand the discrepancy of internal resistances between the valley Hall and non-valley Hall systems. 2.7 Detailed l calculation and its temperature trend Within the deformation potential approximation, the analytical expression of intervalley 1Ñ=öõw∗ú8 2ℏ8Fù[û∆#+(û+1)∆8] (8) scattering rate t, as obtained from Fermi's golden rule, is given by (13), Here öõ is the valley degeneracy for the final electron states, w∗ is density-of-state effective mass for the K valley, ú is the deformation potentials in K valley (úòü,ú†U are for optical and acoustic phonon respectively), F is the mass density (=3.1 × 10£§ ö •w8 ) for MoS2, ℏù is ⁄ phonon energy, û is Bose-Einstein distribution and ∆#,∆8 are the onset of scattering for phonon absorption and emission respectively. Using Eq. 8, 2=¶úõTssssÑ, Einstein relation for diffusion coefficient (úõTssss=®dñó/©), and experimentally extracted field effect mobility (®), we S9. The calculated l (T) (solid line) can be fitted with a power law dependence of 2∝ó£.´ calculate intervalley scattering length (l) in high temperature regime (T > 100K) shown in Fig. (dashed line). 9 2.8 Applied in-plane magnetic field The observation of robustness of valley polarization under in-plane magnetic field up to 5T mentioned in the main text is shown in Fig. S10. 10 18 Monolayer A C E Monolayer Multilayer Rc B D F Multilayer Rc Fig. S1. Device characterization. (A) Two prominent Raman characteristic peaks for MoS2 flakes. Monolayer presents a distinguished Raman shift of 18 cm−1 between the b and ≠# peaks. (B) Representative optical images for monolayer and multilayer MoS2 devices. Transfer characteristics at different temperatures for monolayer (C) and multilayer (E) MoS2 devices. 11 Four-probe measurements using type II set up described in the main text to extract sheet resistance ρ and contact resistance Rc in monolayer (D) and multilayer (F) devices. 12 A B Fig. S2. SPICE-based resistor network. (A) A uniform resistor network (not to scale) to simulate the Ohmic contribution in SPICE. In a type I setup, a voltage of 1V is applied between top and bottom contacts, and the non-local voltage is measured as a function of the length in the network. (B) An approximately infinitely narrow strip (L/W ≈ 1000) with a resistor network containing more than a million resistors is simulated to compare with the known analytical formula ∆Vohmic ∝ exp(−πx/W). SPICE-based results are in excellent agreement with the approximate formula. The SPICE-based network however can simulate arbitrarily patterned shaped and non-uniform structures as shown in the main text. 13 charge-circuit charge-circuit NM V H E NM V H E NM G 0 4 G V0 I1 g1 V1 I1 valley-circuit g3 I 2 V2 g2 V3 I 2 G5 G1 G1 G3 G3 G2 G2 G5 Fig. S3. The lumped valley-circuit model that is used to derive Eq. 1 in the main text. The charge-circuit captures the injected and induced charge currents and voltages in the vertical direction, while the valley-circuit captures the valley diffusion currents in the horizontal direction. The charge-circuit parameters are defined as: G0 = σW1/W, G4 = σW2/W, I3 = σθ(V1 −V0) and I4 = σθ(V2 −V3) where θ is the valley Hall angle, σ is the sheet conductivity, and W1, W2, W are the width of the injector, detector and the middle region, as shown in the figure. (V1 − V0) and (V2 − V3) are the non-equilibrium valley potentials that control the inverse valley Hall terms in the charge circuit. The valley-circuit parameters are defined as: gi = σW/λ csch(Wi/λ), Gi = σW/λ tanh(Wi/(2λ)), where i ∈ {1, 2, 3} with W3 = L and G5 = σW/λ. Finally, the current sources I1 = θσVDC and I2 = θσVnl where VDC is the applied voltage and ∆VNL is the induced non- local voltage as defined in the figure. See text for the description of the current terms i2R, i2L and i1 that are used in the derivation. 14 A B Fig. S4. Comparison of analytical equations for Rnl with the full SPICE simulation of the circuit shown in Fig. S3. Excellent agreement is observed between SPICE and Eq. 4, while deviations are clearly shown for the reduced Eq. 5. The parameters are θ = 0.5, σ = 2 mS, W1 = 50 nm, W2 = 75 nm, W = 25nm, L = 50 nm for (A) and λ = 50 nm for (B). It is interesting to note that the expression based on Eq. 5 overestimates the magnitude of the signal and for large θ and a self-consistent model as described here (also as in [10]) is required. 15 Fig. S5. Long channel multi-layer MoS2 devices. Type I, non-local measurements were performed in additional multilayer MoS2 devices with different channel lengths. Dotted lines are the calculated Ohmic contribution as described in the text. Close to zero non-local voltages are measured in the device with channel length of L = 3µm. 16 Fig. S6. Additional monolayer MoS2 device measurements. Type II, non-local measurements for different monolayer MoS2 devices to show the reproducibility and robustness of the VHE. They all have W1 = 1 um, W = W2 = 2 um, L1 = 4.5 um, and L = 0.5 um as depicted in Fig. 1A. 17 Fig. S7. Temperature dependence of valley Hall angle. 18 A C IDC Iv V Rext Iv Rc Rarm Rcross Rarm Rc B D Fig. S8. Extraction of internal resistance in the non-local electrode. (A) Schematic of the measurement set-up with an external resistor. (B) Monolayer, (C) multilayer internal resistance (RMoS2) extraction and comparison between total resistance (Rtot) and internal resistance (RMoS2). (D) SPICE modeling in a uniform resistor Hall structure shown in Fig. S2. 19 Fig. S9. Temperature dependence of intervalley scattering length. 20 Fig. S10. Vnl measurements with in-plane magnetic field applied. Measured Vnl as a function of the applied in-plane magnetic field. (Inset) Non-local voltage, Vnl as a function of Vg under in- plane magnetic fields up to 5T. 21 References and Notes: 1. G. L. Frey, R. Tenne, Raman and resonance Raman investigation of MoS 2 nanoparticles. Phys. Rev. B. 60, 2883–2892 (2000). 2. H. Li et al., From bulk to monolayer MoS2: Evolution of Raman scattering. Adv. Funct. Mater. 22, 1385–1390 (2012). 3. C. Lee et al., Anomalous lattice vibrations of single- and few-layer MoS2. ACS Nano. 4, 2695–2700 (2010). 4. X. Cui et al., Multi-terminal transport measurements of MoS2 using a van der Waals heterostructure device platform. Nat. Nanotechnol. 10, 534–540 (2015). 5. B. Radisavljevic, A. Radenovic, J. Brivio, V. Giacometti, A. Kis, Single-layer MoS2transistors. Nat. Nanotechnol. 6, 147–150 (2011). 6. Y.-T. Chen et al., Theory of spin Hall magnetoresistance. Phys. Rev. B. 87, 144411 (2013). 7. K. Y. Camsari, S. Ganguly, S. Datta, Modular approach to spintronics. Sci. Rep. 5, 10571 (2015). 8. S. Hong, S. Sayed, S. Datta, Spin Circuit Representation for the Spin Hall Effect. IEEE Trans. Nanotechnol. 15, 225–236 (2016). 9. D. A. Abanin, A. V. Shytov, L. S. Levitov, B. I. Halperin, Nonlocal charge transport mediated by spin diffusion in the spin Hall effect regime. Phys. Rev. B. 79, 35304 (2009). 10. T. Olsen, I. Souza, Valley Hall effect in disordered monolayer MoS2 from first principles. Phys. Rev. B - Condens. Matter Mater. Phys. 92, 125146 (2015). 11. D. Xiao, G.-B. Liu, W. Feng, X. Xu, W. Yao, Coupled Spin and Valley Physics in Monolayers of MoS2 and Other Group-VI Dichalcogenides. Phys. Rev. Lett. 108, 196802 22 (2012). 12. Y. Shimazaki et al., Generation and detection of pure valley current by electrically induced Berry curvature in bilayer graphene. Nat. Phys. 11, 1032–1036 (2015). 13. X. Li et al., Intrinsic electrical transport properties of monolayer silicene and MoS2 from first principles. Phys. Rev. B - Condens. Matter Mater. Phys. 87, 115418 (2013). 23
1810.09231
1
1810
2018-10-22T13:08:01
Nodal Manifolds Bounded by Exceptional Points on Non-Hermitian Honeycomb Lattices and Electrical-Circuit Realizations
[ "cond-mat.mes-hall" ]
Topological semimetals feature a diversity of nodal manifolds including nodal points, various nodal lines and surfaces, and recently novel quantum states in non-Hermitian systems have been arousing widespread research interests. In contrast to Hermitian systems whose bulk nodal points must form closed manifolds, it is fascinating to find that for non-Hermitian systems exotic nodal manifolds can be bounded by exceptional points in the bulk band structure. Such exceptional points, at which energy bands coalesce with band conservation violated, are iconic for non-Hermitian systems. In this work, we show that a variety of nodal lines and drumheads with exceptional boundary can be realized on 2D and 3D honeycomb lattices through natural and physically feasible non-Hermitian processes. The bulk nodal Fermi-arc and drumhead states, although is analogous to, but should be essentially distinguished from the surface counterpart of Weyl and nodal-line semimetals, respectively, for which surface nodal-manifold bands eventually sink into bulk bands. Then we rigorously examine the bulk-boundary correspondence of these exotic states with open boundary condition, and find that these exotic bulk states are thereby undermined, showing the essential importance of periodic boundary condition for the existence of these exotic states. As periodic boundary condition is non-realistic for real materials, we furthermore propose a practically feasible electrical-circuit simulation, with non-Hermitian devices implemented by ordinary operational amplifiers, to emulate these extraordinary states.
cond-mat.mes-hall
cond-mat
Nodal Manifolds Bounded by Exceptional Points on Non-Hermitian Honeycomb Lattices and Electrical-Circuit Realizations Kaifa Luo,1 Jiajin Feng,2 Y. X. Zhao,3, 4, ∗ and Rui Yu1, † 1School of Physics and Technology, Wuhan University, Wuhan 430072, China 2School of Physics, Sun Yat-sen University, Guangzhou 510275, China 3National Laboratory of Solid State Microstructures and department of Physics, Nanjing University, Nanjing, 210093, China 4Collaborative Innovation Center of Advanced Microstructures, Nanjing University, Nanjing 210093, China Topological semimetals feature a diversity of nodal manifolds including nodal points, various nodal lines and surfaces, and recently novel quantum states in non-Hermitian systems have been arousing widespread research interests. In contrast to Hermitian systems whose bulk nodal points must form closed manifolds, it is fascinating to find that for non-Hermitian systems exotic nodal manifolds can be bounded by exceptional points in the bulk band structure. Such exceptional points, at which energy bands coalesce with band conservation violated, are iconic for non-Hermitian systems. In this work, we show that a variety of nodal lines and drumheads with exceptional boundary can be realized on 2D and 3D honeycomb lattices through natural and physically feasible non-Hermitian processes. The bulk nodal Fermi-arc and drumhead states, although is analogous to, but should be essentially distinguished from the surface counterpart of Weyl and nodal-line semimetals, respectively, for which surface nodal-manifold bands eventually sink into bulk bands. Then we rigorously examine the bulk- boundary correspondence of these exotic states with open boundary condition, and find that these exotic bulk states are thereby undermined, showing the essential importance of periodic boundary condition for the existence of these exotic states. As periodic boundary condition is non-realistic for real materials, we furthermore propose a practically feasible electrical-circuit simulation, with non- Hermitian devices implemented by ordinary operational amplifiers, to emulate these extraordinary states. I. INTRODUCTION Recently novel quantum states of non-Hermitian sys- tems have been a rapidly expanding field, accelerat- ingly attracting attention from the previously unrelated fields, such as topological phases of quantum matter [1 -- 20], many-particle physics [21 -- 24], cold atoms [25 -- 27], and the traditional field of quantum optics [28 -- 36] with renewed interests. Maybe the most iconic fea- ture of non-Hermitian physics is the existence of excep- tional points [37] in parameter space, at which unitary or more general similarity transformations cannot convert the Hamiltonian under consideration into a completely diagonal form, but optimally into upper-triangular blocks each with equal diagonal entries, namely a Jordan normal form [5]. Therefore, for a band theory a number of energy bands coalesce at an exceptional point in the Brillouin zone (BZ), where accordingly energy-band conservation is violated. On the other hand, the recently enhanced interest in non-Hermitian physics partially evolved from topological phases of quantum matter, where topologi- cal semimetals as a central topic feature nodal manifolds in the BZ including degenerate nodal lines [38 -- 50] and surfaces [51 -- 56]. Due to band conservation of Hermi- tian theory, such nodal manifolds are always closed and accordingly have no boundary. Now considering nodal manifolds in non-Hermitian systems, one may expect an exotic quantum state solely for non-Hermitian system, namely, that nodal manifold can terminate on a bound- ary consisting of exceptional points [57 -- 60], and indeed recently the bulk Fermi arc, which is an open nodal ended with two exceptional points [21, 22], have been realized in non-Hermitian photonic crystals with much attention at- tracted [61]. In this article we show that a variety of open nodal manifolds with exceptional boundaries, including various Fermi arcs and particularly drumheads, namely open surfaces, can be realized in the bulk band structures of 2D and 3D honeycomb lattices through natural and physically feasible non-Hermitian processes. Our mod- els are quite simple with only nearest-neighbor hoppings included, and may be understood as non-Hermitian the- ories of graphene and graphite. It is also interesting to compare the bulk nodal Fermi arcs and drumhead states with the boundary Fermi arcs and drumhead states of Weyl and nodal-line semimet- als, respectively. Although for both cases they are open manifolds, Hermitian systems preserve band number and therefore the open manifolds of boundary band structure necessarily sink into and connect with the bulk energy bands. But maybe more profoundly the boundary of a Hermitian system is not an independent system, and in this sense it might bear certain connections with non- Hermitian physics that is essentially devoted to open sys- tems. Recently it is noticed that the physical property of non-Hermitian systems can be radically dependent on boundary conditions [9, 62 -- 67]. For instance, the spec- trum of the Su-Schrieffer-Heeger (SSH) model with small anti-Hermitian nearest-neighbor hoppings is complex un- der periodic boundary conditions, but is purely real un- der open boundary conditions. As the representation by the BZ actually corresponds to periodic boundary condi- 8 1 0 2 t c O 2 2 ] l l a h - s e m . t a m - d n o c [ 1 v 1 3 2 9 0 . 0 1 8 1 : v i X r a tions, we proceed to study the bulk-boundary correspon- dence of our non-Hermitian honeycomb-lattice models with open boundary conditions, and find that the non- Hermitian tight-binding models are equivalent to Hermi- tian ones by similarity transformations. This shows that the exotic quantum states of nodal manifolds bounded by exceptional points can be undermined by open bound- ary conditions, and periodic boundary conditions are therefore essential for their existence. To circumvent the dilemma that periodic boundary conditions are not real- istic for ordinary physical systems, such as real materials, photonic crystals, phononic crystals and cold atoms, and also inspired by that novel band theory has broader ap- plications beyond electronic systems, we present a simu- lation of the non-Hermitian tight-binding models on hon- eycomb lattices through faithfully designating electrical circuits, for which periodic boundary conditions are ob- viously realizable [68 -- 70]. Particularly non-Hermitian devices, emulating non-Hermitian terms, can be easily implemented via a standard application of a common operational amplifier in a voltage follower configuration. Furthermore, the feasibility of the particular design is en- sured by the fact that each unit cell only consists of a few capacitors, inductors and operational amplifiers. The article is organized as follows. Section II briefs the 2D and 3D non-Hermitian lattice structures, which are used in the following discussions. In Sec. III we show the exceptional points and bulk Fermi-arc states terminated at exceptional end-points in the 2D structure. In Sec. IV we investigate the exceptional lines and bulk drumheads states with exceptional edges in the 3D structure. In Sec. V we compare the band dispersions between periodic boundary conditions and open boundary conditions, and show that the periodic boundary conditions are essential for the above bulk states. Finally Sec. VI presents the designed non-Hermitian electrical-circuit lattices, which are easy to achieve periodic boundary conditions in 2D and 3D cases, and can realize bulk quantum states of nodal manifolds bounded by exceptional points. II. 2D AND 3D NON-HERMITIAN HONEYCOMB LATTICES Honeycomb lattice plays an important role in con- structing models of novel topological quantum states. For instance, electrons on 2D honeycomb lattices may have the Dirac-type energy dispersions, which have aroused tremendous research interests for topological phases. From the topological point of view, the mass- less Dirac point usually corresponds to criticality of phase transition between two topologically distinct phases. No- tably both the quantum spin Hall states [71] and the quantum anomalous Hall state [72] were first proposed in the 2D honeycomb lattice as pioneering models of topological insulators, which is in retrospect based on 2 the Dirac criticality. It is also a good starting point to look for nodal-line and Weyl semimetal semimetal phases on 3D honeycomb lattices formed by stacking 2D honey- comb lattices along the vertical dimension [73]. As afore- mentioned honeycomb-lattice models are all Hermitian, the dissipative (gain/loss) and nonreciprocal effects are not taken into consideration. In this work, we demon- strate that, in the non-Hermitian regime, honeycomb lat- tices are a cornerstone as well for seeking novel quantum states, which essentially depend on non-Hermiticity. As shown in Fig. 1, both 2D and 3D honeycomb lattices consist of sublattices A and B, and the unit cell is indi- cated in the pink-dashed box. We assume the hopping processes within each unit cell are asymmetric for sub- lattices A and B, resulting in the non-Hermitian terms, while the hoppings between unit cells, which are are sym- metric, lead to the corresponding Hermitian terms. (a) 2D and (b) 3D honeycomb lattice. The dashed Figure 1. pink box indicates the unit cell. The Hopping parameters tg ± γy inside a unit cell are asymmetric, leading to the non- Hermitian term. The interactions between unit cells on the 2D plane are set as t. The inter-layer couplings are set as tA, tB and t between A-A, B-B and A-B sites, respectively. III. EXCEPTIONAL POINTS AND BULK FERMI-ARC STATES IN 2D NON-HERMITIAN HONEYCOMB LATTICE We begin with the 2D case, for which the tight-binding Hamiltonian is written as H(k) = dx(k)σx + (dy(k) + iγy) σy, (1) where dx = tg + t(cos k· a1 + cos k· a2), dy = t(sin k· a1 + sin k · a2), a1,2 = a(cid:0)3/2,±√ 3/2(cid:1) are the lattice vectors and we set the atom-atom distance a = 1 hereafter. tg, t and γy are hopping parameters as indicated in Fig. 1(a). σx,y,z are the Pauli matrices while the term involving σz vanishes under the assumption of chiral symmetry of the system. The energy dispersions are then calculated as E±(k) = ±(cid:113) d2 x(k) + d2 y(k) − γ2 y + 2idy(k)γy, (2) which is generally complex for nonzero γy. The excep- tional point appears if two bands coalesce, leading to dy(k) = 0 and dx(k) = ±γy, (3) and can be combined into a single complex equation dx + idy = tg + t(eik·a1 + eik·a2 ) = ±γy. (4) By tuning the parameters, we get different numbers of solutions for Eq. (4), i.e., different number of exceptional points in the BZ: 1) max[(tg ± γy)/t] < 2, there are four exceptional points in the first BZ (Fig. 2(a1)). 2) min[(tg ± γy)/t] < 2 < max[(tg ± γy)/t], two exceptional points appear (Fig. 2(b1)). 3) No exceptional point ex- ists when min[(tg ± γy)/t] > 2. The band dispersions through the exceptional points are shown in Fig. 2(a2, b2). 3 are satisfied, the real parts of the dispersions are degener- ate while the imaginary parts are non-degenerate, which are the solutions for the bulk Fermi-arc. Substituting Eq. (2) into Eq. (5), we obtain dy(k) = 0 and d2 x(k) < γ2 y. (6) Comparing Eq. (3) with Eq. (6), it is obvious that the exceptional points are the boundaries of bulk Fermi- arcs. Solving Eq. (6), one obtains the explicit ranges of √ bulk Fermi-arc in the BZ, which read 1) kx = 4nπ/3, 3ky/2) < γy; 2) kx = (4n + 2)π/3, tg + 2t cos( √ √ tg − 2t cos( 3ky/2) < γy; and 3) ky = (2n + 1)π/ 3, tg < γy. The configurations of the bulk Fermi arcs for γy = 0.3 and 0.6 are shown in Fig. 3(b, c). Figure 2. The solutions of Eq. (4) with (a) 4 and (b) 2 exceptional points (red dots) in the first Brillouin zone. The parameters are setting as t = 1, tg = 1.5, and (a) γy = 0.3 (b) γy = 0.6. The real and imaginary part of the band dispersions along the line through the exceptional points are showing in (a2) and (b2). Figure 3. (a) The real part of the spectrum, which are degenerate along a line, form the so-called bulk Fermi-arc. (b) and (c) are the configurations of the bulk Fermi-arc with the parameters the same as Fig. 2(a) and (b), respectively. Each bulk Fermi-arc (green lines) is ended with two exceptional points (red points). Quite different from the Hermitian systems, a pair of exceptional points in the complex spectrum of non- Hermitian Hamiltonian will lead to an open-ended bulk states, i.e., the so-called bulk Fermi-arc [21, 22, 61]. As schematically plotted in Fig. 3(a), the bulk Fermi-arc, degenerate with real part of the eigenvalues while non- degenerate with the imaginary part, links a pair of ex- ceptional points. The number of bulk Fermi-arcs and exceptional points can be tuned by the parameter γy as discussed above. Below, we demonstrate the existence of bulk Fermi-arc in our model of Eq. (1). From the dis- persion expression Eq. (2), it is easy to find that if the following expressions Re(cid:0)E2±(cid:1) < 0 and Im(cid:0)E2±(cid:1) = 0 IV. EXCEPTIONAL LINES, BULK DRUMHEAD STATES IN 3D NON-HERMITIAN HONEYCOMB LATTICES Inspired by the existence of bulk Fermi-arc terminated at the exceptional points in 2D BZ, for the 3D hon- eycomb lattice, due to the increasing of spatial dimen- sionality, we expect to obtain lines of exceptional points and drumhead-like bulk states bounded by this excep- tional lines. The tight-binding Hamiltonian for the lat- tice model given in Fig. 1(b) is (5) H(k) = dx(k)σx + (dy(k) + iγy) σy + dz(k)σz, (7) where dx(k) = tg+t(cid:80)3 j=1 cos k·aj, dy(k) = t(cid:80)3 j=1 sin k· aj, dz(k) = tAB cos k · a3 + µAB, tAB ≡ tA − tB, and µAB ≡ (µA − µB)/2 is introduced to indicate the differ- ence of the on-site energies between sublattices A and B. In the following, we investigate this model for two cases. We first consider a simplified case, where dz = 0, i.e., setting tAB = µAB = 0. Then the exceptional points are the solutions of the following equations dx(k) + idy(k) = tg + h(k) = ±γy where h(k) ≡ t(cid:80)3 (8) j=1 eik·aj . If γy = 0, the solutions of Eq. (8) form a nodal-ring in momentum space (shown in Fig. 4(a)) for appropriate values of tg and t as discussed in [73]. For a nonzero γy, there are three types of solu- tions. 1) max[(tg ± γy)/t] < 3, the solutions of Eq. (8) form two exceptional rings in the 3D BZ, as shown in Fig. 4(b). 2) min[(tg ± γy)/t] < 3 < max[(tg ± γy)/t], a single exceptional ring exists, as shown in Fig. 4(c). 3) min[(tg ± γy)/t] > 3, no exceptional point solutions exist for Eq. (8). Substituting Eq. (7) into Eq. (5), we obtain (tg + h(k))2 < γ2 y. (9) The solutions of Eq. (9) determine the range of the de- sired drumhead states. Comparing Eq. (8) with Eq. (9), we obtain that the exceptional rings are the boundary of the bulk drumhead states. The configuration of bulk drumhead states is dependent on the parameters as dis- cussed below Eq. (8). By numerically solving Eq. (9), we find two types of bulk drumhead states. The first type is a drumhead with a hole, bounded by two exceptional lines (Fig. 5(a)). The second type is a whole drumhead bounded by one exceptional line (Fig. 5(b)). These bulk drumhead states are essentially different from the drum- head surface states in the Hermitian nodal-line semimet- als. For the latter, the degenerate points form nodal-rings in the 3D bulk BZ, and due to the bulk-boundary cor- respondence, lead to the drumhead boundary states on the 2D surface BZ, whose edges eventually sink into and connect with the bulk nodal-line states. While for the 3D non-Hermitian system, the drumhead states are bulk states bounded by the exceptional lines, with eigenvalue degenerate for the real part but splitted for the imaginary part. Now we discuss the more general case with dz (cid:54)= 0 in Eq. (7). For Hermitian system with γy = 0, Weyl points can be realized in this 3D honeycomb lattice if dx(k) = dy(k) = dz(k) = 0 are satisfied [73]. For non-Hermitian system with γy (cid:54)= 0, the configuration of drumhead states with exceptional edges is enriched compared to the Hermitian case and the non-Hermitian case of dz = 0. In parallel to the discussions in previous sections, the exceptional points and the bulk drumhead states are determined by the following equation and in- equation respectively. dy(k) = 0, d2 x(k) + d2 z(k) = γ2 y, dy(k) = 0, d2 x(k) + d2 z(k) < γ2 y. 4 (10) (11) Setting µAB = 0.7, γy = 0.2 and tAB = 1, we obtain two drumhead states bounded by two exceptional rings as shown in Fig. 6. V. THE BULK-BOUNDARY CORRESPONDENCE In the previous two sections, we discussed the band structures of the bulk states, where the periodic bound- ary conditions were actually implicitly presumed for the Fourier transforms can be applied to produce the BZ. For non-Hermitian system, the bulk energy spectra Fixing tg = 2.5, t = 1 and tuning the value of Figure 4. parameter γy, one obtain (a1) Ring shape bands degenerate points for γy = 0. In this case the system is Hermitian, the energy of bands are real as show in (b2). (b1) The exceptional points form two rings in the BZ for γy = 0.3 which satisfy max[(tg ± γy)/t] < 3. The complex bands is square-root nearby the exceptional points as shown in (b2). (c1) and (c2) For γy = 0.6, which satisfy min[(tg ± γy)/t] < 3 < max[(tg ± γy)/t], only one exceptional ring left. 5 Figure 5. The bulk drumhead states are the states with eigenvalues degenerate for the real parts but splitted for the imaginary parts. The bulk drumhead states (cyan color sur- face) bounded by exceptional rings (red color curves) for (a) γy = 0.3 with a hole and (b) γy = 0.6 without hole. Figure 7. Exceptional points (red points) for Eq. (4) and Nodal points (blue stars) for Eq. (A3) with parameter (a, b) γy = 0.3 and (c, d) γy = 0.6. The edge states (red lines) ap- pear and connect a pair of nodal points for the non-Hermitian 2D honeycomb lattice with zigzag edges. ing the band-crossing points (blue stars) now present. The above results indicate that the proposed excep- tional points and the bulk Fermi-arc states can only exist in a system with periodic boundary conditions. This re- quirement clearly brings difficulty to realize these states experimentally, for the periodic boundary conditions are not easy, if not impossible, to implement in commonly used experimental systems, such as real materials, pho- tonic crystals, phononic crystals, and cold atoms. To solve this problem, we propose to simulate these states in electrical-circuit lattices, for which the periodic boundary conditions are quite easy to be implemented if we connect the head with the tail, showing a significant advantage compared with other realization scenarios. In the following section, we detail how to design the non- Hermitian honeycomb lattice to realize the novel states discussed above. VI. NON-HERMITIAN ELECTRICAL CIRCUIT LATTICE Recently, there has been growing interest in realizing topological phases by electrical circuits, including the time-reversal-invariant topological insulators [68, 69, 74], 3D Weyl semimetals [70, 73, 75], 1D topological insu- lators [76] and the higher-order topological insulators [77 -- 79]. In this section, we construct a 2D electrical- circuit lattice, consisting of capacitors, inductors and op- Figure 6. The exceptional lines (red color curves) and the bulk drumhead states (cyan color surface) for Eq. (7) with parameters tg = 2.5, t = tAB = 1, µAB = 0.7 and γy = 0.2. may change dramatically with open boundary conditions for non-Hermitian systems, which is in sharp contrast to Hermitian ones. In this section, we take 2D non- Hermitian honeycomb lattice as an example to show how the band structures change form periodic boundary con- ditions to open boundary conditions, and discuss the bulk-boundary correspondence. The derivation details are given in Appendix A, and the results for the 3D case are given in Appendix B. The band dispersions for a strip of 2D honeycomb lattice with zigzag edge in the x direction are shown in Fig. 7. It is observed that the band-crossing points (blue stars) do not correspond to the exceptional points (red dots), and the number of gap-closing points can be different from that of exceptional points. Significantly the Fermi-arc states connecting exceptional points E1-E2 and E3-E4 (red dots) existing in the periodic boundary conditions disappear for the strip structure with open boundary conditions. However, the edge states connect- 6 equations IA = jω(C3+C1)(vB−vA)+jωCG(0−vA)+ (0−vA), (12) (0−vB), IB = jωC1(vA−vB)+jω(C3+CG)(0−vB)+ where ω is the frequency of voltage and j ≡ √−1. Con- (13) jωL jωL 1 1 sidering the current conservation, namely, that the sum- mation of the inflow and outflow currents at every node is zero, these equations can be simplified, and then recast into the matrix form, (cid:20) (C1 + C3 + CG) −C1 −C1 − C3 (cid:21)(cid:20) vA (cid:21) 1 = (cid:20) vA (cid:21) . vB ω2L (C1 + C3 + CG) vB (14) The two-by-two matrix on the right hand of Eq. (14) is clearly non-Hermitian because it is real but not symmet- ric. Hence, a non-Hermitian device has been constructed by using conventional operational amplifiers, and repeat- ing this elementary non-Hermitian cell, we can build the 2D non-Hermitian honeycomb lattices. Consequently, the desired electrical-circuit lattice can be constructed as illustrated in Fig. 9, which is made of the elementary non-Hermitian cells and capacitors C2. Figure 9. A 2D circuit lattice consist of operational amplifiers and capacitors. The dashed pink box indicates the elementary non-Hermitian unit cells. The capacitors C2 connect the unit cell, forming a honeycomb-type lattice. Now periodic boundary conditions can be readily im- posed on the 2D electric-circuit lattice by accordingly connecting components on the left (upper) edge to those on the right (lower) edge. And the Fourier transforms can be performed, so that the Kirchhoff equations can Figure 8. (a) The elementary circuit cell that gives the non- Hermitian effect. The operational amplifier's inputs consist of a non-inverting input (+) with voltage V+ and an inverting input (−) with voltage V−. The output voltage of the opera- tional amplifier is denoted as Vout. Connecting the inverting input (−) and the output, the operational amplifier is used as voltage follower, which gives V+ = Vout but no current flows into the non-inverting input (+). C1 and C3 are capacitors. The nodes A and B are connected to ground through parallel connected capacitor and inductor CG, C3, L. erational amplifiers, as an experimental setup to realize the bulk Fermi-arc states bounded by exceptional points discussed in Sec. III. The 3D electrical-circuit lattice for the bulk drumhead states with exceptional edge states discussed in Sec. IV can be designated in a similar way. We first elaborate how to construct the elementary cir- cuit cell, which corresponds to the non-Hermitian term, as shown in Fig. 8. The key idea is to utilize operational amplifiers, which are standard components in electrical circuits, to emulate gain and loss, the characteristics of non-hermiticity. Hence, let us begin with some basics of operational amplifier. The differential inputs of the op- erational amplifier are characterized by a non-inverting input (+) with voltage V+ and an inverting input (−) with voltage V−. Ideally the operational amplifier am- plifies the difference in voltage between the two inputs. The output voltage of the operational amplifier Vout is given by the equation Vout = A(V+ − V−), where A is the open-loop gain of the amplifier that is very high for an ideal amplifier. Connecting the inverting input (−) and the output, leading to V− = Vout, the amplifier is used as a voltage follower, for that Vout = A(V+ − Vout) ⇒ A+1 V+ ≈ V+. For an ideal operational amplifier, Vout = A there is no voltage across its inputs. Therefore the input terminals V+ and V− behave like a short circuit. But this kind of short is virtual, different from a real one, and draws no current because of the infinite impedance between the two inputs. With these properties and ac- cording to Kirchhoff's current law, we get the following be expressed into an eigenvalue-like equation for the sta- tionary systems ACKNOWLEDGMENTS H(k)V = 1 ω2L V, (15) where H(k) = Csσ0 + dx(k)σx + (dy(k) + iγy)σy, (16) This work was supported by the National Key Research and Development Program of China (No. 2017YFA0304700, No.2017YFA0303402), the National Natural Science Foundation of China (No. 11674077, No. 11874048). The numerical calculations in this work have been done on the supercomputing system in the Super- computing Center of Wuhan University. 7 and dx(k) = −(C1 + dy(k) = −C2(sin k · a1 + sin k · a2), C3 2 ) − C2(cos k · a1 + cos k · a2), Appendix A: Edge states and skin effect in a strip of 2D non-Hermitian honeycomb lattice (17) γy = − C3 2 . Here, Cs = C1 + 2C2 + C3 + CG, and V = [vA(k), vB(k)]T is the Bloch-like states for the potential distributions on the A and B nodes. a1,2 are the basis vectors of the 2D lattice as shown in Fig. (9). The details of deriva- tion of Eqs. (15-17) are given in Appendix C. Compar- ing Eq. (17) with Eq. (1), we find that the parame- ters in these two equations can be related as t = −C2, tg = −(C1 + C3/2) and γy = −C3/2. Therefore, by tun- ing capacitors C1,2,3, one can realize the nodal points and bulk Fermi arc states in the 2D non-Hermitian electrical- circuit honeycomb lattice. The 3D non-Hermitian hon- eycomb lattice to simulate the nodal drumhead with ex- ceptional edges can be constructed by the same method as well. VII. CONCLUSION In this work we investigated possible exotic non- hermitian quantum states on 2D and 3D honeycomb lat- tices models with only nearest-neighbor hoppings being considered. More specifically, the bulk Fermi-arc states connecting the exceptional points, and the bulk drum- head states bounded by the exceptional lines were found in 2D and 3D cases, respectively. By investigating the bulk-boundary correspondence of these models with open boundary conditions, we observed that the above exotic states are undermined, indicating the periodic bound- ary conditions are essential for the existence of these ex- ceptional points and open nodal manifolds. Since peri- odic conditions are actually unrealistic for conventional systems, such as real materials, photonic crystals and cold atoms in optical lattices, we therefore proposed the electrical-circuit simulations, which have the advantage of easily achieving periodic boundary conditions, to real- ize the exotic states. Moreover, the constructed electrical circuits in principle can be easily fabricated experimen- tally, since all components and their usage are conven- tional. Considering the strip of 2D honeycomb lattice with zigzag edge in the x-direction, the Hamiltonian can be written as (cid:17) cjB,ky e−ikya1y + h.c. (cid:16) N(cid:88) N−1(cid:88) (cid:0)(tg − γy)c † tc jA,ky j=1 H = + † (j+1)B,ky cjA,ky j=1 † + (tg + γy)c jA,ky c(j+1)B,ky (A1) (cid:1), where N is the number of unit cell in the x-direction. The band dispersions for Hamiltonian (A1) are shown in Fig. 7. It clear that the band-crossing points (blue stars) are not correspond to the exceptional points (red dots), and the number of gap-closing point can be not equal to the number of exceptional points. The bulk Fermi-arc states connecting exceptional points E1-E2 and E3-E4 (red dots) disappear. But edge states arise and connect a pair of the new gap closing points (blue stars), instead of connecting the projection of the ex- ceptional points. These anomalies can be resolved by using the auxiliary Hamiltonian proposed in reference [67]. Taking a similarity transformation to H, we ob- tain H = P −1HP , where P is a 2N × 2N diagonal matrix P = diag[1, 1, α, α,··· , αN−1, αN−1] and α = (cid:112)(tg − γy)/(tg + γy). The transformed Hamiltonian H has explicit form as N(cid:88) N−1(cid:88) H = † tc jA,ky cjB,ky e−ikya1y + † tgc (j+1)B,ky cjA,ky +h.c.. j=1 j=1 (A2) After taking Fourier transform in the x direction, one obtains H(k) = dx(k)σx + dy(k)σy, (A3) where dx = tg + t(cos k · a1 + cos k · a2), dy = t(sin k · a1 + sin k · a2), and tg = y. Hence, the Eq. (A2) and (A3) is Hermitian if tg ≥ gy is satisfied. The gap closing points calculated from H(k) are consistent with g − γ2 t2 (cid:113) the gap closing points of the strip structure as shown in Fig. 7. One can calculate the Berry phase φ(ky) for H(kx, ky) with ky fixed. φ(ky) = π reveals that the edge states exist on the boundary, while φ(ky) = 0 indicates no edge states existing. Therefore, the bulk-boundary correspondence is recovered by using H(k). 8 Now, we show the skin effect for the non-Hermitian 2D honeycomb lattice with open-boundary conditions. Considering the similarity transformation H = P −1HP . If Hψ(cid:105) = λψ(cid:105), then P −1HPψ(cid:105) = λψ(cid:105) ⇒ HPψ(cid:105) = λPψ(cid:105). Thus, if ψ(cid:105) is an eigenvector of H with eigen- value λ, then Pψ(cid:105) is an eigenvector of H with the same eigenvalues. With periodical boundary condi- tions, all states in both Hermitian and non-Hermitian 2D honeycomb lattice are Bloch waves, ensured by the translational symmetry of the lattice. With open H, ψ = boundary conditions, , are still nearly Bloch-type, when the number of layers is large enough. However, this is not the same as in non-Hermitian cases. We can find that the wave function P ψ of H becomes P ψnA,B = αn−1ψnA,B. As α (cid:54)= 1. Therefore we get that P ψ is localized at one side of the effective 1D sys- tem (as shown in Fig. 10), dubbed as "non-Hermitian skin effect" [62, 67]. (cid:0)ψ1B,ky , ψ1A,ky ,··· , ψN B,ky , ψN A,ky the bulk states of (cid:1)T Figure 10. (a) The two edge states(red lines) is localized at the left side as expected and degenerate for the chiral symmetry with γy = 0.3, while the bulk states (gray lines in the inset) are also localized on the boundary. (b) With γy = 0.6, all the bulk and edge states are localized more heavily. Below we give a more intuitive way to understand the skin effect. For the non-Hermitian originated from asym- metric hopping terms tg ± γy, the particles have larger hopping probability in a specific direction. Although, the wave functions are Bloch type in the periodical bound- ary conditions, the particles accumulate to one side of the system in the open boundary conditions. The states largely deviate from the bulk Bloch type, therefore, the breakdown of the correspondence between bulk excep- tional points with periodical boundary conditions and the edge states with open boundary condition is not sur- prising. Figure 11. For the dz = 0 case with tg = 2.5 and t = 1, there are (a) two exceptional rings for γy = 0.3 and (c) one exceptional ring (red curves) for γy = 0.6 projected to the surface BZ . (b, d) The slab band structures are calculated along ky. The gap-closing points are located at T1 and T2 (pink points indicated in (a) and (c), not at the exceptional points. Appendix B: Surface states for slab structure of 3D non-Hermitian honeycomb lattice We consider slab geometry terminated in the x direc- tion of the 3D non-Hermitian honeycomb lattice. The band structures of the slab are calculated as shown in Fig. 11. The bulk exceptional lines (red color curves) are projected to the surface BZ as shown in Fig. 11(a, c). The gap closing points for the slab band structures are located at T1 and T2 points instead of at the exceptional points. The bulk drumhead states are damaged, with no corresponding states on the surface. While new surface states (red color bands), connecting T1 and T2 points, emerge as shown in Fig. 11(b, d). Appendix C: Details of the derivation of Eqs. (15-17) in the main text The currents, which flow into nodes A and B in the cell located at R = 0 of the circuit lattice (shown in Fig. 9), are given as 9 IB(0) = jωC1[vA(0) − vB(0)] + jωC2[vA(a1) − vB(0)] + jωC2[vA(a2) − vB(0)] + jω(C3 + CG)[0 − vB(0)] + [0 − vB(0)], 1 jωL IA(0) = jω(C1 + C3)[vB(0) − vA(0)] + jωC2[vB(−a1) − vA(0)] + jωC2[vB(−a2) − vA(0)] + jωCG[0 − vA(0)] + [0 − vA(0)], 1 jωL (C1) (C2) where ω is the frequency for the sinusoidal signal, j ≡ √−1, the vectors a1, 0, a2 in the parentheses correspond- ing to lattice sites. The relations for the nodes currents IA,B(R) and the potential distributions vA,B(R) on the whole lattice can be obtained with the same method. Kirchhoff's law demands that IA(R) and IB(R) are zero. Therefore writing above equations into a matrix form, we get a tight-binding-like Hamiltonian equation    vB(−a1) vB(−a2) vA(0) vB(0) vA(a1) vA(a2) ... ...  vB(−a1) vB(−a2) vA(0) vB(0) vA(a1) vA(a2) ... ...  = 1 ω2L . (C3)  . . . −C2 −C2 Cs −(C1 + C3) ... −C1 Cs ... −C2 −C2 . . . The hopping terms can be extracted from the left matrix as listed below: HAA(R = 0) = Cs ≡ C1 +2C2 +C3 +CG, HAB(R = 0) = −(C1 + C3), HAB(R = −a1) = −C2, HAB(R = −a2) = −C2, HBB(R = 0) = CS, HBA(R = 0) = −C1, HBA(R = a1) − C2, and HBA(R = a2) = −C2, where R is the lattice vector and Hnm(R) are the tight-binding parameters between node n located at the home unit cell and node m located at R. With these terms, the Hamiltonian in the k space can be obtained R eik·RHnm(R), by the Fourier transform Hnm(k) = (cid:80) leading to HAA(k) = HBB(k) = Cs, HAB(k) = −(C1 + C3) − C2(e−ik·a1 + e−ik·a2), HBA(k) = −C1 − C2(eik·a1 + eik·a2 ). (C4) Rewriting the matrix in terms of the Pauli matrices, we obtain H(k) = Csσ0 + dx(k)σx + (dy(k) + iγy)σy, (C5) where dx(k) = −(C1 + dy(k) = −C2(sin k · a1 + sin k · a2), C3 2 ) − C2(cos k · a1 + cos k · a2), γy = − C3 2 . Comparing Eq. (C6) with Eq. (1), we get t = −C2, tg = −(C1 + C3 2 ), and γy = − C3 2 as given in the main text. ∗ [email protected][email protected] [1] W. D. Heiss, Journal of Physics A: Mathematical and General 37, 2455 (2004). [2] M. S. Rudner and L. S. Levitov, Phys. Rev. Lett. 102, 065703 (2009). [3] S. Diehl, E. Rico, M. A. Baranov, and P. Zoller, Nature Physics 7, 971 (2011). [4] K. Esaki, M. Sato, K. Hasebe, and M. Kohmoto, Phys. Rev. B 127 (2011). [5] W. D. Heiss, J PHYS. A-MATH. THEOR. 45, 444016 (2012). [6] C.-E. Bardyn, M. A. Baranov, C. V. Kraus, E. Rico, A. Imamoglu, P. Zoller, and S. Diehl, New J. Phys. 15, 085001 (2013). [7] C. Yuce, Physics Letters A 379, 1213 (2015). [8] J. M. Zeuner, M. C. Rechtsman, Y. Plotnik, Y. Lumer, S. Nolte, M. S. Rudner, M. Segev, and A. Szameit, Phys. Rev. Lett. 115 (2015). [9] T. E. Lee, Phys. Rev. Lett. 116, 133903 (2016). [10] H. Menke and M. M. Hirschmann, Phys. Rev. B 95 (2017). [11] D. Leykam, K. Y. Bliokh, C. Huang, Y. D. Chong, and F. Nori, Phys. Rev. Lett. 118, 040401 (2017). [12] J. Gonz A¡lez and R. A. Molina, Phys. Rev. B 96, 045437 (C6) (2017). 10 [13] W. Hu, H. Wang, P. P. Shum, and Y. D. Chong, Phys. 093019 (2015). Rev. B 95 (2017). [46] C. Fang, Y. Chen, H.-Y. Kee, and L. Fu, Phys. Rev. B [14] Z. Gong, Y. Ashida, K. Kawabata, K. Takasan, S. Hi- gashikawa, and M. Ueda, Physical Review X 8 (2018). [15] H. Shen, B. Zhen, and L. Fu, Phys. Rev. Lett. 120, 146402 (2018). 92 (2015). [47] L. S. Xie, L. M. Schoop, E. M. Seibel, Q. D. Gibson, W. Xie, and R. J. Cava, APL Materials 3, 083602 (2015). [48] H. Weng, Y. Liang, Q. Xu, R. Yu, Z. Fang, X. Dai, and [16] R. A. Molina and J. Gonzalez, Phys. Rev. Lett. 120 Y. Kawazoe, Phys. Rev. B 92, 045108 (2015). (2018). [49] K. Mullen, B. Uchoa, and D. T. Glatzhofer, Phys. Rev. [17] V. M. M. Alvarez, J. E. B. Vargas, M. Berdakin, and Lett. 115 (2015). L. E. F. F. Torres, arXiv:1805.08200 (2018). [18] A. A. Zyuzin and A. Y. Zyuzin, Phys. Rev. B 97, 041203 (2018). [19] A. Cerjan, M. Xiao, L. Yuan, and S. Fan, Phys. Rev. B 97, 075128 (2018). [20] H. Wang, J. Ruan, and H. Zhang, arXiv:1808.06162 (2018). [21] V. Kozii and L. Fu, arXiv:1708.05841 (2017). [22] M. Papaj, H. Isobe, and L. Fu, arXiv:1802.00443 (2018). [23] T. Yoshida, R. Peters, and N. Kawakami, Phys. Rev. B [50] G. Bian, T.-R. Chang, H. Zheng, S. Velury, S.-Y. Xu, T. Neupert, C.-K. Chiu, S.-M. Huang, D. S. Sanchez, I. Belopolski, N. Alidoust, P.-J. Chen, G. Chang, A. Ban- sil, H.-T. Jeng, H. Lin, and M. Z. Hasan, Phys. Rev. B 93, 121113 (2016). [51] A. Pal and E. J. Mele, Phys. Rev. B 87, 205444 (2013). [52] M. Xiao and S. Fan, arXiv:1709.02363 (2017). [53] M. Hirayama, R. Okugawa, T. Miyake, and S. Mu- rakami, Nat. Commun. 8 (2017). [54] T. c. v. Bzdusek and M. Sigrist, Phys. Rev. B 96, 155105 98 (2018). (2017). [24] H. Shen and L. Fu, Phys. Rev. Lett. 121 (2018). [25] J. C. Budich, P. Zoller, and S. Diehl, Phys. Rev. A 91 (2015). [55] W. Wu, Y. Liu, S. Li, C. Zhong, Z.-M. Yu, X.-L. Sheng, Y. X. Zhao, and S. A. Yang, Phys. Rev. B 97, 115125 (2018). [26] Y. Xu, S.-T. Wang, and L.-M. Duan, Phys. Rev. Lett. [56] O. b. u. Turker and S. Moroz, Phys. Rev. B 97, 075120 118 (2017). (2018). [27] A. Cerjan, S. Huang, K. P. Chen, Y. Chong, and M. C. [57] J. Carlstrom and E. J. Bergholtz, Phys. Rev. A 98, Rechtsman, arXiv:1808.09541 (2018). 042114 (2018). [28] C. E. Ruter, K. G. Makris, R. El-Ganainy, D. N. Christodoulides, M. Segev, and D. Kip, Nature Physics 6, 192 (2010). [58] Z. Yang and J. Hu, arXiv:1807.05661 (2018). [59] J. C. Budich, J. Carlstrom, F. K. Kunst, and E. J. Bergholtz, arXiv:1810.00914 (2018). [29] Y. Choi, S. Kang, S. Lim, W. Kim, J.-R. Kim, J.-H. Lee, [60] K. Moors, A. A. Zyuzin, A. Y. Zyuzin, R. P. Tiwari, and and K. An, Phys. Rev. Lett. 104 (2010). T. L. Schmidt, arXiv:1810.03191 (2018). [30] B. Zhen, C. W. Hsu, Y. Igarashi, L. Lu, I. Kaminer, A. Pick, S.-L. Chua, J. D. Joannopoulos, and M. Soljacic, Nature 525, 354 (2015). [31] S. Longhi, EPL (Europhysics Letters) 120, 64001 (2018). [32] T. Ozawa, H. M. Price, A. Amo, N. Goldman, M. Hafezi, L. Lu, M. Rechtsman, D. Schuster, J. Simon, O. Zilber- berg, and I. Carusotto, arXiv:1802.04173 (2018). [33] Y. Xing, L. Qi, J. Cao, D.-Y. Wang, C.-H. Bai, H.-F. Wang, A.-D. Zhu, and S. Zhang, Phys. Rev. A 96 (2017). [34] G. Harari, M. A. Bandres, Y. Lumer, M. C. Rechtsman, Y. D. Chong, M. Khajavikhan, D. N. Christodoulides, and M. Segev, Science 359, eaar4003 (2018). [35] M. A. Bandres, S. Wittek, G. Harari, M. Parto, J. Ren, M. Segev, D. N. Christodoulides, and M. Khajavikhan, Science 359, eaar4005 (2018). [36] B. Bahari, A. Ndao, F. Vallini, A. El Amili, Y. Fainman, and B. Kante, Science (2017), 10.1126/science.aao4551. [37] T. Kato, Perturbation theory for linear operators, Clas- sics in mathematics (Springer, Berlin, 1995). [38] G. P. Mikitik and Y. V. Sharlai, Phys. Rev. B 73 (2006). [39] A. A. Burkov, M. D. Hook, and L. Balents, Phys. Rev. B 84, 235126 (2011). [40] L. Lu, L. Fu, J. D. Joannopoulos, and M. Soljacic, Na- ture Photonics 7, 294 (2013). [61] H. Zhou, C. Peng, Y. Yoon, C. W. Hsu, K. A. Nelson, L. Fu, J. D. Joannopoulos, M. Soljacic, and B. Zhen, Science 359, 1009 (2018). [62] Y. Xiong, Journal of Physics Communications 2, 035043 (2018). [63] V. M. Martinez Alvarez, J. E. Barrios Vargas, and L. E. F. Foa Torres, Phys. Rev. B 97, 121401 (2018). [64] S. Lieu, Phys. Rev. B 97, 045106 (2018). [65] C. Yuce, Phys. Rev. A 97, 042118 (2018). [66] C. Yin, H. Jiang, L. Li, R. Lv, and S. Chen, Phys. Rev. A 97 (2018). [67] S. Yao and Z. Wang, Phys. Rev. Lett. 121 (2018). [68] J. Ningyuan, C. Owens, A. Sommer, D. Schuster, and J. Simon, Physical Review X 5, 021031 (2015). [69] V. V. Albert, L. I. Glazman, and L. Jiang, Phys. Rev. Lett. 114, 173902 (2015). [70] C. H. Lee, S. Imhof, C. Berger, F. Bayer, J. Brehm, L. W. Molenkamp, T. Kiessling, and R. Thomale, Communi- cations Physics 1 (2018). [71] C. L. Kane and E. J. Mele, Phys. Rev. Lett. 95, 226801 (2005). [72] F. D. M. Haldane, Phys. Rev. Lett. 61, 2015 (1988). [73] K. Luo, R. Yu, and H. Weng, Research 2018, 10 (2018). [74] Y. Li, Y. Sun, W. Zhu, Z. Guo, J. Jiang, T. Kariyado, [41] Y. X. Zhao and Z. D. Wang, Phys. Rev. Lett. 110, 240404 H. Chen, and X. Hu, arXiv:1801.04395 (2018). (2013). [75] Y. Lu, N. Jia, L. Su, C. Owens, G. Juzeliunas, D. I. [42] C.-K. Chiu and A. P. Schnyder, Phys. Rev. B 90 (2014). [43] Y. X. Zhao, A. P. Schnyder, and Z. D. Wang, Phys. Rev. Schuster, and J. Simon, arXiv:1807.05243 (2018). [76] T. Goren, K. Plekhanov, F. Appas, and K. Le Hur, Phys. Lett. 116, 156402 (2016). Rev. B 97, 041106 (2018). [44] S. A. Yang, H. Pan, and F. Zhang, Phys. Rev. Lett. 113 (2014). [45] T. T. Heikkila and G. E. Volovik, New J. Phys. 17, [77] S. Imhof, C. Berger, F. Bayer, J. Brehm, L. W. Molenkamp, T. Kiessling, F. Schindler, C. H. Lee, M. Greiter, T. Neupert, and R. Thomale, Nature Physics 14, 925 (2018). [78] M. Ezawa, arXiv:1810.04527 (2018). [79] M. Ezawa, arXiv:1809.08847 (2018). 11
1810.10319
1
1810
2018-10-10T07:18:04
Evolution of gas-filled pore in bounded particles
[ "cond-mat.mes-hall", "nlin.AO" ]
In the present work, evolution of gas-filled pore inside spherical nanoshells is considered. On the supposition that diffusion fluxes are quasistationary, the nonlinear equation system is obtained analytically, that describes completely the behaviour of gas-filled pore and matrix shell. Two limiting cases are considered: the case when the pore is small as compared to the matrix shell and the case of the pore close to the matrix shell boundary. The characteristic regularities of pore behaviour are established.
cond-mat.mes-hall
cond-mat
Evolution of gas-filled pore in bounded particles. 1,2V. V. Yanovsky,1M. I. Kopp,1M. A. Ratner October 25, 2018 1 Institute for Single Cristals, National Academy of Science of Ukraine, Nauki Ave 60, 61001 Kharkiv, Ukraine 2Kharkiv National Karazin University, Svobody Sq. 4, 61000 Kharkiv, Ukraine Abstract In the present work, evolution of gas-filled pore inside spherical nanoshells is consid- ered. On the supposition that diffusion fluxes are quasistationary, the nonlinear equation system is obtained analytically, that describes completely the behaviour of gas-filled pore and matrix shell. Two limiting cases are considered: the case when the pore is small as compared to the matrix shell and the case of the pore close to the matrix shell boundary. The characteristic regularities of pore behaviour are established. 1 Introduction One of the most important problems of contemporary material science is investigation of onset and development of gas porosity in materials. The creation of materials with improved radiation hardness is important for development of atomic energetics develop- ment as well as for other sectors of industry. Along with vacancy pores, gas-filled pores were discovered forming due to irradiating metals by quick neutron or charged particle fluxes in accelerators. For the first time, theoretical investigation of these problems was performed in the works [1]- [7]. In the same works, the growth of pores filled with noble gases was considered as applied to material swelling, that is, to a large degree, connected with pore coalescence. The physical cause of material swelling as a consequence of gas porosity consists in absorbing of thermal vacancies at redistribution of pores during the coalescence. Pore behaviour becomes even more complicated if it is filled chemically ac- tive gas (or gases) that at coalescence temperatures can interact matrix material or other gases, forming inside the pore one or several gaseous compounds). Such situation can take place, for example, under irradiation. At that, fragments in the form of chemically active gas molecules are formed in the material. The process of gas-filled bubble formation can, probably, occur in many materials, since practically all real materials contain interstitial impurities in the form of oxide, carbide, nitride, and other phases [4]. Another up-to-date trend connected with investigation of gas porosity relates to the creation of new nano- and mesomaterials. Such materials are formed via consolidation of nano-and mesoscale particles that, initially, possess complex defect structure. Properties of such particles to a large degree are determined just by this defect structure [8]- [17]. Reg- ularities of diffusion growth, healing and motion of such defects in nanoparticles present 1 an important problem for further compactification of nanoparticles and creation of new materials. Such materials find important applications in optical spectroscopy, biomedicine, elec- tronics and other areas [18]- [19]. Creation of the theory of diffusive interaction of pores in bounded media, for example, in spherical particles, is an exceptionally complicated task. In bounded particles of the matrix, the influence of close boundary complicates strongly pore behaviour. Closeness of boundary leads to principally different pore behaviour as compared to that in unbounded materials. It is worse to note, that pore formation in spherical nanoshells was discovered relatively recently [8]. In the review [20] the results are presented of theoretical and numerical investigations related to formation and disap- pearing of pores in spherical and cylindrical nanoparticles. Great attention in [20] is paid to the problem of hole nanoshell stability, i.e. to the case when in the nanoparticle center large vacy pores are situated. Analytical theory of diffusive interaction of the nanoshell and the pore situated at ar- bitrary distance from particle center was considered in the work [21]. Here, the behaviour of vacancy pore inside solid matrix of spherical shape. With the supposition of quasiequi- librium of diffusive fluxes, the equations have been obtained analytically for the change of the radii of pore and spherical granule as well as of center-to-center distance between the pore and the granule. The absence of critical pore size has been demonstrated unlike the case of inorganic matrix. In general case, pore in such particles dissolves diffusively, while diminishing in size and shifting towards granule center. In the present work, a simple case in considered of zero diffusion coefficient of the gas in the matrix. It has been shown that the behaviour of gas-filled pore is qualitatively different from that of vacancy pore in spherical matrix. Thus, unlike vacancy pore, the gas-filled one is of stable size, that is determined by the gas density. Asymptotic regimes as well as main regularities of the gas-filled pore behaviour has been established. 2 Evolution equations of gas-filled pore Let us consider the spherical granule of the radius Rs containing the gas-filled pore of the radius R < Rs (see Fig.1). Let us designate the initial values of these radii (at time moment t = 0) as Rs(0) and R(0) correspondingly. Suppose that granule and pore centers are separated from each other by the distance l. We assume that the gas can be found only inside the pore and neglect gas diffusion through pore boundaries. We are interested in pore and granule evolution under the influence of diffusive vacancy fluxes. The complete description of such evolution assumes the knowledge of pore and granule size change with time as well as of time change of their center-to-center distances. In order to obtain the equations describing such evolution, the boundary conditions are required, that are determined by equilibrium vacancy concentrations near the pore and granule surfaces. The equilibrium vacancy concentration near a spherical pore surface is determined, with the account of gas pressure, by the relation (see e.g. [7], [22] ), cR = cV exp(cid:18) 2γω kT R − P ω kT(cid:19) , (1) where cV is equilibrium vacancy concentration near the plane surface, γ is the surface energy per unit area, T is granule temperature, ω is the volume per lattice site ω is the atomic volume of a vacancy, P is gas pressure inside the pore satisfying the equation of 2 Figure 1: Gas-filled pore in spherical granule in bispherical coordinate system. Pore and granule surfaces in this system are coordinate planes η = const. state of ideal gas: 4π 3 · R3 = NgkT, P · here Ng is the gas quantity inside the pore. In the same way, the equilibrium concentration of vacancies near the spherical granule free surface is determined. At this, taking into account that of interest are small granules of nano- and meso- sizes, it is natural to assume the smallness of the external pressure as compared to the Laplass pressure. Then the equilibrium vacancy concentration near the spherical granule free surface is determined as (2) cRs = cV exp(cid:18)− 2γω kT Rs(cid:19) , These concentration values will determine vacancy fluxes. In the further consideration, we will suppose that equilibrium concentrations adjust quickly to the change of pore and granule sizes. In other words, equilibrium concentrations tune themselves to pore and granule size change. Certainly, the problem remains extremely complicated. For the sake of simplicity, it is natural to make one more assumption, namely, to suppose that station- ary fluxes of vacancies inside granule are quickly established. There are two arguments in favour of this. First of all, even if one gets out of the limits of such assumption, vacancy distribution inside granule is unknown. Besides, in a number of cases, stationary fluxes are established quickly enough. The evaluation of characteristic time during which sta- tionary fluxes are established gives τ ≪ l2/D. Under such assumptions, diffusion flux of vacancies onto pore and granule boundaries is determined by stationary diffusion equation and corresponding boundary conditions ∆c = 0, c(r)r=R = cR, c(r)r=Rs = cRs. (3) The geometry of pore and granule boundaries dictates the use of bispherical coordinate In bispherical coordinate system (see Fig.1) system [23], as the most convenient one. each point A of the space is matched to three numbers (η, ξ, ϕ), where η = ln( AO1 AO2 ), 3 ξ = ∠O1AO2, ϕ is polar angle. Let us cite relations connecting bi-spherical coordinates with Cartesian ones: x = a · sin ξ · cos ϕ cosh η − cos ξ , y = a · sin ξ · sin ϕ cosh η − cos ξ , z = a · sinh η cosh η − cos ξ , (4) where a is the parameter, that at fixed values of pore and granule radii as well as of their center-to-center distance is determined by the relation Pore and granule surfaces in such coordinate system are given by relations a = p[(l − R)2 − R2 R(cid:17) , η1 = arsinh(cid:16) a s][(l + R)2 − R2 s] 2 · l . η2 = arsinh(cid:18) a Rs(cid:19) . (5) These relations determine values of η1 and η2 from pore and granule radii, while a includes additionally center-to center distance between the pore and the granule. In the bispherical coordinate system the equation determining vacancy concentration and boundary condition takes on a following form: ∂ ∂η(cid:18) 1 cosh η − cos ξ ∂c ∂η(cid:19) + 1 sin ξ ∂ ∂ξ(cid:18) ∂c ∂ξ(cid:19) + sin ξ cosh η − cos ξ c(η, ξ, ϕ)η1 = cR c(η, ξ, ϕ)η2 = cRs 1 (cosh η − cos ξ) · sin2 ξ ∂2c ∂ϕ2 = 0 (6) Due to symmetry of the problem, vacancy concentration does not depend on variable ϕ. Consequently, equation (6) is reduced to ∂ ∂η(cid:18) 1 cosh η − cos ξ ∂c ∂η(cid:19) + 1 sin ξ ∂ ∂ξ(cid:18) sin ξ cosh η − cos ξ ∂c ∂ξ(cid:19) = 0 (7) Let us perform substitution for the required function c(η, ξ) = √cosh η − cos ξ · F (η, ξ) gives us equation for function F (η, ξ) in the following form: ∂2F ∂η2 + 1 sin ξ ∂ ∂ξ(cid:18)sin ξ ∂F ∂ξ(cid:19) − 1 4 F = 0 , (8) Let us try solution of the equation by the method of separation of variables: F (η, ξ) = F1(η) · F2(ξ). As a result, the following equations are obtained: Here parameter k is a separation constant. The solution of these equations can be easily found, taking into account that the second one coincides with Legendre equation. Then, general solution can be written down in the form: 1 2(cid:19)2 · F1, d2F1 dη2 =(cid:18)k + dξ(cid:18)sin ξ dF2 d 1 sin ξ dξ (cid:19) = −k · (k + 1) · F2 . c(η, ξ) =pcosh η − cos ξ× 4 × ∞Xk=1 (Ak · exp(k + 1/2η) · Pk(cos(ξ)) + Bk · exp(−(k + 1/2η)) · Pk(cos(ξ))) , (9) where Ak and Bk are, arbitrary constants, and Pk(x) are Legendre polynoms. Pk(x) = 1 2k · k! dk dxk (x2 − 1)k, P0(x) ≡ 1. We still have to determine the values of arbitrary constants from boundary conditions and find boundary problem solution (6) as c(η, ξ) =p2(cosh η − cos ξ)(cR ∞Xk=0 sinh(k + 1/2)(η − η2) sinh(k + 1/2)(η1 − η2) −cRs ∞Xk=0 sinh(k + 1/2)(η − η1) sinh(k + 1/2)(η1 − η2) exp(−(k + 1/2)η1)Pk(cos ξ)− exp(−(k + 1/2)η2)Pk(cos ξ)) . (10) Let us note, that here boundary concentration cR is expressed through η1 and a, and cRs through η2 and a. This solution determines stationary vacancy concentration anywhere inside spherical granule of radius Rs and outside pore of radius R. However, the knowledge of vacancy concentration allows one to find vacancy fluxes onto the pore as well as onto granule boundary at the given positions of granule and pore. These fluxes cause change size and position of pore. With account of this, one can write down the equations for the time change of pore and granule radii as well as of their center-to-center distance. Vacancy flux is determined by the first Fick's low as ~j = − D ω ∇c , (11) where D is diffusion coefficient. Let denote the outer pore surface normal as ~n. Then vacancy flux onto pore surface is determined by scalar product ~n · ~jη=η1 . Let us write down the expression for vacancy flux onto unit area of pore surface using the expression for gradient in bispherical coordinates [23] ~n · ~jη=η1 = D ω · cosh η1 − cos ξ a ∂c ∂η η=η1 . Similar expression determines vacancy flux onto unit area of granule surface ~n · ~jη=η2 = D ω · cosh η2 − cos ξ a ∂c ∂η η=η2 . (12) (13) Here ~n is granule surface normal. Evidently, the total vacancy flux onto pore surface determines the rate of pore volume change. It is natural to suppose, that surface diffusion, whose diffusion coefficient usually much exceeds that of the bulk, is in time to restore spherical shape of the pore and the granule. Thus, it is easy to write down the equation for pore volume change in the form In the same way one obtains the equation that determines granule radius: R = − Rs = − ω 4πR2I ~n~jη=η1 dS sI ~n~jη=η2 dS 4πR2 ω 5 After substitution of the exact solution and performing integration, one obtains an equa- tion for pore radius change with time: where functions Φ1 and Φ2 are introduced, that consist of the sum of exponential series: R = − 2 D Rh cR ∞Xk=0 Φ1 = + sinh η1 · (cR · (Φ1 + Φ2) − 2cRs · Φ2)i , e−(2k+1)η1 e(2k+1)(η1−η2) − 1 , Φ2 = ∞Xk=0 e−(2k+1)η2 e(2k+1)(η1−η2) − 1 (14) (15) (16) 2 D Rsh cRs ∞Xk=0 The details of the derivation are given in the appendix. Here η1 and η2 are expressed through pore and granule radii in correspondence with relations (5), while cR and cRs through (1), (2). Thus, the right part of this equation depends nonlinearly on R, Rs and l. In a similar way we obtain equation Rs = − + sinh η2 · (2cR · Φ2 − cRs · (Φ2 + Φ3))i , where the following definition for the function Φ3 is introduced: Φ3 = e−(2k+1)(2η2−η1) e(2k+1)(η1−η2) − 1 = ∞Xk=0 e−(2k+1)η3 e(2k+1)(η1−η2) − 1 In order to obtain a closed set of equations determining granule and pore evolution, one needs to complement these equations with one for the rate of changing center-to-center distance between the pore and the granule. Of course, the displacement rate of vacancy pore relative to granule center is also determined by diffusion fluxes of vacancies onto pore surface (see e.g. [7], [22] ). In the present case, the displacement rate is determined by relation ~v = − 3ω 4πR2I ~n(~n · ~jv)η=η1 dS. Using again the exact solution (2) and performing integration (see Appendix), one obtains: ~v = ~ez · 3D R × 1 2 sinh 2η1 · (cR · (Φ1 + Φ2) − 2cRs · Φ2)(cid:21) (17) ×(cid:20)sinh2 η1 · (cR · (eΦ1 +eΦ2) − 2cRs ·eΦ2) − Here new functions eΦ1 and eΦ2 are defined: ∞Xk=0 eΦ1 = (2k + 1)e−(2k+1)η1 e(2k+1)(η1−η2) − 1 , down the equation in the final form ∞Xk=0 eΦ2 = (2k + 1)e−(2k+1)η2 e(2k+1)(η1−η2) − 1 . Taking into account that displacement rate along z coincides with dl/dt, let us write ×(cid:20)sinh2 η1 · (cR · (eΦ1 +eΦ2) − 2cRs ·eΦ2) − sinh 2η1 · (cR · (Φ1 + Φ2) − 2cRs · Φ2)(cid:21)(18) dl dt = 3D R × 1 2 6 The obtained equation set (14), (15) and (2) determines completely evolution of the gas- filled pore and the granule with time. In the limiting case when the gas is absent, P = 0 (vacancy pore), equations (14), (15) and (2) agree with results of the work [23]. Let us discuss several general properties of the obtained equation set. First of all, it is clear that the volume of granule material does not change with time. Vacancies only carry away 'emptiness'. It is easy to establish this conservation law from the obtained equation set. It can be shown easily that Rs(t)2 Rs(t) − R(t)2 R(t) = 0 The validity of such conservation law is connected closely with current quasi stationary approximation. Vacancy fluxes, that come out from the pore and from the granule are balanced with each other. Thus, the volumes of the pore and of the granule are connected with each other by an easy relation Rs(t)3 = V + R(t)3 (19) where V = Rs(0)3− R(0)3 is initial volume of granule material (multiplier 4π/3 is omitted for convenience). From the very statement of the problem, the second conservation low follows, i.e. the low of conservation of gas amount inside the pore: mg = const or Ng = const. The existence of conservation low (19) enables us to reduce the number of unknown quantities. As a result, we obtain Cauchy problem for the system of two differential equations R and l, whose solution describes evolution of gas-filled pore inside nanoparticle: R ·q1 + a2 R2 · (Φ1 + Φ2)(cid:21)− R2 · (eΦ1 +eΦ2) − a R2 · Φ2(cid:21) , R ·q1 + a2 R · (Φ1 + Φ2)(cid:3) + 2 + a (20) dl dR 4πR3(cid:17) ·(cid:20) a2 R2 ·eΦ2 − a 4πR3(cid:17) ·(cid:2) 1 R · exp(cid:16) 2γω kT R − 3ωNg dt = 3DcV kT Rs(cid:17) ·(cid:20) a2 R · exp(cid:16)− 2γω − 6DcV R · exp(cid:16) 2γω kT R − 3ωNg dt = − DcV kT Rs(cid:17) · a R · exp(cid:16)− 2γω + 2DcV R · Φ2, Rs = 3√V + R3, Rt=0 = R(0), lt=0 = l(0).  For the sake of convenience, let us make equation set (20) dimensionless with characteristic length R0 = R(0) (that is pore radius at the initial time moment t = 0) and characteristic time tD = R2 0/DcV . Let us now go over to the following dimensionless variables: r = R R0 , rs = Rs R0 , L = l R0 , τ = t tD , α = a R0 , 2γω kT R = A r , 2γω kT Rs = A rs , A = 2γω kT R0 , 3ωNg 4πR3 = B r3 , B = 3ωNg 4πR3 0 . Ultimately, the equation system (20) can be rewritten in dimensionless form: 7 Figure 2: Phase portraits of trajectories in the plain (r, L) are presented, obtained via numerical solution of Eqs. (21). On the left: phase portrait for trajectories of "small" pores, obtained at initial conditions rt=0 = 1, rst=0 = 100, A = 10−1 and B = 0.25; on the right: phase portrait for trajectories of "large" pores, obtained at initial conditions rt=0 = 1, rst=0 = 1.5, A = 10−1 and B = 0.25 r2 · (Φ1 + Φ2)(cid:21)− r3 (cid:17) − dr dL dτ = 3 exp(cid:16) A r − B r rs (cid:17) 6 exp(cid:16)− A ·(cid:20) α2 r ·q1 + α2 r2 · (eΦ1 +eΦ2) − α r2 · Φ2(cid:21) , ·(cid:20) α2 r ·q1 + α2 r2 ·eΦ2 − α exp(cid:16) A r · (Φ1 + Φ2)(cid:3) + ·(cid:2) 1 r − B dτ = − r rs = 3√V + r3, rτ =0 = 1, Lτ =0 = l(0) R(0) . 2 + α r3 (cid:17) r  2 exp(cid:16)− A rs (cid:17) r · α r · Φ2, (21) The obtained non-linear system of evolution equations (21) is rather complicated. However we can analyse gas-filled pore evolution numerically via building vector field that mined by the right parts of equation system (21). Corresponding vector field in the plain (r, L) is demonstrated in Fig.2. Here r and L are pore radius and position relative to the granule center correspondingly. Integral lines of this vector field determine phase portrait of equation system (21). The vector field for the case of "small" pores is shown at Fig. 2 on the left, and for the "large" pores, on the right. The exact pore classification into "small" and "large" will be described below. It is easy to note that there is a limiting pore size rcr, which a pore tries to accommodate during evolution. The size depends on the pore position either slightly or not at all. It can be understood from the physical point of view,if one takes into account that boundary conditions (1), (2) in this approximation do not depend on pore position. Pore motion, that is caused by vacancy fluxes onto the boundary of spherical surface, is limited by the value of gas pressure. Thus, after reaching the size rcr ≈p3NgkT /8πγ, at which boundary conditions become level due to gas pressure, the pore ceases changing 8 its size and move. Thus evolution of gas-filled pore consists in its tendency to reach some stationary size, while its position changes slowly and insignificantly. As pore size becomes close to its stationary value, pore motion is ceasing. For large pores, the direction of their motion depends on pore size. If the pore is larger then its stationary size, then, in the process of diminishing down to the stationary size, it moves towards the granule center (see the right part of Fig. 2). If the pore is smaller then its stationary size, then, in the process of growing up to the stationary size, it moves away from the granule center (see the right part of Fig. 2). 3 Asymptotic evolution modes Let us consider asymptotic modes of equation set (21). Possible modes are determined by three dimensionless values: R/Rs, l/Rs and R/l. Let us suppose that the pore is situated at the distance l from the granule center and its radius equals to R. The condition that such a pore is situated inside the granule leads to the purely geometrical inequality R/Rs + l/Rs < 1 (22) Such inequality is held for all evolution modes of a gas-filled pore. In different cases, the mentioned above characteristic dimensionless values are of different order of smallness. Thus, the value δ = R/Rs < 1 is always smaller the unity. The same relates also to l/Rs < 1. Assuming smallness of some values with account of the geometrical restriction, we obtain possible asymptotic modes. Below, we will discuss in more details asymptotic modes that can be realized. Besides, it is clear, that the character of pore evolution is influenced by concentration ( or pressure) of the gas inside the pore. Here, three cases can be distinguished. The first is the case of high gas concentration, that leads to pore "swelling" up to the stationary size. Second case corresponds to such a value of gas concentration inside the pore, that initial radius and position of the pore do not change during evolution time. Third case relates to small gas concentration at which decrease of the pore size down to some stationary value occurs. 3.1 Small pores First of all, let us consider the case of small pores R/Rs ≪ 1. At this, distance from the pore to granule center can take on different values. Thus, the case is possible when . Here, the relation between this values can vary. The possibility exists that R/Rs ≪ 1, l/Rs ≪ 1, R/Rs ≪ l/Rs ⇒ R/l ≪ 1 This means, that the distance from a small pore to the granule center is large as compared to granule radius. Thus, the mode exists when 1) R/Rs ≪ 1, l/Rs ≪ 1, R/l ≪ 1 (23) Of course, another disposition is possible, when a small pore is situated close to the granule center. In this case, the relation between the values is opposite: R/Rs ≫ l/Rs ⇒ R/l ≫ 1 9 Then, the next possible mode is determined by the relations of values 2) R/Rs ≪ 1, l/Rs ≪ 1, R/l ≫ 1 (24) Moreover, small pores can be situated at significant distance from the granule center that is comparable with granule size. In this case, the next relations are realized: 3) R/Rs ≪ 1, l/Rs ≃ 1, R/l ≪ 1. (25) In this case, pore is situated close to granule boundary. Let us note, that the case of small pores is distinguished by one more simplifying circumstance. It can be seen easily that healing of small pores R(0) Rs(0) ≪ 1 cannot be accompanied by a significant change of granule dimensions. Indeed, using the relation (19)(19), one can estimate an order of granule size change during the evolution. According to (19) this change can be written down in the form: = 3s1 − Rs(t) Rs(0) R(0)3 Rs(0)3 + R(t)3 Rs(0)3 ≃ 1 − 1 3 R(0)3 Rs(0)3 Hence, within small pore approximation, granule size does not change Rs(t) ≈ Rs(0) = R0s up to cubic order of smallness R(0)3 Rs(0)3 . Then, neglecting granule radius change, the equation system (21) takes on a simpler form: dL dτ = − 6 exp(cid:16)− A 3 exp(cid:16) A r − B r rs0 (cid:17) r exp(cid:16) A r − B r r3 (cid:17) ·(cid:20) α2 r ·q1 + α2 r2 · (eΦ1 +eΦ2) − α ·(cid:20) α2 r2 · Φ2(cid:21) , r ·q1 + α2 r2 ·eΦ2 − α r · (Φ1 + Φ2)(cid:3) + ·(cid:2) 1 2 + α r3 (cid:17) r 2 exp(cid:16)− A rs0 (cid:17) r2 · (Φ1 + Φ2)(cid:21)− · α r · Φ2, (26)  dr dτ = − rτ =0 = 1, rsτ =0 = rs0, Lτ =0 = l(0) R(0) . Let us now consider asymptotic case (23). By virtue of L ≫ r, in Eqs. (26), the expression for the parameter α is simplified r2 s0 2Ls(cid:18)1 − L2 r2 s0(cid:19)2 = r2 s0 2L(cid:18)1 − L2 r2 s0(cid:19) , α ≈ (27) and bispherical coordinates η1,2, that are defined according to(5), are, correspondingly, equal to: s η1 = arsinh(cid:18) r2 L2 r2 r ≫ 1, then η1 ≫ η2. 2rL(cid:18)1 − Since sinh η1 sinh η2 following expressions: = rs s(cid:19)(cid:19) , η2 = arsinh(cid:18) rs 2L(cid:18)1 − L2 r2 s(cid:19)(cid:19) . (28) In this case, series sums can be estimated via Φ1 ≈ 1 2 sinh 2η1 , Φ2 ≈ 1 sinh 2η1 sinh η1 = α r 1 + 2 sinh2 η1 8 sinh2 η1 cosh2 η1 , eΦ1 ≈ cosh η1 =r1 + , α2 r2 . cosh η1 2 sinh2 η1 , , eΦ2 ≈ (29) 10 Figure 3: On the upper left, the dependence is shown of pore radius r on time τ for different values of gas parameter B: B = 0.25, B = 0.10101 and B = 0.025. Solid line corresponds to the numerical solution of complete equation set (26), dash-and-dot line corresponds the numerical solution of approximate equations (30)-(31); on the upper right, the dependence is presented of distance L on time τ for parameter B = 0.25; below on the left, the dependence is shown of distance L on time τ for parameter B = 0.10101; below on the right, the dependence is shown of distance L on time τ for parameter B = 0.025. All solutions are obtained at initial conditions rτ =0 = 1, rsτ =0 = 100, Lτ =0 = 10 and A = 10−1. 11 By substituting expressions (27)-(3.1) into equation set (26), we find simplified equation set: dL dτ 3 2 = − exp(cid:18)A r3(cid:1) ·(cid:20)1 + r − B r r − r , r2 B r3(cid:19) · 2L ·(cid:18) L2 r(cid:16) L2 s0(cid:17)r2 exp(cid:16)− A rs0(cid:17) s0(cid:19)(cid:21) + r2 s0 r dr dτ = − exp(cid:0) A (30) (31) Equations (30)-(31) are written down up to L2/r2 s0 terms. This nonlinear set signifies that, at high gas concentration, pore size increases monotonously while moving towards the granule center. Besides, smallness of the right part of (30) means that pore displacement towards granule center during characteristic time of the establishment of stationary pore radius is small. It is interesting to compare the behavior of the pore in this asymptotic mode with the solutions of complete equation set (26). In Fig. 3 the numerical solutions of exact (26) and approximate (30)-(31) equation sets are shown with the same initial conditions rτ =0 = 1, rsτ =0 = 100, Lτ =0 = 10 , A = 10−1 and different values of parameter B, connected to the value of gas concentration Ng: B = 0.25 (Ng = 1.05 · 105), B = 0.10101 (Ng = 3.17 · 104), B = 0.025 (Ng = 1.05 · 104). Fig. 3 2 demonstrates good agreement of the approximate solution with the solution of the complete equation set for pore radius time change for various gas concentrations. In Fig. 3 the plots are also shown for the time change of center-to-center distance between the pore and the granule. These plots demonstrate, that at "high" gas concentrations, in the case of approximate solution, pore displacement speed is most underestimated as compared to other modes. L2/r2 Such good agreement allows us to consider pore radius change at zeroth-order at s0 ≪ 1. In this case we obtain a simple equation for the radius of an immobile pore: dr dτ = − exp(cid:0) A r3(cid:1) r − B r + rs0(cid:17) exp(cid:16)− A r (32) (34) It can be seen from here that the sign of right part of Eq. parameter B. One can easily obtain general solution of Eq. (32) in integral form (32) depends on the gas τ + const = eA/rs0Z rdr 1 − eA/r−B/r3+A/rs0 (33) Here const is determined by initial conditions. Let us consider the following evolution stage of "small" (r ≪ rs0)gas-filled pores where A ≪ r and B ≪ r3: exp(cid:16) A rs0(cid:17)A τ ≈ − 1 2(cid:18) B A(cid:19)3/2 − 3 r(0)3 3 − +(cid:18) B (cid:18) r(τ )3 · ln(cid:16)pB/A + r(τ )(cid:17)(cid:16)pB/A − r(0)(cid:17) (cid:16)pB/A − r(τ )(cid:17)(cid:16)pB/A + r(0)(cid:17) A(cid:19) · (r(τ ) − r(0)) −  12 Figure 4: In Fig. solid line numerical solution equation set (26), dash-and-dot -- analytical solution of Eq. (32) for initial conditions rτ =0 = 1, rsτ =0 = 100, Lτ =0 = 10, A = 10−1 and different values of gas parameter B. In the absence of gas inside the pore B = 0, this dependence coincides with that obtained in [21], where it was shown that vacancy pore healing time is proportional to third power if initial pore radius r(0) and to material temperature T , since A ∼ 1/T (of course, without taking into account temperature dependence of diffusion coefficient). In the presence of the gas B 6= 0 one can consider the following modes: 1. gas density is "large" B ≫ A; 2. "equilibrium" gas concentration B ∼= A, at which pore radius practically does not change; 3. "low" gas density B ≪ A. In Fig. 4 dash line indicates plots of analytical solutions (34) at different values of pa- rameter B that correspond to the described above modes. Solid line in Fig. 4 indicates the numerical solution of equation set (26). Here we observe a good agreement between analytical and numerical solutions. Let us now turn to the case (24) of a small pore situated close to the granule center: R/Rs ≪ 1, l/Rs ≪ 1, R ≫ l. (35) Such inequalities comply with the geometrical condition R/Rs + l/Rs ≤ 1. Taking into account (35), it is easy to find expressions for parameter α and bispherical coordinates η1,2: r2 s 2L(cid:18)1 − r2 r2 s0(cid:19) , η1 ≈ arsinh(cid:18) r2 2rL(cid:18)1 − s0 r2 r2 s0(cid:19)(cid:19) , η2 ≈ arsinh(cid:18) rs 2L(cid:18)1 − r2 r2 s0(cid:19)(cid:19) α ≈ (36) It can be seen from here, that, for small pores, the relation η1 ≫ η2 is valid. Using the estimation of series sums by formulas (3.1), we approximate pore evolution equations for such case. dL dτ = − 3 2 · exp(cid:18) A r − B r3(cid:19) · rs0(cid:17)2 r(cid:16) L s0(cid:17)2 s0(cid:16)1 − r2 r2 r2 (37) 13 Figure 5: On the upper left, the dependence is shown of pore radius r on time τ for different values of gas parameter B: B = 0.25, B = 0.10101 and B = 0.025. Solid line corresponds to the numerical solution of complete equation set (26), dash-and-dot line corresponds to the numerical solution of approximate equations (37)-(38); on the upper right the dependence is shown of distance L on time τ for parameter B = 0.25; below on the left, the dependence is shown of distance time-change L on time τ for parameter for parameter B = 0.10101; below on the left, the dependence is shown of distance time-change L on time τ for parameter for parameter B = 0.025. All are solutions obtained at initial conditions rt=0 = 1, rst=0 = 100, Lt=0 = 0.1 and A = 10−1. 14 Figure 6: In Fig. solid line numerical solution equation set (26), dash-and-dot -- analytical solution of Eq. (38) for initial conditions rτ =0 = 1, rsτ =0 = 100, Lτ =0 = 0.1, A = 10−1 and different values of gas parameter B. dr dτ = − exp(cid:0) A r3(cid:1) r − B r exp(cid:16)− A rs0(cid:17) r (38) ·1 + 1 2 · r2 rL s0(cid:17) s0(cid:16)1 − r2 r2  + In the Fig. 5 numerical solutions of Eqs. (26) (solid line) and Eqs. (37)-(38) (dashed line) are shown for initial conditions, satisfying inequalities (35): rτ =0 = 1, rsτ =0 = 100, Lτ =0 = 0.1 and A = 10−1 for different variants of gas concentration: 1) B = 0.25 (Ng = 1.05 · 105), 2) B = 0.10101 (Ng = 3.17 · 104) and 3) B = 0.025 (Ng = 1.05 · 104). The upper left part of Fig. 5 demonstrates a good agreement of numerical solutions of Eqs. (25) and (37)-(38) for pore radius change. In the right upper part of Fig. 5 time change of center-to-center distance between the pore and the granule is demonstrated, correspondingly, for equation set (26) and Eqs. (37)-(38) at B = 0.25. The lower left part of Fig. 5 shows time change of center-to-center distance between the pore and the granule correspondingly for equation set (26) and Eqs. (37)-(38) at B = 0.10101. Lower right part of Fig. 5 shows time change of center-to-center distance between the pore and the granule correspondingly for equation set (26) and Eqs. (37)-(38) at B = 0.025. Similarly to the previous case, the pore is almost immobile: L(t) ≈ L(0). Therefore, we can confine ourselves to zeroth approximation for the pore evolution analysis. In this case, (31) is obtained. The analytical solution of this equation well agrees with the numerical solution of equation set (26) for initial conditions rτ =0 = 1, rsτ =0 = 100, Lτ =0 = 0.1, A = 10−1 at various parameters B: B = 0.25, B = 0.10101 and B = 0.025. These solutions are shown in Figs. 6. Let us, finally, turn to the discussion of the mode (25), when the pore is situated close to the granule boundary. In this case, the relation l/Rs is close to unity: l Rs = 1 − ε, Here ε is small parameter, on which the asymptotic expansion is conducted. Parameter ε value is restricted by the geometrical inequality (the pore inside the granule) R Rs ≤ ε. 15 Figure 7: On the upper left, dependencies of pore radius r on time τ are given for different values of gas parameter B: B = 0.25, B = 0.10101 and B = 0.025. Solid line corresponds to the numerical solution of complete equation set (26), dash-and-dot line corresponds to the numerical solution of approximate equations (37)-(38); on the upper right, dependence is shown of distance L on time τ for parameter B = 0.25; below on the left, dependence is shown of distance time-change L on time τ for parameter B = 0.10101; below on the right, dependence is shown of distance time-change L on time τ for parameter B = 0.025. All solutions have been obtained at initial conditions rt=0 = 1, rst=0 = 100, Lt=0 = 90 and A = 10−1. 16 In asymptotic expansion we will take into account the terms of the order of ε2. With account of this remark, parameter α and, correspondingly, bispherical coordinates η1,2 obtained within the small pore approximation R ≪ Rs and R ≪ l, take on the form: r2 s 2L α ≈ ε(2 − ε), η1 ≈ ln(cid:16) rs r (2ε + ε2)(cid:17) , η2 ≈ ε + ε2 2 . (39) It can be seen from here, that η1 ≫ η2 , therefore we can use previous estimates for the sums of series given by formulas (3.1). Substituting (3.1) and (39) into the right part of Eq. (26), we obtain pore evolution equations within approximation (25): dL dτ dr dτ = − exp(cid:0) A 3 = − 8 · exp(cid:18) A ·1 + r3(cid:1) r − B r r − 1 2 · B r2 r3(cid:19) · r(cid:16) L rs0(cid:17)2 rs0(cid:17)2 s0(cid:16)1 − L rs0(cid:17) exp(cid:16)− A  + s0(cid:17) s0(cid:16)1 − L2 rL r2 r2 r (40) (41) In Fig. 7, the numerical solutions are shown both of the exact equation set (26) and of the approximate one (40)-(41) with the same initial conditions rτ =0 = 1, rsτ =0 = 100, Lτ =0 = 90 and A = 10−1. The left upper part of Fig. 7 demonstrates very good agreement of the time dependences of pore radius. In Fig. 7 the plots are shown for time dependence of the center-to-center distance between the pore and the granule. It can be seen from the figure, that the displacement of the pore towards the granule center, obtained from exact equation set (26) exceeds that observed in approximate equation set (40)-(41). 3.2 Large pores Let us now proceed to discussing the evolution of large pores. Let us begin with the notion, that asymptotic mode is not, in fact, realized. relations to the unity R/Rs ∼= 1, Indeed, let us take into account the closeness of the two firs l/Rs ∼= 1, R/l ∼= 1. (42) R Rs = 1 − ε1, l Rs = 1 − ε2, (43) where ε1 ≪ 1 and ε2 ≪ 1 are small parameters. Substituting (43) into geometrical condition (22), we find 1 ≤ ε1 + ε2. Since ε1,2 are small parameters, this inequality does not hold. Thus, mode (42) is not compatible with geometrical condition (22). Let us consider the valid regime of large pore evolution when relations between values R, Rs, l are the following: 4) R/Rs ∼= 1, l/Rs ≪ 1, R ≫ l. (44) Let us write down the first relation as R/Rs = 1 − ǫ, where ǫ is a small parameter of asymptotic expansion. With an account of the validity of conservation low for the volume of granule material, we can find, from Eq. (19) granule radius change Rs(t) =(cid:0)Rs(0)3 − R(0)3 + R(t)3(cid:1)1/3 17 Figure 8: Dependencies are shown for the case of large pore of radius r on time τ (on the left) and of distance L on time τ (on the right) for different values of gas parameter B: B = 0.25, B = 0.1668 and B = 0.025. All plots correspond to numerical solutions of equation set (21) for initial conditions rt=0 = 1, rst=0 = 1.5, Lt=0 = 0.15 and A = 10−1. or, in dimensionless units, rs(t) =(cid:0)rs(0)3 − r(0)3 + r(t)3(cid:1)1/3 (45) Using this relation, we can describe the large pore evolution by the following dimensionless equations (21). The numerical solution of equation set is shown in Fig. 8 for initial conditions rτ =0 = 1, rsτ =0 = 1.5, Lτ =0 = 0.15, A = 10−1 at different values of gas parameter B = 0.25, B = 0.1668 and B = 0.025. Fixed values of gas parameter B a chosen in such a way that three characteristic evolution modes of large pore could be demonstrated. The first mode corresponds to the case of "high" gas density inside the pore (B = 0.25 or Ng = 1.05 · 105). It can be seen from the plot at B = 0.25 , that large pore evolution is accompanied by an increase of pore radius up to some stationary cr when pore is shifted relative to granule center at some critical distance Lh value rh cr. Second evolution mode is the case of the pore "at rest", i.e. at some definite value of gas parameter B = 0.1668, radius and position of the pore do not change. Third case relates to small gas concentration. Finally, third evolution mode pore corresponds to "small" gas pressure (B = 0.025 or Ng = 1.05 · 104), at which decrease of the pore radius down to some stationary value rl cr occurs, that is accompanied by pore shifting towards granule center at some critical distance Ll cr (see Fig. 8). Let us consider asymptotic mode (44) for a large pore, confining ourselves, in connec- tion with (45)-(50), to second-order terms on ǫ, that is ǫ(1 − ǫ) = V 3r3 s , (46) where V = rs(0)3 − r(0)3 is initial volume of material. substituting value ǫ = 1 − r/rs into (46), we obtain quadratic equation for granule radius rs, with the solution in the 18 Figure 9: On the left, solid line indicates the dependencies for large pore of pore radius r on time τ , obtained by numerical solution of equation set (21), dash-and-dot line relates to numerical solution of Eqs. (52)-(3.2); on the right, dependence is shown of distance time-change L on time τ . Solid line corresponds to the numerical solution of equation set (21), while dash-and-dot line relates to solution of Eqs. (52)- (3.2). All solutions are obtained for initial conditions rt=0 = 1, rst=0 = 1.5, Lt=0 = 0.15, A = 10−1 and B = 0.025. 3r3(cid:19) rs = r(cid:18)1 + following form: : (47) V Thus, within asymptotic approximation (44), the connection is obtained between the pore and granule radii. Let us now proceed to the calculation of parameter α, taking into account the condition r ≫ L: r2 s (48) Hence, according to the definition (5) one finds bispherical coordinates η1,2: r2 s ǫ L α ≈ = 2L(cid:0)1 + (1 − ǫ)4 − 2(1 − ǫ)2(cid:1)1/2 η1 = arsinh(cid:18) r2 rL(cid:19) , η2 = arsinh(cid:16) rs s ǫ L ǫ(cid:17) (49) Because of the geometrical conditions, the inequality ǫrs/L ≥ 1 is valid. Thus, bispherical coordinates η1,2 can be approximated for the case ǫrs/L ≫ 1 in the following form: η1 ≈ ln(cid:18) 2r2 rL (cid:19) , s ǫ Then, we find the difference η1 − η2 = ln(cid:0) rs make an estimate of series sums: Φ1 = 1 2 sinh(η1 + ǫ) ≈ 1 2(sinh η1 + ǫ cosh η1) ≈ (50) η2 ≈ ln(cid:18)2rsǫ L (cid:19) r(cid:1) ≈ ln(1 + ǫ) ≈ ǫ and, correspondingly, 2α(cid:0)1 − ǫ + ǫ2 + ···(cid:1) , 2α(1 + ǫ) = r r 19 Φ2 = 1 1 2 sinh(η2 + ǫ) ≈ cosh(η1 + ǫ) 2 sinh2(η1 + ǫ) ≈ cosh(η2 + ǫ) 2 sinh2(η2 + ǫ) ≈ 2(sinh η2 + ǫ cosh η2) ≈ cosh η1 + ǫ sinh η1 2(sinh η1 + ǫ cosh η1)2 ≈ cosh η2 + ǫ sinh η2 2(sinh η2 + ǫ cosh η2)2 ≈ rs 2α(1 + ǫ) = r 2α(1 + ǫ) rs 2α(1 + ǫ) r = rs 2α(cid:0)1 − ǫ + ǫ2 + ···(cid:1) , (51) 2α(cid:0)1 − ǫ + ǫ2 + ···(cid:1) , 2α(cid:0)1 − ǫ + ǫ2 + ···(cid:1) . rs = Substituting relations (47), (48) and (50)into equation set (21) we obtain evolution equa- tions for a large pore with the accuracy up to second order term ǫ2: eΦ1 = eΦ2 = dL dτ = O(ǫ3) 1 + dr dτ = − exp(cid:0) A r3(cid:1) r − B r ·(cid:20) 1 2 ·(cid:18) 2 − ǫ 1 − ǫ(cid:19) (1 − ǫ + ǫ2)(cid:21) + 1 − ǫ + ǫ2 (53) It follows from Eq. (53) that, within the considered asymptotic approximation, the change of distance L(τ ) is quite small: L(τ ) ≈ L(0). Eq. (53) does not depend on L(τ ) , thus, substituting into it the value ǫ = 1 − r/rs we find pore radius evolution equation: 1 − ǫ 2 · A r(cid:16)1+ V r exp − 3r3 (cid:17)! (52) (54) dr dτ = − exp(cid:0) A r3(cid:1) r − B r exp − + A r(cid:16)1+ V r ·1 + 3r3 (cid:17)! 1 1 2 · 1 + V 3r3 3r3 1 + V 3r3!2 + + V ·1 + V 3r3!2 3r3 1 + V In Fig. 9 dash-and-dot line indicates numerical solution of approximate equations (52)- (3.2) with initial conditions rτ =0 = 1, rsτ =0 = 1.5, Lτ =0 = 0.15, A = 10−1 and values of gas parameter B = 0.025. It can be seen from Fig. 9, that numerical solutions of exact (21) and approximate (52)-(3.2) well agree with each other at "low" gas pressure inside the pore. Here, deviation ∆L(τ ) does not exceed accuracy order ∆L(τ ) ≪ ǫ2. 3.3 Gas-filled pore in the center of spherical granule Here, we will consider the simplest limiting case (l = 0), when gas-filled pore is situated in the center of spherical granule . Geometrical inequality (22) turns into evident one: R < Rs. It is convenient to consider this case in a spherical coordinate system. Boundary conditions for concentration remain the same and are determined by formulas (1) and (2)correspondingly. Then equations, determining vacancy concentration and boundary conditions, with account of the symmetry of the problem, take on a simple form: ∆rc = 0, c(r)r=R = cR, c(r)r=Rs = cRs, (55) where ∆r = 1 r2 find the expression for vacancy concentration from Eq. (55): dr(cid:1) is radial part of laplacian in spherical coordinates. One can easily dr(cid:0)r2 d d c(r) = − C1 r + C2, 20 (56) where C1,2 are arbitrary constants, that are determined by boundary conditions. Vacancy flux ~j is determined by the first Fick's law (11), while vacancy fluxes per unit surface of the pore or the granule equal, correspondingly, to ~n · ~jr=R = D ω ∂c ∂rr=R, ~n · ~jr=Rs = D ω ∂c ∂rr=Rs (57) Substituting these expressions into the equation for the change of the volume of the pore and the granule R = − ω 4πR2I ~n~jr=RdS, Rs = − ω 4πR2 sI ~n~jr=RsdS we find the equation for time change of the radius of the pore and the granule: R = − Rs = − D R · D Rs · (cRs − cR)Rs Rs − R (cRs − cR)R Rs − R (58)  It can be checked easily, that, from the evolution equation (58) for the gas-filled pore in the granule center, the conservation law follows: Thus, granule radius is connected with pore pore volume by the simple relation: where V = Rs(0)3− R(0)3 is initial volume of granule material. Critical radius of the pore is determined from the first equation of the set (58), assuming R = 0 and using formulas (1)-(2) and ideal gas equation: Rs(t)2 Rs(t) − R(t)2 R(t) = 0. Rs(t) = 3pV + R(t)3, Rcr = 1 2s 3NgkT 2πγ (59) (60) As it can be seen from (60), for vacancy pore with (Ng = 0), threre exists no stationary radius (Rcr = 0), that agrees with the conclusions of the work [21]. Moreover, we see that stationary radius of gas-filled pore grows with an increase of concentration Ng and temperature T on the gas. Speaking generally, the value of stationary radius retains also in a more complicated case of an arbitrarily situated pore. 4 Conclusions Let us finally discuss general regularities of the behaviour of a gas-filled pore inside a spherical granule in hydrodynamical approximation. First of all, in the limiting case of the absence of gas-diffusion in the matrix, there exists stationary pore radius, that is ultimately reached by the pore. This stationary radius is determined by the quantity of gas inside the pore as well as by granule temperature. Thus, depending on the relation between stationary radius value and initial pore radius, pore size can either increase or decrase with time. In particular case of pore radius coincidence of initial radius with the stationary one, pore size does not change. In general case, gas-filled pore shifts towards 21 granule center if its size is diminishing down to stationary value or away from granule center if its size is increasing up to stationary value. It should be noted, that such shift is small since pore motion stops as soon as pore radius reaches its stationary value. The particular case of coaxial position of the pore in the granule yields simple equations for pore and granule size change, that are in a good agreement with the more complicated case of arbitrary position of the pore in the spherical granule. Appendix 1. Auxillary relations. Differentiating relation (61) with respect to the parameter η, we subsequently find −1 Z 1 Z 1 −1 Pk(t)dt √cosh η − t √2 · e−(k+1/2)η k + 1/2 . = Pk(t)dt (cosh η − t)3/2 2√2 · e−(k+1/2)η sinh η , = Z 1 −1 Pk(t)dt (cosh η − t)5/2 = 4√2 · e−(k+1/2)η(cosh η + (k + 1/2) sinh η) 3 · sinh3 η (61) (62) . (63) (64) (65) (66) 2. Calculation of pore radius change . where R = − ω 4πR2I ~n~jdS , ~n~jη=η1 = D ω · cosh η1 − cos ξ a ∂c ∂ηη=η1 , dS = a2 · sin ξdξdϕ (cosh η1 − cos ξ)2 , After application of Fubini's theorem, with account of the independence of ξ and ϕ the expression for R takes on a form ∂c 0 a · D 2 · R2Z π ∞Xk=0 Substituting ∂c ∂ηη=η1 sin ξdξ cosh η1 − cos ξ , (67) R = − ∂ηη=η1 = √2 cR · sinh η1 √cosh η1 − cos ξ · ∞Xk=0 (k + 1/2) · Pk(cos ξ) Pk(cos ξ) exp(−η1(k + 1/2)) +pcosh η1 − cos ξ× sinh(k + 1/2)(η1 − η2)hcR · cosh(k + 1/2)(η1 − η2)e−η1(k+1/2) − cRs · e−η2(k+1/2)i! × into the expression for the speed of pore radius change, and exchanging integration and summation signs on the strength of convergence of corresponding sums and integrals, after substituting cos ξ = t, we obtain R = − 2 · R2 " cR · sinh η1 a · D√2 2 e−η1(k+1)Z 1 −1 ∞Xk=0 Pk(t)dt (cosh η1 − t)3/2 + 22 Using values of integrals (61) and (62), we can reformulate this expression −1 + ∞Xk=0 (k + 1/2) sinh(k + 1/2)(η1 − η2)hcR · cosh(k + 1/2)(η1 − η2)e−η1(k+1/2)− Pk(t)dt √cosh η1 − t(cid:21) . −cRs · e−η2(k+1/2)i ·Z 1 2 · R2 " cR · sinh η1 a · D√2 ∞Xk=0 R = − sinh(k + 1/2)(η1 − η2)hcR · cosh(k + 1/2)(η1 − η2)e−η1(k+1/2)− ∞Xk=0 R2 "cR · −cRs · e−η2(k+1/2)i · cR · cosh(k + 1/2)(η1 − η2)e−η1(k+1/2) + cRs · e−η2(k+1/2) 2√2 · e−η1(k+1/2) √2 · e−(k+1/2)η1 e−η1(k+1/2) · # = − (k + 1/2) a · D k + 1/2 sinh η1 + + 2 e−η1(2k+1)+ ∞Xk=0 · e−η1(k+1/2)# = − sinh(k + 1/2)(η1 − η2) + ∞Xk=0 ×" a · D R2 × · e−η1(k+1/2)# . c1 2 · sinh η1 + ∞Xk=0 cR · cosh(k + 1/2)(η1 − η2)e−η1(k+1/2) − cRs · e−η2(k+1/2) sinh(k + 1/2)(η1 − η2) Substituting a = R · sinh η1 and transforming summing terms, we ultimately obtain: R = − D R" cR 2 + sinh η1 · ∞Xk=0 cR · (e−(2k+1)η1 + e−(2k+1)η2 ) − 2 · cRs · e−(2k+1)η2 e(2k+1)(η1−η2) − 1 # . (68) 3. Calculation of the speed of pore motion. = ~ez · 0 0 + ∂c cosh η1 sinh2 η1 ~v = ~ez · 3 · D · a 3 · D · a ∂c ∂ηη=η1 cosh η1 · cos ξ − 1 (cosh η1 − cos ξ)2 · sin ξdξ = 2 · R2 Z π ∂ηη=η1(cid:18)− 0 (cid:18)− Z π 2 · √cosh η1 − cos ξ · 2 · R2 Z π 3√2 · D · a 2 · R2 cR · sinh η1 cosh η1 − cos ξ cosh η1 + (cosh η1 − cos ξ)2(cid:19) · sin ξdξ = (cosh η1 − cos ξ)2(cid:19) · sin ξdξ× Pk(cos ξ)e−η1(k+1/2) +pcosh η1 − cos ξ× ×" (k + 1/2)Pk(cos ξ)(cR · e−η1(k+1/2) cosh(k + 1/2)(η1 − η2) − cRs · e−η2(k+1/2)) cosh η1 − cos ξ ∞Xk=0 sinh2 η1 = ~ez · sinh(k + 1/2)(η1 − η2) × ∞Xk=0 !# . The substitution t = cos ξ and exchange of summation and integration signs yield the expression ~v = ~ez · 3√2 · D · a 2 · R2 ∞Xk=0"Z 1 −1 Pk(t)dt (cosh η1 − t)5/2 · cR · e−η1(k+1/2) sinh3 η1 2 + 23 +Z 1 −1 Pk(t)dt (cosh η1 − t)3/2 × −cR · e−η1(k+1/2) cosh η1 sinh η1 2 × (k + 1/2)(cR · e−η1(k+1/2) cosh(k + 1/2)(η1 − η2) − cRs · e−η2(k+1/2)) × − cosh η1 · sinh(k + 1/2)(η1 − η2) (k + 1/2)(cR · e−η1(k+1/2) cosh(k + 1/2)(η1 − η2) − cRs · e−η2(k+1/2)) √cosh η1 − t× !# . Pk(t)dt sinh(k + 1/2)(η1 − η2) + sinh2 η1× !+Z 1 −1 Now le us substitute values of corresponding integrals into the obtained expression and reform the result 2 2 × + sinh η1 × × ~v = ~ez · 3√2 · D · a 2 · R2 cR · e−η1(k+1/2) sinh3 η1 ∞Xk=0" 4√2 · e−(k+1/2)η1 (cosh η1 + (k + 1/2) sinh η1) 3 · sinh3 η1 × −cR · e−η1(k+1/2) cosh η1 2√2 · e−(k+1/2)η1 !+ + sinh2 η1× √2 · e−(k+1/2)η1 !# = (k + 1/2)(cR · e−η1(k+1/2) cosh(k + 1/2)(η1 − η2) − cRs · e−η2(k+1/2)) ((2k + 1) sinh η1 − cosh η1)(cid:0)cR · (e−(2k+1)η1 + e−(2k+1)η2 ) − 2cRs · e−(2k+1)η2(cid:1) (k + 1/2)(cR · e−η1(k+1/2) cosh(k + 1/2)(η1 − η2) − cRs · e−η2(k+1/2)) × − cosh η1 · ∞Xk=0 sinh(k + 1/2)(η1 − η2) sinh(k + 1/2)(η1 − η2) e(2k+1)(η1−η2) − 1 3Da R2 = ~ez· k + 1/2 × . (69) References [1] V.V. Slezov. Coalescence of the system dislocation loops and pores under irradiation, Solid State Physics, 1967, Vol. 9, No. 12, p.3448. [2] V.V. Slezov, V.B. Shikin. On the coarsening of pores in solids with sources of gas, Euro nuclears. 1965, vol.2, No. 3, pp.127-131. [3] Z.K. Saralidze, V.V. Slezov. Coalescence of dislocation loops in the nonstationary regime, Solid State Physics, 1965, Vol. 7, No. 3, p.1605. [4] V.V. Slezov and V.V. Sagalovich. Diffusive decomposition of solid solutions, Sov. Phys. Usp.30 (1987), pp. 23-45. [5] V.V. Slezov, O.A. Osmayev, R.V. Shapovalov. An evolution of voids ensamble in an irradiated material, Problems of Atomic Science and Technology, 2008, No.2, Series: Physics of Radiation Effects and Radiation Materials Science (92), pp. 3-9. [6] V.V. Slezov, O.A. Osmayev, R.V. Shapovalov. Bulbs moving in a material with gas atom sources, Problems of Atomic Science and Technology, 2005. No. 3, Series: Physics of Radiation Effects and Radiation Materials Science (86), pp. 38-42. [7] P.G. Cheremskoy, V.V. Slyozov, and V.I. Betehin, Pores in Solid Matter, Energoat- omizdat, Moscow, 1990 (in Russian). 24 [8] Y. Yin, R. M. Rioux, C. K. Erdonmez, S. Hughes, G.A., A.P, Formation of hollow nanocrystals through the nanoscale Kirkendall effect. Science, 304 (2004), pp.711-714. [9] C. M. Wang, D. R. Baer, L. E. Thomaset. al., Void formation during early stages of passivation: Initial oxidation of iron nanoparticles at room temperature, J. Appl. Phys., 98 (2005), pp. 94308-94308. [10] A. Cabot, V. F. Puntes, E. Shevchenko et al., Vacancy Coalescence during Oxidation of Iron Nanoparticles, J. Am. Chem. Soc. 129, No. 34 (2007): 10358-10360. [11] H. J. Fan, M. Knez, R. Scholz et al., Influence of Surface Diffusion on the Formation of Hollow Nanostructures Induced by the Kirkendall Effect: The Basic Concept, Nano Lett 7, No. 4 (2007), pp. 993-997. [12] R. Nakamura, J. G. Lee, D. Tokozakura et al., Formation of hollow ZnO through low temperature oxidation of Zn particles, Mater. Lett. 61 (2007), pp. 1060-1063. [13] R. Nakamura, D. Tokozakura, H. Nakajima et al., Hollow oxide formation byoxidation of Aland Cu nanoparticles, J. Appl. Phys. 101 (2007), pp. 07430. [14] D. Tokozakura, R. Nakamura, H. Nakajima et al., Transmission electron microscopy observation of oxide layer growth on Cu nanoparticles and formation process of hollow oxide particles, Mater. Res., 22, No. 10 (2007), pp.2930-2935. [15] R. Nakamura, J. G. Lee, H. Morix, and H. Nakajima, Oxidation behavior of Ni nanoparticles and formation process of hollow NiO, Philos. Mag. 88, No. 2 (2008), pp. 257-264. [16] R. Nakamura, D. Tokozakura, J.-G. Lee et al., Shrinking of hollow Cu2O and NiO nanoparticles at high temperatures, Acta Mater. 56 (2008), pp. 5276 - 5284. [17] R. Nakamura, G. Matsubayashi, H. Tsuchiya et al., Formation of oxide nanotubes via oxidation of Fe, Cu and Ni nanowires and their structural stability: difference in formation and shrinkage behavior of interior pores, Acta Mater. 57 (2009), pp. 5046-5052. [18] V.V. Kulish. Composite Nanostructures with Metal Components, J. Nano- Electron. Phys., 2011, V.3, No. 3, pp. 114-126. [19] An Kwangjin, Hyeon Taeghwan. Synthesis and biomedical applications of hollow nanostructures. Nano Today (2009) 4, 359?73. [20] T.V. Zaporozhets, A.M. Gusak, and O.N. Podolyan, Evolution of Pores in Nanoshells:Competition of Direct and Reverse Effects of Kirkendall, Effects of Frenkel and Gibbs-Tomson (Phenomenological Description and Computer Simulation), Usp. Fiz. Met. 13 (2012), pp.1-70. [21] V.V. Yanovsky , M.I. Kopp and M. A. Ratner. Evolution of vacancy pores in bounded particles. arXiv:1809.06565v1[cond-mat.mes-hall] (2018) [22] Ja.E. Geguzin and M.A. Krivoglaz. Motion of Macroscopic Inclusions in Solid Matter, Metallurgy, Moscow, 1971 (in Russian). [23] G. Arfken, Mathematical methods for physicists, Acad. Press, New York and London, 1970. 25
1905.08064
1
1905
2019-05-20T12:51:30
Light effective hole mass in undoped Ge/SiGe quantum wells
[ "cond-mat.mes-hall", "cond-mat.mtrl-sci" ]
We report density-dependent effective hole mass measurements in undoped germanium quantum wells. We are able to span a large range of densities ($2.0-11\times10^{11}$ cm$^{-2}$) in top-gated field effect transistors by positioning the strained buried Ge channel at different depths of 12 and 44 nm from the surface. From the thermal damping of the amplitude of Shubnikov-de Haas oscillations, we measure a light mass of $0.061m_e$ at a density of $2.2\times10^{11}$ cm$^{-2}$. We confirm the theoretically predicted dependence of increasing mass with density and by extrapolation we find an effective mass of $\sim0.05m_e$ at zero density, the lightest effective mass for a planar platform that demonstrated spin qubits in quantum dots.
cond-mat.mes-hall
cond-mat
a Light effective hole mass in undoped Ge/SiGe quantum wells M. Lodari,1 A. Tosato,1 D. Sabbagh,1 M. A. Schubert,2 G. Capellini,2, 3 A. Sammak,4 M. Veldhorst,1 and G. Scappucci1, ∗ 1QuTech and Kavli Institute of Nanoscience, Delft University of Technology, PO Box 5046, 2600 GA Delft, The Netherlands 2IHP -- Leibniz-Institut fur innovative Mikroelektronik, Im Technologiepark 25, 15236 Frankfurt, Germany 3Dipartimento di Scienze, Universit`a degli studi Roma Tre, Viale Marconi 446, 00146 Roma, Italy 4QuTech and Netherlands Organisation for Applied Scientific Research (TNO), Stieltjesweg 1, 2628 CK Delft, The Netherlands (Dated: May 21, 2019) We report density-dependent effective hole mass measurements in undoped germanium quantum wells. We are able to span a large range of densities (2.0 − 11 × 1011 cm−2) in top-gated field effect transistors by positioning the strained buried Ge channel at different depths of 12 and 44 nm from the surface. From the thermal damping of the amplitude of Shubnikov-de Haas oscillations, we measure a light mass of 0.061me at a density of 2.2 × 1011 cm−2. We confirm the theoretically predicted dependence of increasing mass with density and by extrapolation we find an effective mass of ∼ 0.05me at zero density, the lightest effective mass for a planar platform that demonstrated spin qubits in quantum dots. Holes are rapidly emerging as a promising candidate for semiconductor quantum computing.[1 -- 3] In partic- ular, holes in germanium (Ge) bear favorable properties for quantum operation, such as strong spin-orbit coupling enabling electric driving without the need of microscopic objects,[1 -- 3] large excited state splitting energies to iso- late the qubit states,[4] and ohmic contacts to virtually all metals for hybrid superconducting-semiconducting research[5 -- 9]. Furthermore, undoped planar Ge quan- tum wells with hole mobilities µ > 5 × 105 cm2/Vs were recently developed[10] and shown to support quantum dots[11, 12] and single and two qubit logic,[3] providing scope to scale up the number of qubits. Holes in strained Ge/SiGe quantum wells have the at- tractive property of a light effective mass parallel to the Ge well interface.[4, 13, 14] This property is highly de- sirable for spin qubits since it provides large energy level spacing in quantum dots, allowing to relax lithographic fabrication requirements and enhance tunnel rates. The light effective hole mass is due to the compressive strain in the quantum well, which splits the heavy hole and light hole bands and induces a mass inversion, i.e. the top- most band develops a lighter mass than the lower-lying band.[14] An effective hole mass of 0.05me was recently predicted[4] for Ge/Si1−xGex heterostructures with alloy concentrations x ∼ 0.75, corresponding to strain levels accessible experimentally. Previous studies in modulation doped Ge/SiGe het- erostructures showed, indeed, a very light effective mass of 0.055me[15], measured in Hall-bar devices aligned with the <110> crystallographic direction and further reduced to 0.035me for the <100> direction. The nonparabolic- ity effects of the valence bands[16 -- 19] tend to increase the effective mass, with smaller values expected at lower hole densities p due to the decreasing of the associated Fermi vector. Figure 1. (a) STEM-EDX and TEM of a Ge/SiGe het- erostructure field effect transistor with the quantum well po- sitioned 12 nm under the gate stack. (b) Saturation psat and percolation density pp as a function of the position of the quantum well t. Curves are fits to a ∼ 1/t dependence. Data for t = 22 nm are extracted from Ref [10]. (c) Density- dependent mobility µ(p) and power law fit. Modulation doping, however, exhibits impurities that are a source for charge noise, disorder, gate leakage, and device instability at low temperature[20]. Therefore, un- doped Ge/SiGe quantum wells are preferable for quan- tum dot fabrication.[11] The transport properties of un- doped Ge/SiGe quantum wells are relatively unexplored and effective mass measurements have shown so far con- flicting results. In Ref. [21] a rather large effective mass of 0.105me was reported at a low density of 1 × 1011 cm−2. Furthermore, no clear dependence of the effective mass with density could be extracted in the investigated 234567810p (1011cm-2)4681052µ (cm2/Vs) GeSi0.2Ge0.8Si0.2Ge0.8(a)(b)(c)20 nmGeTitAu10 nmAl2O3Si0.2Ge0.812840p (1011cm-2)5040302010t (nm) psat pp t = 12 nmt = 44 nmα = 1.1α = 1.7 range from ∼ 0.6 × 1011 cm−2 to ∼ 1.4 × 1011 cm−2. In Ref. [12, 22], instead, a lighter mass was reported with a nearly constant value of 0.08me over the measured den- sity range (∼ 1 − 4 × 1011 cm−2). In this Letter we reconcile experiments with theoretical expectations and provide evidence that the effective hole mass in low-disorder undoped Ge/SiGe decreases towards lower densities. We measure a minimum effective mass value of 0.061me at a density of 2.2 × 1011 cm−2, which extrapolates to 0.048(1)me at zero density. This makes strained Ge/SiGe the planar platform with the lightest effective mass for spin qubit devices. The undoped Ge/SiGe heterostructures are grown by reduced-pressure chemical vapor deposition and comprise a Si0.2Ge0.8 virtual substrate, a 16-nm-thick Ge quan- tum well (in-plane compressive strain of -0.63%) and a Si0.2Ge0.8 barrier. Two heterostructures of different bar- rier thickness are considered (t = 12, 44 nm). Hall-bar shaped heterostructure field effect transistors (H-FET) are fabricated aligned along the <110> direction using a low-thermal budget process which features platinum- germanosilicide ohmic contacts and an Al2O3/Ti/Au gate stack. Magnetotransport characterization of the devices is performed at temperature T = 1.7 − 10 K using standard four-probe low-frequency lock-in tech- niques. A negative bias applied to the gate induces a two-dimensional hole gas and controls the carrier den- sity in the quantum well. Details of the heterostructure growth, device fabrication and operation, and magneto- transport measurements are reported in Ref. [10]. Figure 1(a) shows scanning transmission electron mi- croscopy with energy dispersive X-ray (STEM-EDX) analysis of the shallow Ge quantum well (t = 12 nm) under the gate stack. These images highlight the overall quality of the strained Ge H-FET. A uniform quantum well of constant thickness is obtained, and sharp inter- faces are observed between the quantum well and the barrier and between the barrier and the dielectric layer. The position of the quantum well determines the range of accessible density p in these Ge H-FETs. At a given t, the density range extends from the percolation thresh- old density pp (Fig. 1(b), open circles) to the satura- tion density psat (Fig. 1(b) , solid circles). Saturation of carriers in the quantum well is achieved at high gate bias when the Fermi level aligns with the valence band edge at the dielectric/SiGe interface.[23] We observe a psat ∼ 1/t dependence, as expected from Poisson's equa- tion, indicating that charges in the system are in the equilibrium state.[24] The percolation threshold density represents the critical density for establishing metallic conduction in the channel. This is extracted by fitting the density-dependent conductivity in the low density regime to percolation theory,[25, 26] as applied in Ref. [10] to Ge H-FETs. We observe a ∼ 1/t dependence, expected for long-range scattering from remote impurities at the dielectric/semiconductor interface.[27, 28] 2 Figure 1(c) shows the density-dependent mobility µ at T = 1.7 K. The observed power law dependence µ ∼ pα is characterized by an exponent α of 1.6 and 1.1 in the shallow (black line, t = 12 nm) and deeper quantum well (red line, t = 44 nm), respectively. The α values confirm that the mobility is limited by scattering from the di- electric/semiconductor interface, as previously observed in Si/SiGe and Ge/SiGe H-FETs.[10, 24, 29, 30] Despite the close proximity to the dielectric interface, the shal- lower quantum well has a remarkable peak mobility of 1.64 × 105 cm2/Vs at p = 1.05 × 1012 cm−2, 2.4× larger than previous reports for quantum wells positioned at a similar distance from the surface.[24] At higher density the mobility starts to drop, possibly due to occupation of the second subband or to different scattering mechanisms becoming dominant. The deeper quantum well (t = 44 nm) has a higher mobility of 2.6× 105 cm2/Vs at a much lower density of 2.9 × 1011 cm−2, as expected due to the larger separation from the scattering impurities. We therefore find, by using Ge H-FETs with different t, that high values of mobility are achieved over a large range of density, making these devices well suited for Shubnikov- de Haas (SdH) measurements of the density-dependent effective mass. Figure 2. (a) Fan diagram at T = 1.7 K showing the mag- netoresistance normalized to the zero field value ∆ρxx/ρ0 as a function of B and p for the sample with t = 12 nm. Fill- ing factors ν assigned from quantum Hall effect are indicated. (b) Temperature dependence (T = 1.7− 10 K) of ∆ρxx/ρ0 at fixed p, variable B and (c) at fixed p, variable B. Data in (b) and (c) are plotted after polynomial background subtraction. In Fig. 2(a) we show a Landau fan diagram for the shallow quantum well (t = 12 nm). This is obtained 1210864p (1011cm-2)-1.0-0.50.00.51.0Δρ/ρ0-1.0-0.50.00.51.0Δρ/ρ03.02.52.01.51.00.5B (T)86420B (T)1210864p (1011cm-2)Δρ/ρ002010(a)(b)(c)B = 2.5 Tν = 2345678101214161820p = 6.6×1011cm2 by plotting the oscillatory component of the magnetore- sistivity ∆ρxx/ρ0 = (ρxx(B) − ρ0)/ρ0 at T = 1.7 K as a function of out-of-plane magnetic field B and carrier den- sity p, obtained from the low-field Hall data. Shubnikov- de Haas oscillations fan out towards higher field and density, with Zeeman spin splitting visible at odd fill- ing factors ν. Temperature dependence of the oscilla- tion amplitudes are shown in Fig. 2(b) and (c) after a polynomial background subtraction. Fig. 2(b) shows the cross-section of the fan diagram at fixed density, obtained by keeping the gate voltage constant while sweeping the magnetic field. Alternatively, the density is swept at a fixed magnetic field (Fig. 2(c)). Both data sets allow the estimate of the effective mass with a better insight into the dependence on B and p. The effective mass m∗ is obtained by fitting the thermal damping of the SdH oscillations by using the expression[31] ∆ρ/ρ0(T ) ∆ρ/ρ0(T0) = T sinh (βT0) T0 sinh (βT ) , (1) 2πkBm∗me ¯heB where β = , kB is the Boltzmann constant, ¯h is the Plank constant, e is the electron charge and T0 = 1.7 K is the coldest temperature at which the oscillations were measured. In Fig. 3(a) experimental data and theoretical fitting are shown for different densities at a fixed magnetic field B = 2.5 T. The resulting m∗ values are reported as a function of the correspondent density p in Fig. 3(b) for both quantum wells. We observe a strong increasing mass with density, which nearly doubles over the range of in- vestigated densities. The magnetic field dependence of the mass (Fig. 3(c)) is rather weak in the investigated range (B ≤ 4 T), which is limitied to SdH oscillations before Zeeman splitting. The linear density-dependent effective mass extrapolates to m∗ = 0.048(1)me at zero density. This value is in agreement with the predicted theoretical value calculated from the density of states at the Γ point,[4] reconciling theory and experiments. In summary, we have measured the effective hole mass over a large range of densities in high-mobility undoped Ge/SiGe quantum wells. The obtained values (0.061me), extrapolated to 0.048(1)me at zero density, are the light- est effective mass reported for a planar platform that demonstrated spin qubits in quantum dots. These re- sults position planar germanium as a promising material towards the development of spin and hybrid quantum technologies. ACKNOWLEDGMENTS We acknowledge support through a FOM Projectru- imte of the Foundation for Fundamental Research on Matter (FOM), associated with the Netherlands Organ- isation for Scientific Research (NWO). 3 Figure 3. (a)∆ρxx/ρ0 (solid circles) as a function of T , nor- malized at ∆ρxx/ρ0(T0), with T0 = 1.7 K. Different colors correspond to different densities from 3.84× 1011 cm−2 (dark blue circles) to 10.66 × 1011 cm−2 (orange circles). Colored lines are theoretical fits used to extract m∗ as a function of density. (b) Density dependent m∗ and linear extrapolation to zero density. The data at t = 44 nm was obtained by sweeping the magnetic field at a fixed density, while those at t = 12 nm were obtained by sweeping the density at fixed magnetic field. (c) Magnetic field dependent m∗ at different densities from ∼ 5.8 × 1011 cm−2 (dark-blue solid circles) to ∼ 9.5 × 1011 cm−2 (orange solid circles). ∗ [email protected] [1] R. Maurand, X. Jehl, D. Kotekar-Patil, A. Corna, H. Bo- huslavskyi, R. Lavi´eville, L. Hutin, S. Barraud, M. Vinet, M. Sanquer, and S. De Franceschi, Nature Communica- tions 7, 13575 (2016). [2] H. Watzinger, J. Kukucka, L. Vukusi´c, F. Gao, T. Wang, F. Schaffler, J.-J. Zhang, and G. Katsaros, Nature Com- munications 9, 3902 (2018). [3] N. Hendrickx, D. Franke, A. Sammak, G. Scappucci, and M. Veldhorst, arXiv preprint arXiv:1904.11443 (2019). [4] L. A. Terrazos, E. Marcellina, S. N. Coppersmith, M. Friesen, A. R. Hamilton, X. Hu, B. Koiller, A. L. Saraiva, D. Culcer, and R. B. Capaz, arXiv preprint arXiv:1803.10320 (2018). [5] A. Dimoulas, P. Tsipas, A. Sotiropoulos, and E. K. Evan- gelou, Applied Physics Letters 89, 252110 (2006). [6] G. Katsaros, P. Spathis, M. Stoffel, F. Fournel, M. Mongillo, V. Bouchiat, F. Lefloch, A. Rastelli, O. G. Schmidt, and S. D. Franceschi, Nature Nanotechnology 5, 458 (2010). [7] N. W. Hendrickx, M. L. V. Tagliaferri, M. Kouwenhoven, R. Li, D. P. Franke, A. Sammak, A. Brinkman, G. Scap- t = 12 nmt = 44 nm0.110.100.090.08m* (me)4321B (T)108642T (K)1.00.80.60.40.20.0Δρ/ρ0 (T) / Δρ/ρ0 (T0)(a)(b)(c)1086420p (1011cm-2)0.120.110.100.090.080.070.060.05m* (me) 4 pucci, and M. Veldhorst, Physical Review B 99, 075435 (2019). [8] F. Vigneau, R. Mizokuchi, D. C. Zanuz, X. Huang, S. Tan, R. Maurand, S. Frolov, A. Sammak, G. Scap- pucci, F. Lefloch, and S. De Franceschi, Nano Letters 19, 1023 (2019). [9] J. Xiang, A. Vidan, M. Tinkham, R. M. Westervelt, and C. M. Lieber, Nature Nanotechnology 1, 208 (2006). [10] A. Sammak, D. Sabbagh, N. W. Hendrickx, M. Lodari, B. P. Wuetz, A. Tosato, L. Yeoh, M. Bollani, M. Virgilio, M. A. Schubert, P. Zaumseil, G. Capellini, M. Veldhorst, and G. Scappucci, Advanced Functional Materials 29, 1807613 (2019). [11] N. W. Hendrickx, D. P. Franke, A. Sammak, M. Kouwen- hoven, D. Sabbagh, L. Yeoh, R. Li, M. L. V. Tagliaferri, M. Virgilio, G. Capellini, G. Scappucci, and M. Veld- horst, Nature Communications 9, 2835 (2018). [12] W. J. Hardy, C. T. Harris, Y.-H. Su, Y. Chuang, J. Moussa, L. N. Maurer, J.-Y. Li, T.-M. Lu, and D. R. Luhman, Nanotechnology 30, 215202 (2019). [19] B. Rossner, H. von Kanel, D. Chrastina, G. Isella, and B. Batlogg, Semiconductor Science and Technology 22, S191 (2006). [20] M. G. Borselli, K. Eng, E. T. Croke, B. M. Maune, B. Huang, R. S. Ross, A. A. Kiselev, P. W. Deelman, I. Alvarado-Rodriguez, A. E. Schmitz, et al., Applied Physics Letters 99, 063109 (2011). [21] D. Laroche, S.-H. Huang, Y. Chuang, J.-Y. Li, C. W. Liu, and T. M. Lu, Applied Physics Letters 108, 233504 (2016). [22] W. J. Hardy, C. Harris, Y.-H. Su, Y. Chuang, J. Moussa, L. Maurer, J.-Y. Li, T.-M. Lu, and D. R. Luhman, arXiv preprint arXiv:1808.07077v1 (2018). [23] T. Lu, C.-H. Lee, S.-H. Huang, D. Tsui, and C. Liu, Applied Physics Letters 99, 153510 (2011). [24] Y.-H. Su, Y. Chuang, C.-Y. Liu, J.-Y. Li, and T.-M. Lu, Physical Rev. Materials 1, 044601 (2017). [25] L. A. Tracy, E. Hwang, K. Eng, G. Ten Eyck, E. Nord- berg, K. Childs, M. S. Carroll, M. P. Lilly, and S. D. Sarma, Physical Review B 79, 235307 (2009). [13] R. Winkler, M. Merkler, T. Darnhofer, and U. Rossler, [26] J.-S. Kim, A. M. Tyryshkin, and S. A. Lyon, Applied Physical Review B 53, 10858 (1996). Physics Letters 110, 123505 (2017). [14] F. Schaffler, Semiconductor Science and Technology 12, 1515 (1997). [27] A. Gold, Physical Review B 44, 8818 (1991). [28] A. Gold, Semiconductor Science and Technology 26, [15] C. Morrison and M. Myronov, Applied Physics Letters 045017 (2011). 111, 192103 (2017). [16] T. Irisawa, M. Myronov, O. Mironov, E. Parker, K. Nak- and Y. Shiraki, Applied agawa, M. Murata, S. Koh, Physics Letters 82, 1425 (2003). [17] B. Rossner, G. Isella, and H. v. Kanel, Applied Physics Letters 82, 754 (2003). [18] K. Sawano, Y. Kunishi, Y. Shiraki, K. Toyama, and K. Nakagawa, Applied T. Okamoto, N. Usami, Physics Letters 89, 162103 (2006). [29] D. Laroche, S.-H. Huang, E. Nielsen, Y. Chuang, J.-Y. Li, C.-W. Liu, and T.-M. Lu, AIP Advances 5, 107106 (2015). [30] X. Mi, T. Hazard, C. Payette, K. Wang, D. Zajac, J. Cady, and J. Petta, Physical Review B 92, 035304 (2015). [31] W. De Lange, F. Blom, and J. Wolter, Semiconductor Science and Technology 8, 341 (1993).
1210.7330
1
1210
2012-10-27T14:57:10
Collective coupling of a macroscopic number of single-molecule magnets with a microwave cavity mode
[ "cond-mat.mes-hall", "quant-ph" ]
We report the observation of strong coupling of a macroscopic ensemble of ~10^{16} Fe_8 molecular nanomagnets to the resonant mode of a microwave cavity. We use millimeter-wave spectroscopy to measure the splitting of the system's resonant frequency induced by the coupling between the spins and the cavity mode. The magnitude of this splitting is found to scale with Sqrt[N], where N is the number of collectively coupled spins. We control N by changing the system's temperature and, thereby, the populations of the relevant spin energy levels. Strong coupling is observed for two distinct transitions between spin energy states. Our results indicate that at low temperatures nearly all of the spins in the sample couple with the cavity's resonant mode even though there is substantial inhomogeneous broadening of the Fe8 spin resonances.
cond-mat.mes-hall
cond-mat
Collective coupling of a macroscopic number of single-molecule magnets with a microwave cavity mode A. W. Eddins1♠, C. C. Beedle2‡, D. N. Hendrickson2, and Jonathan R. Friedman1* 1Department of Physics, Amherst College, Amherst, MA 01002 2Department of Chemistry & Biochemistry, University of California at San Diego, La Jolla, CA 92093 We report the observation of strong coupling of a macroscopic ensemble of ~1016 Fe8 molecular nanomagnets to the resonant mode of a microwave cavity. We use millimeter-wave spectroscopy to measure the splitting of the system’s resonant frequency induced by the coupling between the spins and the cavity mode. The magnitude of this splitting is found to scale with N , where N is the number of collectively coupled spins. We control N by changing the system’s temperature and, thereby, the populations of the relevant spin energy levels. Strong coupling is observed for two distinct transitions between spin energy states. Our results indicate that at low temperatures nearly all of the spins in the sample couple with the cavity’s resonant mode even though there is substantial inhomogeneous broadening of the Fe8 spin resonances. Single-molecule magnets (SMMs) are chemically synthesized materials in which each molecule behaves as an isolated nanomagnet. They have long been touted for their potential to become the highest density magnetic storage material, with one bit of information stored in each molecule1, and there has been significant recent progress towards realizing this goal.2 In tandem, because SMMs are quantum systems,3 they have been suggested as possible qubits, the processing elements in quantum computers4. Quantum coherent phenomena have been observed in several SMMs.5-8 Here we present evidence for a form of collective coherence in an SMM system in which the spins couple to the resonant mode of a microwave cavity. We find that nearly all of the ~ 1016 molecules in a crystal of the Fe8 SMM collectively exchange photons with the cavity mode. The results suggest that SMMs may be used in a form of quantum magnetic storage in which information is stored holographically9-14 in the entire crystal rather than bitwise in individual molecules. Coherent coupling between two-level systems (e.g. spins) and cavity photons lies at the heart of cavity quantum electrodynamics. Such interactions have been seen in many systems, including individual atoms,15 Bose-Einstein condensates,16 semiconductor quantum dots,17,18 and superconducting qubits.19 Each of these systems couples to photons via electric-dipole transitions. Very recently, coupling cavity photons to spins via much weaker magnetic dipole transitions has been investigated.20 This weaker coupling, while more challenging to observe, can lead to longer coherence times. Coupling of spins and cavity photons has now been observed in several low-spin systems, including standard electron-spin resonance materials,21,22 nitrogen-vacancy centers in diamond,13,23 Cr3+ impurities in Ruby,24 and N-doped buckyballs as well as a doped semiconductor.12 In all of these systems the spin belongs to a single atom, ion or nucleus. In contrast, SMMs are more “macroscopic” artificial magnets where the spin degree of freedom is a joint property of an entire metal-oxide molecular cluster. The macroscopic nature of these magnets also presents a complication: For many SMMs, variations in the local environment of the molecules within a crystal lead to slightly different properties for each molecule25 and inhomogeneous broadening of spectral resonance lines26. At the same time, with ~ 1 molecule per unit cell and a large (s ~ 10) magnetic moment, SMMs also have an extremely high spin density, leading to a much stronger spin-photon interaction than what is seen in many other spin systems. Moreover, SMMs are in a regular crystalline array, which may increase the fidelity for the storage of quantum data. Our results show that the high spin density in SMMs can be harnessed to create a coupling strong enough to overcome the intrinsic inhomogeneity of the system. The Fe8 molecule (Fig. 1c) is a spin-10 object whose behavior can be well described by the spin Hamiltonian,  B ext   S , (1) 2  Sy 4  S 4 )  gB 2  E (Sx 2 )  C (S H  DSz  B ext is the externally applied magnetic field, g  2, D = -25.2 eV, E = -4.02 eV, where  and C = 7.4 x 10-4 eV27. The first term in Eq. 1 impels the spin to point parallel or antiparallel to the “easy” z-axis. This gives rise to a double-well potential, as shown in Fig. 1a. The spin has 2s + 1 = 21 possible orientation states, m = -10, -9, … 10. The zero- field energy difference between the m = ±10 and ±9 states corresponds to a frequency of ~ 114 GHz, while for the m = ±9 and ±8 states the energy difference corresponds to ~102 GHz. The component of a magnetic field parallel to the easy axis, Bz = Bextcos, tilts the potential, as shown, and increases the energy differences between the lowest states. Our electromagnetic cavity has distinct resonant modes; a specific cavity mode with n photons is designated by n>. The coupling of a cavity mode to the transition between SMM energy levels will be appreciable only when the cavity frequency is near the frequency of the transition. An SMM’s energy levels are typically anharmonically dispersed, as shown in Fig. 1a, and so only one pair of spin levels will couple effectively to the cavity at a time. Thus, the spin’s energy-level spectrum can be truncated to these two levels, which behave as an effective spin-1/2 system. We relabel the lower of the relevant states as ↑> and the higher one as ↓>, and define to be the energy difference S between these two states. For example, when we truncate the states in Fig. 1a to the two lowest levels, we set ↑> = m = 10> and ↓> = m = 9>. When the resonant frequency of the cavity mode, C, differs significantly from S, the spin and the cavity are not appreciably coupled. This situation is represented in Fig. 1b by the dashed gray level labeled ↓>. In this limit, the energy states of the total system (spin and cavity) are well described by product states: ↑>n> and ↓>n>. When the system has at most one excitation, the relevant basis states are ↑>0>, ↑>1>, and ↓>0>, which correspond to, respectively, the ground state of both systems, a photon in the cavity mode, and the excitation of the spin. The two systems can be coupled by applying an external magnetic field, which increases S, raising the energy of the ↓> state (from dashed to solid level in Fig. 1b). The lower dashed red line in Figs. 2b,c shows the dependence of S on field for the m = 10-to-9 transition. When S becomes close to C (vertical dashed black line in Figs. 2b,c), the spin will interact with the cavity mode by absorbing and emitting a photon. In this regime, the system’s excited states hybridize, resulting in two split energy states, as illustrated in Fig. 1b. Such a coupled system can be modeled by the Jaynes-Cummings Hamiltonian28: H H  spin  H rad  H int , (2)      spin H H  1  z a a † where is the Hamiltonian for the cavity mode, and is the spin Hamiltonian (i.e. Eq. 1 truncated to the two relevant   S 2 levels),   n H    C C rad  1g   is the Hamiltonian for the spin-photon interaction in the rotating- a  a  † int  2 wave approximation. The ’s are the standard Pauli spin matrices applied to the {↑>,   ↓>} basis and †a a is the photon creation (annihilation) operator for the cavity mode. Offsets have been chosen to make the energy of the ↑>0> ground state zero. The spin- radiation interaction strength, g1, is given by 1g   S T  g B B rf /  , (3) where Brf is the radiative magnetic field of a single cavity photon and ST is the projection of the spin operator in the direction of Brf. The subscript “1” in 1g refers to the fact that a single spin is coupled to the cavity. With 1n  , the excited eigenstates of Eq. 2 are: with energies     sin( / 2)  cos( / 2)    0 0   cos( / 2)  sin( / 2)    1 1 ) (4) ,   2 4 1g E   2    (    S C where  = C -S is the cavity-spin detuning and 2 2 1g . Eq. 4 describes two  branches of a hyperbola with asymptotes E = and E = . For large , the excited S C eigenstates approach the independent excitation of the cavity or the spin, respectively. When  = 0 the splitting between the two branches, E+ - E-, is 2 1g , a quantity sometimes referred to as the vacuum-Rabi splitting, and the excited states of the system 1   become simply , the two split states in Fig 1b. 2 tan      0 1 Using the structure of our cavity mode, it is straightforward to calculate the single-photon field at the position of the sample to be Brf = 3.7(6) x 10-7 G. Eq. 3 then yields 1g /2 = 2.4 Hz, much too small to be detected in a realistic experiment. The situation changes dramatically when a large number of spins collectively couple to the cavity. When N spins are contained within a volume much smaller than the photon wavelength, it is impossible to determine which spin absorbs or emits a photon. The two coupled spin-photon states then become where   N N   sin( / 2)  cos( / 2)    0 0   cos( / 2)  sin( / 2)    1 1 , (5)     describes N spins in the ground state and   1 N                    describes an equal superposition of each spin being flipped into the excited state (while the remainder stay in the ground state). As first shown by Tavis and Cummings29, the interaction strength of N identical spins to the cavity mode is Ng = N 1g . For the case relevant to our experiments, where N ~ 1016 spins couple to a cavity mode, Ng /2 is ~ 200 MHz, an easily detectable frequency splitting. (6) In coupling to the cavity, the collection of N spins behaves as one “superspin” with s = N/230. The spin state  corresponds to a rotation of the superspin vector by a small angle from the z axis (such that the z component of spin is reduced by 1). In our experiment the number of photons in the cavity n is on the order of 1010. Nevertheless, Eq. 6 remains valid when the assumption 1n  is replaced by the less stringent condition n << N. The latter corresponds to the limit in which the superspin’s angle relative to the z axis remains small. The anharmonic limit, in which this angle is large, gives rise to superradiant states, as first noted by Dicke30. In practice, N corresponds to the number of spins in the lower-energy state ↑>; N depends on temperature and thereby permits in situ control of the coupling strength Ng . Crystals of Fe8 were synthesized using standard techniques. The crystal used for measurements was photographed under a microscope to determine its dimensions. Using 34 we determined that the sample consists of N0 = those and the known unit cell for Fe8, 2.3(4) x 1016 SMMs. Fig. 1d shows a photograph of a single crystal of the Fe8 SMM mounted in our cylindrical copper cavity. The TE011 mode of our cavity has a resonance frequency of 147.677(2) GHz and Q ~ 4000. For this mode, the oscillating magnetic field, shown in Fig. 1e, is nearly perpendicular to the easy-axis. The sample is mounted such that its easy axis is θ ~ 35° from the external dc magnetic field, which is parallel to the cavity’s symmetry axis. Our experimental set up is shown schematically in Fig. 2a. We performed measurements of the radiation power reflected from the cavity-sample system as a function of frequency and dc magnetic field at several temperatures between ~1.8 K and 20 K. Figures 2b and 2c show absorbed power at 1.8 K and 7.0 K, respectively, for a range of frequencies and magnetic fields. Resonances of the system appear as yellow or red regions. The data exhibit two distinct resonant branches, each of which corresponds to one of the coupled spin-photon states in Eq. 5. At low magnetic fields, the resonances appear near the bare cavity resonance frequency (vertical dashed line) and the excitation frequency for the dipole-allowed m = 10-to-9 spin transition (lower red dashed line). When the field approaches the value at which these resonances would cross in the absence of interaction, a clear avoided crossing opens up with the upper-left branch curving and eventually approaching the cavity resonance frequency. The lower right branch tends towards the spin transition frequency but signal strength is lost as the frequency increases. Irrespective of this loss of signal, we clearly see that there is a range of fields at which two resonance peaks are observed (see Supplementary Information, Fig. 2), a telltale sign the system is in the so-called strong coupling regime with states like those described by Eq. 5. Both branches can be fit very by Eq. 4 (with 1g replaced by Ng ) as shown by the black dashed curves in Fig. 2b. Only two parameters in the fit are unconstrained by the spin Hamiltonian or the cavity’s resonant frequency:  the angle between the easy axis and the magnetic field, a parameter that was restricted to be the same at all temperatures, and Ng , which was allowed to vary with temperature. The former determines the slope of spin transition frequency’s field dependence (lower red dashed line) while the latter determines the gap between the two branches of the hyperbola. Our fits provided a best value of θ = 37.7°, close to the expected value of 35° based on the sample’s orientation. For the data shown in Fig. 2b, we obtain Ng /2 = 0.519(4) GHz. Fig. 2b shows another, much smaller feature where the upper red dashed line, corresponding to the m = 9-to-8 transition, intersects the cavity frequency; the feature is highlighted in the outset. Since at 1.8 K there are far fewer molecules in the excited m = 9 state than in the m = 10 ground state, the value of N for the 9-to-8 transition is very small, resulting in a smaller coupling to the cavity mode. The splitting Ng for this transition can be increased by raising the temperature, T, and thereby N for the m = 9 state. Indeed, as shown in Fig. 2c, increasing T to 7.0 K decreases the magnitude of the splitting associated with the (lower field) 10-to-9 transition and dramatically increases the coupling associated with the (higher field) 9-to-8 transition. This observation reflects the fact that raising the temperature monotonically reduces the population in the ground (m = 10) state while initially increasing the population of the excited (m = 9) state. 2 pN B rf 0 as a function of temperature for the two transitions measured. We fit the data in Fig. 2 (and similar data at other temperatures, not shown) to obtain values of Ng for each spin-cavity resonance at all temperatures for which there was  , Ng 2 sufficient data to obtain a good fit to Eq. 4. Because  gB S N / Ng    rf B T should be proportional to the relative population p = N/N0 in the lower energy state of the relevant transition, where N0 is the total number of spins in the sample. In Fig. 3, we plot 2   g    N    g S   T B   It is straightforward to calculate the populations p of the m = 10 and 9 states as a function of temperature with no adjustable parameters. The solid curves in Fig. 3 show this temperature dependence for the relevant levels, m = 10 and m = 9. The agreement between the data and the corresponding populations is striking. The only adjustable parameter for these curves is the product of N0 and rfB , which determines the vertical 2 scale of the curves. Taking N0 = 2.3 x 1016, we determine Brf = 5.30(1) x 10-7 G for the 10-to-9 transition (Fig. 3a) and 5.03(3) x 10-7 G for the 9-to-8 transition (Fig. 3b). These values agree well with each other and are on the same order as our calculated value of 3.7(6) x 10-7 G using the structure of the TE011 mode. The discrepancy may arise from modal mixing with the nearly degenerate TM111 mode. Inhomogeneous broadening in Fe8, as in many SMMs, arises from variations within a sample of the anisotropy parameter D, as well as other Hamiltonian parameters.26 The broadening can be seen in the rather wide spin resonances in the data in Fig. 2, which have a Gaussian width of ~ 760 Oe, corresponding to a frequency width of /2 ~ 1.7 GHz. A Gaussian distribution of N spin resonant frequencies s will still couple N gd 31. Our results do not quite meet this condition with  somewhat collectively if larger than Ng . The fact that we nevertheless observe collective coupling may indicate the existence of a small nonlinear coupling term in the spin-cavity interaction that induces the spins to synchronize32, or the presence of some weak additional coupling mechanism, perhaps mediated by the crystal lattice33. These and other possible mechanisms are the subject of ongoing experimental and theoretical investigations. Regardless of the specific mechanism, our findings indicate that the spins need not have identical resonant frequencies in order to couple collectively to a cavity mode but can do so even with substantial inhomogeneous broadening. We thank M. Bal for much useful advice and for his work on an earlier version of this experiment. We also thank E. M. Chudnovsky, J. A. Grover, D. S. Hall, D. B. Haviland, S. Hill, A. J. Millis, L. A. Orozco, M. P. Sarachik, D. I. Schuster, S. H. Strogatz, F. K. Wilhelm and D. J. Wineland for useful discussions and R. Cann for technical assistance and advice. Support for this work was provided by the National Science Foundation under grant nos. DMR-0449516 and DMR-1006519 and by the Amherst College Dean of Faculty. ♠ ‡ * Current address: Department of Physics, 366 LeConte Hall #7300, Berkeley, CA 94720 Current address: National High Magnetic Field Laboratory, 1800 E. Paul Dirac Drive, Tallahassee, FL 32310 Corresponding author: [email protected] 4 5 6 7 8 9 1 2 3 R. Sessoli, D. Gatteschi, A. Caneschi, and M. A. Novak, Nature 365, 141 (1993). M. Mannini, F. Pineider, C. Danieli, F. Totti et al., Nature 468, 417 (2010). J. R. Friedman, and M. P. Sarachik, Annu. Rev. Condens. Matter Phys. 1, 109 (2010). M. N. Leuenberger, and D. Loss, Nature 410, 789 (2001). A. Ardavan, O. Rival, J. J. L. Morton, S. J. Blundell et al., Physical Review Letters 98, 057201 (2007). S. Bertaina, S. Gambarelli, T. Mitra, B. Tsukerblat et al., Nature 453, 203 (2008). C. Schlegel, J. van Slageren, M. Manoli, E. K. Brechin et al., Physical Review Letters 101, 147203 (2008). S. Takahashi, J. van Tol, C. C. Beedle, D. N. Hendrickson et al., Physical Review Letters 102, 087603 (2009). P. Rabl, D. DeMille, J. M. Doyle, M. D. Lukin et al., Phys. Rev. Lett. 97, 033003 (2006). J. J. L. Morton, A. M. Tyryshkin, R. M. Brown, S. Shankar et al., Nature 455, 1085 (2008). H. de Riedmatten, M. Afzelius, M. U. Staudt, C. Simon et al., Nature 456, 773 (2008). H. Wu, R. E. George, J. H. Wesenberg, K. Mølmer et al., Phys. Rev. Lett. 105, 140503 (2010). X. Zhu, S. Saito, A. Kemp, K. Kakuyanagi et al., Nature 478, 221 (2011). 13 14 M. Blencowe, Nature 468, 44 (2010). 15 M. Brune, F. Schmidt-Kaler, A. Maali, J. Dreyer et al., Phys. Rev. Lett. 76, 1800 (1996). Y. Colombe, T. Steinmetz, G. Dubois, F. Linke et al., Nature 450, 272 (2007). J. P. Reithmaier, G. Sek, A. Loffler, C. Hofmann et al., Nature 432, 197 (2004). T. Yoshie, A. Scherer, J. Hendrickson, G. Khitrova et al., Nature 432, 200 (2004). 16 17 18 10 11 12 23 24 25 19 20 21 22 A. Wallraff, D. I. Schuster, A. Blais, L. Frunzio et al., Nature 431, 162 (2004). A. Imamoğlu, Phys. Rev. Lett. 102, 083602 (2009). I. Chiorescu, N. Groll, S. Bertaina, T. Mori et al., Phys. Rev. B 82, 024413 (2010). E. Abe, H. Wu, A. Ardavan, and J. J. L. Morton, Appl. Phys. Lett. 98, 251108 (2011). Y. Kubo, F. R. Ong, P. Bertet, D. Vion et al., Phys. Rev. Lett. 105, 140502 (2010). D. I. Schuster, A. P. Sears, E. Ginossar, L. DiCarlo et al., Phys. Rev. Lett. 105, 140501 (2010). K. M. Mertes, Y. Suzuki, M. P. Sarachik, Y. Paltiel et al., Phys. Rev. Lett. 87, 227205 (2001). S. Hill, S. Maccagnano, K. Park, R. M. Achey et al., Phys. Rev. B 65, 224410 (2002). R. Caciuffo, G. Amoretti, A. Murani, R. Sessoli et al., Phys. Rev. Lett. 81, 4744 (1998). E. T. Jaynes, and F. W. Cummings, Proc. IEEE 51, 89 (1963). 28 29 M. Tavis, and F. W. Cummings, Phys. Rev. 170, 379 (1968). R. H. Dicke, Physical Review 93, 99 (1954). 30 R. Houdré, R. P. Stanley, and M. Ilegems, Phys. Rev. A 53, 2711 (1996). 31 R. Mirollo, and S. Strogatz, SIAM Journal on Applied Mathematics 50, 1645 32 (1990). E. M. Chudnovsky, and D. A. Garanin, Phys. Rev. Lett. 93, 257205 (2004). K. Weighardt, K. Pohl, I. Jibril, and G. Huttner, Angewandte Chemie International Edition in English 23, 77 (1984). 33 34 26 27 Figures Fig. 1 a) Double-well potential for a single-molecule magnet. The levels correspond to different spin-orientation states. A magnetic field tilts the potential and increases the energy spacing between the levels in the lower well. b) Schematic of spin-photon interaction. One pair of SMM levels (the lowest two for the case shown) are labeled ↑> and ↓>, as shown. Photon-number states are labeled 0> and 1>. The photon energy for a given cavity mode is fixed. As the magnetic field is increased the energy C between the two spin states increases, causing the excited state ↓> to shift upwards S (from the grey, dashed level). When the energy of the ↓> state is near the 1> state, the 1g two states hybridize, as shown in the middle of the panel. The splitting 2 (the smallest energy difference between the two levels) is determined by the strength of the interaction between the spin and photon systems. c) Structure of the Fe8 SMM studied. It behaves as an anisotropic spin-10 system with a double-well potential similar to that in (a). d) Photograph of an Fe8 single crystal mounted in a cylindrical resonant cavity. Some lines have been added to guide the eye. e) Structure of the TE011 resonant mode excited in the cavity. Magnetic field lines associated with radiation in this mode are shown. The parallelepiped at the bottom of the cylinder is at the approximate position of the sample in the cavity (as shown in (d)). Fig. 2 a) Schematic diagram of experimental apparatus. b) and c) Absorbed power as a function of magnetic field and radiation frequency at 1.8 K and 7.0 K, respectively. Yellow and red indicate regions of significant power absorption by the sample-cavity system. The dark blue regions are largely artificial, produced by our background- subtraction procedure – see Supplementary Information. The lower (upper) red dashed line is the Zeeman energy separation for the m = 10-to-9 (9-to-8) transition. The dashed black curve is a fit of the data for the lower-field data to Eq. 4. The cyan curve in c is a fit to Eq. 4 for the higher-field data, using a slightly smaller bare cavity frequency to account for remnant effects from the lower-field transition. The outset of (b) shows a zoomed-in view of the boxed region using a slightly different coloring scheme to enhance the feature associated with the 9-to-8 transition. Similarly, the data within the lower boxed region in (b) uses a different coloring scheme to emphasize the weaker features within the region. In both (b) and (c), an essentially field-independent background was subtracted to enhance visual presentation (see Supplementary Information). Remnants of this background appear as the modulation of signal with frequency. Analysis of the data treated the background using a more rigorous method (see Supplementary Information). Fig. 3 Measured frequency splitting as a function of temperature for the (a) m = 10-to-9 transition and (b) m = 9-to-8 transition. The splitting has been recast in terms of relative level population p of the lower level (see text) and compared with populations calculated using the known energy levels for the Fe8 single-molecule magnet (solid curves). The vertical scaling factors needed to bring the calculated populations to coincide with the data yield values for the product N0 rfB . 2 Supplementary Information Apparatus and Data Acquisition We used a high-frequency synthesizer followed by an 8x frequency multiplier chain to produce millimeter-wave radiation, which was transmitted down a ~ 1-meter waveguide to the cavity and sample. Radiation coupled to the cavity via a circular iris in the top plate of the cavity. The cavity was machined out of OFHC copper and had a radius of 1.28 mm and a depth of 3.96 mm. Measurements were performed in a Quantum Design PPMS. A directional coupler in conjunction with a diode detector enabled us to measure the reflected power as a function of the frequency of the incident power; dips in reflected power appeared at the resonant frequencies of the cavity-sample system. The reflected power as a function of frequency was recorded with a digital oscilloscope and the data was repeatedly uploaded to a computer as the magnetic field was slowly varied. The resulting data file for an experimental run contained data of reflected power as a function of radiation frequency and applied magnetic field. Experimental data was taken at several temperatures between 1.8 K and 20 K. Data Analysis The broadband detector employed in our apparatus contains an inverting diode, such that higher levels of power absorption (indicative of resonances of the system) appear as peaks in the data rather than as troughs. Thus, the data can be simply interpreted as representing absorbed power relative to an unimportant offset. The upper panel in Fig. 1 shows raw data for absorbed power as a function of frequency and magnetic field at 7.0 K. The vertical bands in the figure are due to low-finesse resonances of our probe’s waveguide, resulting in a roughly sinusoidal variation of power with frequency. While the signal from these background resonances varies significantly with frequency, it depends weakly on the applied magnetic field. It is straightforward to largely remove the background signal by taking the frequency dependence at low or high magnetic fields, i.e. far from where the sample interacts with the cavity, as reference data and subtracting it off from the data at all fields. Such an operation (followed by adjustments to color scale for visual clarity) performed on the 1.8 and 7.0 K data yields Figs. 2b and 2c (in the main text), respectively. The subtraction procedure results in the artificial dark blue regions in those figures because the procedure subtracts the bare cavity resonance from the data. We emphasize that these figures are produced for purposes of visual displaying the data. Actual data analysis was done on the raw data, as we explain presently. Fig. 1. Absorbed power as a function of frequency and magnetic field at T = 7.0 K. The lower panels show absorbed power as a function of magnetic field for a fixed frequency, i.e. data along the indicated vertical dashed line in the top panel. The orange and green curves are fits to a Gaussian plus a line, the latter to account for the behavior of the background. The vertical scales for the two lower panels are somewhat different. Since the background is largely independent of magnetic field, we can isolate the spin resonance peaks from the background by analyzing constant-frequency subsets of the data. The lower panels of Fig. 1 show constant-frequency slices (along the thin vertical dashed lines in the upper panel) of absorbed power as a function of field. Two peaks are clearly visible, the lower-field one corresponding to the 10-to-9 transition and the higher- field one corresponding to the 9-to-8 transition. Some field dependence in the background is also observed. To find the position of each peak (magnetic field value for the resonance), we separately fit the data in the vicinity of each peak to a Gaussian plus a line, the latter to account for the variation of the background. Such a fit provides resonant-field values and uncertainties at each value of applied microwave frequency. The peak positions obtained in this way for one data set are shown by the orange and green points in the upper panel of Fig. 2. The fitting procedure returned a small number of clearly spurious data points, which were omitted from subsequent analysis. The oscillations in the peak positions as a function of frequency are remnants of background effects that were not fully accounted for by our fitting procedure. Because the magnitude of these background fluctuations is generally much larger than the uncertainties in the measured peak positions, we neglected these uncertainties in subsequent fitting. We next fit the frequency dependence of the peak field positions. The expected dependence can be obtained from Eq. 4 (main text) and the very good approximation that the spin resonance frequency, fS = S/2 depends linearly on field: fS = f0 + H. Making this substitution in Eq. 4 and solving for H yields H   1      Ng f C 2 / 2  f  f   f 0 ,     (1) where f = /2 is the resonance frequency of the coupled system and fC = C/2 is the bare-cavity resonance frequency. The constants f0 and depend on the anisotropy parameters of the Hamiltonian and the angle  between the easy axis and the magnetic field. f0 and depend weakly on the azimuthal angle , which determines the orientation of the field in relation to the intermediate (x) axis of the Fe8 molecule. The dependence on is sufficiently weak that it is not a reliable fitting parameter and we simply fixed  at the expected value of 108.7°, based on the crystal’s orientation. We set the Hamiltonian parameters at the values given in the main text and let  be a free parameter. f0( ) and were then calculated by diagonalizing the Hamiltonian to obtain its eigenenergies, then determining the frequency fS for the relevant (e.g. 10-to-9) resonance as a function of H and  , and fitting the H dependence to a line in the experimentally relevant range of field values. Eq. 1 was simultaneously fit to the resonance peak positions corresponding to the relevant spin resonance with , fC and gN as fitting parameters.  was forced to be the same for each data set while fC and gN were allowed to vary from one data set to the next. Our fits yielded  = 37.7° and a separate best-fit value of Ng for each data set. The upper panel of Fig. 2 shows the peak-position data obtained from the data shown in Fig. 1 and the resulting fit to Eq. 1, following the procedures described above. The lower panel in Fig. 2 results from applying a similar procedure to data at 1.8 K. At that low temperature, the higher-field (9-to-8) resonance does not display an unambiguous splitting and only the signal from the lower-field (10-to-9) resonance was analyzed. The values of fC obtained from our fits show a variation with temperature on the order of 10 MHz. fC values for the 9-to-8 resonance were generally somewhat smaller than those for the 10-to-9 resonance. This weak behavior is likely due to a combination of changes in mean dipolar fields with temperature and the remnant effect of one spin-cavity interaction on the other one. Neither of these effects is significant enough to substantially impact our main conclusions. 7.0 K 1.8 K Fig. 2. Resonance peak positions as a function of frequency (orange and green points) determined from fitting the data, as described in the text. Data for 7.0 K (i.e. obtained from an analysis of Fig. 1) and for 1.8 K are shown. The oscillations of the peak positions are due to remnant effects of the frequency-dependent background. Error bars represent standard errors. The data is fit (dashed lines) to Eq. 1. The shaded regions indicate the ranges of magnetic fields over which the system unambiguously exhibits two resonant frequencies. One important feature of the data is the fact that for some regions of field, the system has two distinct resonant frequencies, as illustrated in Fig. 2 by the shaded regions. This observation indicates that the spin-cavity system is in the so-called strong-coupling regime. The values of Ng obtained from our fitting procedure are plotted in Fig. 3 in the main text and fit to the energy-level populations with one adjustable parameter, as described in the main text. In our calculations, we also included levels in the known s = 9 spin manifold for Fe8.1 D. Zipse, J. M. North, N. S. Dalal, S. Hill et al., Phys. Rev. B 68, 184408 (2003). 1
1306.2520
2
1306
2013-07-09T12:28:38
Topological insulators, spin, and the tight-binding method
[ "cond-mat.mes-hall" ]
As one of the first proposed topologically protected states, the quantum spin Hall effect in graphene relies critically on the existence of a spin-dependent gap at the K/K' points of the Brillouin zone. Using a tight-binding formulation based on the method of invariants, we identify the origin of such an intrinsic gap as the three-center interaction between the pi-orbitals caused by spin-orbit interactions. This methodology incorporates all symmetry compliant interactions previously neglected and has wider applications for comparisons between first-principle calculations and the tight-binding method. It also identifies a correction to the Haldane model and its generalization, which incorporates the spin degrees of freedom and reproduces all the salient features required for the quantum spin Hall effect in graphene.
cond-mat.mes-hall
cond-mat
Topological insulators, spin, and the tight-binding method Warren J. Elder, Eng Soon Tok,∗ Dimitri D. Vvedensky, and Jing Zhang† The Blackett Laboratory, Imperial College London, London SW7 2BW, United Kingdom (Dated: July 31, 2021) As one of the first proposed topologically protected states, the quantum spin Hall effect in graphene relies critically on the existence of a spin-dependent gap at the K/K0 points of the Brillouin zone. Using a tight-binding formulation based on the method of invariants, we identify the origin of such an intrinsic gap as the three- center interaction between the π-orbitals caused by spin-orbit interactions. This methodology incorporates all symmetry compliant interactions previously neglected and has wider applications for comparisons between first-principle calculations and the tight-binding method. It also identifies a correction to the Haldane model and its generalization, which incorporates the spin degrees of freedom and reproduces all the salient features required for the quantum spin Hall effect in graphene. PACS numbers: 71.15.-m, 71.20.Nr, 71.20.Tx, 71.70.Ej It depends on two critical elements: The quantum spin Hall effect in graphene is one of the first topologically protected states proposed in any material [1]. the existence of a finite spin-dependent intrinsic gap at K/K0 high-symmetry points in the Brillouin zone, and "band inversion" and the chi- ral nature of electronic states in the vicinity of these points. The prediction utilizes a generalization of the Haldane tight- binding model [2] at these points with a spin-dependent term. While the chiral nature of the electronic states is well known from experimental observations of the quantum Hall effect [3, 4] and angle-resolved photoemission [5] in single-layer graphene, the existence of a finite, spin dependent gap has not been unequivocally established theoretically. In fact, the absence of a gap without spin, originally proposed by Wallace [6], was only confirmed by the inclusion of a finite number of higher shells and bases [7] using the Slater-Koster [8] formu- lation (SK) of the tight-binding (TB) method. Direct probing by photoemission[9] has not been able to resolve any finite gap. The effect of spin-orbit interactions (SOI) on the electronic dispersion of graphene has been investigated using first prin- ciples calculation and related to TB with SK formulation with the addition of on-site SOI [10, 11] and the incorporation of a {dxz,dyz} bases which couples to the pz states directly [12]. These studies have shown the existence of a small gap, but did not correctly identify the interaction leading to the spin- dependent gap, nor provide the appropriate generalization of the Haldane model. As will be shown here, the interaction giving rise to the spin-dependent gap is due to three-center interactions neglected by SK. In this paper the origin of the intrinsic energy gap is exam- ined with the TB method based on the method of invariants [13]. This implementation of TB includes all symmetry per- mitted interactions, including three-center terms. Our analysis shows categorically that the symmetry of the crystal ensures both a zero energy gap and linear dispersion at the K-point when the SOI is excluded from the Hamiltonian. When the effects of the SOI are included, a small energy gap is pre- dicted to emerge from the inter-site spin-induced mixing of the π and σ states. The term in the Hamiltonian responsible for this is traced to second-nearest neighbor interactions be- tween σ orbitals due to three-center interactions. A small-k expansion at the K/K0-point yields a Hamiltonian equivalent to that obtained with the k · p method, and shows both the presence of a finite spin-dependent gap, and "band inversion" with assoicated chiral nature of the electronic states with an approximately linear dispersion away from K/K0. In fact, a simple symmetry-based argument points to the existence of a finite spin-dependent gap. Single-layer graphene has D6h point group symmetry. The dimension of the space group rep- resentation at K/K0 is 4 for π bands under the single group, but the largest IR under double group also has dimension of 4. Incorporating spin degrees of freedom must lead to an 8- dimensional reducible space and a gap at these points unless there is an accidental degeneracy, which TB rules out. In a general TB formulation [8], the Hamiltonian is con- structed by summing interactions between Lowdin orbital states [14] ψ τ ξ ,pi centered at atomic site τ in the primitive cell and other states T (R)ψ τ0 η,qi at equivalent atomic positions R + τ 0 that form a shell of atomic sites around τ . These or- bitals transform as the irreducible representations (IRs) of the symmetry group of the local bonding configuration (i.e. con- taining s, px, py, and pz orbitals in graphene) and are con- structed so that orbitals centered at different atomic sites are orthogonal. By relocating these orbitals to the origin and de- ξ ,pi, the contribution to the Hamiltonian H noting them as ϕ τ from a given shell is then written as, R+τ0−τ=Rn ∑ R,τ ,τ0 eik·(R+τ0−τ )(cid:10)ϕ τ ξ ,p(cid:12)(cid:12) H T (R + τ 0 − τ )(cid:12)(cid:12)ϕ τ0 η,q(cid:11) , (1) where R + τ 0 − τ is directed from the site at τ to that at R + τ 0 in that shell, and the k-dependence arises from the exponential functions (EFs). The contributions to the Hamil- tonian from each shell have the full point group symmetry of the crystal. In the SK formulation [8] the total Hamiltonian is then constructed by incorporating all interactions from con- centric shells of atomic sites in the lattice under the two-center approximation. While it is perhaps intuitive to work with bases of local- arXiv:1306.2520v2 [cond-mat.mes-hall] 9 Jul 2013 2 FIG. 1. (a) Direct space with first and second-nearest neighbor shells in graphene and (b) first Brillouin zone in reciprocal space. TABLE I. Symmetry of permitted generators between SALC basis functions without spin. Those underlined are interactions between π and σ bonds and have no corresponding SEFs. 3 (π) Γ−2 (π) Γ−4 (σ ) Γ−5 (σ ) Γ+ Γ+ 1 Γ−4 Γ+ 1 Γ−2 Γ+ 3 Γ+ 1 Γ−6 Γ+ 5 Γ+ 6 Γ+ 1 ⊕ Γ+ 6 (σ ) Γ+ Γ+ 5 Γ−6 Γ−5 6 Γ−3 ⊕ Γ−4 ⊕ Γ−5 Γ+ 1 ⊕ Γ+ 6 1 (σ ) Γ+ Γ+ 3 Γ−2 Γ−4 Γ−5 Γ+ 6 Γ+ 1 Γ+ 3 (π) Γ−2 (π) Γ−4 (σ ) Γ−5 (σ ) Γ+ 6 (σ ) Γ+ 1 (σ ) take the value 2 if the axis of the two-fold rotation contains two vectors generating the EFs. The characters of all other classes are zero. The characters for the Γ+ 5 ,Γ−1 ,Γ−2 and Γ−6 IRs all have opposing signs between pairs of conju- gacy classes of {E}/{σh}, {3C02}/{3σv} and {3C002}/{3σd}. The decomposition theorem then indicates that the SEFs with these symmetries are not permitted in any coordinate shell. 3 ,Γ+ 4 ,Γ+ The allowed symmetries of generator matrices which occur between states forming the bases of µ and ν can be readily ob- tained from the decomposition of Γν∗ ⊗ Γµ [15]. When con- sidering a generator between the same states (µ = ν), time- reversal symmetry must be considered, and only IRs present in the [Γµ∗ ⊗ Γµ ]sym need be considered in the absence of spin. The assignment of symmetry to the energy eigenstates at high symmetry points in the Brillouin zone is made using extended equivalence relations [18] applied to π and σ orbitals. The symmetry (relating to the co-group of the group of k) of the Bloch states can then be obtained from the decomposition of such equivalence representations into irreducible components [17, 21](see heading in Table I). The theory of invariants stipulates that the interaction of a particular symmetry is forbidden unless both the generators and SEFs associated with the same IR exist. Examining the symmetry of generator matrices in Table I, no interactions can exist between π and σ bands, since the corresponding prod- uct representations decompose into IRs with no correspond- ized Lowdin orbitals, they do not always form the basis of IR of the crystal point group. An alternative construction of the Hamiltonian directly utilizes the symmetry of graphene to form bases for the IRs of the crystal point group and the general matrix element theorem [15]. One may consider the set of all equivalent Lowdin orbital states in the primitive themselves under the action of the point group of the crystal, and form a generally reducible representation of the group. Using symmetry adapted linear combination (SALC) [16] of cell relocated to the origin {(cid:12)(cid:12)ϕ τ η(cid:11)}. They transforms among {(cid:12)(cid:12)ϕτ η(cid:11)}, a new set of bases {(cid:12)(cid:12)φµ ,i(cid:11)} are constructed which are basis functions for the IR of the crystal point group. The unitary transformation can then be established between the re- located Lowdin and SALC orbitals. An invariant form of interactions for a given shell can be constructed for the SALC bases by using symmetrized ex- ponential functions (SEFs) and generators which transform as bases of IRs of the crystal point group. This approach, known as the method of invariants [13, 17], yields the invari- ant Hamiltonian from the expression, cγ µ,ν (n)∑ Hµ,ν (k) = ∑ (2) K γ i (k,n)(cid:0)Mγ,i ν,µ(cid:1)† i where n indexes the coordinate shells, γ indexes the IRs present in those permitted by the general matrix element the- orem between states with symmetry µ and ν, as well as the γ decomposition of the representation of EFs, K i (k,n) is the ith component from the basis of IR γ in the SEF of the nth co- ordinate shell, and Mγ,i ν,µ is the ith component of the generator forming the basis of the IR γ[17]. The terms cγ µ,ν (n) represent invariant material parameters which determine the dispersion of a particular crystal with the given symmetry. The existence of a well-defined Lowdin bases centered at atomic sites places constraints on the invariants. For example, the nearest neigh- bor interaction (n = 1), can exist only between appropriate Lowdin orbital states localized on atomic sites in the graphene crystal. Once the unitary transformation between the Lowdin and SALC bases is known, the constraints on the invariants can be obtained. The unitary transformation, the form of SEFs for any given shell, and generator matrices can all be obtained using projection operator methods [16, 17]. The real space lattice with nearest neighbor coordinate shells and the first Brillouin zone of graphene are shown in Fig. 1. The EFs for a given shell form a closed vector space under the action of the point group and a representation that is generally reducible. The character of a group element in the EF representation is given by the number of EFs left in- variant under the action of a group element [18]. The charac- ters of the IRs are readily available from Refs. [19] and [20]. The symmetry of the allowed SEFs is then obtained from the decomposition of the EF-representation. The on-site interac- tion generates the trivial Γ+ 1 IR. For any neighboring shell, the characters of the EF-representation for the {E} and {σh} classes are the same and equal to the dimension of the repre- sentation. The characters for the {C02}/{σv} and {C002}/{σd} conjugacy classes are also the same. One of the two pairs can ∑γ n y ky a x K\x{FFFF} M\x{FFFF}0, 2π 3a ,\x{FFFF} K\x{FFFF} G1 Σ Γ M T \x{FFFF} T K\x{FFFF} 4√3π 9a ,0\x{FFFF} kx K\x{FFFF} G2 K K b1 a1 a2 b2 AB a3 b3 (a) (b) ing SEFs. We conclude that, without the spin degree of free- dom, the interaction between the π and σ bonding states is forbidden by symmetry. Examining the SEFs with Γ−4 and Γ+ 1 symmetry, both clearly return a zero value at the K-point for interactions between the A and B sites in relevant neigh- boring shells. Thus, no interaction occurs between bonding and anti-bonding π-states at the K-point. Moreover, the re- striction on the invariants by adherence to localized orbitals requires that the interaction with SEF of Γ+ 1 symmetry for on- 3 and Γ−2 states. A zero site interaction shells are equal for Γ+ gap at the K-point is then guaranteed in the absence of spin. We have arrived at this conclusion by considering all shells and from symmetry arguments alone, without the need for the two-center approximation in the SK formulation. The spin-orbit interaction, HSO = h ¯ 0c2 (∇V (r)× p)· S , 4m2 (3) is a scalar product whose matrix representation with respect to the double group bases transforms according to the trivial representation Γ+ 1 . This contains both k-dependent and k- independent parts and contributes to intra- and inter-site spin- orbit interactions. The symmetry of the permitted generators for interactions between double group bases are obtained us- ing double group selection rules and are shown in Table II. The invariant Hamiltonian can be constructed using Eq. (2) with double group generators and invariants. With respect to the double group bases, the k-independent component of the SOI and the off-diagonal allowed generators 1 symmetry cause mixing, particularly between the π with Γ+ and σ bands. For a TB model with a limited basis set, as for a two-band model treating only the bonding and anti-bonding π bands, the effects of the remote states (i.e. σ bands) must also be considered. This requires that the states under considera- tion are decoupled from remote states to the desired order in the SEFs or k [22]. This is similar to how effective mass arises in k · p theory with a limited basis. The unitary transforma- tion required to eliminate coupling with remote states intro- duces additional symmetry-allowed generators. Such genera- tors are not allowed if the double group basis is formed from a direct product of spinor and single-group bases. It is the mixed nature of the bases under consideration that generates additional k-dependent interactions between the wave func- tions. In other words, the invariant Hamiltonian formed from the use of double group bases is the most general form of TB Hamiltonian which includes spin-orbit interaction. To illustrate this point, we consider a two-band model where only the π bands are included directly and the σ bands are regarded as remote states. Without electron spin, the only permitted generators between π∗ and π are Γ+ 1 on the diagonal and an off-diagonal Γ−4 (Table I). To solve the two-band model with spin, terms coupling π to σ (Table II) must be eliminated to the desired order in the SEFs. This introduces mixed π/σ bases as a result of SOI. The mixed nature of bases under con- sideration means interaction between the σ orbital states is also reflected in the subspace under consideration, subject to 3 8+,7− compliance to symmetry. The change in the nearest neigh- bor parameter does not affect the gap at the K-point, since the relevant SEFs are zero at K. For second nearest neighbor interaction, the generator of M3+ is also permitted under double group in addition to those under single group. The cor- responding contribution of K Γ−3 (k,2) is merely a reflection of the corresponding interaction between σ under the SOI in- duced mixing. The interaction coefficient c3−8+(π),7−(π) is also purely imaginary in order to preserve the Kramer degeneracy. This leads to the total perturbation c3−8+(π),7−(π)K 3−(k,2) be- ing real. The Hamiltonian is constructed using Eq. (2) and the generator matrices 8+,8+ = M1+ M1+ (4) where σ0 is the 2 × 2 unit matrix and σ3 is a Pauli matrix [17]. Figure 2 shows the dispersion relations calculated in the two-band model with contributions up to the second-nearest neighbors. The insert shows a gap at the K-point arising from the K Γ−3 (k,2) term. The relevant SEFs are given in Table III, together with their Taylor expansions at the K/K0-points. 7−,8+ = σ0 , M4− 7+,7+ = M3− 7−,8+ = σ3 , The size of the gap is determined by the invariant c3− 8+,7−(2). From perturbation theory and the mixing scheme, this invari- ant can be related to the single group interaction between σ orbitals associated with K 3−(k,2) as c3− 8+(π),7−(π)(2) = SOc3− 5−,6+(2) 6+)(Eσ i∆2 3+ − Eσ (Eπ 8+(π),8+(σ )c1+ where ∆2 7−(π),7−(σ ), are given by the SOI strength. This may be compared with Eq. (15) of [11]. How- ever, c3− 5−,6+(2) is a term due to three-center interactions [23], 5− − Eπ 2−) SO = c1+ (5) FIG. 2. Dispersion of graphene π band using two-band double-group tight binding method. The insert shows details near the K point with (blue) and without (red) the spin-orbit-interaction Γ−3 term. TABLE II. Symmetry of permitted generators/operators between all the double group basis functions. Terms with corresponding forbidden SEFs are excluded. Underlined generators have no counterpart in the single group. They are permitted by symmetry only if the spin-orbit interaction is taken into account. 4 Γ+ 1 Γ−3 ⊕ Γ−4 8 (π) Γ−7 (π) Γ−8 (σ ) Γ−9 (σ ) Γ−7 (σ ) Γ+ Γ−3 ⊕ Γ−4 Γ+ 1 1 ⊕ Γ+ Γ+ Γ+ 6 Γ+ 6 Γ+ 1 Γ−5 Γ+ 6 Γ+ 6 Γ+ 1 Γ−5 Γ+ 6 Γ+ 1 2 Γ+ 8 (π) Γ−7 (π) Γ−8 (σ ) Γ−9 (σ ) Γ−7 (σ ) Γ+ 9 (σ ) Γ+ 8 (σ ) Γ+ 7 (σ ) 9 (σ ) Γ+ Γ+ 6 Γ−5 Γ−5 Γ−3 ⊕ Γ−4 Γ−5 Γ+ 1 Γ+ 8 (σ ) Γ+ 1 ⊕ Γ+ 2 Γ−3 ⊕ Γ−4 Γ−3 ⊕ Γ−4 Γ−5 Γ−5 Γ+ 6 Γ+ 1 7 (σ ) Γ+ Γ+ 6 Γ−5 Γ−3 ⊕ Γ−4 Γ−5 Γ−5 Γ+ 6 Γ+ 6 Γ+ 1 tion [17], may be written as, c2σ3 H(κ) = ± where the choice of ± determines the point of expansion as K or K0. The dispersion relation is then given by c1a(κx ∓ iκy)σ0 c1a(κx ± iκy)σ0 −c2σ3 ! , (6) E(κ) = ±(c2 1a2κ2 + c2 2)1/2 c2 (cid:28) c1. (7) The two essential elements required for the quantum spin Hall effect can be clearly identified from Eqs. (6) and (7). The dispersion relation in Eq. (7) shows that the K Γ−3 (k,2) term is responsible for the creation of a spin-dependent gap through the constant c2. The dependence of signs in Eq. (6) on the choice of point of expansion shows the chiral nature of the electronic states with eigenstates at K/K0 indicate the inverted nature of the band structure. The fermi velocity vF = c1a/¯h is related to the first nearest neighbor hopping parameter. This Hamiltonian refers to the TB bases at the K/K0 points [25]. The energy levels are four-fold degenerate at K/K0 points, and separated by a spin-induced gap, while the dispersion retains a linear energy dependence in κ away from these points. The results obtained so far allows us to make correction and generalization of the Haldane model [2]. The Haldane model introduces a cell-periodic magnetic field which breaks the time-reversal symmetry, but not the space group symme- try [17]. However, any closed hopping path or its associated Berry phase, is not necessarily invariant. The Berry phase terms cos(φ ) and sin(φ ) transform according to Γ+ 1 and Γ−3 re- spectively under D6h. Hence, sin(φ )∑i sin(k · bi) transforms according to Γ−3 ⊗ Γ−3 = Γ+ 1 . Thus, the k dependent part of the third term in Eq. (1) of [2] shares the same generator as the first term. The corrected Haldane model under the single group is then [cos(k· ai)σ1 + sin(k· ai)σ2] . (8) 3∑i =1 +t1 [cos(φ )cos(k· bi)− sin(φ )sin(k· bi)]σ0 3∑i =1 H(k) = 2t2 TABLE III. Relevant SEFs for the first two neighboring shells for the two-band model and their first-order Taylor expansions near the K/K0 points. '+' refers to K and '-' refers to K0 in expansion. Shell 1 IR Γ+ 1 Γ−4 Γ+ 1 Γ−3 2 Symmetrized exponential functions √6(cid:2)cos(cid:0)kya(cid:1) + 2cos(cid:0) 1 i 2√6(cid:2)sin(cid:0)kya(cid:1)− 2cos(cid:0)√3 √6(cid:2)cos(cid:0)√3kxa(cid:1) + 2cos(cid:0)√3 i 2√6(cid:2)sin(cid:0)√3kxa(cid:1)− 2sin(cid:0)√3 2 kya(cid:1)cos(cid:0)√3 2 kxa(cid:1)(cid:3) 2 kya(cid:1)(cid:3) 2 kxa(cid:1)sin(cid:0) 1 2 kya(cid:1))(cid:3) 2 kxa(cid:1)cos(cid:0) 3 2 kxa(cid:1)cos(cid:0) 3 2 kya(cid:1)(cid:3) Shell 2 2 K/K0 points √6 2 κxa ∓ i√6 2 κya −q 3 ∓i 3√2 2 which is absent in the SK formulation. The corresponding hopping parameter differs from the second-nearest neighbor hopping parameter c1+ 8+(π),8+(π)(2) of the two-center interac- tion. The size of the gap, compared to the spin-orbit splitting of carbon in diamond [24], is entirely plausible when con- sidering the origin of the term from SOI-induced mixing and the associated second-nearest neighbor interaction mediated by three-center interactions. If the Hamiltonian is obtained from a Kronecker product of σ0 with the single-group Hamiltonian with additions of an on-site spin-orbit interaction, there are no additional SEFs, and the contribution from the Γ−3 term is absent. If the con- straint of bases centered on atomic sites is retained, there is no gap at the K point. This process is equivalent to the use of bases formed from the direct product of spinor and single group bases, and the absence of a gap implies that such direct product bases are not complete. The Hamiltonian can be expanded locally around the K/K0- point to first order in κ = k− kK0. Since the change in basis is second-order in the wave vector, this result is analogous to the k · p method using basis functions at the K-point with remote states taken into account as a perturbation (effective mass). The effective Hamiltonian, after a suitable transforma- [3] V. P. Gusynin, and S. G. Sharapov, Phys. Rev. Lett. 95, 146801 (2005). 5 [4] A. H. Castro Neto, F. Guinea, N. M. R. Peres, K. S. Novoselov, and A. K. Geim, Rev. Mod. Phys. 81, 109 (2009). [5] Y. Liu, G. Bian, T. Miller, and T.-C. Chiang, Phys. Rev. Lett. 107, 166803, (2011). [6] P. R. Wallace, Phys. Rev. 71, 622 (1947). [7] R. Saito, G. Dresselhaus, and M. S. Dresselhaus, Physical Properties of Carbon Nanotubes (Imperial College Press, Lon- don, 1998); S. Reich, J. Maultzsch, C. Thomsen, and P. Or- dej´on, Phys. Rev. B 66, 035412 (2002). [8] J. C. Slater and G. F. Koster, Phys. Rev. 94, 1498 (1954). [9] T. Ohta, A. Bostwick, J. L. McChesney, T. Seyller, K. Horn, and E. Rotenberg, Phys. Rev. Lett. 98, 206802 (2007); K. R. Knox, A. Locatelli, M. B. Yilmaz, D. Cvetko, T. O. Mentes¸, M. ´ A. Nino, P. Kim, A. Morgante, and R. M. Osgood, Jr., Phys. Rev. B 84, 115401 (2011). [10] H. Min, J. E. Hill, N. A. Sinitsyn, B. R. Sahu, L. Kleinman, and A. H. MacDonald, Phys. Rev. B 74, 165310 (2006); J. C. Boettger and S. B. Trickey, Phys. Rev. B 75, 121402 (2007). [11] Y. Yao, F. Ye, X.-L. Qi, S.-C. Zhang, and Z. Fang, Phys. Rev. B 75, 041401 (2007). [12] J. C. Slonczewski and P. R. Weiss, Phys. Rev. 109, 272 (1958); G. Dresselhaus and M. S. Dresselhaus, Phys. Rev. 140, A401 (1965); T. B. Boykin, Phys. Rev. B 57, 1620 (1998); S. Kon- schuh, M. Gmitra, and J. Fabian, Phys. Rev. B 82, 245412 (2010). [13] J. M. Luttinger, Phys. Rev. 102, 1030 (1956); G. L. Bir and G. E. Pikus, Symmetry and Strain-Induced Effects in Semiconduc- tors (Wiley, New York, 1974). [14] P.-O. Lowdin, J. Chem. Phys. 18, 365 (1950). [15] G. F. Koster, Phys. Rev. 109, 227 (1958). [16] F. A. Cotton, Chemical Applications of Group Theory (Wiley, New York, 1990) [17] For details of the method and its implementation, see the sup- plementary materials. Multiplicity in occurrence of IRs in SEF and generators has been ignored in Eq. (2). [18] M. S. Dresselhaus, G. Dresselhaus, and A. Jorio, Group The- ory: Application to the Physics of Condensed Matter (Springer, Berlin, 2008). [19] G. F. Koster, J. O. Dimmock, R. G. Wheeler, H. Statz, Prop- erties of the Thirty-two Point Groups (MIT Press, Cambridge, MA, 1963). [20] M. I. Aroyo, A. Kirov, C. Capillas, J. M. Perez-Mato, and H. Wondratschek, Acta Cryst. A62, 115 (2006). [21] Our symmetry assignments differ from the following in the lit- erature: L. M. Malard, M. H. D. Guimaraes, D. L. Mafra, M. S. C. Mazzoni, and A. Jorio, Phys. Rev. B 79, 125426 (2009); E. Kogan and V. U. Nazarov, ibid 85, 115418 (2012), 85, 109902 (2012). [22] P.-O. Lowdin, J. Chem. Phys. 19, 1396 (1951). [23] M. D. Stiles, Phys. Rev. B 55, 4168 (1997). [24] P. Carrier and S.-H. Wei, Phys. Rev. B 70, 035212 (2004). [25] Bloch states at K and K0 (star of k) together form the bases of IR of the space group. They are the degenerate energy eigenstates as determined by space group symmetry. [26] A. P. Sutton, M. W. Finnis, D. G. Pettifor, and Y. Ohta, J. Phys. C: Solid State Phys. 21, 35 (1988); Cai-Zhuang Wang, Wen- Cai Lu, Yong-Xin Yao, Ju Li, Sidney Yip, Kai-Ming Ho, Sci. Model Simul. 15, 81 (2008) This can then be extended to include the spin degree of free- dom by utilizing an invariant Hamiltonian and the similarity transform as [cos(k· ai)σ1 ⊗ σ0 + sin(k· ai)σ2 ⊗ σ0] . (9) 3∑i =1 +t1 [sin(φ )cos(k· bi) + cos(φ )sin(k· bi)]σ3 ⊗ σ3 3∑i =1 +2t02 [cos(φ )cos(k· bi)− sin(φ )sin(k· bi)]σ0 ⊗ σ0 3∑i =1 H(k)= 2t2 Note the distinction between the second nearest neighbor hop- ping parameter which breaks the symmetry between electron and hole states (t2), and that which induces the spin-dependent gap (t02) and their associated generators. In summary, we have used the method of invariants in the formulation of the TB method for the analysis of electronic dispersion in graphene. The general results shows the π band dispersion is indeed gapless in the TB model including an infinite number of shells under the single group, but a finite spin-dependent gap arises at K/K0 points from SOI-induced mixing between the π and σ bands. The K Γ−3 (k,2) term responsible for the spin-dependent gap is identified as a three- center interaction between σ bands manifested as a π-band in- teraction through the spin-induced mixing. The SK formula- tion neglects this interaction and cannot produce such a term. The use of product bases to describe the spin-orbit interac- tions cannot account for the effect of mixing due to remote states. With spin included, the more general double group selection rules become a necessity. The local expansion of the Hamiltonian around K/K0 shows clearly the existence of a finite spin-dependent intrinsic gap, the chiral nature of the electronic states in the vicinity of Dirac points, and "band in- version", necessary for the quantum spin Hall effect. The Hal- dane model has been corrected and extended to include the spin degree of freedom. The methodology of formulating the TB Hamiltonian using method of invariants takes into account all symmetry compliant interactions, and is more appropriate in any comparisons between first principles calculations and TB models [26]. ∗ On sabbatical leave from Department of Physics, National Uni- versity of Singapore, Singapore † Corresponding email: [email protected] [1] C. L. Kane and E. J. Mele, Phys. Rev. Lett. 95, 226801 (2005); 95, 146802 (2005). [2] F. D. M. Haldane, Phys. Rev. Lett. 61, 2015 (1988). Supplementary information for: Topological insulators, spin, and the tight-binding method Warren J. Elder, Eng Soon Tok,∗ Dimitri D. Vvedensky, and Jing Zhang† The Blackett Laboratory, Imperial College London, London SW7 2BW, United Kingdom (Dated: July 31, 2021) Abstract This supplement provides the details of the calculations used in the accompanying paper, particularly those related to the group-theoretic methods. The information is given to provide details both on the practi- cal implementation of the method of invariants the tight-binding method, and to avoid confusion regarding different conventions and/or their interpretations. arXiv:1306.2520v2 [cond-mat.mes-hall] 9 Jul 2013 1 INTRODUCTION The method of invariants can be used to construct the terms in the tight-binding Hamiltonian between bases associated with the irreducible representations (IRs) µ,ν of the point group. This can be written, as given in Eq. (2) of the main paper, γ i (k,n)(cid:0)M γ,q,i ν,µ (cid:1)† cγ µ,ν (n)K dγ =1 ∑i ∑γ Hµ,ν (k) = ∑ n , (M2) where n indexes the coordinate shells, γ indexes the IRs present in those permitted by the general matrix element theorem between states with symmetry µ and ν, as well as the decomposition of γ i (k,n) is the ith component of occurrence of the representation of exponential functions (EFs), K γ IR in the symmetrized exponential functions (SEF) of the nth coordinate shell, and Mγ,i µ,ν is the ith component of occurrence of IR γ of generators. The terms cγ µ,ν (n) denote the invariant material parameters which actually determine the dispersion of a particular crystal with a given symmetry. This methodology has the following key features: 1. Automatically takes into account all symmetry permitted interactions, including the three- center interactions [1]; 2. All spatial symmetry and time-reversal symmetry (for intra-band blocks) can be discussed under appropriate selection rules due to use of the full point group of the crystal; 3. For a limited basis set, the effect of remote states is included as a perturbation, if second and higher-order shells are included; 4. Capable of dealing with, and incorporating the effects of spin-orbit interaction, using the appropriate double group bases, and implementing fully, double group selection rules. The purpose of this supplement is to provide background information on the implementation of this particular methodology, and discusses the following key elements: 1. Proof of method of invariants applied to tight-binding methods; 2. Basis function(cid:12)(cid:12)φµ,i(cid:11), which form bases of the IRs µ of the crystal point group and are used to construct Bloch sums; 3. Symmetrized exponential functions K γ i (k,n); 2 4. Generator matrices Mγ,i µ,ν; 5. The constraints on invariant material parameters cγ µ,ν (n) ensuring the Hermiticity of the Hamiltonian and localization of basis functions in the absence of spin degrees of freedom; 6. Generalization of the Haldane model. The following sections of the supplement deal in turn with each of these items. PROOF OF THE METHOD OF INVARIANTS IN TIGHT-BINDING METHOD In a general tight-binding formulation [2], the basis functions of the Hamiltonian are con- sites τ and form the basis of IR η of the local bonding configuration, structed from Bloch sums based on localized Lowdin orbitals(cid:12)(cid:12)ψ τ η(cid:11), which are centered at atomic eik·(R+τ ) T (R)(cid:12)(cid:12)ψ τ η(cid:11) , where T (R) is the translation operator by a lattice vector R. An equally valid localized wave If we consider such Lowdin orbitals as function can be the equivalent bonding orbital states. (cid:12) η , k(cid:11) = (cid:12)Ψτ N ∑ 1√ R ξ T †(R0 + τ ) H T (R + τ 0) ϕ τ 0 η Eei(k0−k)·Rei[k·(R−R0)+k0·τ 0−k·τ ] η Eeik0·(R+τ 0) η Eei[k·R00+k0·τ 0−k·τ ]∑ R ei(k0−k)·R. η , k0E = e−ik·(R0+τ )Dϕ τ Dϕ τ ξ H T (R− R0 + τ 0 − τ ) ϕ τ 0 00Dϕ τ ξ H T (R00 + τ 0 − τ ) ϕ τ 0 ∑R ∑R 1 N 0 0 ∑R ∑R 1 N ∑R 1 N = = DΨτ ξ , k HΨτ 0 The last sum yields N if k = k0 + Km (Km is any reciprocal lattice vector), and 0 otherwise. We obtain, by relabeling R00 as R, DΨτ ξ , k HΨτ 0 η , k0E = ∑ RDϕ τ ξ H T (R + τ 0 − τ ) ϕ τ 0 η Eeik·(R+τ 0−τ )δk,k0+Km 3 A general matrix element of the Hamiltonian with respect to these basis functions is given by η(cid:11), then (cid:12) η(cid:11) = T (τ )(cid:12)(cid:12)ϕ τ η(cid:11) , (cid:12)ψ τ eik·(R+τ ) T (R + τ )(cid:12)(cid:12)ϕ τ (cid:12) η , k(cid:11) = η(cid:11) . N ∑ (cid:12)Ψτ 1√ R centered at the origin,(cid:12)(cid:12)ϕ τ The Hamiltonian is then specified for a given wave vector k in the first Brillouin zone. The matrix element is expected to diminish rapidly with increasing magnitude of the argument of the translation operator. The summation over all primitive cells is then partitioned into sums over R, τ , τ 0 such that R + τ 0 − τ = Rn for some radius Rn and then over shells of increasing radius Rn. The summation over radii may be truncated because of the diminishing magnitude of the matrix elements with the radius. The contribution to the Hamiltonian matrix element from a given shell of radius Rn is then written as Eq. (1) of the main paper: (M1) H(k,n) = R+τ 0−τ=Rn ∑ R,τ ,τ 0 This contribution is invariant under the action of the point group of the crystal[3]. η (cid:11) ξ H T (R + τ 0 − τ ) ϕ τ 0 themselves under the action of the point group, thus forming a representation of this group. Using eik·(R+τ 0−τ )(cid:10)ϕ τ The set of relocated equivalent Lowdin orbitals(cid:12)(cid:12)ϕ τ the symmetry-adapted linear combination (SALC) [5], a basis set(cid:12)(cid:12)φ i localized Lowdin orbitals(cid:12)(cid:12)ϕ τ η(cid:11) within the primitive cell transform among µ(cid:11) is constructed, which also η,q(cid:11) to the symmetry-adapted linear combination bases φµ,ii, and ∑ R,τ ,τ 0 form basis functions of IR µ of the point group. We perform a similarity transform from the eik·(R+τ 0−τ )(cid:10)φµ H T (R + τ 0 − τ ) φν(cid:11) . focus on the block of Hamiltonian indexed by IR µ and ν: R+τ 0−τ=Rn Hµ,ν (k,n) = We need to establish that the two factors in the expression can be expressed as linear combinations of some basis which transforms according to IR of the point group. The vectors {R + τ 0 − τ} in a given shell transform among themselves under the action of point group of the crystal and form a representation of this group. The same holds true for set of exponential functions {eik·(R+τ 0−τ )}. This representation is generally reducible and decomposed into a set of IRs labelled A . Using the projection operator technique [5], we can obtain a set of basis functions K ξ ,p(k,n), ξ ∈ A such that the action of group element g on such function yields a transformation (1) (2) (3) ξ ,p j (k,n) , iK ξj D(g) ξ ,p (k,n)0 = K i dξ ∑j =1 ξ ,p (k,n) , K i dξ ∑i =1 and we may express a typical exponential function as eik·(R+τ 0−τ ) = A ∑ ξ∈A ,p aR,τ ,τ 0 ξ ,p 4 where p is the multiplicity of ξ in the decomposition of the representation. There is a distinction between the set of exponential functions here and the wave vector component in the k· p method [6]. The exponential functions transform with the crystal in the tight-binding method, whereas k is an external perturbation and part of the coordinate system. We may write the matrix element (cid:10)φµ H T (R + τ 0 − τ ) φν(cid:11) =(cid:10)φν (cid:0) H T (R + τ 0 − τ )(cid:1)† φµ(cid:11)† =(cid:10)φν H T (−(R + τ 0 − τ )) φµ(cid:11)† . The operators { T (−(R + τ 0 − τ ))} have the same vector arguments as the exponential functions for a given shell. Since H is invariant under the point group of the crystal, the set of operators (cid:8) H T (−(R + τ 0 − τ ))(cid:9) also form a representation of the group, which may be decomposed into the same set of IRs in A . A specific operator may be expressed as H k ζ ,r , pζ ∑k =1 H T (−(R + τ 0 − τ )) = A ∑ ζ∈A ,r wζ ,r Hζ ,r transforms as IR ζ of the point group of the crystal, and r is the multiplicity in the where decomposition of { H T (−(R+τ 0−τ ))}. Let B be set of IRs for which tensor operators with such symmetry are not forbidden by the general matrix element theorem [7] (including time-reversal symmetry where appropriate). ThenDφν(cid:12)(cid:12) Hence, Hζ ,r(cid:12)(cid:12)φµE is forbidden unless ζ ∈ B. Let C = A T B. η,q,k ν,µ (cid:1)† =1(cid:0)M ∑k (cid:1)∗ dη M η,q,k ν,µ , dη ∑k =1 (cid:10)φν H T (−(R + τ 0 − τ )) φµ(cid:11) = (cid:10)φν H T (−(R + τ 0 − τ )) φµ(cid:11)† = C bR,τ,τ0 η,q ∑ η∈C ,q η∈C ,q(cid:0)bR,τ,τ0 ∑ η,q C where η is in the intersection (C ) of set of IRs in the decomposition of(cid:8) H T (−(Rm + τ 0 − τ ))(cid:9) (A ) and the set of IRs permitted by the general matrix element theorem between states of IR µ and ν(B). q is the multiplicity of IR η in the decomposition of Γ∗ν ⊗ Γµ under the general matrix element theorem. The generator matrix M transforms according to η,q,k ν,µ , (4) Dη (g)lkM η,q,l ν,µ , Dη (g)∗lk(cid:0)M η,q,l ν,µ (cid:1)† . (5) dη ∑l =1 dη ∑l =1 ν,µ 0 = η,q,k M (cid:0)M η,q,k ν,µ 0(cid:1)† = 5 Dη (g)∗lk(cid:0)M η,q,l ν,µ (cid:1)† dξ dη aR,τ ,τ 0 γ,p γ,q (cid:0)bR,τ ,τ 0 (cid:1)∗(cid:21) (k,n)(cid:0)M η,q,l ν,µ (cid:1)† =1 =1 ∑l ∑j ∑k ∑i =1 =1 dξ dη =1 =1 ∑l ∑j ∑k ∑i =1 Dξ (g) jiDη (g)∗lk aR,τ ,τ 0 ξ ,p {z G.O.T aR,τ ,τ 0 ξ ,p K ξ ,p j dξ dξ K η,q ξ ,p j (cid:1)∗ (cid:0)bR,τ ,τ 0 } (cid:1)∗ (cid:0)bR,τ ,τ 0 ν,µ (cid:1)† (k,n)(cid:0)M ν,µ (cid:1)†(cid:20) ∑ R,τ ,τ 0 η,q,l γ,q,l η,q =1 dη =1 dη ∑l =1 dη dξ dη ∑k ∑i =1 aR,τ ,τ 0 ξ ,p C η∈C ,q(cid:0)bR,τ ,τ 0 ∑ η,q (cid:1)∗ Dξ (g) jiK ξ ,p j (k,n) dξ =1 ∑j ∑ g∈G C ∑ η∈C ,q ∑ g∈G C A ∑ ξ∈A ,p A 1 G ∑ ξ∈A ,p 1 G A ∑ ∑ η∈C ,q ξ∈A ,p 1 δξηδikδ jl dη ∑ g∈G 1 G = ∑ R,τ ,τ 0 = ∑ R,τ ,τ 0 = ∑ R,τ ,τ 0 (k,n)(cid:0)M γ,p K l dγ =1 ∑l ∑q ∑p = F ∑ γ∈F η,q,k ν,µ (cid:1)† =1(cid:0)M ∑k (cid:1)∗ dη C η,q ξ ,p K i eik·(R+τ 0−τ )(cid:10)φµ H T (R + τ 0 − τ ) φν(cid:11) = η∈C ,q(cid:0)bR,τ ,τ 0 ∑ ∑ ξ∈A ,p aR,τ ,τ 0 ξ ,p (k,n) ∑i =1 dξ A Since Hµ,ν (k,n) is invariant under the action of the point group element, we have from Eq. (2,5), Using Eq. (3,4), a typical term in Eq. (1) can be expressed as Hµ,ν (k,n) = g◦ Hµ,ν (k,n) (6) (7) where γ index IRs in the set F = A T C = A T B. The great orthogonality theorem (G.O.T.) [8] has been used in the derivation above. Re-labelling the term in the parenthesis as cγ,p,q µ,ν (n) and sum over all shells, we have the building This shows that the tight-binding interaction considered explicitly as in Eq. (1) of the main paper can be constructed using the method of invariants. Other contributions, such as the effect of states not considered explicitly, would also be compliant to this method and leads to changes in the invariant material parameters from those considered explicitly. When states of more than one (k,n)(cid:0)Mγ,q,i ν,µ(cid:1)† cγ,p,q µ,ν (n)K i γ,p dγ =1 ∑i ∑q ∑p block of the Hamiltonian given by: Hµ,ν (k) = ∑ n F ∑ γ∈F 6 FIG. 1. (a) Direct space with first and second-nearest neighbor shells of single layer graphene and (b) the first Brillouin zone in reciprocal space. symmetry are considered, there may be additional constraints on the invariants between different blocks, which are discussed in a later section. When considering graphene and those interactions up to the second-nearest neighbor, multi- plicity indices p of the SEFs and q of generators are '1' and the summation over these indices and the indices themselves can be dropped. Then Eq. (7) reduces to Eq. (2) of the main paper. CHARACTER TABLE AND REPRESENTATION MATRICES The direct and reciprocal space lattices of graphene are shown in Fig. 1. The factor group of the space group of single layer graphene, with respect to the invariant translation subgroup, is isomorphic to the point group D6h. Since the space group is symmorphic, D6h is also a subgroup of the space group. The character table of D6h is given in Table I, which can be found in [9, 10]. The first six single-group conjugacy classes (un-barred operations) are the identity {E} (E), six- fold rotations about the z-axis {2C6} (6), three-fold rotations about the z-axis {2C3} (3), two-fold rotations about the z-axis {C2}(2z), two-fold rotations about the in-plane axis, including the x- axis {3C02} (2h), and two-fold rotations about the in-plane axis, including y-axis {3C002} (20h). The remaining six single group conjugacy classes are obtained by the action of the inversion element on the first six classes. The labels of conjugacy classes enclosed in parentheses are those of [9]. The spinor representation corresponds to the Γ+ 7 (E3g) IR. The use of Mulliken symbols in labelling 7 y ky a x K\x{FFFF} M\x{FFFF}0, 2π 3a ,\x{FFFF} K\x{FFFF} G1 Σ Γ M T \x{FFFF} T K\x{FFFF} 4√3π 9a ,0\x{FFFF} kx K\x{FFFF} G2 K K b1 a1 a2 b2 AB a3 b3 (a) (b) TABLE I. Character table of the point group D6h = D6 ⊗Ci. D6h E E 2C6 2C6 2C3 2C3 C2 C2 3C02 3C02 3C002 3C002 1 1 1 1 −1 −1 1 −1 1 1 1 −1 1 −1 −1 0 0 1 −1 −1 −2 2 1 1 −1 1 −1 −1 0 1 −1 −1 −2 2 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 −1 −1 1 −1 −1 2 2 −1 −1 −1 −1 1 1 Γ+ 1 (A1g) 1 Γ+ 2 (A2g) 1 Γ+ 3 (B1g) 1 Γ+ 4 (B2g) 1 Γ+ 5 (E1g) 2 Γ+ 6 (E2g) 2 Γ−1 (A1u) 1 Γ−2 (A2u) 1 Γ−3 (B1u) 1 Γ−4 (B2u) 1 Γ−5 (E1u) 2 Γ−6 (E2u) 2 7 (E3g) 2 −2 √3 −√3 Γ+ 8 (E4g) 2 −2 −√3 √3 Γ+ Γ+ 9 (E5g) 2 −2 Γ−7 (E3u) 2 −2 √3 −√3 Γ−8 (E4u) 2 −2 −√3 √3 Γ−9 (E5u) 2 −2 1 1 1 −1 −1 1 −1 −1 2 2 −1 −1 −1 −1 1 −1 1 −1 2 1 −1 1 −1 2 0 −2 0 −2 0 0 1 1 1 0 0 0 0 0 0 ı 1 1 1 1 2 σh σh 3σd 3σd 3σv 3σv 1 1 1 1 −1 −1 1 −1 1 1 1 −1 1 −1 −1 0 0 ı 1 2S3 2S3 2S6 2S6 1 1 1 1 1 1 1 1 1 1 −1 −1 1 −1 −1 2 2 −1 −1 −1 −1 1 1 1 −1 −1 −2 2 2 0 0 0 1 −1 −1 −1 −1 −1 −1 −1 −1 −1 1 1 1 −1 −1 −1 −1 −1 −1 −1 −1 −1 1 0 1 1 −1 1 1 1 1 −1 −1 −1 1 −1 −1 0 −2 −2 −1 −1 1 0 −2 −2 0 1 −1 −1 1 −1 −1 1 2 1 −2 0 1 1 0 0 2 −2 √3 −√3 2 −2 −√3 √3 2 −2 0 0 −2 2 −√3 √3 −1 2 √3 −√3 −1 2 0 0 0 0 0 −2 0 −2 0 −2 1 1 −1 1 −1 2 1 1 2 −2 0 0 0 0 0 0 0 0 0 0 1 1 −1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 the IRs follows the convention described in [9]. There are many choices of bases and, correspondingly, many equivalent representation ma- trices for a given point group. We have used the characters as representation matrices for the one-dimensional IRs. For the Γ−5 (E1u) IR, the representation matrices are obtained from the cor- responding passive interpretation of the transformation of basis vectors in the x- and y-directions. Representation matrices of the other, two-dimensional IRs (e.g. Γ+ 6 (E2u)), are obtained from the product representation rules(cid:2)DΓ+ 6 (g) = DΓ−3 (g)DΓ−5 (g)(cid:3). The orders of the basis functions in these two-dimensional IRs are determined by the way in which the representation matrices are 8 obtained. The representation matrices denoted by D µ (g) describe the transformation of basis functions as row vectors, whereas those denoted by Dµ (g) describe transformation of vector com- ponents as column vectors. The passive interpretation is generally used where the action of a group element on a function of space coordinates has the effect, S(g)φ (r) = φ (gr) , (8) for g ∈ G. Representation matrices of the double group IRs are first obtained for Γ+ 7 (spinor repre- sentation) using appropriate generators. Representations of the other IRs of the double group are obtained using appropriate product representations, including block diagonalization when neces- sary. SYMMETRY OF EQUIVALENT BONDING ORBITALS This section establishes the symmetry of basis states formed from equivalent bonding or- bitals (π and σ) in graphene, and constructs from them the symmetry adapted linear combination (SALC) bases which form IR of the point group. Under the action of an element of the point group, each set of equivalent bonding orbitals (π and σ) transform among themselves. They form a closed vector space, and are bases of the representations (Γπ or Γσ ) of the point group (when the point group operation takes an orbital outside the primitive cell, it is translated back into the primitive cell by a lattice vector). These representations are a form of equivalence representation and are generally reducible. In analogy to the equivalence representation of atoms in a primitive cell as defined by Dresselhaus [8], the character Γβ of a set of equivalent bonding orbitals {β τ r i} may be written as, where the summation is over all equivalent orbitals in the primitive cell indexed by τ and r, and χΓβ k (g) = ∑ β τ r i δgβ τ r i , r i,β τ (9) Here g is an element of the point group. Using this representation, the characters of the represen- tations of π and σ bonding orbitals are decomposed into IRs of the corresponding point group of the wave vectors. These are shown in Table II. δgβ τ r i,β τ r i = if gβ τ if gβ τ if gβ τ r i = β τ r i ; r i 6= β τ r i ; r i = −β τ r i . (10) 1       0      −1 9 TABLE II. Decomposition of equivalence representations of bonding orbitals. Γ(D6h) E 2C6 2C3 C2 3C02 3C002 ı 2S3 2S6 σh 3σd 3σv Γ(π) 2 0 0 2 0 −2 0 −2 0 −2 2 6 2 0 0 0 2 0 Γ(σ ) 6 0 0 0 Decomposition Γ+ 3 (B1g)⊕ Γ−2 (A2u) 0 0 Γ−4 (B2u)⊕ Γ−5 (E1u)⊕ Γ+ 6 (E2g)⊕ Γ+ 1 (A1g) Since all the equivalent bonding states in the primitive cell form a representation Γβ of the can be constructed from β τ transformation between β τ The origin in Fig. 1(a) is the fixed point for operations of the point group. The equivalent r i, and form bases of IRs of the point group of the lattice. The unitary point group of the crystal, a set of symmetry-adapted linear combination (SALC) [5] bases(cid:12)(cid:12)φµ,i(cid:11), r i and(cid:12)(cid:12)φµ,i(cid:11) may be obtained using projection operators [5]. bonding states on each of the atomic sites are(cid:12)(cid:12)(cid:12)β{a,b} states. Under the action of elements of the point group, the π bonding statesn(cid:12)(cid:12)(cid:12)β{a,b} σ bonding states n(cid:12)(cid:12)(cid:12)β{a,b} {0···3}E, as shown in Fig. 2. The superscript {a,b} refers to atomic sites A and B, and the subscript {0,1,2,3} refers to the π(0) and σ (1,2,3) bonding Eo and the {1···3}Eo form two closed sets which are bases of the representations Γπ and Γσ of D6h. Figure 2(b) shows the transformation induced by the C6 operation using passive interpretation, under which the transformation of bases, described by the representation matrix Dβ (C6), is given by 0 (cid:18)(cid:12)(cid:12)(cid:12)β a 0E0 ,(cid:12)(cid:12)(cid:12)β b 0E(cid:17) 0E0(cid:19) =(cid:16)(cid:12)(cid:12)(cid:12)β a 0E ,(cid:12)(cid:12)(cid:12)β b 0 1 1 0 (11a) FIG. 2. (a) Equivalent bonding states in the primitive cell of graphene, and (b) transformation induced by C6 operation (in the passive interpretation). 10 y β b 1 β b 1 β b 0 β b 2 β b 3 β a 1 β a 0 β a 3 β a 2 x β b 0 β b 2 β b 3 β a 1 β a 0 β a 3 β a 2 β a 2 β a 1 β a 0 β a 3 y\x{FFFF} (a) E (b) C6 x\x{FFFF} (cid:16)(cid:12)(cid:12)β a 1(cid:11)0 ,(cid:12)(cid:12)β a 2(cid:11)0 ,··· ,(cid:12)(cid:12)β b 3(cid:11)0(cid:17) =(cid:0)(cid:12)(cid:12)β a 1(cid:11) ,(cid:12)(cid:12)β a 2(cid:11) ,··· ,(cid:12)(cid:12)β b 3(cid:11)(cid:1) 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0                           (11b) If we relocate all these equivalent orbital states to be centered at origin, they will transform among themselves in the same way as given by the representation matrices above. A general state centered at origin is expressed in terms of these bases as, D6h∑ g dµ G D µ (g)∗i jDβ (g) , (14) j = µi P Ψi = ∑ τ,r r β τ cτ r i , (12) where the summation is over all equivlent obital states in the primitive cell indexed by τ and r. Then, the components cτ r , viewed as a column vector, transforms according to, r0 = ∑ cτ0 τ,r Dβ (g)τ0,r0;τ,rcτ r , (13) where Dβ (C6) = Dβ (C6) same way. The projection operator can be defined in terms of these matrices as, †. Representation matrices of all elements of D6h are constructed in the where D µ (g) is the matrix of IR µ, and G = 24 is the order of D6h. The SALC bases can then be constructed using this projection operator, and then expressed in terms of the linear combination of equivalent bonding orbital states {β τ r i} centered at origin. A similar procedure can be carried ξ ,pi, to the equivalent bonding states {β τ out to relate the Lowdin orbital states ϕ τ r i}, using the symmetry group of the bonding configuration of the atomic site. Therefore, there is a unitary trans- ξ ,rEo centered at formation between the SALC(cid:8)(cid:12)(cid:12)φµ,i(cid:11)(cid:9) centered at origin and Lowdin basisn(cid:12)(cid:12)(cid:12)ϕ τ origin, For the π bands, one obtains (15) (16a) 1 −1 1 1 τ,ξ ,r Uτ,ξ ,r;µ,i(cid:12)(cid:12)(cid:12)ϕ τ ξ ,rE (cid:12) (cid:12)φµ,i(cid:11) = ∑ zE(cid:17) 3E ,(cid:12)(cid:12)(cid:12)φΓ−2E(cid:17) = (cid:16)(cid:12)(cid:12)(cid:12)φΓ+ zE ,(cid:12)(cid:12)(cid:12)pB 2(cid:16)(cid:12)(cid:12)(cid:12)pA 1√ 11 TABLE III. Decomposition of equivalence representations of Exponential Functions. Γ(D6h) E 2C6 2C3 C2 3C02 3C002 ı 2S3 2S6 σh 3σd 3σv Decomposition ΓEF1 6 0 6 ⊕ Γ−4 ⊕ Γ−5 ΓEF2 6 0 6 ⊕ Γ−3 ⊕ Γ−5 1 ⊕ Γ+ 1 ⊕ Γ+ 0 0 0 2 0 0 0 Γ+ 2 Γ+ 6 6 0 0 0 0 0 2 0 0 2 0 0 0 1 −1 0 0 0 0 1 1 0 0 0 −1 0 0 1 0 1 0 0 1 0 0              (16b) 6 ,2E ,(cid:12)(cid:12)(cid:12)φΓ+ 6 ,1E ,(cid:12)(cid:12)(cid:12)φΓ+ 1E(cid:17) =  1 0   0 −1   xE ,(cid:12)(cid:12)(cid:12)pB yE ,(cid:12)(cid:12)(cid:12)sBE ,(cid:12)(cid:12)(cid:12)pB  yE(cid:17) 0 0    −1 0    0 −1  0 0 (cid:16)(cid:12)(cid:12)(cid:12)φΓ−4E ,(cid:12)(cid:12)(cid:12)φΓ−5 ,1E ,(cid:12)(cid:12)(cid:12)φΓ−5 ,2E ,(cid:12)(cid:12)(cid:12)φΓ+ xE ,(cid:12)(cid:12)(cid:12)pA 2(cid:16)(cid:12)(cid:12)(cid:12)sAE ,(cid:12)(cid:12)(cid:12)pA 1√ While it is intuitive to work in bases of Lowdin orbitals or bonding orbital states, the SALC bases permit the use of the general matrix element theorem and the symmetry analysis based on the point group of the crystal, and to enforce time reversal symmetry on intraband interactions. SYMMETRIZED EXPONENTIAL FUNCTIONS The same procedure can be carried out upon each of the closed sets of EFs for each given shell forming the representation ΓEFn of the point group. This generates sets of SEFs which transform as IRs of D6h. The equivalence representations of the first two nearest-neighbor shells, and their decompositions, are shown in Table III. The argument presented in the main paper shows the SEFs with Γ−1 ,Γ−2 ,Γ−6 ,Γ+ 5 are forbidden by symmetry. 4 , and Γ+ 3 ,Γ+ There is no clear way to normalize SEFs. For this reason, the invariant material parameters are not determined until we have a systematic way of determining normalized SEFs. In this manuscript, the column vector obtained from projection operators is normalized before construct- 12 For the σ bands, one obtains (17a) (17b) (17c) (17d) (17e) (17f) (17g) (17h) (17i) (17j) (17k) (17l) (17m) 2 kxa!# kxa!# 2 kxa!# 2 2 kxa!# kxa!cos(cid:18)3 kxa!cos(cid:18)3 2 2 kya(cid:19)# kya(cid:19)# 2 kxa!cos(cid:18)3 kxa!cos(cid:18)3 2 kya(cid:19)# kya(cid:19)# 2 2 2 2 kya(cid:19)cos √3 kya(cid:19)cos √3 kxa! kya(cid:19)cos √3 kya(cid:19) kya(cid:19)cos √3 6"cos (kya) + 2cos(cid:18)1 3"cos (kya)− cos(cid:18)1 kya(cid:19)sin √3 √6"sin (kya)− 2sin(cid:18)1 kxa!cos(cid:18)1 √3"sin (kya) + sin(cid:18)1 6"cos(cid:16)√3kxa(cid:17) + 2cos √3 3"cos(cid:16)√3kxa(cid:17)− cos √3 kya(cid:19) √6"sin(cid:16)√3kxa(cid:17)− 2sin √3 √3"sin(cid:16)√3kxa(cid:17) + sin √3 kxa! kya(cid:19)cos √3 kxa!sin(cid:18)3 2√ 2√ 2√ 2√ 2i 2i 2i 2 2 2 2 2 2 2 2 K Γ+ 1 (k,0) = 1 K Γ+ 1 (k,1) = K Γ+ 6 ,1(k,1) = K Γ+ 6 ,2(k,1) = −2sin(cid:18)1 2 K Γ−4 (k,1) = K Γ−5 ,1(k,1) = −2isin √3 K Γ−5 ,2(k,1) = −2i 2 K Γ+ 1 (k,2) = K Γ+ K Γ+ 6 ,1(k,2) = − 6 ,2(k,2) = −2sin √3 2 K Γ−3 (k,2) = K Γ−5 ,1(k,2) = − K Γ−5 ,2(k,2) = −i2sin(cid:18)3 2 ing the SEFs. The SEFs for graphene up to second-nearest neighbor shells are given below, GENERATOR MATRICES The last remaining element required in the application of the method of invariants, are the generator matrices. The matrix(cid:10)φνHφµ(cid:11) has dµ × dν elements, with element Hi j considered as components of the product basis(cid:12)(cid:12)φν,i(cid:11)∗ ⊗(cid:12)(cid:12)φµ, j(cid:11). Thus, the matrix, viewed as a column vector, transforms as DM(g) = (Dν (g)∗ ⊗ D µ (g))†. Hence, the generator which transforms as the kth 13 D6h∑ g=1 dµ G Dγ (g)∗kkDM(g) . (18) k = γk P The projection operator technique does not determine the phase factor or sign of the generator matrices. The SEFs obtained for graphene are real for the positive parity representations, and purely imaginary for the negative parity representations. We make use of real generator matrices and the following sign convention: component of IR γ can be obtained from the projection operator, (19) (20) (21) (23) (24) (25) (26) (27) (28) 1 0 0 −1    ,  =   ,  = −1   , 0 =(cid:16)1 0(cid:17) , =(cid:16)0 1(cid:17) , = = MΓ+ 6 ,1 Γ+ 6 ,Γ+ 6 0 1 14 MΓ+ 1 3 ,Γ+ Γ+ 3 = MΓ+ 1 1 ,Γ+ Γ+ 1 = MΓ+ 1 Γ−4 ,Γ−4 MΓ+ 6 ,1 Γ−5 ,Γ−4 = MΓ−5 ,1 6 ,Γ−4 Γ+ MΓ+ 6 ,2 Γ−5 ,Γ−4 = MΓ−5 ,2 6 ,Γ−4 Γ+ MΓ−5 ,1 1 ,Γ−5 Γ+ MΓ−5 ,2 1 ,Γ−5 Γ+ = MΓ+ 6 ,1 Γ+ 1 ,Γ+ 6 = MΓ+ 6 ,2 Γ+ 1 ,Γ+ 6 MΓ+ 6 ,1 Γ−5 ,Γ−5 = MΓ−5 ,1 6 ,Γ−5 Γ+ Mγ ν,µ (n) = f (γ,n)Mγ f (γ,n) = sgn(γ)g(n) , µ,ν (n)T , g(n) = 1 if c(n) is real; −1 if c(n) is imaginary. Given this convention, the requirement of the Hamiltonian to be Hermitian, places the following constraints on the invariant material parameters, cγ µ,ν (n) = cγ ν,µ (n). (22) We have left the possibility that the reduced tensor elements may be purely real or imaginary. The required generators matrices are given below for the construction of the 8-band Hamilto- nian involving the π and σ bands, 1 Γ−2 ,Γ−2 = MΓ+ = MΓ−4 Γ−2 ,Γ+ 3 = MΓ−4 1 ,Γ−4 Γ+ = 1 , = − 0 1 1 0    , (29) (30) (31) MΓ−3 6 ,Γ−5 Γ+ MΓ−4 6 ,Γ−5 Γ+ = = MΓ+ 6 ,2 Γ−5 ,Γ−5 = MΓ−5 ,2 6 ,Γ−5 Γ+ = MΓ+ 6 ,2 6 ,Γ+ Γ+ 6 1 0 0 1 0 −1 0 1    ,    . The double group generator matrices are given below for the construction of the 4-band Hamilto- nian involving the π band only, = 1 0 0 1    , MΓ+ 1 Γ+ 8 ,Γ+ 8 = MΓ+ 1 Γ−7 ,Γ−7 = MΓ−3 Γ−7 ,Γ+ 8 MΓ−4 Γ−7 ,Γ+ 8 = 1 0 0 −1    . (32) (33) LOCALIZED ORBITAL CONSTRAINTS ON INVARIANT MATERIAL PARAMETERS We now have all the ingredients to construct a Hamiltonian which is invariant under the ac- tions of point group elements and is Hermitian, subject to the constraint described in Eq. (22). The general matrix element theorem is applied, together with time-reversal rules, in finding the symmetry-permitted generators. The Bloch sums constructed from the SALC bases serve as basis functions of the Hamiltonian. There are some additional constraints which must be imposed on the invariant parameters to ensure localized Lowdin orbitals on atomic sites. This requires the invariant Hamiltonian, obtained from Eq. (7), to be equivalent to those obtained from SK formulation under the similarity trans- formation defined by Eq. (15), at least for interactions under the two-center approximation. In the SK formulation, the Hamiltonian may be partitioned into four blocks of HAB,HBA,HAA, and HBB if the bases are ordered according to the type of atomic sites. The HAB,HBA blocks describe inter- actions between localized Lowdin orbitals on different type of sites, whereas the HAA,HBB blocks describes interactions between localized Lowdin orbitals on the same type of sites. Specifically, terms involving SEFs of shells coupling the same sites (for example AA, BB) should appear in the 15 appropriate partitions when transformed into the Lowdin orbital bases. Terms coupling different sites (AB, BA) should appear in the appropriate partitions and have the correct EF dependence required by bond vectors when transformed into the Lowdin orbital bases. Applying the similarity transform defined in Eq. (15) to the invariant Hamiltonian, the result must only occur in the appropriate quadrant of the Hamiltonian for a given shell. (For example, the nearest neighbor interaction must occur in the HAB and HBA partition after the transformation.) For inter-site interactions, the form of the Hamiltonian must reflect the exponential functions ob- tained for the appropriate bond vectors. This places further constraints on the material parameters, as detailed in Eq. (34) below. Among the following parameters, those in red are chosen to be independent parameters. For the onsite interaction, we have: cΓ+ 1 Γ−2 ,Γ−2 cΓ+ 1 Γ+ 1 ,Γ+ 1 cΓ+ 1 6 ,Γ+ Γ+ 6 (0) = −cΓ+ 1 Γ+ 3 ,Γ+ 3 (0) = −cΓ+ 1 Γ−4 ,Γ−4 (0) = −cΓ+ 1 Γ−5 ,Γ−5 (0) (0) (0). For first neighbor interactions, we have: (1) (1) (1) (1) cΓ+ 1 Γ−2 ,Γ−2 cΓ+ 1 6 ,Γ+ Γ+ 6 cΓ+ 1 Γ+ 1 ,Γ+ 1 cΓ+ 6 Γ+ 6 ,Γ+ 1 cΓ−5 Γ−4 ,Γ+ 6 cΓ+ 6 Γ+ 6 ,Γ+ 6 cΓ−4 Γ−5 ,Γ+ 6 cΓ−4 3 ,Γ−2 Γ+ cΓ−4 Γ−4 ,Γ+ 1 cΓ+ 6 Γ−4 ,Γ−5 cΓ−5 Γ−5 ,Γ+ 6 (1) = −cΓ+ 1 Γ+ 3 ,Γ+ 3 (1) = −cΓ+ 1 Γ−5 ,Γ−5 (1) = −cΓ+ 1 Γ−4 ,Γ−4 (1) = −cΓ+ 6 Γ−4 ,Γ−5 (1) = −cΓ−5 Γ−5 ,Γ+ 1 (1) = cΓ+ (1) 6 Γ−5 ,Γ−5 (1) = cΓ+ 1 Γ−5 ,Γ−5 (1) = −cΓ+ 1 Γ+ 3 ,Γ+ 3 (1) = −cΓ+ 1 Γ−4 ,Γ−4 (1) = cΓ−5 (1) Γ−5 ,Γ+ 1 (1) = cΓ+ 6 Γ−5 ,Γ−5 (1) (1) (1) (1) (1) 16 (34a) (34b) (34c) (34d) (34e) (34f) (34g) (34h) (34i) (34j) (34k) (34l) (34m) (34n) For the second-nearest neighbor interaction, we have (2) (2) (2) (2) cΓ+ 1 Γ−2 ,Γ−2 cΓ+ 1 6 ,Γ+ Γ+ 6 cΓ+ 1 Γ+ 1 ,Γ+ 1 cΓ−5 Γ−4 ,Γ+ 6 cΓ+ 6 Γ+ 6 ,Γ+ 6 cΓ−5 Γ−5 ,Γ+ 6 cΓ+ 6 Γ+ 6 ,Γ+ 1 cΓ−3 6 ,Γ−5 Γ+ (2) = cΓ+ 1 Γ+ 3 ,Γ+ 3 (2) = cΓ+ 1 Γ−5 ,Γ−5 (2) = cΓ+ 1 Γ−4 ,Γ−4 (2) = cΓ−5 Γ−5 ,Γ+ 1 (2) = −cΓ+ 6 Γ−5 ,Γ−5 (2) = 0 (2) = cΓ+ (2) = cΓ−3 6 Γ−4 ,Γ−5 Γ−5 ,Γ+ 6 (2) (2). (2) (34o) (34p) (34q) (34r) (34s) (34t) (34u) (34v) A Hamiltonian, which is invariant under rotational and time-reversal, can be constructed using the SEFs, generator matrices and invariant material parameters using Eq.(7) subject to the constraints. Without any spin degrees of freedom, the invariant Hamiltonian for the two-band model is H(k) =hcΓ+ 1 Γ+ 3 ,Γ+ 3 (0)K Γ+ 1 (k,0) + cΓ+ 1 Γ+ 3 ,Γ+ 3 (2)K Γ+ 0 1 1 (k,2)i  + K Γ−4 (k,1)   0 1    0 −1 1 0       . (35) + cΓ+ 1 Γ+ 3 ,Γ+ 3 (1)K Γ+ 1 (k,1) 1 0 0 −1 17 With the spin degree of freedom, the corresponding Hamiltonian is 1 Γ+ 8 ,Γ+ 8 (0)K Γ+ 1 (k,0) + cΓ+ 1 Γ+ 8 ,Γ+ 8 (2)K Γ+ 1 (k,2)i K Γ+ 1 (k,1) + K Γ−4 (k,1) H(k) =hcΓ+          + cΓ+ 1 Γ+ 8 ,Γ+ 8 (1) 1 0 0 0          0 0 0 1          1 0 0 −1 0 0 0 0 0 0 0 1 0 1 0 0 0 0 1 0 0 0 −1 0                            1 0 0 0 0 0 1 0                   0 0 1 0 0 −1 0 0 0 0 1 0 0 0 0 −1 0 1 0 0 0 1 0 0                   + cΓ−3 8 ,Γ−7 Γ+ (2)K Γ−3 (k,2) , (36) with cΓ−3 8 ,Γ−7 Γ+ ant material parameters are, (2) imaginary. The approximate relations between the single and double group invari- cΓ+ 1 Γ+ 8 ,Γ+ 8 cΓ+ 1 Γ+ 8 ,Γ+ 8 cΓ+ 1 Γ+ 8 ,Γ+ 8 cΓ−3 Γ+ 8 ,Γ+ 8 (0) (1) (0) = cΓ+ 1 Γ+ 3 ,Γ+ 3 (1) = cΓ+ 1 Γ+ 3 ,Γ+ 3 (2) = cΓ+ 1 Γ+ 3 ,Γ+ 3 icΓ+ 1 Γ+ 8 (π),Γ+ (EΓ+ (2) = (2) (0) (0)· cΓ+ 1 Γ−7 (π),Γ−7 (σ ) )(EΓ−5 − EΓ−2 ) cΓ−3 Γ−5 ,Γ+ 6 8 (σ ) 3 − EΓ+ i∆2 so )(EΓ−5 − EΓ−2 3 − EΓ+ ) 6 6 (2) = (EΓ+ cΓ−3 8 (σ ),Γ−7 (σ ) Γ+ (2) (37a) (37b) (37c) (37d) (0)· cΓ+ so = cΓ+ 1 Γ+ 8 (π),Γ+ 8 (σ ) 1 Γ−7 (π),Γ−7 (σ ) where ∆2 (0). The last relation in Eq. (37) is obtained using per- turbation theory to treat the mixing between σ and π by the spin-orbit interaction and the second- nearest neighbor interaction between the σ orbitals via the three center interaction between the 6 states associated with K Γ−3 (2). The values of these parameters used to produce the Γ−5 and Γ+ 18 dispersion in Fig. 2 of the main paper are, cΓ+ 1 Γ+ 3 ,Γ+ 3 cΓ+ 1 Γ+ 3 ,Γ+ 3 cΓ+ 1 Γ+ 3 ,Γ+ 3 cΓ−3 8 ,Γ−7 Γ+ (0) = 0.2610 eV (1) = 3.5865 eV (2) = 0.2131 eV (2) = 9.622 µeV (2) and cΓ−3 Γ−5 ,Γ+ 6 6 Γ−4 ,Γ−5 In comparison to the SK formulation, the second-nearest neighbor interaction contains two (2). These are associated with three-center interactions [1] more parameters cΓ+ which are neglected in the SK formulation. The presence of the cΓ−3 (2) parameter is crucial for explaining the gap at K/K0 points due to inter-site spin-orbit interactions, as discussed in the main paper. The hopping parameter for the time-reversal symmetry-breaking term (K Γ−3 (k,2)) under a periodic magnetic field is due to three-center interactions, and quite different from the two-center- mediated hopping under the SK formulation (K Γ+ 1 (k,2)), which breaks the symmetry between electron and hole states. Therefore, any SK formulation of the tight-binding method would not be able to explain the occurrence of the intrinsic gap in graphene. Γ−5 ,Γ+ 6 Assuming κ = k− K0 where K0 takes on the value at K and K0 point, a Taylor expansion of Eq. (36) gives H(κ) = c1a ∓κxσ0 iκyσ3 −iκyσ3 ±κxσ0  ± c2  0 σ0 σ0 0    . (38) where the choice of '+' and '-' correspond to expansion at K and K0 respectively. After a transfor- mation to atomic site basis, we obtain Eq. (6) of the main paper. It should be recognized that the requirement of a localized Lowdin bases may be broken by the inter-site spin orbit interaction. In the context of the SK formulation, the key to incorporating the spin-orbit interaction is to understand the role of intra-site (AA,BB) and inter-site (AB,BA) spin- orbit interactions. The intra-site spin-orbit interaction modifies the zone center energies, leading to spin splitting in single group states with Γ−5 and Γ+ 6 symmetry. These correspond to the symmetry- allowed diagonal elements, and there is no consequent modification of the k-dependence. In contrast, the inter-site spin-orbit interaction appears in the AB/BA partitions of the Hamiltonian, though this does not incur a k-dependence. In other words, it has an intra-site k-dependence. Constraints based on the requirement of localized atomic wave functions thus may be broken by the inter-site spin-orbit interaction. This is, of course, subject to the invariant requirement under 19 the action of the point group. invariant. In the case of graphene, terms of this nature are not symmetry BERRY PHASE UNDER CELL-PERIODIC MAGNETIC FIELDS The work of Haldane needs to be reinterpreted in light of the symmetry analysis. First of all, Haldane is correct to assert that the symmetry group of the graphene crystal is not affected by the introduction of a cell-periodic magnetic field. The cell-periodic field is external and not part of the crystal. It remains fixed to the coordinate system. Any symmetry operation of the graphene space group would leave the crystal invariant under the space with embedded cell-periodic magnetic field. However, any closed hopping circuit (part of the crystal), and the associated Berry phase would transform under the action of the point group. If they encompass a complete primitive cell, then it should be invariant and the Berry phase should be zero because of the cell-periodic nature of the external field. If they enclose areas covering only half of a primitive cell, then the associated Berry phase would change under the action of the point group of the crystal. Since the presence of a cell-periodic magnetic field does not change the space group, the an- gular dependent part of interaction matrices (generators in the context of method of invariant) are the same. The Berry phase is added to the relevant terms in the SEF's given a particular closed hopping path. This does not affect the onsite and the nearest-neighbor interaction, as the hopping path encloses the entire primitive cell. There is no extra phase factor picked up in such closed hopping path. In the case of second-nearest neighbor interaction, the time reversal symmetry is 1 (k,2) and K Γ−3 (k,2), the hopping path consists of two closed circuits broken. For both the K Γ+ as illustrated in Fig. 3. To incorporate the Berry phase associated with the cell-periodic magnetic field, we break each of the SEFs into its constituent in terms of the closed paths. We define the lattice vectors b1,b2,b3 as indicated in Fig. 3. Then the two set of closed circuits correspond to hopping described by b1,b2,b3 and −b3,−b1,−b2. We can express the SEFs as exp(−ik· bi) = A + B exp(−ik· bi) = A− B 3∑i =1 3∑i =1 exp(ik· bi) + exp(ik· bi)− 3∑i =1 3∑i =1 K Γ+ 1 (k,2) = K Γ−3 (k,2) = The magnetic fluxes through the two circuits are equal in magnitude but opposite in sign in order to have net zero flux over the primitive cell. Thus, the Aharonov-Bohm phase for each of the 20 FIG. 3. Two closed hopping path for second nearest neighbor interaction. The opposing field in the two half of the primitive cell give rise to a Berry phase of exp(+iφ ) and exp(−iφ ) respectively for the two closed paths. circuits may be written as e±iφ . Taking into account the phase factors, the SEFs may be written as K Γ+ 1 (k,2) ⇒ Aeiφ + Be−iφ = cos(φ )(A + B) + isin(φ )(A− B) = cos(φ )K Γ+ 1 (k,2) + isin(φ )K Γ−3 (k,2) K Γ−3 (k,2) ⇒ Aeiφ − Be−iφ = cos(φ )(A− B) + isin(φ )(A + B) = cos(φ )K Γ−3 (k,2) + isin(φ )K Γ+ 1 (k,2) (40a) (40b) where the expressions shown in the two equations have overall transformation properties of Γ+ 1 and Γ−3 , respectively, as before (cosφ and sinφ transform according to Γ+ 1 and Γ−3 , respectively). They should be paired with their respective generators in constructing the Hamiltonian using the method of invariants. This can be easily done in either the single or double group case by replacing 1 (k,2) and K Γ−3 (k,2) (double group) in Eq. (36) K Γ+ using the expressions in Eq. (40). One can see that these expression reduce to the normal SEFs in the absence of the cell-periodic magnetic field (φ = 0). 1 (k,2) (single group) in Eq. (35) or K Γ+ 21 8 BERRY PHASE UNDER CELL PERIODIC MAGNETIC FIELD The work of Haldane need to be reinterpreted under the symmetry analysis. First of all, the introduction of cell pe- riodic magnetic field breaks the symmetry between the A and B sites and the magnetic perturbation reduces the point group symmetry from D6h to D3d (fixed point moves to the location between A and B sites). If we are to retain the basic frame- work of tight binding model of graphene, then the field has to be treated as perturbation. y x b1 AB b2 b3 Under the single group, the contribution from Eq. (40b) is absent because of the forbidden generator MΓ−3 . The third term in Eq. (1) of Haldane is not invariant under symmetry because 3 ,Γ−2 Γ+ 1 and the generator of σ3 is not appropriate. This term shares the sinφ ∑i sin(k·bi) transforms as Γ+ same generator as the first term, and the combination gives a corrected Haldane Hamiltonian for single group [cos(k· ai)σ1 + sin(k· ai)σ2] , (41) 3∑i =1 [cosφ cos(k· bi)− sinφ sin(k· bi)]σ0 +t1 3∑i =1 H(k) = 2t2 where the two terms correspond to second-nearest and nearest-neighbor hopping. The system remains gapless at K/K0 without electron spin (other than those of Landau level separation). The double group Hamiltonian can be transformed to localized orbital basis and allow a exten- sion of the Haldane model by including spin. This yield: (42) [sinφ cos(k· bi) + cosφ sin(k· bi)]σ3 ⊗ σ3 3∑i =1 +2t02 [cos(k· ai)σ1 ⊗ σ0 + sin(k· ai)σ2 ⊗ σ0] 3∑i =1 +t1 [cosφ cos(k· bi)− sinφ sin(k· bi)]σ0 ⊗ σ0 3∑i =1 H(k)= 2t2 The condition φ = 0 yields the tight-binding Hamiltonian without a field. It should be emphasized that the second-nearest neighbor hopping parameter responsible for the removal of electron/hole symmetry (t2/cΓ+ (2)) is quite different from the hopping parameter responsible for intrinsic 1 Γ+ 3 ,Γ+ 3 gap (t02). ∗ On sabbatical leave from Department of Physics, National University of Singapore, Singapore † Corresponding email: [email protected] [1] M. D. Stiles, Phys. Rev. B 55, 4168 (1997). [2] J. C. Slater and G. F. Koster, Phys. Rev. 94, 1498 (1954). [3] The point group of the crystal here is the isogonal point group of the crystal as defined by Bradley and Cracknell[4]. In the case of a symmorphic crystal, it is a sub-group of the space group. In case of a non-symmorphic crystal, it is not a sub-group of the space group. [4] C. J. Bradley, and A. P. Cracknell, The Mathematical Theory of Symmetry in Solids: Representation Theory for Point Groups and Space Groups, (Oxford University Press, 2009). 22 [5] F. A. Cotton, Chemical Applications of Group Theory (Wiley, New York, 1990). [6] J. M. Luttinger, Phys. Rev. 102, 1030 (1956); G. L. Bir and G. E. Pikus, Symmetry and Strain-Induced Effects in Semiconductors (Wiley, New York, 1974). [7] G. F. Koster, Phys. Rev. 109, 227 (1958). [8] M. S. Dresselhaus, G. Dresselhaus, and A. Jorio, Group Theory: Application to the Physics of Con- densed Matter (Springer, Berlin, 2008). [9] M. I. Aroyo, A. Kirov, C. Capillas, J. M. Perez-Mato, and H. Wondratschek, Acta Cryst. A62, 115 (2006). [10] G. F. Koster, J. O. Dimmock, R. G. Wheeler, and H. Statz, Properties of the Theity-Two Point Groups (MIT Press, Cambridge, MA, 1963). [11] F. D. M. Haldane, Phys. Rev. Lett. 61, 2015 (1988). 23
1007.4826
3
1007
2011-03-02T22:03:26
Motor effect in electron transport through a molecular junction with torsional vibrations
[ "cond-mat.mes-hall" ]
We propose a model for a molecular junction with internal anharmonic torsional vibrations interacting with an electric current. The Wangsness-Bloch-Redfield master equation approach is used to determine the stationary reduced density matrix of the molecule. The dependence of the current, excitation energy and angular momentum of the junction on the applied voltage is studied. Negative differential conductance is observed in the current-voltage characteristics. It is shown that a model with vibrationally dependent coupling to the electrodes, asymmetric with respect to the interchanging of electrodes, leads to a strong correlation between the applied voltage and the angular momentum of the junction. The model thus works as a molecular motor, with the angular momentum controlled by the size and sign of the voltage.
cond-mat.mes-hall
cond-mat
Motor effect in electron transport through a molecular junction with torsional vibrations Ivan A. Pshenichnyuk and Martin C´ızek Institute of Theoretical Physics, Faculty of Mathematics and Physics, Charles University, Prague, Czech Republic (Dated: August 13, 2018) We propose a model for a molecular junction with internal anharmonic torsional vibrations in- teracting with an electric current. The Wangsness-Bloch-Redfield master equation approach is used to determine the stationary reduced density matrix of the molecule. The dependence of the cur- rent, excitation energy and angular momentum of the junction on the applied voltage is studied. Negative differential conductance is observed in the current-voltage characteristics. It is shown that a model with vibrationally dependent coupling to the electrodes, asymmetric with respect to the interchanging of electrodes, leads to a strong correlation between the applied voltage and the an- gular momentum of the junction. The model thus works as a molecular motor, with the angular momentum controlled by the size and sign of the voltage. PACS numbers: 73.23.-b, 71.38.-k, 85.65.+h, 85.85.+j Keywords: NEMS, charge transport, molecular electronics, electron-phonon interaction, conductance, molec- ular junction I. INTRODUCTION Molecular electronics has become a dynamically grow- ing field in the last decade. It is a highly interdisciplinary research topic presenting many challenges in both the- oretical and synthetic chemistry, solid state and many particle physics and non-equilibrium statistical physics. Much attention has been paid to the careful prepara- tion of single molecule junctions and to studies of the conductive properties of different molecules. Numerous papers1 -- 4, studying both the experimental and theoret- ical aspects, have already been devoted to the subject. It has also been noted5 -- 8 that the molecular structure and vibrations or conformational changes are among the main points of interest, making the molecules distinct from other electronic elements. The coupling of electronic and mechanical degrees of freedom is a standard part of electrical engineering and the resulting gadgets are a standard part of our life. In recent decades the coupling of electronic and vibrational degrees of freedom has been achieved in nanoscale solid state devices (see Schwab and Roukes 9 and Blencowe 10 for reviews on NEMS) with the quantum regime reached in the mechanical degree of freedom. Driving the molecu- lar vibrations with the electronic current is a natural ex- tension of this concept. We can consider a molecular elec- tronic element or molecular junction with some molecu- lar groups performing rotational motion in response to the bias voltage across the molecular junction. Such el- ements have already been anticipated11 and the work is closely related to electron shuttles12,13. Recently, the excitation of periodic nuclear motion in molecular junc- tions has been studied as a classical motion of atoms in non-conservative forces induced by the current flow4,14. Artificially-built molecular motors, where molecular vi- brations are driven by light or stochastic fluctuations due to interaction with a thermal bath (Brownian mo- tion), have also been studied. The externally-driven tor- sional motion of some small parts of molecules has been demonstrated for molecules both in gas15 and mounted on surfaces16. The main goal of this paper is to demonstrate that the rotational motion of a molecular group in a metal- molecule-metal junction should in fact be a very common phenomenon and that there are only two conditions re- quired: 1) the presence of some part of the molecule capa- ble of rotation with a moderately small potential barrier against this rotation; and 2) a breaking of the mirror symmetry in the junction (chirality of the junction). To achieve this goal we set up a general model for the description of the interaction of a current flowing through a molecule with anharmonic molecular vibrations. To an- alyze and understand the effect of anharmonicity in more- or-less well-controlled conditions we first define a model with some small vibrational coupling due to a small vi- brational potential energy shift. We then switch to a more realistic model of molecular vibrations motivated by real molecular rotors as used in a previous experiment with light-driven artificial molecular motors16. The dy- namics of the system will be studied using rate equations for the reduced density matrix of the molecule. The cur- rent through the junction and the average angular mo- mentum of the molecule are calculated as a function of the voltage drop across the junction. II. MODEL The molecular junction consists of two metallic elec- trodes or leads L and a molecular bridge M connected between them. The corresponding division of the Hamil- tonian reads H = HM + HL + HML. (1) The model of the bridging molecule is assumed to con- sist of one vacant electronic level that allows electrons 2 used for studing molecular conduction junctions coupled to vibrations18 -- 21, but to allow for large amplitude an- harmonic motion. We thus take both V0 and V1 to be the potentials of the mathematical pendulum (n0 = n1 = 1), one shifted with respect to the other (ε0, ϕ0 differs from ε1, ϕ1). For these models we also set the moment of iner- tia I to an unrealistically small value22 to allow for a more efficient numerical solution. The second group of mod- els are motivated by more realistic parameters, as might be expected in real molecular systems (see, for example, Fortrie and Chermette 23 or Tsuzuki et al. 24 ). The vi- brational potential V0 for the neutral molecule is thus characterized with a smaller amplitude A0 and larger number of oscillations (n0 = 2) than the potential for the charged molecule V1 (for biphenyl junction see C´ızek et al. 25 ). Also the value of I is set larger to describe the inertia of the benzene ring. All values of the model parameters are summarized in Table I. In principle, the parameters of the molecular Hamilto- nian should also depend on the voltage applied across the junction. We follow the common practice (see, for exam- ple, Galperin et al. 21 or Hartle et al. 26 and the works cited there) of using the molecular Hamiltonian indepen- dent of voltage. This approximation is also supported by the calculation of molecular potentials in the electric field made by Petreska et al. 17 , where the potential barriers do not show a significant change for realistic values of the electric field. The Hamiltonian of the leads is written in the form HL = Xα=l,rXk εkαc† kαckα, (5) where the operator c† kα creates an electron in the state with a wave number k in the lead α ∈ {l, r}. To be more specific we assume that the Hamiltonian (5) was obtained from the diagonalization of the one-dimensional nearest-neighbor tight-binding model27, i. e. the disper- sion relation reads εkα = µα + 2β1 cos(k), (6) 2 for the left lead and µr = − U where µα is the chemical potential of the lead α. When we talk about the voltage U applied to the junction we assume µl = + U 2 for the right lead. The parameter β1 = 3 eV defines the width of the conduction band in the leads. It is chosen in order to provide a band, wide enough to exclude edge effects. Each lead is separately assumed to be in thermo- dynamic equilibrium with states populated according to the Fermi-Dirac distribution fα(εkα) =h1 + e(εkα−µα)/kTi−1 . (7) To complete the description of the model the molecule- FIG. 1. Schematic representation of the junction to tunnel through it from the left lead to the right lead. We will call this state the localized state. There is an extra charge on the molecule when this state is occupied, and we will thus speak about a neutral, or a charged, molecule (anion) if the state is unoccupied or occupied, respectively. In addition, we include a vibrational degree of freedom on the bridge, which exchange energy with the electrons. We thus assume HM = h0dd† + h1d†d, (2) where d† and d create and annihilate electrons in the lo- calized state on the bridge. The operators h0 and h1 describe the vibrational motion of the nuclei in the neu- tral and charged state respectively. The form of both h0 and h1 is based on the Born-Oppenheimer vibra- tions for isolated molecules and in the spirit of the Born- Oppenheimer approximation we assume, that both h0 and h1 commute with d† and d. The Born-Oppenheimer approximation is, of course, broken when we allow elec- tronic transitions due to the coupling to the leads HML. Figure 1, showing schematically the system that we have in mind, may serve to guide us through further specifications of the model. We will consider only one nuclear (vibrational) degree of freedom representing the rotation of a part of the molecule, pictured as a ben- zene ring bound in para positions to other parts of the molecule that are directly attached to the metal leads. The vibrational Hamiltonians h0 and h1 are both of the form hi = − 1 2I ∂2 ∂ϕ2 + Vi(ϕ), (3) where ϕ ∈ h0, 2πi represents the vibrational coordinate (angle of rotation of the ring), I is the moment of inertia of the ring and Vi(ϕ) is the Born-Oppenheimer vibra- tional potential of the molecule with unoccupied (i = 0) or occupied (i = 1) electronic level. In this paper we want to characterize the main features of the dynam- ics of transport through junctions with such anharmonic vibrations and we assume a simple analytic yet rather general shape of potentials17 as Vi(ϕ) = εi + Ai cos(niϕ + ϕi). (4) lead coupling We use two sets of models. In the models of group 1 we want to capture the basic features of the usual models HML = Xα=l,rXk Vdkα(d†ckα + c† kαd) (8) 3 TABLE I. Summary of all parameters for the models in use. The energies εi, Ai are in units of eV, angles in radians and moment of inertia in atomic units (mea2 0). V0(ϕ) = ε0 + A0 cos(n0ϕ) V1(ϕ) = ε1 + A1 cos(ϕ + ϕ1) Vα(ϕ) = cos(ϕ − ϕα) Model I ε0 A0 1a 1b 1c 2a 2b 2000 1.25 1.25 226852 -0.05 0.05 n0 1 2 ε1 A1 1.35 1.25 ϕ1 0.03 0.10 0.20 π+0.03 ϕl - π π 0 ϕr - π 3π/2 1.0 2.0 must be specified. We assume the separable form of the coupling coefficients Vdkα = VkVα(ϕ). The depen- dence on the electron momentum Vk = β2 sin(k) is again motivated by the one dimensional nearest-neighbor tight binding model, where the sine term comes from the elec- tronic wavefunction20 and the parameter β2 is the overall coupling strength, which is set to β2 = 0.07 eV. We shall study several forms of the angle dependent part Vα(ϕ). • Model 1a. The case of angle-independent coupling Vα(ϕ) = 1. This assumption simplifies the treat- ment of the dynamics significantly. Furthermore, this is the case most often considered by other studies18 -- 21. • Model 1b. Here we introduce angle-dependence into the coupling term Vα(ϕ) = cos(ϕ − ϕα) but we do not break the symmetry between the left and right lead ϕl = ϕr = π. The form of the angular dependence can be motivated by the Huckel model (see C´ızek et al. 25 , Pauly et al. 28 ). • Model 1c. Finally we break the symmetry of the system, taking Vα(ϕ) in the same form as in model 1b but with ϕl = π, ϕr = 3π/2. The asymmetry can arise as a result of different molecular bonding to the left and right lead. We can, for example, consider a molecule consisting of a chain of three aromatic rings with the first ring fixed to the left lead the middle ring acting as the rotor, and the right ring fixed to the right lead (this idea is used in the diagram of the model in Figure 1). Breaking the symmetry provides circumstances for observing the "motor effect", i.e. preferential rotation of the rotor in one direction depending on the sign of the voltage applied across the junction. • Models 2a and 2b use the same form of coupling as the Model 1c. The parameters ϕl, ϕr for all models are summarized in Table I. set of projectors dd† + d†d = 1, (9) where the projector dd† projects on the part of the Hilbert space with the unoccupied molecular bridge and d†d projects on the occupied bridge space. It is advan- tageous to use different basis sets in the vibrational part of the Hilbert space according to the occupation of the bridge. We thus define two basis sets ni and vi h0ni = Enni, h1vi = Evvi. (10) This choice diagonalizes the molecular part of the Liou- villian operator L0 (see next section) and in the case of the harmonic molecular potentials Vi it is equivalent to performing the polaron transform29 as made in the in- dependent boson model30. With cosinusoidal potentials, the states ni, vi can be expressed in terms of Math- ieu functions. Energy levels En and Ev (n,v = 0,1,...) of the unoccupied and occupied molecule for models 1 and 2 are shown in Figures 2 and 3 respectively. From the point of view of classical mechanics, such a shape of the potential provides a rotational barrier with an en- ergy of ǫi + Ai, which divides the two types of motion: vibrational (when the energy of the system is below the barrier) and rotational. In a quantum mechanical de- scription the wavefunctions of states with an energy be- low the barrier are localized in space and in this sense can be called vibrational. In contrast, states above the barrier are delocalized through the whole interval h0, 2πi. These states are two times degenerate, as the two direc- tions of rotation are available above the barrier and they are energetically equivalent. We will call them rotational states. Well above the classical barrier, when the sys- tem has a lot of energy and does not feel the potential anymore, the states almost coincide with the free rotor states. III. THEORY A. Master Equation The Hilbert space of our system is a direct product of the spaces of electronic and vibrational degrees of free- dom. In the electronic space, we can define a complete Different theoretical approaches to the transport of charge across a molecular junction with a coupling to ] V e [ y g r e n E 6 5 4 3 2 1 0 0 π/2 π Angle [rad] 3π/2 2π FIG. 2. Energy levels of the unoccupied (red lines) and occu- pied (green lines) molecular bridge of Model 1. The respective potentials are the borders of dark gray and light gray areas. left right t h g n e r t s g n i l p u o C 0 π/2 π 3π/2 2π 0.5 0.4 0.3 0.2 0.1 0 ] V e [ y g r e n E -0.1 0 π/2 π Angle [rad] 3π/2 2π FIG. 3. The energy levels and potentials for Model 2 plotted in the same way as in the previous figure. The angular de- pendence of molecule-lead couplings Vα(ϕ) = cos(ϕ − ϕα) are shown in the inset for the left α = l and right α = r leads. vibrations have been discussed in the review article of Galperin et al. 3 . Here we consider a weak-coupling case, which can be treated with the standard master-equation approach26,31,32. A slight modification is needed to ac- count for the anharmonicity of the vibrations as de- scribed in what follows. In the framework of master equation (ME) theory we calculate the reduced density matrix (RDM) of the molecular bridge ρ. We start from ME in the Wangsness- Bloch-Redfield (WBR) form33 where the Liouvillian super operator L ≡ L0 + L1 with 4 L0[ρ(t)] = −i[HM , ρ(t)], ∞ L1[ρ(t)] = −TrL R0 and dτ [HML, [HML(−τ ), ρ(t) ⊗ ρ0 L]], (12) HML(−τ ) = e−i(HM +HL)τ HMLe+i(HM +HL)τ . (13) These equations are derived as a second order expansion in HML, which is assumed to be small. The equilibrium RDM of the leads is denoted ρ0 L. The first term L0 de- fines the time evolution for a "free" molecular bridge that is disconnected from the leads. The second term L1 in- corporates the influence of the leads and could be written as the sum of two independent terms L1 = L1,l + L1,r for the left and right leads respectively. Here we are not interested in the time evolution of the density matrix. We assume the existence of a stationary state ∂ρ/∂t = 0 which satisfies the equation L[ρ] = 0. In basis representation, the Liouvillian is a 4th rank tensor. We search for a nontrivial solution of the equation Lijklρkl = 0. (14) Xkl Before we write expressions for the components of this tensor we decompose RDM ρ in the following way ρ = ρ00dd† + ρ11d†d + ρ01d + ρ10d†. (15) With this representation the right hand side of the equa- tion (11) can be reorganized in blocks L ρ00 ρ11! =" L0 + Lr Lr 00 Lr 01 10 Lr 0 00 0 L0 Ll 11! + Ll 11!# ρ00 ρ11! . 00 Ll 01 10 Ll 11! (16) Equations for ρ01 and ρ10 are decoupled from this system for ρ00 and ρ11 and they are not included here, since the observables of interest are also independent of ρ01 and ρ10 (see below). To write the elements explicitly we use basis sets (10). Basis {ni} for the block ρnn′ ≡ hnρ00n′i and {vi} for the block ρvv′ ≡ hvρ11v′i. We will consistently use the letters n, n1, n2, n′ to number the vibrational states of the unoccupied molecule and the letters v, v1, v2, v′ for the states of the occupied molecule, omitting the index 0/1 that would otherwise distinguish the occu- pancy. Thus the components L0 of the 1n′ n′ 2n1n2 00, L0 zeroth order contributions L0 11 read , L0 v′ 2v1v2 1v′ 1,n′ L0 n′ L0 v′ 1,v′ 2,n1,n2 = iδn′ 2,v1,v2 = iδv′ 2n2δn′ 2v2 δv′ 1n1(En2 − En1 ), 1v1 (Ev2 − Ev1 ). (17) ∂ ∂t ρ(t) = L0[ρ(t)] + L1[ρ(t)], (11) The lowest order contributions Lα ence of the leads α = l, r in eq. (16) are expressed as ij describing the pres- Lα n′ 1,n′ Lα v′ 1,v′ Lα n′ Lα v′ 1,n′ 1,v′ 2 δn1n′ 2,n1,n2 = − 1 2,v1,v2 = − 1 2,v1,v2 = 1 2,n1,n2 = 1 1Pv 1Pn fα(ωn2v)Γα(ωn2v)V α n2vV α vn′ [1 − fα(ωnv2 )]Γα(ωnv2)V α v2nV α nv′ + 1 2 2 2 [1 − fα(ωn′ 2 fα(ωn1v′ 1v1 )]Γα(ωn′ 1)V α v′ 1 )Γα(ωn1v′ 1v1 )V α n′ 1n1V α 1v1V α v2n′ + 1 n2v′ 2 2 2 δv1v′ − 1 2 δn2n′ − 1 2Pv 2Pn 2 δv2v′ 2 [1 − fα(ωn′ 2 fα(ωn2v′ 2 )Γα(ωn2v′ fα(ωn1v)Γα(ωn1v)V α vn1 V α 1v, n′ [1 − fα(ωnv1 )]Γα(ωnv1)V α nv1 V α 1n, v′ 2v2)V α 2v2 )]Γα(ωn′ V α 1n1 , v′ 2 )V α n2v′ 2 V α 1v1 , n′ v2n′ 2 5 (18) where ωnv ≡ Ev − En is the transition energy, V α nv ≡ hnVα(ϕ)vi is the generalized Franck-Condon overlap for the transition and the factors fα(ω)Γα(ω) and [1 − fα(ω)]Γα(ω) come from the imaginary part of the func- tions ξ1(E) ≡ i ξ2(E) ≡ i R0 ∞ ∞ R0 dτPk dτPk e−i(εkα−E)τ (fkα)V 2 k , (19) e+i(εkα−E)τ (1 − fkα)V 2 k resulting from the time integration in eq. (12). The real part of these functions that leads to renormalization of the energy levels is neglected here (see also discussion in Leijnse and Wegewijs 34 where it is argued that the real part is canceled out in higher orders). The imaginary parts can be calculated analytically. For the tight binding model of leads Γ(E) become20 Γ(E) = β2 2 β2 1q4β2 1 − (E − µα)2 (20) inside the band (i.e. when E ∈ [µ − 2β1, µ + 2β1]) and equal to zero outside. It is often argued that the nondiagonal elements (ρnn′ and ρvv′ for n 6= n′, v 6= v′ ) in RDM decay rapidly in time and are neglected in the search for the stationary state. Here the nondiagonal elements for near-degenerate states lead to a nonzero angular momentum for the ring and can not be neglected. However, to increase the nu- merical efficiency we consider only the elements close to the diagonal and assume that the RDM has a band struc- ture. Final results are presented for the RDM, which includes 14 sub diagonals, while its overall dimensions are 202×202 for Model 1 and 402×402 for Model 2. We tested that the presented results are stable with respect to changes in the number of sub diagonals and the num- ber of basis functions used in the calculation. For numerical convenience, we reshape the tensors L of the fourth rank into matrices using compound indices, mapping the pair of numbers nn′ to a single number ν (and similarly for vv′). Instead of (14) we then solve the set of equations Lνν ′ ρν ′ = 0 (21) Xν ′ together with the normalization condition Tr{ρ} ≡ Pn ρnn +Pv ρvv = 1 to find the stationary state. B. Observables of interest The general formula for the current (see, for example, Hartle et al. 26 ) through one level in ME theory reads I = ∞ Z0 dτ Tr([HML(−τ ), ρ ⊗ ρ0 Vdk(d†ck − c† kd)) , l ]Xk (22) where only the contribution from the left lead is included in HML. In our case, the formula reduces to Γl(ωnv)V l I =Xnv nv(fl(ωnv)Xn′ −[1 − fl(ωnv)]Xv′ V l n′vρn′n− V l n,v′ ρvv′) . (23) The mean value of the molecular Hamiltonian HM gives the average excitation energy of the bridge. This quan- tity can be expressed as the sum of two contributions from the molecule occupied/unoccupied with an addi- tional electron, and in similar fashion as was the current hHM i = hE0i + hE1i =Xn Enρnn +Xv Evρvv. (24) The last important observable to be discussed is the an- gular momentum of the molecule. This is by construction constrained along the rotational molecular axis z in our model. The corresponding operator reads Lz = −i ∂ ∂ϕ . (25) We notice, that the operator Lz acts independently on the occupied and unoccupied bridge spaces, or, in other words, the off-diagonal blocks ρ01 and ρ10 in the expan- sion (15) do not contribute to the mean value. It allows us to write the mean value in the form hLzi =Xnn′ hnLzn′iρn′n +Xvv′ =Xm hvLzv′iρv′v = mρ0 m +Xm mρ1 m, (26) where we have defined the populations ρ0 m of ro- tational eigenstates Lzmi = mmi for unoccupied and occupied states of the molecule respectively, i. e. m, ρ1 hmn′iρn′nhnmi, hmv′iρv′vhvmi. (27) (28) ρ0 ρ1 m =Xnn′ m =Xvv′ Notice the importance of the off-diagonal elements of the RDM in (26). Since the diagonal elements hnLzni, hvLzvi are zero we get hLzi = 0 if we neglect the off- diagonal elements ρnn′ , ρvv′ for n 6= n′, v 6= v′. While the value of the current is mainly determined by the di- agonal elements ρnn, ρvv the nonzero angular momen- tum is a consequence of the non-vanishing off-diagonal elements in the energy representation, or equivalently a consequence of the asymmetry ρi −m in the angular momentum representation. m 6= ρi IV. RESULTS AND DISCUSSION In this section we discuss the results for the calculation of the current-voltage characteristics and other proper- ties of the junctions. We start with Model 1, where the harmonic approximation holds for the small amplitude of vibrational motion and the levels are near equidistant. In addition to the known behavior of such junctions with harmonic vibrations we can expect some new effects due to the dependence of the molecule-lead coupling on the vibrational coordinate. For any higher vibrational excita- tion of the junction molecule we would expect a breaking of the harmonic approximation. A. Current and excitation function The current-voltage characteristics and the vibrational excitation energy of the junction for Models 1a-c at tem- perature T = 50K are shown in Figure 4. Let us first fo- cus on the current-voltage curve. The red curve, for the model 1a, exhibits the behavior expected for the model with a very small coupling between the vibrations and electronic motion. We observe a resonance step at the voltage of 0.2 V corresponding to twice the charging en- ergy of the molecule 0.1 eV. The models 1b (green line) and 1c (blue line) differ by having a more complicated step structure and the dif- ferent stationary value of current that is finally reached. This second difference is easily understood: while the coupling to the leads has the same maximum strength for all of the models (they all reach the value 0.07 eV), the angular dependence in models 1b, 1c makes it effec- tively smaller (a quantitative argument as to why this difference is given by factor of two follows at the end of this section). The angular dependence is also a source of the negative differential conductance since the coupling in Model 1b reaches a maximum for angles near the equi- librium position of the vibrational coordinate ϕ. The non-equilibrium vibrational distribution for larger volt- ages therefore reduces the coupling. The negative differ- ential conductance effect disappears in Model 1c because the coupling to the right lead VR(ϕ) peaks at a different angle and is therefore effectively increased by the increase in the vibrational excitation of the molecule. Both Mod- els 1b and 1c have the same average value of couplings 6 Ex2 Ex1 Dx2 El ElDx2 Ex1 Ex2 Model 1a Model 1b Model 1c -1.0 -0.5 0.0 0.5 1.0 1.5 Voltage [V] ] A µ [ t n e r r u C 0.5 0.4 0.3 0.2 0.1 0.0 -0.1 -0.2 -0.3 -0.4 -0.5 -1.5 8.0 7.0 6.0 5.0 4.0 3.0 2.0 1.0 ] V e [ y g r e n E 0.0 -1.5 -1.0 -0.5 0.0 0.5 1.0 1.5 Voltage [V] FIG. 4. Current (top) and mean value of excitation energy hHM i (bottom) as a function of the voltage, applied to the junction, for models 1a-c at temperature T = 50 K. The shaded bars show the positions of the steps derived from the energies of the molecular levels (see text). VL/R(ϕ) and the asymptotic value of the current for large voltages is therefore identical for both models. To explain the details of the step-like behavior of the curves we start with the mechanism of sequential tun- neling through the bridge. Thus electron conduction is understood as a sequence of charging (electron attach- ment) e− + M (n) → M −(v) and discharging (electron detachment) M −(v) → M (n) + e− (29) (30) events on the bridge, where e− is the electron in the leads and M (n) and M −(v) stands for the neutral molecule and the anion with the vibrational states ni and vi respectively. In the first event (charging), the electron starts in one of the leads in state ki and jumps into the unoccupied bridge in the vibrational state ni and turns it into an occupied bridge in the vibrational state vi. In the second event the electron starts in the occupied bridge in the state vi and leaves the bridge in the state ni jumping into the leads to the state ki. The energy TABLE II. Inelastic one-electron attachment and detachment processes. The table gives the threshold electron energies of the inelastic processes and approximate size of the matrix elements responsible for the transitions due to electrons from the left and right leads. All values are in units of eV. 7 Series El Ex1 Ex2 Ex3 Dx1 Dx2 Dx3 Process ωN v = Ev − En v=n v=n+1 v=n+2 v=n+3 ek + M 0 ek + M 0 ek + M 0 ek + M 0 ek + M 0 ek + M 0 ek + M 0 n ↔ M 1 n ↔ M 1 n ↔ M 1 n ↔ M 1 n ↔ M 1 n ↔ M 1 n ↔ M 1 v=n−1 v=n−2 v=n−3 0.1 0.21-0.23 0.31-0.36 0.42-0.48 -(0.01-0.03) -(0.16-0.12) -(0.23-0.28) hnVlvi2 0.2 − 1 0.005 − 0.015 0.001 − 0.06 < 0.005 0.005 − 0.015 0.001 − 0.05 < 0.005 hnVrvi2 ∼ 10−4 0.05 − 0.3 0.001 − 0.01 < 0.005 0.05 − 0.3 0.001 − 0.01 < 0.005 is conserved in both of the events εk + En = Ev, (31) where εk is the energy of an electron in a state ki. The vibrational energies En and Ev of the occupied and un- occupied molecular bridge were defined in equation (10). Each difference ωnv ≡ Ev −En defines a threshold energy for one possible in/out channel, which becomes available at the voltage U = ±2ωnv (when the chemical potentials of the leads are equal µα = ± U 2 = ±ωnv) and can (but not necessary will) show itself as a step in Figure 4. Since the structure of the energy levels is the same for all the models 1a-c, we can expect the steps at the same volt- age in all three current-voltage curves. The values of the threshold energies ωnv ≡ Ev − En are shown in Table II. The transitions are divided into several groups. The vibrational state of the molecule is unchanged and v = n in the first group denoted El. The corresponding thresh- old energy is ωnv = 0.1eV independent of the value of n because the shape of the two potentials V0(ϕ) and V1(ϕ) is identical except for a vertical and horizontal shift. The excitation groups Ex1-Ex3 correspond to v = n + 1, v = n + 2 and v = n + 3. In the harmonic approximation the threshold energies would also be independent of n. Table II shows the range of values of ωnv for low states n = 0, 1, ..., 10 (the choice of this maximum value of n is guided by the average excitation energy shown in the lower part of the figure). Lastly, the deexcitation groups Dx1-Dx3 are characterized by v = n − 1, v = n − 2 and v = n − 3. If we compare the predicted positions of the steps U = ±2ωnv given by the values from Table II with the positions of the steps in Figure 4, we see that the steps correspond to voltages approximately 0.2, 0.3, 0.45 and 0.7 volts, i. e. to the series El, Dx2, Ex1 and Ex2. It is remarkable that the vibrational energy of the bridge doesn't show any steps for a voltage U > 0.8 V and grows parabolically for all models. The current-voltage characteristics also become smooth in this voltage region. The level of excitation of the molecule is too high for the harmonic approximation to apply and many different vi- brational states are involved. The energy differences be- come irregular and it is not possible to sort them into groups, as in the case of the low, near harmonic vibra- 0.5 0.4 0.3 0.2 0.1 0 0 0.1 0.08 0.06 0.04 0.02 y t i l i b a b o r P n o i t l a u p o P U = -0.5 [V] Unoccupied Occupied π/2 π 3π/2 2π Angle [rad] Unoccupied Occupied 0.5 0.4 0.3 0.2 0.1 0 0 0.1 0.08 0.06 0.04 0.02 U = +0.5 [V] Unoccupied Occupied π/2 π 3π/2 2π Angle [rad] Unoccupied Occupied 0 0 1 2 3 4 5 0 0 1 2 3 4 5 Energy [eV] Energy [eV] FIG. 5. Angle distributions and populations calculated inde- pendently for the unoccupied and occupied bridge in Model 1c. tions above. We would expect that quasi-classical theory can be applied in this regime. At equilibrium (U = 0) only one lowest vibrational level E0 n = 0.065 eV is populated (it is localized close to the minimum in the vibrational excitation curve in Fig. 4). The bridge remains "closed" for current until the first step occurs at the voltage 0.2 V, at which point the whole El set of channels then opens. If we consider the bridge originally in its ground state with energy E0 n the first tunneling event εk + En=0 El−−−→ Ev=0 El−−−→ En=0 + εk Dx1−−−→ En=1 + εk′ (32) can leave the bridge in the excited state En=1 through the channel Dx1. When the next electron comes and the bridge is already in an excited state, tunneling can excite it even higher, but only by one quantum per tunneling event. Excitation to higher levels is limited by the com- peting process of de-excitation. This picture is the same for all three models. To appreciate the differences among the models we must look at the Franck-Condon factors hnVlvi2 and hnVrvi2 responsible for the strength of each ek + M 0 v transition. n ↔ M 1 U = 0.25 [V] U = 0.50 [V] y t i l i b a b o r P y t i l i b a b o r P 1.5 1 0.5 0 0 1.5 1 0.5 0 0 Model 1a Model 1b Model 1c π/2 π 3π/2 2π U = 0.75 [V] Model 1a Model 1b Model 1c π/2 π 3π/2 2π 1.5 1 0.5 0 0 1.5 1 0.5 0 0 Model 1a Model 1b Model 1c π/2 π 3π/2 2π U = 1.00 [V] Model 1a Model 1b Model 1c π/2 π 3π/2 2π Angle [deg] Angle [deg] FIG. 6. Angular probability distribution of the bridge p(ϕ) at different voltages. It is Vl = Vr =constant for the Model 1a. Since the potentials V0 and V1 differ only slightly, it is hnvi ≃ δnv and the El channels are dominant with only a small contribution from Ex1, Dx1 and virtually no contribution for higher channels. This explains why the red curves in the current-voltage and excitation graphs show steps only at voltages corresponding to these channels. The values of hnVαvi2 for Models 1b and 1c are shown in Table II. We should keep in mind that Vl=Vr for Model 1b. The values of hnVrvi2 shown in the last column are the ones for Model 1c. There is a pronounced difference between Models 1b and 1c in the first step at U = 0.2 V and we can now understand why. While both charging and discharging of the bridge proceeds domi- nantly through the El channel for Model 1b (giving a step similar to that in Model 1a), the discharging to the right electrode through the channel El is strongly sup- pressed for Model 1c. Thus for Model 1c discharging of the molecule to the right lead is possible mainly through the channel Dx1. This gives a smaller value for the cur- rent, but a higher value of vibrational excitation for this model. Also, for higher voltages, the sizes of the steps in the excitation curves follow the sizes of the Franck- Condon factors. One striking feature apparent from Figure 4 is the asymmetry of the curves for Model 1c - a consequence of the asymmetry hnVlvi2 6= hnVrvi2. For negative voltages the channel El is not available in Model 1c, even for U < −0.2 V, because charging has to proceed from the right electrode and the Franck-Condon factor hnVrvi2 is suppressed by four orders of magnitude. Charging of the bridge only becomes possible with the availability of the Ex1 process. Both current and vibrational excitation is thus only significant for negative voltages U . −0.45 V. Another way to look at this asymmetry is to inspect the angle distributions p0(ϕ) ≡ Tr{dd†ρϕihϕ} p1(ϕ) ≡ Tr{d†dρϕihϕ} (33) (34) and the populations ρvv of vibrational levels on the oc- 8 cupied and ρnn on the unoccupied bridge, respectively. These are shown in Figure 5 for voltages U = ±0.5 V. We can make the observation that the angular distribu- tion for the occupied molecule follows the shape of the angular dependence of the coupling to the donor elec- trode (left/right for positive/negative voltage), and the distribution of angles for the unoccupied molecule follows the coupling to the acceptor electrode. The same effect is responsible for the asymmetry found in the population distributions in the lower part of Figure 5. Similar dis- tributions for Models 1a and 1b (not shown here) exhibit no asymmetry for the change U → −U . For these two models the vibrational distribution is also weakly corre- lated with the charging state of the molecule, i. e. the distributions ρnn and ρvv have almost identical shape as functions of energy En and Ev (i. e. the red and green curves in Figure 5 are almost overlapping for Models 1a and 1b). The small difference in the populations is only due to the small difference between the potentials V0 and V1. The role of symmetries is further discussed in the next section. The angular distributions p(ϕ) = Tr{ρϕihϕ} at the voltages U = 0.25, 0.5, 0.75 and 1.0 volts are compared in Figure 6 for all the models 1a-c. There is a common trend for the angle to become more and more delocalized while the voltage grows. The degree of delocalization follows from the excitation curve in Figure 4 (lower graph). At U = 0.25 V, the distribution for Model 1c is the broad- est, while Model 1a wins out at higher voltages. The angle is completely delocalized above the last step of the excitation in the IV curves. The current for Models 1b and 1c is asymptotically a factor of two smaller than the current for Model 1a. We have just seen that the angle distribution at the bridge is more or less homogeneous for large voltages. For this reason, the angle-dependent part of the coupling for Models 1b and 1c, which is equal to (cos(ϕ − ϕα))2, reaches its mean value 0.5. For Model 1a, the angle-dependent part of the coupling is constant and equal to 1, i.e. twice as large. B. Angular momentum (motor effect) In Figure 7, we plot the mean value of the angular momentum hLzi against the voltage applied across the junction. The calculated value of the angular momentum hLzi is in general nonzero for all the models 1a-1c and can reach values of the order of the reduced Planck constant  (atomic unit of angular momentum), the value depending strongly on the voltage. The model is thus an example of a molecular scale device which can perform rotations controlled by the voltage applied to the device. This effect is particularly pronounced in Model 1c, where the direction of rotation is inversed with the inversion of sign of the voltage. The symmetries of the curves can be understood from the symmetries of each model. None of the models ex- hibit symmetry with respect to the inversion of the an- ] . u . a [ > z L < 0.15 0.1 0.05 0 -0.05 -0.1 -0.15 -0.2 -1.5 -1 -0.5 0 0.5 1 1.5 Voltage [V] Model 1a Model 1b Model 1c FIG. 7. Mean value of the angular momentum of the molecule hLzi as a function of voltage for Models 1a, 1b and 1c at the temperature T = 50 K gle ϕ → −ϕ, because this change inverts the horizontal shift of potential V1(ϕ) relative to V0(ϕ). Breaking of this symmetry allows for nonzero values of angular mo- mentum in all the models. But Models 1a and 1b are symmetric with respect to the mutual interchange of the left and right lead. This is reflected in the symmetry of the angular momentum with respect to the voltage inver- sion U → −U . Breaking of this symmetry makes Model 1c distinct. In all the models 1a-1c, the molecule does not rotate when the absolute value of the voltage is smaller than 0.4 V. The onset of each curve follows a degree of vibra- tional excitation of the molecule (examine lower graph of Figure 4). This behavior reflects the fact that the molecule should be excited sufficiently high and overcome the rotational barrier at 2.5-2.6 eV in order to perform rotational movement. A significant population of states above the barrier can only be expected when the mean value of the vibrational energy of the bridge molecule (lower graph, Figure 4) is of the order of magnitude of 1eV. The role of these "over-the-barrier" states was also checked by omitting all of the states below the barrier from the calculation. The linear growth of the angu- lar momentum in Model 1c is hardly sensitive to this change. Another way to restate this discussion is to look again at the angle distribution functions in Figure 6. The rotational motion of the molecule is indicated in the de- localization of the angle distribution through the whole interval h0, 2πi. At 0.5V, only Model 1a has this prop- erty, but at 0.75 V all three models can rotate. These observations are in correspondence with Figure 7. The off-diagonal elements of the RDM are often ne- glected in the master equation approach, resulting in a set of equations only for the population ρnn, ρvv of the states. In fact, we used this line of thinking when dis- cussing the current-voltage and excitation curves in the previous section. If we want to capture the motor effect we have to consider the off-diagonal elements - at least for the near degenerate states close to or above the rota- 9 U = 0.25 [V] Unoccupied Occupied -40 -20 0 20 40 U = 0.00 [V] Unoccupied Occupied -40 -20 0 20 40 0.2 0.15 0.1 0.05 0 U = 0.75 [V] U = 1.0 [V] 0.2 0.15 0.1 0.05 0 0.02 0.015 0.01 0.005 n o i t l a u p o P n o i t l a u p o P 0.02 0.015 0.01 0.005 0 -40 -20 0 20 40 Momentum [a.u.] 0 -40 0 20 -20 Momentum [a.u.] 40 FIG. 8. Populations ρ0 basis for Model 1c at different voltages. m and ρ1 m in the angular momentum m and ρ1 tional barrier. This matter we discussed already in the Theory section below Formula (26), where we also saw that the coefficients ρ0 m give a better insight into the calculation of hLzi. These coefficients are shown in Figure 8 for four voltages. The nonzero mean value of the angular momentum is a consequence of the asymme- try of these distributions with respect to m = 0. For example, the last two graphs at voltages U = 0.75 V and U = 1.0 V have a small asymmetry (about 1 per cent, not possible to see in the figure). This asymmetry will be much more pronounced in Model 2. At the beginning of this section, we discussed the role of symmetry in the models with respect to the transfor- mation ϕ → −ϕ and U → −U . The parameters respon- sible for the asymmetry of the models with respect to these two transformations are the charged bridge poten- tial shift ϕ1 and the right coupling shift ϕr. In Figure 9, we show hLzi as a function of ϕ1 and ϕr for Model 1c with the voltage fixed at U = 1.5 V; the red line in the figure marks the values actually used in Model 1c. The angular momentum first grows with ϕ1 but higher shifts suppress the effect again. This effect is similar to the Frank-Condon blockade for the current observed in Koch and von Oppen 35 . Selecting the optimum value for this parameter can enhance the angular momentum by a factor of three. The dependence of hLzi on ϕr is shown in the lower graph of Figure 9. It is possible to maximize the "motor effect" by optimizing ϕr, gaining another fac- tor of 2. Coupling becomes symmetrical when ϕr = π or ϕr = 2π as in Model 1b, where hLzi vanishes. C. Results for the model 2 We now discuss the more realistic model 2. Here the difference between the shapes of the potentials for the charged and uncharged molecule is large, leading to strong coupling and the impossibility to sort the vibra- tional transitions into more or less sharp lines. Further- more, we took a more realistic value for the moment ] . u . a [ > z L < ] . u . a [ > z L < 0.5 0.3 0.1 -0.1 -0.3 -0.5 −π/2 0.3 0.2 0.1 0.0 -0.1 -0.2 π −π/4 0 +π/4 +π/2 Potentials shift ϕ 1 [rad] 5π/4 3π/2 7π/4 2π Right coupling shift ϕ r [rad] FIG. 9. Dependence of the mean value of angular momentum Lz at voltage U = 1.5 V on the model parameters of potential shift ϕ1 (top) and coupling asymmetry ϕr(bottom) in Model 1c. of inertia (corresponding to benzene), leading to a very dense vibrational spectrum. The calculation is therefore much more numerically demanding and we had to cover a smaller voltage range. The current and the excitation function of the molecule for three different temperatures are plotted in Figure 10 as a function of voltage. As we have already mentioned, the density of the possible tunneling channels in Model 2 is much higher than in Model 1. We therefore expect no distinct steps in the voltage dependencies of observables for this model. Another difference is that the ground state energies of the unoccupied and occupied bridge al- most coincide in Model 2. This means that the bridge is open for electrons with zero energy and tunneling events can happen at zero voltage. Despite the large amount of possible channels, we observe a zero current plateau in Fig. 10. This is again a consequence of the small values of the Frank-Condon overlaps for the low-lying vibrational states in both potentials. The current plateau disappears for the calculation at higher temperatures, where higher states, not subjected to the Frank-Condon blockade, are already excited at zero voltage. Temperature effects become much more important for Model 2 than it was for Model 1. This is connected with the fact that even at the lowest temperatures considered here (50K), kT is comparable with distances between en- ergy levels of the molecule. It is also interesting to note, that the vibrational excitation curve in the lower graph of figure 10 does not have the minimum at zero voltage, but at approximately U = ±0.15 V. This implies the pres- ence of a cooling effect of the current, which has already been observed experimentally36 and also been discussed theoretically26,37,38. Both the excitation function and the angular momen- tum voltage dependence (see Figure 11) are strongly asymmetric, which is not surprising, for the strongly asymmetric coupling. It is also obvious from Figure 3 that the left lead coupling strength is minimal in the 10 Model 2a. T = 50 K Model 2a. T = 150 K Model 2a. T = 295 K -0.3 -0.1 0.1 0.3 0.5 Model 2a. T = 50 K Full Unoccupied Occupied 0.15 0.10 0.05 0.00 -0.05 -0.10 -0.5 -0.3 -0.1 0.1 0.3 0.5 -0.3 -0.1 0.1 0.3 0.5 Voltage [V] ] A µ [ t n e r r u C ] V e [ y g r e n E 0.15 0.10 0.05 0.00 -0.05 -0.10 -0.15 -0.5 0.20 0.15 0.10 0.05 0.00 -0.05 -0.10 -0.5 FIG. 10. The current and the excitation function for Model 2a are plotted as a function of the bias voltage. The results for three different temperatures (including room temperature) are shown. The inset in the bottom part shows the contribu- tion to vibrational energy from the unoccupied and occupied bridge for the temperature 50 K. area where the unoccupied bridge wave functions are lo- calized, which makes the overall coupling of the left lead smaller compared to the right lead. The angular momentum reaches much higher values (up to 12) inside the considered voltage interval, which could partially be attributed to the high moment of in- ertia. Temperature has some influence on the shape of the angular momentum curve but its maximum value is rather insensitive to temperature. From this we can con- clude that the motor effect can be observed at room tem- peratures as well as at cryogenic temperatures. As we discussed before, the nonzero mean values of the angular momentum are connected with asymmetries in the population distributions of the eigenstates of Lz, which are plotted in Figure 12. Large values of hLzi are accompanied by large asymmetries, which are clearly seen for U = −0.3 V and U = −0.4 V. V. CONCLUSIONS AND FUTURE PROSPECTS In this paper we have discussed the models of a molecule coupled to two conducting leads with coupling ] . u . a [ > z L < 10 5 0 -5 -10 Model 2a. T = 50 K Model 2a. T = 150 K Model 2a. T = 295 K Model 2b. T = 50 K -0.5 -0.3 -0.1 0.1 0.3 0.5 Voltage [V] FIG. 11. Mean value of the angular momentum of the molecule hLzi as a function of voltage for Models 2a and 2b. The temperature dependence is also shown for Model 2a. n o i t l a u p o P n o i t l a u p o P 0.030 0.025 0.020 0.015 0.010 0.005 0.000 -100 0.014 0.012 0.010 0.008 0.006 0.004 0.002 0.000 -100 U = -0.1 [V] Unoccupied Occupied -50 0 50 100 U = -0.3 [V] Unoccupied Occupied -50 0 50 100 Momentum [a.u.] 0.030 0.025 0.020 0.015 0.010 0.005 0.000 -100 0.014 0.012 0.010 0.008 0.006 0.004 0.002 0.000 -100 U = -0.2 [V] Unoccupied Occupied -50 0 50 100 U = -0.4 [V] Unoccupied Occupied -50 0 50 100 Momentum [a.u.] FIG. 12. Populations distributions ρ0 v of the RDM in momentum basis are plotted for different bias voltages. It is clearly seen how distributions become wider and lose their symmetry while absolute values of the voltage grow. Graphs are plotted for room temperature (295 K). m and ρ0 depending on the vibrational coordinate. It was shown 11 how the steps in the current-voltage characteristics can be analyzed in the case of anharmonic vibrations of the molecule. We have also calculated the population of molecular vibrational states for different voltages. In the case of the model which was asymmetric with respect to an exchange of the left and the right leads, we had to dis- tinguish between the two charging states of the molecule, which have different populations, i. e. the population of vibrational states was strongly correlated with the charg- ing state of the molecule. In addition, we have studied the "motor effect", i. e. the response of the angular momentum of the molecule to the voltage applied across the junction. We have demon- strated that the mean value of the angular momentum strongly depends on the voltage. For the asymmetrically coupled molecule, the direction of the molecular rotations can be controlled by the polarity of the voltage. Signif- icant values of angular momentum were reached when the vibrational levels above the potential energy barrier against full rotation were populated. It will be interesting to see the effect of the higher or- der terms (see, for example, Esposito and Galperin 39 ), as well as friction, to the dynamics of the molecular rotor in future work. We believe that the "motor effect" must survive these additional corrections since it is quite stable with respect to changes to the model and it is a conse- quence of the breaking of symmetry of the junction with respect to the inversion of the angular coordinate and the interchange of the left and right electrode (chirality of the junction). ACKNOWLEDGMENTS This work was supported by the Charles Univer- sity Grant Agency (GAUK 116-10/143107) and Grant Agency of Czech Republic (GACR 208/10/1281). We thank Michael Thoss, Wolfgang Domcke, Maarten Wegevijs, Tom´as Novotn´y and Rainer Hartle for fruit- ful discussions and Steve Ridgill for text corrections. 1 Y. Selzer and D. Allara, Annual Review of Physical Chemistry 57, 593 (2006). 2 M. Galperin, M. Ratner, A. Nitzan, and A. Troisi, Science C. Li, I. V. Pobelov, A. Bagrets, J. K. Viljas, F. Pauly, F. Evers, M. Mayor, and T. Wandlowski, Nano Letters 10, 156 (2010). 319, 1056 (2008). 3 M. Galperin, M. Ratner, and A. Nitzan, Journal of Physics: Condensed Matter 19, 103201 (2007). 4 J. Lu, M. Brandbyge, and P. Hedegard, Nano letters 10, 1657 (2010). 9 K. Schwab and M. Roukes, Physics Today 58, 36 (2005). 10 M. Blencowe, Physics Reports 395, 159 (2004). 11 B. Wang, Vukovi´c, Kr´al, L. and P. Phys. Rev. Lett. 101, 186808 (2008). 12 T. Novotn´y, A. Donarini, and A.-P. Jauho, 5 R. Jorn and T. Seideman, Phys. Rev. Lett. 90, 256801 (2003). Accounts of Chemical Research 43, 1186 (2010). 6 C. Benesch, M. Rode, M. C´ızek, O. Rubio-Pons, M. Thoss, and A. Sobolewski, The Journal of Physical Chemistry C 113, 10315 (2009). 7 L. Venkataraman, J. Klare, C. Nuckolls, M. Hybertsen, and M. Steigerwald, Nature 442, 904 (2006). 8 A. Mishchenko, D. Vonlanthen, V. Meded, M. Burkle, 13 L. Y. Gorelik, A. B. Kasemo, R. I. Shekhter, Phys. Rev. Lett. 80, 4526 (1998). Isacsson, M. V. Voinova, Jonson, and M. 14 D. Dundas, E. McEniry, and T. Todorov, Nature Nan- otechnology 4, 99 (2009). 15 C. B. Madsen, L. B. Madsen, S. S. Viftrup, M. P. Johansson, T. B. Poulsen, L. Holmegaard, V. Ku- marappan, K. A. Jorgensen, The Journal of Chemical Physics 130, 234310 (2009). and H. Stapelfeldt, 16 R. Horansky, T. Magnera, J. Price, and J. Michl, Lect. Notes Phys. 711, 303 (2007). 17 I. Petreska, L. c. v. Pejov, and L. c. v. Kocarev, Phys. Rev. B 78, 045209 (2008). 18 L. Glazman and R. Shekhter, Sov. Phys. JETP 67, 163 (Brooks Cole, 1976). 28 F. Pauly, J. Viljas, and J. Cuevas, Physical Review B 78, 35315 (2008). 29 The polaron transform performs the spatial shift in the coordinate ϕ to convert the basis states ni into basis states vi. 30 G. D. Mahan, Many-Particle Physics (Plenum Press, (1988). 19 S. Braig and K. Flensberg, 31 J. Lehmann, S. Kohler, V. May, and P. Hanggi, 1993). 12 Phys. Rev. B 68, 205324 (2003). The Journal of Chemical Physics 121, 2278 (2004). 20 M. C´ızek, M. Thoss, and W. Domcke, 32 A. Mitra, I. Aleiner, and A. J. Millis, Phys. Rev. B 70, 125406 (2004). 21 M. Galperin, A. Nitzan, Phys. Rev. B 73, 045314 (2006). and M. A. Ratner, 22 The value is too small for the phenyl-based rotor, but is comparable to, for example, moment of inertia of the methyl group. 23 R. Fortrie and H. Chermette, JCTC 3, 852 (2007). 24 S. Tsuzuki, T. Uchimaru, K. Matsumura, M. Mikami, and K. Tanabe, J.Chem.Phys 110, 2858 (1999). 25 M. C´ızek, M. Thoss, and W. Domcke, Czechoslovak Jour- Phys. Rev. B 69, 245302 (2004). 33 C. Timm, Phys.Rev.B 77, 195416 (2008). 34 M. R. Leijnse and M. Wegewijs, Phys. Rev. B 78, 235424 (2008). F. Koch and 35 J. von Oppen, Phys. Rev. Lett. 94, 206804 (2005). 36 Z. Ioffe, T. Shamai, A. Ophir, G. Noy, I. Yutsis, K. Kfir, O. Cheshnovsky, and Y. Selzer, Nature Nanotechnology 3, 727 (2008). Zippilli, and A. Bachtold, G. Morigi, 37 S. nal of Physics 55, 189 (2005). 26 R. Hartle, C. Benesch, Phys.Rev.Lett. 102, 146801 (2009). and M. Thoss, 38 M. Galperin, K. Saito, A. V. Balatsky, and A. Nitzan, Phys. Rev. Lett. 102, 096804 (2009). Phys. Rev. B 80, 115427 (2009). 27 N. W. Ashcroft and N. D. Mermin, Solid State Physics 39 M. Esposito and M. Galperin, The Journal of Physical Chemistry C ASAP (2010).
1307.0249
1
1307
2013-06-30T22:18:03
Electric Field Effect Thermoelectric Transport in Individual Silicon and Germanium/Silicon Nanowire
[ "cond-mat.mes-hall" ]
We have simultaneously measured conductance and thermoelectric power (TEP) of individual silicon and germanium/silicon core/shell nanowires in the field effect transistor device configuration. As the applied gate voltage changes, the TEP shows distinctly different behaviors while the electrical conductance exhibits the turn-off, subthreshold, and saturation regimes respectively. At room temperature, peak TEP value of $\sim 300 \mu$V/K is observed in the subthreshold regime of the Si devices. The temperature dependence of the saturated TEP values are used to estimate the carrier doping of Si nanowires.
cond-mat.mes-hall
cond-mat
Electric Field Effect Thermoelectric Transport in Individual Silicon and Germanium/Silicon Nanowires Yuri M. Brovman1, Joshua P. Small1, Yongjie Hu2, Ying Fang2, Charles M. Lieber2, and Philip Kim1 1Department of Applied Physics and Applied Mathematics and Department of Physics, Figure 1 Columbia University, New York, New York, 10027, USA and 2Department of Chemistry and Chemical Biology, Harvard University, Cambridge, MA 02139, USA We have simultaneously measured conductance and thermoelectric power (TEP) of individual silicon and germanium/silicon core/shell nanowires in the field effect transistor device configura- tion. As the applied gate voltage changes, the TEP shows distinctly different behaviors while the electrical conductance exhibits the turn-off, subthreshold, and saturation regimes respectively. At room temperature, peak TEP value of ∼ 300 µV/K is observed in the subthreshold regime of the Si devices. The temperature dependence of the saturated TEP values are used to estimate the carrier doping of Si nanowires. The electronic properties of Si and Ge/Si nanowires (NW) have attracted considerable attention for applica- tions in next generation field effect transistors (FET) [1 -- 10]. Unlike carbon nanotubes, Si based NWs can be synthesized with controlled diameters and doping levels for rational device design. Thermal and thermoelectric transport properties of these NWs are also of interest for potential use in thermoelectric power conversion ap- plications. Thermal transport studies in Si NWs have shown that increasing the surface roughness [11] or the enhanced phonon-drag [11, 12] can increase thermoelec- tric efficiency in Si NWs. The doping level of individual NWs can often be adjustable using the electric field effect (EFE) using the gate electrode in a field effect transistor (FET) device configuration. Since electronic properties of the NWs are sensitively dependent on the carrier den- sity, TEP thus can be adjusted by the EFE. For NWs made of narrow gap semiconductors, such PbSe, Sb2Te3, the EFE modulated TEP has been measured in the FET device configuration where a large modulation of TEP has been demonstrated electrical control of thermoelec- tric efficiency [13 -- 15]. Recently, gate-modulated TEP, S, and electric conductance, σ, has also been measured in Ge/Si core-shell heterostructured NWs, where the op- timization of the power factor, σS2 has been demon- strated employing the EFE [16]. Extending the EFE modulated conductivity and TEP measurements on in- dividual Si NWs may provide a new insight to under- stand the carrier density dependence of thermoelectric transport properties in Si based semiconducting nanos- tructures, which can be employed for optimizing their ap- plicability in thermoelectric applications. However, the relatively large channel impedance in the Si NW devices, especially near the turn-off regime of the devices poses the experimental challenge of measuring thermoelectric signal simultaneously with the electrical conduction. In this letter we present an investigation of the EFE de- pendent the electronic and the thermoelectric transport properties of both Ge/Si core-shell NWs and Si NWs in the temperature range of 80-300 K. For this study, we first demonstrated the reliability of the EFE by simulta- TEP (a) Vh((cid:550)1) Vg nanowire heater Rh Ih((cid:550)1) near electrode far electrode SiO2 Si G Lock-in 1 Vth(2(cid:550)1) nanowire inside of cryostat (b) VSD((cid:550)2) Lock-in 2 R2 ISD((cid:550)2) FIG. 1: (a) Schematic diagram of the simultaneous measure- ment technique of conductance and thermopower on individ- ual nanowires. The finite element simulation shows a tem- perature profile, with red being the hottest and blue being the bath temperature, of the cross section of the substrate. (b) Circuit diagram of the AC configuration using 2 lock-in amplifiers. The TEP is measured at frequency 2ω1 while the conductance is measured at frequency ω2. neous measuring both conductivity and TEP using two lock-in amplifiers, operating at two different excitation frequencies. In the individual nanowire FET device set- ting, we found a large modulation of TEP as a function of applied gate voltages induced by the EFE. Substantially large peak TEP values up to > ∼ 300 µV/K are observed in the subthreshold regime of the Si and Ge/Si devices, indicating largely enhanced TEP near the band edge of semiconducting NWs. The Si NWs, used in this study, were synthesized using the vapor-liquid-solid method (VLS) described in detail elsewhere [1, 4]. A typical diameters of the NWs are in the range of 20±5 nm and the axial orientation NWs are in the [110] direction. During the growth the NWs were doped with boron with a ratio of Si:B 8000:1. The Si NWs were subsequently suspended in ethanol and de- posited onto a degenerately doped silicon substrate with 500 nm thermally grown SiO2. The Si substrate back gate is capacitively coupled to the NW samples in order to modulate their carrier density with the EFE. Elec- tron beam lithography, metallization (2/40 nm Ti/Pd), and liftoff procedure are used to define the heater and Figure 2 2 T = 300K 1(cid:541)m AC AC AC = .01G(cid:58) = .1G(cid:58) = 1G(cid:58) = .1G(cid:58) Z Z Z Z DC ) S (cid:80) ( G ) / K V (cid:80) ( P E T 20 10 0 400 300 200 100 (a) (b) 0 -60 -40 -20 0 V (V) g 20 40 FIG. 2: Conductance (a) and thermopower (b) of a Ge/Si nanowire as a function of gate voltage taken at T = 300 K. The inset in (b) shows a typical SEM image of a 12 nm Ge/Si device. Large input impedance becomes important when mea- suring TEP near the band edge of a semiconductor, as the FET device turns off. microthermometer structures. The samples are dipped into HF acid for 5 s immediately prior to metallization in order to remove native oxide. Another semiconduct- ing system we employed in this study are core-shell het- erostructured Ge/Si NWs. This heterostructured NWs were chosen since they are known to provide highly con- ductivity 1-dimensional hole gas at the core-shell inter- face [7]. The details of the synthesis of the core/shell Ge/Si heterostructure NWs, with diameters in the range of 12±2 nm, has been described previously [7]. The fab- rication procedure of the FET-style devices for the TEP measurement was similar to that for the Si NWs, ex- cept that the electrodes were made from 50 nm Ni. The thick layer of Ni electrodes are employed to contact the 1-dimensional hole gas by rapid thermal annealing essen- tial for the elimination of a Schottky barrier due to the diffusion of Ni through the Si shell layer. Conductance and TEP were measured in a vacuum cryostat, with pressure ∼ 10−6 Torr. The technique to simultaneously measure conductance and TEP has been previously used to measure carbon nanotubes [17], graphene [18], and nanowires [14, 19]. A schematic dia- gram and a circuit diagram are presented in Figure 1(a) and 1(b), respectively. Since SiO2 is ∼100 times less ther- mally conductive than Si, the Joule heat generated by the heater electrode is mostly dissipated into the Si sub- strate, as seen in the finite element simulation (we used the software package COMSOL) in Figure 1(a). A lateral temperature gradient forms because the device geometry is chosen such that the separation between the heater and the near electrode is on the order of the SiO2 thickness. Since resistance R ∝ T , where T is the temperature, in metals, the 4-probe electrodes act as microthermome- ters to measure the applied temperature difference, ∆T . In the DC configuration, the thermally induced voltage ∆Vth was measured with a voltage amplifier to acquire the TEP, S = − ∆Vth ∆T . The DC technique, however, is quite time consuming since at each gate voltage point the heater has to be swept in the wide range of the bias current to produce the appropriate temperature gradient. In the AC configuration, shown in Figure 1(b), an AC voltage Vh(ω1) is applied to the heater electrode. The temperature difference formed along the channel will be proportional to the square of that voltage, therefore the resulting voltage will oscillate at 2ω1, with a 90◦ phase , where the √2 shift. The TEP is then S = − factor comes from the fact that the lock-in amplifier mea- sures root-mean-squared values. For a consistency check we make sure that the DC and AC configurations produce the same TEP values. The condition of linear response, ∆T ≪ T , is always satisfied during the measurement in order to stay in the linear response regime. The conduc- tance was measured using the standard 2-probe current biasing technique at ω2. Both signals are measured si- multaneously as the carrier density is changed in the NW with applied gate voltage, Vg. √2Vth(2ω1) ∆T We first discuss the results from highly conductive Ge/Si NWs. A typical room temperature, T = 300 K, gate dependent conductance and TEP measurement of a Ge/Si NW is shown in Figure 2(a) and 2(b), respec- tively. A scanning electron microscope (SEM) image of a typical device is shown in the inset of Figure 2(b). Both conductance and TEP are modulated by applied gate voltage, Vg. For Vg < 0, the device exhibit high con- duction, where two terminal conductance is in the order of ballistic conduction value 2e2/h, indicating high qual- ity hole gas conduction. As a positive gate voltage is applied, the conductance decreases and the device turns off. This p-type behavior is expected from the 1D hole gas at the interface of the Ge/Si core/shell heterostruc- ture. For negative gate voltages the TEP saturates to a constant value ∼ 120 µV/K. As this FET device turns off the TEP begins to rise with a peak value of ∼ 350 µV/K. Figure 2(b) shows the difference that instrument input impedance makes on measuring TEP when the Fermi level is near or inside the gap of a semiconductor. Near the turn-off regime, the impedance of the device becomes high and the voltage measurement across the channel be- comes challenging. In order to investigate the effect of input impedance to the measured TEP values near the turn-off regime, we employed voltage preamplifiers with different DC impedance ZDC and AC impedance ZAC values, ranging from .01 GΩ to 1 GΩ. We found that gen- erally, the resistance of the NW channel R, defined from the 2-terminal conductance as R = 1/G, is on the same order as the input impedance of the measurement instru- ment, the measured values of the TEP become unreliable. It was also found also that the measured TEP was inde- ) S ( G ) / K V (cid:80) ( P E T 10-5 10-6 10-7 10-8 400 300 200 100 0 260K 200K 140K 110K 80K 200 100 0 0 100 200 300 T(K) T E P s a t u r a t i o n ( (cid:80) V K / ) (a) (b) EC EV ESB x SB 2 x b x SB 2 EF -30 -20 V (V) g -10 0 FIG. 3: Conductance (a) and thermopower (b) of a 20 nm Si nanowire plotted as a function of gate voltage at (top to bottom) 260 K, 200 K, 140 K, 110 K, and 80 K. The shaded grey region in (b) is where the FET is off and the TEP values cannot be trusted. The upper inset of (b) shows a linear fit of the saturation TEP as a function of temperature from several devices. The lower inset of (b) shows an energy band diagram of the formation of a Schottky barrier in the Si NW - electrode system. pendent of measurement frequency for w1 < 100 Hz. The measurement of highly conducting Ge/Si NWs provide us general insight about the gate dependent TEP measurements in NW FET devices. In the degenerate regime, when the Fermi level is far away from a band edge, thermal equilibrium is established quickly on the timescale of the measurement frequency. However, near the band edge very few carriers participate in transport and the conductance is exponentially suppressed. The TEP rises when the Fermi level moves into the gap, how- ever, the absence of adequate equilibration between the electrodes limits accurate measurement. In order to in- crease thermoelectric efficiency both G and TEP have to be increased simultaneously, however, quite often the two parameters, as observed in our NWs, are inversely related [21]. Similar observation has been reported in recent work of less conductive Ge/Si core-shell NW de- vices [16]. We now turn our attention to the Si NW measure- ments. Figure 3(a) shows our main result, the gate de- pendence of the conductance and TEP of a typical Si NW in the temperature range of 80-300 K. The FET is 3 in the saturation regime for higher negative gate voltages. The height of the Schottky barrier ESB (see lower inset in Figure 3(b)) that forms between the Si NW and the metal electrode interface is adjusted by the applied gate voltage. In the subthreshold regime the conductance is drastically decreasing but still finite. In this regime, the mobility of the device is estimated from the transconduc- tance dG/dVg to be 17cm2/Vs from µ = L2 where Cg L is the device length and Cg is the gate capacitance whose value can be estimated by the cylinder-on-plane model[7]. Typical mobilities of measured samples vary between 0.1− 20 cm2/Vs. The FET will be turned off at positive Vg where the Schottky barriers deplete all avail- able itinerant states in the valence band. dG dVg The total TEP is a contribution of the TEP from the bulk of the NW and from the Schottky barrier. At neg- ative gate voltages, the Schottky barrier becomes very thin and contributes negligibly to the TEP, which sat- urates to a constant value at each temperature. This saturation TEP scales linearly as a function of tempera- ture with a slope of 0.68 µV/K2, as shown in the upper inset of Figure 3b, which signifies diffusive thermoelec- In highly doped (> 1018 cm−3) bulk tric generation. silicon, TEP as a function of temperature is linear, while only lightly doped samples show non-monotonic behav- ior due to phonon-drag effects.[23] The TEP is positive, which is consistent with the p-type nature of this material since the sign of the TEP indicates the carrier type.[20] The carrier density can be extracted from the tempera- ture dependence of the saturation TEP using the Mott relation:[19, 22] S = − π2k2 BT 3e d ln σ dE (cid:12) (cid:12) (cid:12) (cid:12)E=EF = − π2k2 Bm∗ (3π2)2/3¯h2e T n2/3 (1) where kB is the Boltzmann constant, m∗ is the ef- fective mass, and n is the carrier density. Using a parabolic dispersion relation with a hole effective mass[5] of m∗ = 0.39me, the carrier density in the Si NWs is cal- culated to be n ≈ 1.4 × 10−19cm−3. Since the carrier concentration is a result of singly ionized B dopants, the ionized impurity concentration is 1.4 × 10−19cm−3. Be- cause not all of the boron is converted during synthesis, the measured value of the impurity concentration is rea- sonable compared to the nominal value of 9× 1020 cm−3. As the Schottky barrier becomes wider in the subthresh- old regime, thermally activated carriers hopping over the barrier contribute more to the overall measured TEP while carriers in the bulk contribute less. The peak val- ues of the TEP at T = 300 K are ∼ 300 µV/K. These values are higher than 220 µV/K, measured in previous experiments of similar diameter Si NWs and doping lev- els in the suspended device geometry,[11, 12] however, are lower than values measured in the bulk.[23] In conclusion, we have measured the gate dependence of conductance and TEP of individual semiconducting p- type Si and Ge/Si NWs in the temperature range of 80- 300 K. High input impedance is essential to measure TEP accurately when the FET is off. We have found peak TEP values of 300 µV/K and 350 µV/K in Si and Ge/Si NWs, respectively, in the subthreshold regime. The lin- ear temperature dependence of the saturation TEP in Si NWs is used to acquire the dopant density to be 1.4× 10−19 cm−3. Controlling the magnitude of the TEP using the EFE is essential in order to incorporate NWs into high efficiency thermoelectric power conversion de- vices. This work was financially supported by the Korean Agency for Defense Development (ADD), under agree- ment number ADD-10-70-07-03. 4 [1] Y. Cui, X. Duan, J. Hu, and C. M. Lieber, J. Phys. Chem. B 104, 5213 (2000). W. A. Goddard III, and J. R. Heath, Nature 451, 168 (2007). [2] Y. Cui, Z. Zhong, D. Wang, W. U. Wang, and C. M. [13] W. Liang, A. I. Hochbaum, M. Fardy, O. Rabin, M. Lieber, Nano Lett. 3, 149 (2003). Zhang, and P. Yang, Nano Lett. 9, 1689 (2009). [3] G. Zheng, W. Lu, S. Jin, C. M. Lieber, Adv. Mater. 16, [14] Y. Zuev, J. S. Lee, C. Galloy, H. Park, and P. Kim, Nano 1890 (2004). [4] Y. Wu, Y. Cui, L. Huynh, C. J. Barrelet, D. C. Bell, and C. M. Lieber, Nano Lett. 4, 433 (2004). [5] Z. Zhong, Y. Fang, W. Lu, and C. M. Lieber, Nano Lett. 5, 1143 (2005). Lett. 12, 3037 (2012). [15] Y. Tian, M. R. Sakr, J. M. Kinder, D. Liang, M. J. Mac- Donald, R. L. J. Qiu, H.-J. Gao, and X. P. A. Gao, Nano Lett. 12, 6492 (2012). [16] J. Moon, J.-H. Kim, Z. C. Y. Chen, J. Xiang, and R. [6] P. Xie, Y. Hu, Y. Fang, J. Huang, and C. M. Lieber, Chen, Nano Lett. 13, 1196 (2013). Proc. Natl. Acad. Sci. U.S.A. 106, 15254 (2009). [17] J. Small, K. Perez, and P. Kim, Phys. Rev. Lett. 91 [7] W. Lu, J. Xiang, B. P. Timko, Y. Wu, and C. M. Lieber, 256801, (2003). Proc. Natl. Acad. Sci. U.S.A. 102, 10046 (2005). [18] Y. M. Zuev, W. Chang, and P. Kim, Phys. Rev. Lett. [8] J. Xiang, W. Lu, Y. Hu, Y. Wu, H. Yan, and C. M. 102 096807, (2009). Lieber, Nature 441, 489 (2006). [19] C. Lee, G. Yi, Y. M. Zuev, and P. Kim, Appl. Phys. Lett. [9] G. Liang, J. Xiang, N. Kharche, G. Klimeck, C. M. 94 022106, (2009). Lieber, and M. Lundstrom, Nano Lett. 7, 642 (2007). [20] N. W. Ashcroft and N. D. Mermin, Solid State Physics [10] Y. Hu, J. Xiang, G. Liang, H. Yan, and C. M. Lieber, (Thomson Learning, Inc., USA, 1976). Nano Lett. 8, 925 (2008). [21] D. K. C. Macdonald, Thermoelectricity (Dover, New [11] A. I. Hochbaum, R. Chen, R. D. Delgado, W. Liang, E. C. Garnett, M. Najarian, A. Majumdar, and P. Yang, Nature 451, 163 (2007). [12] A. I. Boukai, Y. Bunimovich, J. Tahir-Kheli, J. K. Yu, York, 2006). [22] M. Cutler and N. F. Mott, Phys. Rev. 181 1336, (1969). [23] T. H. Geballe and G. W. Hull, Phys. Rev. 98 940, (1955).
1202.4029
1
1202
2012-02-17T22:24:44
Landau level spectroscopy of surface states in the topological insulator Bi$_{0.91}$Sb$_{0.09}$ via magneto-optics
[ "cond-mat.mes-hall" ]
We have performed broad-band zero-field and magneto-infrared spectroscopy of the three dimensional topological insulator Bi$_{0.91}$Sb$_{0.09}$. The zero-field results allow us to measure the value of the direct band gap between the conducting $L_a$ and valence $L_s$ bands. Under applied field in the Faraday geometry (\emph{k} $||$ \emph{H} $||$ C1), we measured the presence of a multitude of Landau level (LL) transitions, all with frequency dependence $\omega \propto \sqrt{H}$. We discuss the ramification of this observation for the surface and bulk properties of topological insulators.
cond-mat.mes-hall
cond-mat
Landau level spectroscopy of surface states in the topological insulator Bi0.91Sb0.09 via magneto-optics A. A. Schafgans,∗ B. C. Chapler, K. W. Post, and D. N. Basov Department of Physics, University of California, San Diego, La Jolla, California 92093, USA Institute of Scientific and Industrial Research, Osaka University, Osaka 567-0047, Japan A. A. Taskin and Yoichi Ando Department of Physics, Stanford University, Stanford, California 94305, USA (Dated: August 4, 2018) Xiao-Liang Qi We have performed broad-band zero-field and magneto-infrared spectroscopy of the three dimen- sional topological insulator Bi0.91Sb0.09. The zero-field results allow us to measure the value of the direct band gap between the conducting La and valence Ls bands. Under applied field in the Faraday geometry (k H C1), we measured the presence of a multitude of Landau level (LL) transitions, all with frequency dependence ω ∝ √ H. We discuss the ramification of this observation for the surface and bulk properties of topological insulators. Bi1−xSbx [1 -- 4] was among the first materials predicted to be a three-dimensional (3D) topological insulator (TI) [5 -- 9]: a material with bulk insulating properties that sup- ports conducting two-dimensional (2D) surface states. The appearance of nontrivial topological order is inti- mately tied to the band inversion that takes place as Bi is alloyed with Sb. Among the theoretical predictions now verified by experiment [10 -- 13] was for a free electron gas composed of spin-polarized quasiparticles existing at the 2D surface of the bulk 3D material, called surface states (SSs), formed when linear Dirac bands cross the Fermi energy (Ef ). When a magnetic field is applied to the system, the SSs are thought to become gapped and spin-polarization reduced due to the time-reversal break- ing field. In this work, best illustrated by the results in Fig. 3, we demonstrate that all of the LLs observed in far-infrared magneto-optics obey Dirac-like dispersion as a function of applied field and are most likely due to op- tical transitions between LLs formed from the Dirac-like SS bands. The sample in this study was a large (≈ 1 cm2) single crystal of Bi0.91Sb0.09 cut along the bisectrix [2¯1¯1] plane [14]. This is the same plane (perpendicular to the [111] plane) in which quantum oscillations due to a 2D Fermi surface (FS) were observed in magneto-transport [14 -- 16], implying the presence of topological SSs. We measured near-normal incidence reflectance in the far-infrared (30- 700 cm−1) as a function of temperature and applied field. In order to determine the zero-field optical constants, we measured reflectance between 30-8000 cm−1 and variable angle spectroscopic ellipsometry between 4500 - 45000 cm−1. We extracted the optical constants by performing a Kramers-Kronig constrained variational analysis using refFIT software, based on a multi-oscillator fit of the re- ∗Electronic address: [email protected] flectivity data anchored by the dielectric function mea- sured through ellipsometry [17, 18]. These results were quantitatively similar to a full Kramers-Kronig inversion of the reflectivity data, which we show in the following figures. I. ZERO-FIELD RESULTS The zero-field data are presented in Fig. 1, showing re- flectance at four temperatures from room temperature to 10K (top panel). Unlike Bi2Se3 [19, 20], the plasma edge in Bi0.91Sb0.09, formed by the free electron response and characterized by the plasma frequency ωp, demonstrates substantial temperature dependence. At low tempera- tures, the lineshape of the plasma edge contains structure that may indicate the presence of multiple plasma fre- quencies and is indicated with an arrow in Fig. 1. With an Sb concentration of x = 0.09, the alloy is a direct- gap (Eg) semiconductor between the bulk La conduction band and the bulk Ls valence band [3]. This is evident in the real part of the optical conductivity σ1 (Fig. 1, bottom panel), where for photon energies greater than ≈ Eg + 2Ef , the onset of bulk interband transitions is observed: Eg + 2Ef = 470 ±10 cm−1, (indicated with an arrow in Fig. 1, bottom panel). The upturn of the con- ductivity spectra at low frequencies is due to the metallic Drude response. As temperature is lowered, the Drude response is significantly reduced, indicating a vanishing bulk metallic Fermi surface (ωp(T ) is plotted in the in- set). However, the remnant Drude response at the lowest temperature shows that the material retains bulk metal- lic properties. 2 1 0 2 b e F 7 1 ] l l a h - s e m . t a m - d n o c [ 1 v 9 2 0 4 . 2 0 2 1 : v i X r a 2 A. Location and size of Fermi Surface Taskin and Ando [21] measured the volume of the bulk FS, formed by a set of three ellipsoids located at the L-points of the Briullioin zone, to be n = 8.1 ± 0.2 ∗ 1016cm−3. The measured Hall coefficient implies a similar electron concentration of n = 1.8 ∗ 1017 cm−3 [21]. These data were obtained with samples from the same rod as the crystal used in this study and we there- fore expect the properties to be quantitatively similar. The Drude model of the lowest temperature conductiv- ity should produce comparable values between optics and transport only if the Fermi energy is located at an equiv- alent location in the bulk conduction band. This is be- cause the plasma frequency of the Drude response is re- lated to the number density of free carriers as: ω2 p = 4 πne2/m∗. Using the plasma frequency as measured via optics, ωp = 1485 cm−1, the resulting band mass is m∗ = 0.0073me. The plasma edge seen in optics is dom- inated by the shortest of the semimajor axes of the FS ellipoid, measured to be kf = 2.3 ∗ 107m−1. The rela- tionship vf = kf /m∗, where vf is the Fermi velocity, should provide the band velocity of the linear bulk con- duction band along the fastest direction and therefore, the Fermi velocity in the fast direction of the bulk FS is vf = 3.65 ∗ 105 m/s. Next, we invoke Ef = kf vf in order to determine the separation between the bottom of the conduction band and the Fermi energy. This gives Ef = 5.37meV = 42.5 cm−1 above the bulk band gap, into the bulk conduction band. Based on the optical conductivity, since Eg + 2Ef ≈ 470± 10 cm−1, the magnitude of the bulk band gap between the La and Ls bands must be Eg ≈ 387± 10 cm−1. This is in excellent agreement with the data in Fig. 1 as well as previous photoemis- sion studies. (Eg is very sensitive to Sb content and above x =0.04, Eg grows with increasing Sb content [3]. In slightly higher doped Bi0.9Sb0.1, a lower bound of Eg=50meV=403cm−1 was found using ARPES [10].) A cartoon of the bulk L bands and the surface states is plotted in Fig. 1, inset, showing the required incident photon energy to induce an interband transition between the bulk La and Ls bands. Also shown is a possible schematic of the SS bands [10, 11, 22], illustrating that multiple SSs may still exist when the Fermi energy lies in the bulk conduction band. We explicitly note that most of the cited works have been performed or calculated for the [111] surface, whereas our present work is performed on the [2¯1¯1] surface. The surface state band structure along this surface has not presently been determined by photoemission studies. II. IN-FIELD REFLECTANCE DATA AND MODELING Turning to the in-field data, Fig. 2 shows reflectance in 0.1T increments at 10K (top) and 100K (bottom). The FIG. 1: Top. Reflectance vs. wavenumber in the far-infrared as a function of temperature, showing the Drude plasma fre- quency. The screened plasma frequency ωp(10K) is shown with an arrow. Top, inset A cartoon showing the bulk L bands, the Fermi energy Ef defined from the bottom of the conduction band, the surface states formed when the sur- face Dirac bands cross Ef , and the necessary photon energy Eg + 2Ef to create an interband transition. As far as we are aware, there have been no direct measurements of the SS bands along the C1 plane in Bi1−xSbx. Transport measure- ments suggest there is at least one SS with Dirac dispersion, and in principle, there can be more (3, 5, ...). Bottom. The real part of the optical conductivity σ1(ω) as a function of temperature, illustrating the decreasing Drude response at lowered temperature. The optical gap Eg + 2Ef is shown with an arrow, and the conductivity rapidly increases at fre- quencies above the optical gap. Bottom, inset. The Drude plasma frequency ωp(T ) obtained from modeling σ1(ω) with the Drude-Lorentz model. The strong temperature depen- dence of ωp(T ) is likely the consequence of thermal activation. ss La Ls Eg+2Ef Ef k E 3 FIG. 3: Contour plots showing the derivative of the re- flectance with respect to magnetic field, dR/dH, at T = 10K (top) and 100K (bottom). LLs show up as peaks that disperse to higher wavenumber with applied field. corresponding to the 2D FS that are otherwise dominated by the bulk properties. Once we perform a derivative of the reflectance with respect to the applied field, dR/dH, the weakest LLs become quite evident. Indeed, these features are so small that they would have gone unob- served without utilizing this technique. Fig. 3 shows the dR/dH surface contour at at both T = 10K (top) and 100K (bottom), from ω= 40-680 cm−1 and H= 0-3T (at 10K) and H=0-2T (at 100K) with 0.1T increments. The value of dR/dH corresponds with the color scale. The intermediate field data are interpolated. As can be read- ily seen, there are multiple LLs, as well as features (dark red) that correspond to the field-dependent behavior of the bulk Drude plasma edge. All of the observed LLs display ω ∝ √ H dependence. FIG. 2: Reflectance vs. wavenumber and applied field H C1 k, at T = 10K (top) and 100K (bottom). Each curve represents 0.1T increment in applied field, going from 0-3T at 10K and 0-2T at 100K. magnetic field was applied parallel to the bisectrix axis (C1), perpendicular to the bisectrix plane (C2-C3 plane), and parallel to the k -vector of the incident electric field (Faraday geometry) in order to access the previously ob- served 2D FS deduced from quantum oscillation (QO) measurements [14]. The large LL absorptions become visible above ≈ 0.3T, which likely correspond to bulk states, as well as other smaller field-dependent features. Models of the 10K data were used to extract values of the cyclotron resonance (CR) (see appendix). The mod- els do not allow for the observation of the much weaker LLs due to the SS bands. Therefore, extracting LLs from the raw reflectance via modeling is not possible for any- thing other than the most prominent LLs because the surface state charge density is very small and therefore produces exceedingly weak features in reflectance. Instead of modeling the data, differentiating the re- flectance with respect to the applied field is a far more sensitive technique and allows access the subtle features 4 III. EVOLUTION OF LANDAU LEVELS IN MAGNETIC FIELD A classical picture explaining the origin of the CR is that when a magnetic field is applied to a metal, free charge carriers become bound in orbits around the field lines, provided the mean-free path is sufficiently long. Such bound charge carriers create a resonance in the op- tical reflectance, dependent upon the strength of the ap- plied field, the carrier's effective mass and number den- sity. Since the band dispersion near Ef is quadratic in most materials, the frequency of the CR and resultant LL transitions are ωc ∝ H. As was noted early on, LLs in ele- mental bismuth have a non-linear field dependence due to the linear band dispersion of the Ls and La bands [2, 23 -- 25]. Recently, the bulk Ls valence band in Bi0.9Sb0.1 has been demonstrated to be linear using ARPES [10], in ad- dition to the observation of linear Dirac bands that form SSs. In order to describe the energy spectrum of the LLs due to linearly dispersive bands, one must use a model derived from Dirac theory [23, 26]. For the bulk bands, the allowed energy states disperse as: E = ±( E2 g 4 + Eg( eH 2mc (n + 1 2 ) ± 1 2 g0µBH))1/2 (1) where µB is the Bohr magneton, mc is the experimental cyclotron mass, n is the LL index of the bulk states, g0 is the experimental g-factor, and the first ± selects a LL in the conduction (+) or valence (-) band while the ± on the last term selects the spin state. This is different from the SSs in a three dimension topological insulator [27], where the expression for the surface state LLs is: (cid:115) E  = eHN m ± (− eH 2m + µBgsH 2 )2 + 2eN Hv2 f  E  = eH 2m − µBgsH 2 ; N = 0 (2) (3) Here, gs is the effective magnetic factor of the surface electrons, m is a correction to the effective Drude mass, N is the LL index of the SSs, and ± selects a LL above LLs disperse ∝ √ (+) or below (-) the Dirac point [27]. At low fields, the H, but as the field increases the linear terms will dominate. In Fig. 4 we plot the single particle LLs for both the bulk (top) and SSs (bottom). There are several impor- tant features to be learned from these figures, as far as al- lowed optical LL transitions. First, as can be seen in the top panel, any interband LL transitions of the bulk states will extrapolate to a value Eg at zero-field, while bulk intraband transitions will extrapolate to zero-frequency at zero-field. Second, bulk intraband transitions are not allowed once all of the bulk conduction LLs rise above Ef . (Using the parameters mentioned in the figure cap- tion, this takes place near 1.5T. Of course, using a larger FIG. 4: Single particle LLs as a function of frequency for the bulk (top) and Dirac (bottom) bands, showing the n = 0-2 bulk LLs and the n = 0-3 Dirac LLs. The green hori- zontal line illustrates the location of the Fermi energy with respect to the single particle LLs (Ef = 45 cm−1) as extracted from the zero-field data, as discussed above. The bulk LLs are spin-split, with the size of splitting determined primar- ily by the strength of spin-orbit coupling, which bears on the value of g0. The red (blue) lines are spin up (down) LLs. Values used to determine the LLs are, for the bulk states: mc=0.0073me, Eg=385 cm−1, g0=0.5/mc. For the SSs, we used: m=1, gs=80, vf =8.5x105 m/s. (smaller) effective mass means bulk intraband transitions are allowed to higher (lower) fields.) Third, all of the LL transitions due to the SSs (Fig. 4, bottom) will extrapo- late to zero-frequency at zero-field. Fourth, the 0th LL is almost field independent for the parameters we use (see Fig. 4 caption), and there is no spin-splitting. Fifth, LL transitions will not become allowed until the LLs cross Ef , and therefore the onset of optical transitions should be evident in the data. We note that the bulk band gap Eg and Fermi level Ef may not be field-independent, but any such field dependence should be a higher-order cor- rection and will not significantly impact the results we show here. Also, the placement of the Dirac point, from (cid:40)(cid:81)(cid:72)(cid:85)(cid:74)(cid:92)(cid:40)(cid:81)(cid:72)(cid:85)(cid:74)(cid:92)n=0 n=1 n=2 N=0 N=1 N=2 N=3 5 FIG. 5: The theoretical magnetic field dependence, plotted as a dR/dH contour, of a plasma edge of a metallic semi- conductor similar to what we observe in Bi1−xSbx. The quan- titative similarity with the data is suggestive. where the surface state LLs disperse in field, may not be located in the center of the bulk band gap. Additionally, we show that a simple model of the mag- netic field dependence of the Drude plasma edge in metals [26] can reproduce the behavior of the most prominent field-dependent features. Figure 5 demonstrates how the cyclotron active and inactive modes in magnetic field lead to a splitting of the plasma edge, where the cyclotron ac- tive mode moves the plasma edge higher in energy while the inactive mode suppresses the plasma edge. Quali- tatively, such behavior is present in the data we show. However, more detailed analysis is needed in order to make definitive quantitative conclusions. IV. DISCUSSION Based on the information gained from the single par- ticle LLs, we can make several immediate conclusions about the optical LL transitions in Bi0.91Sb0.09 presented in Fig. 3. First, none of the observed LLs extrapolate to a zero-field value equal to the bulk band gap Eg. Therefore, based on eq. 1, none of the LLs are due to bulk interband transitions between LLs in the La and Ls bands. Second, as illustrated in Fig. 4, if there were any intraband LL transitions due to the bulk conduction bands present, these transitions should disappear by ≈ 1T. Therefore, none of the LLs can be understood to be due to the bulk bands within the paradigm presented by eq. 1. Third, the six highest energy LLs are observed to "turn on" at finite field, consistent with the notion that once the LLs cross above Ef , transitions become allowed. A logical place to begin to quantitatively understand the LLs is to assume that the same surface state observed in quantum oscillation measurements on nearly identical f = 8.5x105m/s (black symbols), v2 FIG. 6: Theoretical LL transitions of the form in eq.2 over- laid on the data at 10K. We have included three different Fermi velocities in order to explain all of the observed LLs. f = 6x105m/s As labeled, v1 (white symbols) and v3 f = 5x105m/s (red symbols) and the 0-1 (dashed line), 1-2:2-1 (crosses), and 2-3:3-2 (open circles) transitions are given. We did not correct for the Drude ef- fective mass (m=1) and used a very moderate g-factor of the surface states (gs=120). samples is also contained in our data. Results from QO measurements determined the presence of a 2D FS with vf = 8.5x105m/s for very low fields (<1T) and at low temperature [14]. In Fig. 6 we show the predicted LL transitions due to a Dirac band with a Fermi velocity of v1 f = 8.5x105m/s for the n=0 to n=1 transitions (blue lines), 1-2:2-1 (black lines), and 2-3:3-2 (red lines) tran- sitions. Therefore, we confirm previous measurements of the 2D FS. Additionally, we find that by applying eq. 2, the num- ber of LLs is greater than what can be explained with a single surface Dirac band. That is, in order to explain the data, we must include transitions that would exist in the presence of two additional Dirac bands with Fermi velocities determined by fitting the LLs: v2 f = 6x105m/s and v3 f = 5x105m/s. This presents one possible physi- cal interpretation of the origin of the weakest LLs ob- In this scenario, it is interesting that the 0-1 served. transitions from v2 f are either not present or com- pletely overwhelmed by the behavior of the bulk plasma edge. ARPES studies of the [2¯1¯1] surface are required to determine the number of Dirac bands, and should be able to use our LL observations as a guide. f and v3 The frequency at which these transitions begin pro- vides a clue as to the separation between the upper (+) and lower (-) LLs and ultimately provides a mea- sure of the location of the Dirac point (EDirac) defined with respect to the bottom of the conduction band: the optical LL transitions of the SS bands will appear at ω=2(Ef−EDirac), and will extrapolate to zero-frequency at zero-field. At T =10K, the higher order transitions be- gin between 425-500 cm−1 while the 0-1 LL transition is seen to begin at an energy equal to 1/2 of the higher order transitions: (Ef − EDirac). For instance, at T = 10K, f transition first appears near 225 cm−1. For the 0-1 LL v1 Ef = 42.5 cm−1, and therefore EDirac is located ≈182.5 cm−1 below the bottom of the bulk La band, ≈ 11 cm−1 above the center of the bulk band gap. Interestingly, we do not observe bulk LL transitions across the gap [28]. This is somewhat surprising, given the sensitivity of our method for detecting LLs. One pos- sibility is that the bulk transitions have short lifetimes, much shorter than the lifetimes of the SSs. The width of the Drude peak is one clue to the expected bulk LL lifetime, and roughly has a value of Γ = 80-120 cm−1, depending on modeling parameters. On the other hand, the lifetime of the SSs as determined from the width of the LL resonances is Γ = 10-30 cm−1, implying the SS carriers have lifetimes as much as 10 times longer than the bulk carriers. Transport measurements suggest a similar picture, where the mean free path of the bulk carriers was 16 nm while the SS carriers was 150 nm [15]. Our data, therefore, is consistent with the notion of scattering-protected SSs, while the bulk states are more strongly scattering. ω ∝ √ In conclusion, we have detailed a magneto-infrared study of the topological insulator Bi0.91Sb0.09, demon- strating the presence of a multitude of Landau levels with H dependence [9]. After careful analysis, the LLs that we observe can only be understood to arise from Dirac-like bands within the bulk band gap. We there- fore provide direct evidence for the existence of topolog- ical surface states in Bi0.91Sb0.09, coexisting with a bulk metallic Fermi surface. Future temperature and doping dependent LL studies as well as gated structures where the chemical potential can be tuned into various energy regimes of the band structure will help to further eluci- date the origins of the observed phenomena we present. We thank Gil Refael and Doron Bergman for enlight- ening discussions. Funding was provided by DARPA and FENA. V. APPENDIX A. Reflectance models 6 the modeling result for the primary cyclotron resonance with an arrow. The models do not allow for the observa- tion the much smaller LLs due to the SS bands. At fields closer to 3T, fitting converged on the presence of three CR modes, however they did not display an intelligible FIG. 7: Reflectance in 0.1T increments, overlayed with the corresponding model (red line) from RefFIT. In order to extract the cyclotron resonance due to LL transitions, we attempted to model the far-infrared re- flectance using refFIT [17]. The resultant models are shown overlaid on the corresponding field-dependent re- flectance data in Fig. 7, where we point out the value of systematic behavior. This may be due to the difficulty of fitting the raw reflectance and as such, we have not drawn conclusions based on these models. In Fig. 8, we plot the values of the CR as determined by modeling the raw reflectance (circles), overlaid on the dR/dH contour. [1] J. K. Galt, W. A. Yager, F. R. Merritt, B. B. Cetlin, and [2] J. Heremans, D. L. Partin, C. M. Thrush, G. Karczewski, A. D. Brailsford, Phys. Rev. 114, 1396 (1959). 0100200300400500ω (cm-1)Reflectance 7 J. Osterwalder, F. Meier, G. Bihlmayer, C. L. Kane, Y. S. Hor, R. J. Cava, and M. Z. Hasan, Science 323, 919 (2009). [12] A. Nishide, A. A. Taskin, Y. Takeichi, T. Okuda, A. Kakizaki, T. Hirahara, K. Nakatsuji, F. Komori, Yoichi Ando, and I. Matsuda, Phys. Rev. B 81, 041309(R) (2010). [13] P. Roushan, J. Seo, C. V. Parker, Y. S. Hor, D. Hsieh, D. Qian, A. Richardella, M. Z. Hasan, R. J. Cava, and A. Yazdani, Nature 460, 1106 (2009). [14] A. A. Taskin and Yoichi Ando, Phys. Rev. B 80, 085303 (2009). [15] A. A. Taskin, Kouji Segawa, and Yoichi Ando, Phys. Rev. B 82, 121302(R) (2010). [16] A. A. Taskin, Kouji Segawa, and Yoichi Ando, arXiv:1009.4005 [17] A.B. Kuzmenko, Rev. Sci. Instrum. 76, 093108 (2005). [18] M. M. Qazilbash, J. J. Hamlin, R. E. Baumbach, Lijun Zhang, D. J. Singh, M. B. Maple, and D. N. Basov, Na- ture Physics 5, 647 (2009). [19] A. D. LaForge, A. Frenzel, B. C. Pursley, Tao Lin, Xinfei Liu, Jing Shi, and D. N. Basov, Phys. Rev. B 81, 125120 (2010). [20] A. B. Sushkov, G. S. Jenkins, D. C. Schmadel, N. P. Butch, J. Paglione, and H. D. Drew, Phys. Rev. B. 82, 125110 (2010). [21] A. A. Taskin and Yoichi Ando, Phys. Rev. B 80, 085303 (2009). [22] Hai-Jun Zhang, Chao-Xing Liu, Xiao-Liang Qi, Xiao- Yu Deng, Xi Dai, Shou-Cheng Zhang, and Zhong Fang, Phys. Rev. B 80, 085307 (2009). [23] P. A. Wolff, J. Phys. Chem. Solids 25, 1057 (1964). [24] R. N. Brown, J. G. Mavroides, M. S. Dresselhaus, and B. Lax, Phys. Rev. Lett. 5, 243 (1960). [25] M. P. Vecchi, J. R. Pereira, and M. S. Dresselhaus, Phys. Rev. B 14, 298 (1976). [26] E. D. Palik and J. K. Furdyna, Rep. Prog. Phys. 33, 1193 (1970). [27] Chao-Xing Liu, Xiao-Liang Qi, Hai-Jun Zhang, Xi Dai, Zhong Fang, and Shou-Cheng Zhang, Phys. Rev. B 82, 045122 (2010) [28] Y. Fuseya, M. Ogata, and H. Fukuyama, J. Phys. Soc. of Japan 81, 013704 (2012). FIG. 8: dR/dH contour illustrating the three CR modes ex- tracted from fitting the field dependent reflectivity. The white circles correspond to the CR mode that is shown with an ar- row in Fig. 7, while the black circles show two additional modes converged upon by the fitting routine. M. S. Richardson, and J. K. Furdyna, Phys. Rev. B 48, 11329 (1993). [3] B. Lenoir, M. Cassart, J.-P. Michenaud, H. Scherrer, and S. Scherrer, J. Phys. Chem. Solids 57, 89 (1996). [4] D. Hsieh, Y. Xia, L. Wray, D. Qian, J. H. Dil, F. Meier, L. Patthey, J. Osterwalder, G. Bihlmayer, Y. S. Hor, R. J. Cava, and M. Z. Hasan, Cond. Mat. arXiv:1103.3413 [5] L. Fu, and C. L. Kane, Phys. Rev. B 76, 045302 (2007). [6] M. Z. Hasan and C. L. Kane, Rev. Mod. Phys. 82, 3045 (2010). [7] Liang Fu, C. L. Kane, and E. J. Mele, Phys. Rev. Lett. 98, 106803 (2007). [8] Jeffrey C. Y. Teo, Liang Fu, and C. L. Kane, Phys. Rev. B. 78, 045426 (2008). [9] Xiao-Liang Qi, T. L. Hughes, and Shou-Cheng Zhang, Phys. Rev. B 78, 195424 (2008). [10] D. Hsieh, D. Qian, L. Wray, Y. Xia, Y. S. Hor, R. J. Cava, and M. Z. Hasan, Nature 452, 970 (2008). [11] D. Hsieh, Y. Xia, L. Wray, D. Qian, A. Pal, J. H. Dil,
1502.03606
1
1502
2015-02-12T11:31:46
Ultimate Thin Vertical p-n Junction Composed of 2D Layered Molybdenum Disulfide
[ "cond-mat.mes-hall" ]
Semiconducting 2D crystals are currently receiving significant attention due to their great potential to be an ultra-thin body for efficient electrostatic modulation which enables to overcome the limitations of silicon technology. Here we report that, as a key building block for 2D semiconductor devices, vertical p-n junctions are fabricated in ultrathin MoS2 by introducing AuCl3 and benzyl viologen dopants. Unlike usual unipolar MoS2, the MoS2 p-n junctions show (i) ambipolar carrier transport, (ii) current rectification via modulation of potential barrier in films thicker than 8 nm, and (iii) reversed current rectification via tunneling in films thinner than 8 nm. The ultimate thinness of the vertical p-n homogeneous junctions in MoS2 is experimentally found to be 3 nm, and the chemical doping depth is found to be 1.5 nm. The ultrathin MoS2 p-n junctions present a significant potential of the 2D crystals for flexible, transparent, high-efficiency electronic and optoelectronic applications.
cond-mat.mes-hall
cond-mat
Ultimate Thin Vertical p-n Junction Composed of 2D Layered Molybdenum Disulfide Hua-Min Li+,*, Daeyeong Lee#,*, Deshun Qu#, Xiaochi Liu#, Jungjin Ryu#, Alan Seabaugh+, and Won Jong Yoo# # SKKU Advanced Institute of Nano Technology (SAINT), Samsung-SKKU Graphene Center (SSGC), Department of Nano Science and Technology, Sungkyunkwan University (SKKU), Suwon, 440-746, Korea + Center for Low Energy Systems Technology (LEAST), Department of Electrical Engineering, University of Notre Dame, Indiana, 46556, USA * These authors contributed equally to this work. Correspondence and requests for materials should be addressed to W. J. Y. (email: [email protected]). Abstract Semiconducting 2D crystals are currently receiving significant attention due to their great potential to be an ultra-thin body for efficient electrostatic modulation which enables to overcome the limitations of silicon technology. Here we report that, as a key building block for 2D semiconductor devices, vertical p-n junctions are fabricated in ultrathin MoS2 by introducing AuCl3 and benzyl viologen dopants. Unlike usual unipolar MoS2, the MoS2 p-n junctions show (i) ambipolar carrier transport, (ii) current rectification via modulation of potential barrier in films thicker than 8 nm, and (iii) reversed current rectification via tunneling in films thinner than 8 nm. The ultimate thinness of the vertical p-n homogeneous junctions in MoS2 is experimentally found to be 3 nm, and the chemical doping depth is found to be 1.5 nm. The ultrathin MoS2 p-n junctions present a significant potential of the 2D crystals for flexible, transparent, high-efficiency electronic and optoelectronic applications. Index terms: molybdenum disulfide, p-n junction, tunneling, photoresponse 2 Since the rediscovery of stable monolayer graphite or graphene, two-dimensional (2D) layered materials or van der Waals materials have led to remarkable interest in the physics and applications of the materials1–3. Graphene provides a variety of fascinating properties, including an ultrahigh carrier mobility, large mechanical strength, a linear dispersion relation, long-range ballistic transport, quantum hall effects at room temperature, and tunable optical absorption properties4–6. Beyond graphene, other 2D materials provide a rich variety of more flexible electronic properties, including wide band gap insulators, such as hexagonal boron nitride (h-BN)7, semiconductors, and even superconductors, as may be observed in black phosphorus8,9 or transition metal dichalcogenides (TMDCs)10–12. Unlike graphene, which cannot provide low current-off or saturated current-on states due to its zero band gap, the semiconducting TMDCs, such as n-type molybdenum disulfide (MoS2), possess sizable band gaps in the range of 1–2 eV with sub-nanometer thickness, and provide high on/off ratios as well as more efficient control over switching10–12. MoS2 has an indirect band gap of 1.3 eV in bulk structures but a direct band gap of 1.8 eV in monolayer form. The tunable electronic properties of MoS2 enable electron tunneling and negative differential resistance (NDR) for use in low-power electronics13,14. The material is not susceptible to short-channel effects and this could be helpful in breaking through the scaling limits for transistor miniaturization15–17. Theoretical simulations indicate that a MoS2 field effect transistor (FET) could operate in the ballistic regime to yield excellent device performances, including an on/off ratio of 1010 and a subthreshold swing (SS) of approximately 60 mV/dec18. MoS2 and its hybrid heterostructures formed with other 2D materials have demonstrated significant potential for use in flexible, transparent, low-power electronics and optoelectronics, such as tunneling transistors13, memories19–21, photodetectors22–25, electroluminescent devices26, light-emitting devices27, and integrated circuits28,29. Although the carrier mobility of MoS2 is relatively low, it can be improved 3 significantly by functionalizing the substrate30, passivating the surface31, applying high-k dielectric engineering32,33, or forming inversion channels34. Chemical doping has been shown to offer an effective approach to doping in electronic low-dimensional material applications including carbon nanotubes (CNTs), mono- or few-layer graphene35. Chemically doped TMDC materials and the applications of these materials, however, have not been extensively studied36,37. In this work, we successfully fabricated unipolar p-type doped MoS2 (p-MoS2), n-type doped MoS2 (n-MoS2), and pristine MoS2 (pristine-MoS2) FETs using chemical doping of gold chloride (AuCl3) and benzyl viologen (BV). We investigate the thickness-dependent electrical behavior of a vertical p-n homogeneous junction composed of MoS2. The few-layer MoS2 p-n junctions show ambipolar carrier transport. The potential barrier in a MoS2 p-n junction can be effectively modulated in films with a thickness exceeding 8 nm as they are in conventional semiconductor p-n diodes, giving rise to current rectification in which carrier transport is permitted under a forward bias; however, films with a thickness of less than 8 nm, a “reversed” current rectification is clearly observed in which a tunneling-dominated current through the ultrathin potential barrier is favored under a reverse bias. The ultimate thickness and scaling limits of the vertical MoS2 p-n junctions are experimentally determined to be 3 nm (4 layers). The chemical doping depth in the direction perpendicular to the layers is found to be 1.5 nm (2 layers). Reducing the film thickness below 3 nm, for example, in monolayer MoS2, compromises the p- and n-type doping, and one type of doping eventually overwhelms other types throughout the entire flake. The small film thickness, on the order of one nanometer, renders the ultrathin vertical p-n junction of MoS2 potentially useful in flexible, transparent, high-efficiency electronic and optoelectronic applications, such as phototransistors and solar cells. 4 Results The effects of the chemical doping on carrier transport and device performance were investigated by fabricating and comparing the performances of p-MoS2, n-MoS2, and pristine-MoS2 FETs (see the Supplementary Figs. S1 and S2). The excellent doping results made the fabrication of an ultrathin vertical MoS2 p-n homogeneous junction possible. Figure 1(a)1(d) showed the fabrication details of a vertical MoS2 p-n junction. A few-layer MoS2 flake with a thickness of 11 nm was obtained by mechanical exfoliation and was used as the channel in a back-gate FET. The bottom surface was doped to form an n-type semiconductor by introducing BV, and the top surface was doped to form a p-type semiconductor by introducing AuCl3. A Cr/Pd (5 nm / 50 nm) top electrode and a Cr/Pd/Cr (5 nm / 50 nm / 5 nm) bottom electrode were contacted with the top and bottom surfaces of the MoS2 flake, respectively, to provide symmetric metal contacts. Both optical microscopy and atomic force microscopy (AFM) images clearly revealed that the stacking structure was bottom electrode / MoS2 / top electrode, as shown in Fig. 1(e)1(g). The drain-to-source current (ID) was characterized as a function of the drain and gate voltages (VD and VG) using a semiconductor parameter analyzer. A monochromator (655 nm, 15 mW) and a standard solar simulator (AM1.5 spectrum) were combined with electrical measurements to test the photoresponse. Compared to the unipolar MoS2 films, such as p-MoS2, n-MoS2, and pristine-MoS2, the MoS2 p-n homogeneous junction provided several advantages. First, it provided a clear rectifying effect on carrier transport. The output characteristics revealed a current rectification ratio, defined as the ratio of the forward current to the reverse current, of approximately 100, and the theoretical fits suggested an ideality factor (n) of 1.6, as shown in Fig. 2(a). The p-n junction properties varied depending on the applied VD, as illustrated by the 5 energy band diagrams shown in Fig. 3(a)–3(d). At equilibrium, a potential barrier was established within the channel that prevented electron and hole transport from the source to drain. As with conventional semiconductor p-n diodes, the barrier height could be increased by applying a reverse bias (VD < 0 V), or it could be reduced by applying a forward bias (VD > 0 V), giving rise to a rectifying effect on carrier transport. Secondly, unlike the p-MoS2, n-MoS2, and pristine-MoS2 FETs, which showed unipolar carrier transport, ambipolar carrier transport with a hysteresis window of 60 V was observed in the p-n MoS2 FET, as shown in Fig. 2(b). Electron and hole transport were attributed to the presence of n-MoS2 at the bottom surface and p-MoS2 at the top surface, respectively, as shown in Fig. 3(e) and 3(f). Under a positive VG, the majority carriers were generated via accumulation, which were electrons generated at the bottom (n-MoS2) and holes generated at the top (p-MoS2) of MoS2 p-n junction. By contrast, the minority carriers were generated via inversion under a negative VG, which were holes generated at the bottom (n-MoS2) and electrons generated at the top (p-MoS2). In both cases, the current flow at a positive VD was contributed by electrons and holes with (i) lateral in-plane transport along the n-MoS2 or p-MoS2 layers, and (ii) vertical inter-layer tunneling. It should be noted that electron transport, with a maximum current of the order of 10 nA, was dominant over the hole transport, with a maximum current of the order of 0.1 nA. Because the charge carrier density was controlled by capacitive coupling to the back-gate, the modulation of electron transport in n-MoS2 by the gate, which was close to the dielectric layer, was more effective. By contrast, hole transport was not effectively modulated by the gate in the p-MoS2 due to the additional capacitance of the pristine-MoS2 (Ci). Assuming that the capacitance for electron transport in n-MoS2 (Cn) was equal to the oxide capacitance (Cox), as expressed by Cn = Cox = εox/t, the capacitance for hole transport in p-MoS2 (Cp) could be approximated as Cp = (Cox –1 + Ci –1)–1. Therefore, Cp was smaller than Cn, which reduced the coupling between 6 the hole carriers and the gate. Here, εox is the oxide permittivity, and t is the oxide thickness. In addition to the electron current and hole current, a small current between those two was observed near zero gate bias (see Fig. 2(a) and 2(b)). This current was introduced by the pristine MoS2. Since the chemical doping depth was only 1.5 nm (as discussed below) for both n-type and p-type doping, the MoS2 moieties in the middle of a few-layer structure can be remained as pristine (n-type), and can form a p+-n-n+ multi-junction along the vertical direction. The current contribution from the middle pristine MoS2 moieties was relatively small due to its low carrier density compared to those of the chemically doped MoS2 moieties. The current map collected under dark conditions as a function of VD and VG indicated that electron transport proceeded at positive VG and hole transport at negative VG, as shown in Fig. 2(c). Carrier multiplication and avalanche effects were clearly observed under a reverse bias (VD < 0 V). The mapping of the corresponding photocurrent (PC), defined as the difference between the values of ID under dark or illuminated conditions, revealed two peaks under a positive VD, as shown in Fig. 2(d). The positions and magnitudes of the PC peaks indicated electron and hole transport and reflected the presence of gate-controlled metal–semiconductor barrier modulation38,39. Thirdly, the MoS2 p-n homogeneous junction had the potential to be made ultrathin, transparent, and flexible, and its vertical junction structure gave rise to a relatively large junction area that was beneficial for optoelectronic applications. For example, the strong PC generation at positive VG and VD suggested that the p-n MoS2 FET could be used as a phototransistor for light detection, as shown in Fig. 4(a) and 4(b). Under a forward bias applied at VG = 60 V and VD = 1 V, the magnitude of ID under illumination (ID,light) in the p-n junction was about two orders of magnitude larger than the magnitude of ID under dark conditions (ID,dark). The time-resolved characteristics revealed a reliable photoresponse with a stabilized PC ON/OFF ratio of ~100. Moreover, the vertical MoS2 p-n homogeneous junction 7 was demonstrated to be useful in photovoltaic applications, as shown in Fig. 4(c) and 4(d). Under illumination with a standard solar simulator, the MoS2 p-n junction functioned as a solar cell when the gate was grounded, and its energy conversion performance, including its efficiency (η), fill factor (FF), and photoresponsivity (R) were estimated to be 0.4%, 0.22, and 30 mA/W, respectively. Considering that the junction was only 11 nm thick, the chemically doped MoS2 p-n junction could potentially be quite useful in future flexible, transparent, and high-efficiency optoelectronics if the device parameters, including the layer thickness, electrode layout, doping agent, and concentration, were optimized. The vertical MoS2 p-n homogeneous junction in this work showed its own natural advantages, compared to other solar energy harvesting devices based on MoS2 p-n junction and MoS2 hybrid systems, including lateral MoS2 p-n junction37, MoS2-Au40, MoS2- graphene41, MoS2-WS2 41, MoS2-WSe2 42, and MoS2-Si43 systems (see the Supplementary Table S1). For example, in contrast to the lateral MoS2 p-n junction, the vertical p-n junction can provide a much larger planar junction area (or active area). This was very important for optoelectronic applications since the larger active area would absorb more photons, generate more photo-excited charge carriers, and increase the conversion efficiency. Compared to the heterogeneous systems, the homogeneous junction can provide the maximized carrier transport efficiency. The photo-excited charge carriers could be very easily lost at the heterogeneous interface due to a variety of factors, including the mismatch of the geometric morphology and lattice structure, the presence of the dangling bonds, surface defects, chemical residuals, absorbed H2O and O2 molecules etc. Those factors could result in a high contact resistance at the interface and a low carrier transport efficiency through the interface in the heterogeneous systems. In contrast, the homogeneous junction can naturally exclude all those deleterious factors, minimize the carrier lost through the junction, and maximize the carrier transport efficiency. 8 Discussion We characterized the electrical and optoelectronic performances of a vertical p-n homogeneous junction formed by chemically doping in few-layer MoS2 films. It was straightforward and interesting to investigate the thickness limits of a vertical p-n junction. A thickness-dependent study was carried out by fabricating a series of MoS2 p-n junctions from few-layer MoS2 films (18, 7, or 4 layers) or from the monolayer structure, as shown in Fig. 5. The potential barrier was varied as the MoS2 film thickness decreased (see Fig. 5(a)). The 18- layer MoS2 p-n junction behaved as a conventional semiconductor diode, with current rectification properties that allowed carrier transport to proceed under a forward bias due to a reduction in the potential barrier under a positive VD (see Fig. 5(b)). By contrast, conventional diode behavior was not observed in the 7-, 4-, and 1-layer MoS2 p-n junctions, in which the thickness of the p-n junction, i.e., the width of the potential barrier, was reduced to several nanometers or even less than 1 nm, and a large tunneling current was observed at a negative VD (see Fig. 5(c)–(e)). Under a low reverse bias, field-induced band bending was not severe, and direct tunneling (DT) dominated carrier transport. The DT current (ID,DT) depended linearly on the bias according to44,45 I DTD ,  VqmA 2 eff D  B 0 dh 2  exp    dm 4  B h 0 ,     (1) where Aeff is the effective contact area, ϕB is the barrier height, m0 is the free electron mass, q is the electronic charge, h is Planck’s constant, and d is the thickness of the MoS2 film (barrier width). By contrast, the tunneling distance for electron transport from the drain to the source was further reduced by field-induced band bending under a high reverse bias, and 9 Fowler–Nordheim tunneling (FNT) became dominant. The FNT current (ID,FNT) followed a nonlinear relation to the bias according to44,45 I D , FNT  2 3 VmqA eff D 0 mdh 2 8   B exp     m 8 2    B hqV 3 D 3 2 d ,     (2) where m* (0.45m0) is the effective electron mass of MoS2 18. Equation 2 could be further expressed in a linear relation as ln I    FNT 2 D , V D      ln   3 mqA eff 0 mdh 8 2  B      8  m 2   B hqV 3 D 3 2 d . (3) According to Eq. 3, ln(ID/VD 2) versus 1/VD could be plotted for each different MoS2 film thickness (see Fig. 5(f)–(i)). The strong linear dependence under a high bias suggested that FNT was dominant, and the logarithmic growth at a low bias indicated that DT was dominant. The effective value of ϕB for FNT was estimated from the slope of the linear fits, which increased from 0.14 to 0.35 eV as the MoS2 film thickness decreased from 11 to 0.7 nm. The transition voltage from DT to FNT (VD,trans) also increased from –0.6 to –0.1 V (see Fig. 5(j)), suggesting that a smaller bias was needed to trigger FNT as the MoS2 p-n junction thickness decreased. Moreover, the current rectification ratio as a function of the MoS2 film thickness clearly indicated a threshold transition between conventional rectification (with a rectification ratio > 1) and “reversed” rectification (with a rectification ratio < 1) at approximately 8 nm (12 layers, see Fig. 5(k)). In other words, the tunneling effects became dominant in vertical MoS2 p-n homogeneous junction as the film thickness dropped below 8 nm. The strong in-plane bonding and weak van der Waals inter-planar interactions yielded a chemical doping depth in MoS2 that differed from that seen in conventional semiconductors. As demonstrated previously, the MoS2 p-n junction showed ambipolar carrier transport as a result of enhanced hole transport by AuCl3 and enhanced electron transport by BV. 10 Ambipolar carrier transport may be used as a fingerprint of a p-n junction. As the MoS2 film thickness was reduced from 18 to 4 layers, ambipolar carrier transport remained, indicating the appropriate formation of a p-n junction; however, in the monolayer MoS2, only unipolar electron transport was observed, as shown in Fig. 6. This result may reflect the overlap and recombination of both p- and n-type doping in the monolayer MoS2, which eventually results in a single dominant doping type (n-type doping in this work) throughout the entire monolayer film. In other words, a vertical p-n homogeneous junction could not be formed in the monolayer MoS2. We experimentally measured the thickness limit for a vertical MoS2 p-n junction to be 3 nm (4 layers). The chemical doping depth along the direction perpendicular to the layers was estimated to be 1.5 nm (2 layers) for both p- and n-type doping. In order to confirm the doping depth, a direct observation of doping profile in MoS2 flakes was made by using secondary ion mass spectroscopy (SIMS) (see the Supplementary Fig. S3). The doping depth was found to be 2 nm for p-type doping (see the depth profile of Au which was originated from AuCl3), and to be 1.5 nm for n-type doping (see the depth profile of C and H which were originated from BV). Those results were consistent with the value (1.5 nm) estimated from the electrical measurement. This finding was further supported by fabricating another monolayer MoS2 p-n junction device using the same doping process but with double top electrodes and double bottom electrodes. This device was designed to confirm the carrier transport type at the top and bottom surfaces, respectively (see the Supplementary Fig. S4). Output characteristics showed “reversed” current rectification in which a tunneling-dominated large current was observed at the reversed bias. Transfer characteristics showed unipolar electron transport over a wide VG range. Both the features were consistent with the electrical behavior of another monolayer MoS2 p-n junction (see Fig. 5(e) and Fig. 6(d)), suggesting the good reproducibility and reliability of the vertical MoS2 p-n junction in this work. The individual 11 transfer characteristics on both the top and bottom surfaces showed electron-dominated carrier transport, suggesting the compromise of p-type doping and the overwhelming of n- type doping. This also agreed with our theory. To quantitatively analyze the metal– semiconductor contact condition, the metal–semiconductor barrier height (ϕMS) was obtained by applying a temperature-dependent test. The maximum value of ϕMS obtained from both the top and bottom metal-semiconductor interfaces were about 40 meV at the positive VG, which was in agreement with our previous discussion on the electrical behavior of a Schottky-like junction (see the Supplementary Fig. S2). Our work experimentally revealed the thickness limit of a vertical MoS2 p-n homogeneous junction and established the scaling limit for use in further design and development. In conclusion, both the unipolar MoS2, such as the p-MoS2 and n-MoS2, as well as the ambipolar vertical MoS2 p-n homogeneous junction were successfully fabricated by chemically doping AuCl3 and BV. The thickness-dependent properties of the vertical MoS2 p-n junction suggested that normal diode behavior occurred for a MoS2 film thickness exceeding 8 nm, and tunneling-dominated “reversed” rectification occurred for a film thickness smaller than 8 nm. The ultimate thickness and scaling limits for the vertical MoS2 p-n homogeneous junction were experimentally found to be 3 nm, and the chemical doping depth was found to be 1.5 nm. Given the small thickness, of the order of one nanometer, the vertical MoS2 p-n homogeneous junctions potentially have significant utility in flexible, transparent, high-efficiency electronic and optoelectronic applications. 12 Methods Device fabrication The fabrication of the p-MoS2, n-MoS2, and pristine-MoS2 FETs began with mechanical exfoliation from bulk crystals. After transfer to a p-type Si substrate (1.0–10.0 Ωcm) coated with a 90 nm thick thermal oxide layer, the MoS2 flakes were carefully selected by optical microscopy and AFM to have an approximate thickness of 10 nm for use in comparative studies. The p-MoS2 or n-MoS2 films were obtained by spin-coating a layer of AuCl3 (20 mM) or BV (20 mM), respectively, followed by annealing on a hot plate at 100°C for 10 min. The pristine-MoS2 sample reserved untreated as a reference sample. Metal Cr/Pd (5 nm / 50 nm) source and drain contact electrodes were patterned using standard electron beam lithography (EBL) and electron beam evaporation techniques. The p-n MoS2 FET was fabricated as shown in Fig. 1. Firstly, a MoS2 flake was exfoliated from the bulk crystal onto a Si substrate, onto the surface of which had been spin- coated a water-soluble polyvinyl alcohol (PVA) layer and a hydrophobic polymethyl methacrylate (PMMA) film7. Then, onto the top surface of the MoS2 flake was spin-coated a BV (20 mM) layer, and the assembly was annealed on a hot plate at 100°C for 10 min to form an n-MoS2 surface (see Fig. 1(a)). Next, the Si substrate supporting the n-MoS2 flake was floated on the surface of a deionized water bath. Once the PVA layer had completely dissolved, the PMMA film was left floating on top of the water and could be transferred to a glass slide, the surface of which was coated with a thick polydimethylsiloxane (PDMS) film. Then, the glass slide was clamped onto the arm of a micromanipulator mounted on an optical microscope. The MoS2 flake was optically aligned with the n-MoS2 surface downward and was precisely stacked on a bottom electrode that had been deposited in advance onto a target p-type Si substrate (1.0–10.0 Ωcm) coated with a 285 nm thick thermal oxide layer using 13 standard EBL and electron beam evaporation techniques (see Fig. 1(b)). During the transfer process, the target substrate was heated to 135°C to drive off any water absorbed on the flake surface, as well as to promote adhesion between PMMA and the target substrate. After transfer, the PMMA and MoS2 flake remained on the target substrate, and the PMMA layer was dissolved in acetone (see Fig. 1(c)). Next, onto the top surface of the MoS2 flake was spin-coated a AuCl3 (20 mM) layer. The structure was then annealed on a hot plate at 100°C for 10 min to form a p-MoS2 surface. The top electrode was patterned using standard EBL and electron beam evaporation techniques (see Fig. 1(d)). The bottom electrode was composed of Cr/Pd/Cr (5 nm / 50 nm / 5 nm), and the top electrode was composed of Cr/Pd (5 nm / 50 nm) in order to provide symmetric metal contacts to p-n MoS2 that were identical to the metal contacts used in the p-MoS2 and n-MoS2 devices, for comparison. Device measurements The electrical properties were characterized using a semiconductor parameter analyzer under vacuum conditions (10 mTorr) at room temperature. The source and drain contacts were equivalent in the unipolar p-MoS2, n-MoS2, and pristine-MoS2 FETs. The bottom electrode in contact with the n-doped MoS2 in the ambipolar MoS2 p-n junction was set as the source and were grounded during all measurements. The top electrode in contact with the p-doped MoS2 in MoS2 p-n junction was set as the drain, and a drain bias was applied. The optoelectronic properties were characterized using a monochromator (655 nm, 15 mW) in the phototransistor applications, and using a standard solar simulator (AM1.5 solar spectrum) in the solar cell application. 14 Energy conversion performance In solar cell applications, the vertical MoS2 p-n junction showed a short-circuit current (ISC) of 5.1 nA, and an open-circuit voltage (VOC) of 0.6 V. The current and voltage obtained at the maximum output power (Imax and Vmax) were 2.2 nA and 0.3 V, respectively. Given the vertical p-n junction area (A), which was estimated from the optical microscopy image to be ~170 μm2, the short-circuit current density (JSC) could be approximated according to JSC = ISC / A = 3.0 mA/cm2, and the current density at the maximum output power (Jmax) could be approximated according to Jmax = Imax / A = 1.3 mA/cm2. Assuming that the input power was equivalent to the solar spectrum (Pin) at 0.1 W/cm2, the maximum output power (Pmax) was estimated to be Pmax = JmaxVmax = 0.4 mW/cm2, the energy conversion efficiency (η) was estimated to be η = Pmax / Pin = 0.4%, the fill factor (FF) was estimated to be FF = Pmax / (JSCVOC) = 0.22, and the photoresponsivity (R) was estimated to be R = Jmax / Pin = 30 mA/W. 15 References 1. Hsu, A. et al. Large-area 2-D electronics: Materials, technology, and devices. Proc. 2. 3. IEEE 101, 1638–1652 (2013). Jena, D. Tunneling transistors based on graphene and 2-D crystals. Proc. IEEE 101, 1585–1602 (2013). Butler, S. Z. et al. Progress, challenges, and opportunities in two-dimensional materials beyond graphene. ACS Nano 7, 2898–2926 (2013). 4. Geim, A. K. & Novoselov, K. S. The rise of graphene. Nat. Mater. 6, 183–191 (2007). 5. 6. Schwierz, F. Graphene transistors. Nat. Nanotech. 5, 487–496 (2010). Bonaccorso, F., Sun, Z., Hasan, T. & Ferrari, A. C. Graphene photonics and optoelectronics. Nat. Photon. 4, 611–622 (2010). 7. Dean, C. R. et al. Boron nitride substrates for high-quality graphene electronics. Nat. 8. 9. Nanotech. 5, 722–726 (2010). Liu, H. et al. Phosphorene: An unexplored 2D semiconductor with a high hole mobility. ACS Nano 8, 4033–4041 (2014). Li, L. et al. Black phosphorus field-effect transistors. Nat. Nanotech. 9, 372–377 (2014). 10. Wang, Q. H., Kalantar-Zadeh, K., Kis, A., Coleman, J. N. & Strano, M. S. Electronics and optoelectronics of two-dimensional transition metal dichalcogenides. Nat. Nanotech. 7, 699–712 (2012). 11. Chhowalla, M. et al. The chemistry of two-dimensional layered transition metal 12. dichalcogenide nanosheets. Nat. Chem. 5, 263–275 (2013). Jariwala, D., Sangwan, V. K., Lauhon, L. J., Marks, T. J. & Hersam, M. C. Emerging device applications for semiconducting two-dimensional transition metal dichalcogenides. ACS Nano 8, 1102–1120 (2014). 13. Britnell, L. et al. Field-effect tunneling transistor based on vertical graphene heterostructures. Science 335, 947–950 (2012). 14. Ghosh, R. K. & Mahapatra, S. Direct band-to-band tunneling in reverse biased MoS2 nanoribbon p-n juncitons. IEEE Trans. Electron Devices 60, 274–279 (2013). 15. Liu, H., Neal, A. T. & Ye, P. D. Channel length scaling of MoS2 MOSFETs. ACS Nano 6, 8563–8569 (2012). 16 16. Liu, H., Gu, J. & Ye, P. D. MoS2 nanoribbon transistors: Transition from depletion mode to enhancement mode by channel-width trimming. IEEE Electron Device Lett. 33, 1273–1275 (2012). 17. Liu, L., Lu, Y. & Guo, J. On monolayer MoS2 field-effect transistors at the scaling limit. IEEE Trans. Electron Devices 60, 4133–4139 (2013). 18. Yoon, Y., Ganapathi, K. & Salahuddin, S. How good can monolayer MoS2 transistor be? Nano Lett. 11, 3768–3773 (2011). 19. Choi, M. S. et al. Controlled charge trapping by molybdenum disulphide and graphene in ultrathin heterostructured memory devices. Nat. Commun. 4, 1624 (2013). 20. Bertolazzi, S., Krasnozhon, D. & Kis, A. Nonvolatile memory cells based on MoS2/graphene heterostructures. ACS Nano 7, 3246–3252 (2013). 21. Roy, K. et al. Graphene-MoS2 hybrid stuctures for multifunctional photoresonsive memory devices. Nat. Nanotech. 8, 826–830 (2013). 22. Yin. Z. et al. Single-layer MoS2 phototransistors. ACS Nano 6, 74–80 (2012). 23. Lee, H. S. et al. MoS2 nanosheet phototransistors with thickness-modulated optical energy gap. Nano Lett. 12, 3695–3700 (2012). 24. Lopez-Sanchez, O., Lembke, D., Kayci, M., Radenovic, A. & Kis, A. Ultrasensitive photodetectors based on monolayer MoS2. Nat. Nanotech. 8, 497–501 (2013). 25. Yu, W. J. et al. Highly efficient gate-tunable photocurrent generation in vertical heterostructures of layered materials. Nat. Nanotech. 8, 952–958 (2013). 26. Sundaram, R. S. et al. Electroluminescence in signle layer MoS2. Nano Lett. 13, 1416– 1421 (2013). 27. Lopez-Sanchez, O. et al. Light generation and harvesting in a van der Waals heterostructure. ACS Nano 8, 3042–3048 (2014). 28. Radisavljevic, B., Whitwick, W. B. & Kis, A. Integrated circuits and logic operations based on single-layer MoS2. ACS Nano 5, 9934–9938 (2011). 29. Wang, H. et al. Integrated circuits based on bilayer MoS2 transistors. Nano Lett. 12, 4674–4680 (2012). 30. Li, Y., Xu, C.-Y., Hu, P. & Zhen, L. Carrier control of MoS2 nanoflakes by functional self-assembled monolayers. ACS Nano 7, 7795–7804 (2013). 31. Lin, J. et al. Modulating electronic transport properties of MoS2 field effect transistor by surface overlayers. Appl. Phys. Lett. 103, 063109 (2013). 17 32. Bao, W., Cai, X., Kim, D., Sridhara, K. & Fuhrer, M. S. High mobility ambipolar MoS2 field-effect transistors: Substrate and dielectric effects. Appl. Phys. Lett. 102, 042104 (2013). 33. Zeng, L. et al. Remote phonon and impurity screening effect of substrate and gate dielectric on dynamics in single layer MoS2. Appl. Phys. Lett. 103, 113505 (2013). 34. Kim, S. et al. High-mobility and low-power thin-film transistors based on multilayer MoS2 crystals. Nat. Commun. 3, 1011 (2012). 35. Shin, H.-J. et al. Control of electronic structure of graphene by various dopants and their effects on a nanogenerator. J. Am. Chem. Soc. 132, 15603–15609 (2010). 36. Du, Y., Liu, H., Neal, A. T., Si, M. & Ye, P. D. Molecular doping of multilayer MoS2 field-effect transistors: reduction in sheet and contact resistances. IEEE Electron Device Lett. 34, 1328–1330 (2013). 37. Choi, M. S. et al. Lateral MoS2 p-n junction formed by chemical doping for use in high-performance optoelectronics. ACS Nano 8, 9332–9340 (2014). 38. Li, H.-M. et al. Metal-semiconductor barrier modulaiton for high photoresponse in transition metal dichalcogenide field effect transistors. Sci. Rep. 4, 4041 (2014). 39. Li, H-M. et al. Gate-controlled Schottky barrier modulation for superior photoresponse of MoS2 field effect transistor. in IEEE IEDM Tech. Dig. 507–510 (2013). 40. Shanmugam, M., Durcan, C. A. & Yu, B. Layered semiconductor molybdenum disulfide nanomembrane based Schottky-barrier solar cells. Nanoscale 4, 7399–7405 (2012). 41. Bernardi, M., Palummo, M. & Grossman, J. C. Extraordinary sunlight absorption and one nanometer thick photovoltaics using two-dimensional monolayer materials. Nano Lett. 13, 3664–3670 (2013). 42. Furchi, M. M., Pospischil, A., Libisch, F., Burgdorfer, J. & Muller, T. Photovoltaic effect in an electrically tunable van der Waals heterojunction. Nano Lett. 14, 4785– 4791 (2014). 43. Tsai, M.-L. et al. Monolayer MoS2 heterojunction solar cells. ACS Nano 8, 8317–8322 44. (2014). Ikuno, T. et al. Electron transport properties of Si nanosheets: Transition from direct tunneling to Fowler-Nordheim tunneling. Appl. Phys. Lett. 99, 023107 (2011). 45. Lee, G.-H. et al. Electron tunneling through atomically flat and ultratin hexagonal boron nitride. Appl. Phys. Lett. 99, 243114 (2011). 18 Acknowledgements This work is supported by the Basic Science Research Program through the National Research Foundation of Korea (NRF) (2009-0083540, 2013-015516), and by the Global Frontier R&D Program (2013-073298) on the Center for Hybrid Interface Materials (HIM), funded by the Ministry of Science, ICT & Future Planning. Author contributions H. M. L., A. S., and W. J. Y. conceived of the research project, supervised the experiment, and wrote the paper. H. M. L., D. L., and J. R. performed the device fabrication. H. M. L. and X. L. performed the electrical and optoelectronic characterization. H. M. L. and D. Q. performed the doping process and AFM analysis. Additional information Competing financial interests: The authors declare no competing financial interests. 19 Figures (a) (b) Glass slide n-type doping by BV MoS2 PMMA PVA p-Si (d) p-type doping by AuCl3 (c) MoS2 Cr/Pd/Cr Cr/Pd SiO2 p-Si SiO2 p-Si p-Si SiO2 PDMS PMMA MoS2 Cr/Pd/Cr MoS2 Cr/Pd/Cr (e) (f) SiO2 (g) SiO2 5 um Bottom electrode MoS2 11 nm Top electrode Bottom electrode MoS2 Top electrode FIG. 1. Fabrication of chemically doped vertical p-n homogeneous junction in a few-layer MoS2 flake. (a) A MoS2 flake was transferred onto a PMMA/PVA/Si substrate, then BV-doped and annealed. (b) After dissolving the PVA layer in deionized water, the PMMA film supporting a MoS2 flake was transferred to a PDMS/glass substrate. (c) The MoS2 flake was stamped onto the SiO2/Si substrate, and the n-doped surface was aligned with the Cr/Pd/Cr bottom electrode prepared in advance. (d) After AuCl3 doping and annealing, the vertical p-n junction in the MoS2 flake was formed, followed by the deposition of a Cr/Pd top electrode. (e, f, g) Optical microscopy image, AFM height image with a line scan profile, and AFM phase image of a vertical p-n homogeneous junction composed of a few-layer MoS2 flake. 20 -1.0 -0.5 0.0 0.5 1.0 1.5 2.0 -60 -40 -20 0 20 40 60 (a) ) A n ( D I 0.6 0.5 0.4 0.3 0.2 0.1 0.0 10-8 10-9 10-10 10-11 10-12 VG=60 V n=1.6 1 2 -2 -1 0 60 V 0 V -60 V (c) ) V ( G V 60 40 20 0 -20 -40 -60 -3 VD (V) ID (nA) Laser off Electron transport Ambipolar p-n MoS2 FET Multiplication and avalanche Hole transport 0.52 0.43 0.33 0.24 0.15 0.06 -0.04 -0.13 -0.22 -0.31 -0.41 -0.50 -2 1 0 -1 VD (V) 2 3 (b) ) A ( D I 10-5 10-6 10-7 10-8 10-9 10-10 10-11 10-12 (d) ) V ( G V 60 40 20 0 -20 -40 -60 -3 For. Rev. Laser off Laser on p-n MoS2 FET VD=1 V VG (V) PC (uA) Electron transport Ambipolar p-n MoS2 FET Hole transport 0.20 0.17 0.13 0.10 0.07 0.03 0.00 -0.03 -0.06 -0.10 -0.13 -2 1 0 -1 VD (V) 2 3 FIG. 2. Electrical and optoelectronic properties of the p-n MoS2 FET. (a) Output characteristics at various VG levels between 60 and –60 V, along steps of 10 V. Inset: Output characteristics on the logarithmic scale in the current-on state. The ideality factor was estimated as 1.6. (b) The transfer characteristics and their photoresponses during both the forward and reverse sweeps. (c, d) Channel current mapping under dark conditions and the corresponding PC mapping as a function of various VD (from –3 to 3 V) and VG (from –60 to 60 V) levels illustrate the ambipolar carrier transport. 21 (a) (b) VD=0 V Pd Cr p-n MoS2 VD>0 V qVD Evac 4.1 eV 0.9 eV 1.1 eV E x 5.2-5.6 eV 8-9 eV (d) z Evac qVD EF E x z 4.5 eV 4.0-4.2 eV EF 1.2 eV VD<0 V qVD EF qVD Pd Cr p-Si SiO2 y x z (c) Evac E x z (e) VD>0 V (f) VD>0 V Drain z x p-MoS2 n-MoS2 SiO2 p-Si Source Drain z x p-MoS2 n-MoS2 SiO2 p-Si Source VG>0 V VG<0 V FIG. 3. Effect of drain and gate biases on carrier transport in the p-n MoS2 FET. (a, b) The schematic diagram and the corresponding energy band diagrams versus the x-z plane under equilibrium condition. The black and red sold lines denote Evac along the z-axis and EF in the MoS2 p-n junction, respectively. (c, d) The energy band diagrams illustrate a reduced potential barrier under a forward bias (VD > 0 V), and an enlarged potential barrier under a reverse bias (VD < 0 V). (e, f) The cross-section views illustrate the majority carrier transport at the accumulation (VG > 0 V), and the minority carrier transport at the inversion (VG < 0 V). 22 (a) ) A n ( D I 0.6 0.5 0.4 0.3 0.2 0.1 0.0 -0.1 (c) ) A n ( D I 0.20 0.15 0.10 0.05 0.00 Laser off Laser on -2 -1 0 1 2 10-4 10-6 10-8 10-10 10-12 -2 -1 Light off Light on -2 -1 0 1 0 VD (V) 10-4 10-6 10-8 10-10 10-12 2 VG=60 V Laser off 1 2 -0.05 -2 -1 VG=0 V Solar light off 1 2 0 VD (V) ON VG=60 V VD=1 V 80 60 40 20 (b) ) A n ( D I 0 100 2 1 0 -1 -2 -3 -4 -5 -0.3 OFF 120 140 160 Time (s) 180 200 Light off Light on VG=0 V JSC=3.0 mA/cm2 VOC=0.6 V Pmax=0.4 mW/cm2 Pin=100 mW/cm2 η=0.4%, FF=0.22 R=30 mA/W 0.0 0.3 VD (V) 0.6 0.9 (d) ) 2 m c / A m ( D J FIG. 4. Application of vertical MoS2 p-n junctions for use in optoelectronic applications. (a, b) The p-n MoS2 FET was used as a phototransistor for photodetection at VG = 60 V. The time-resolved photoresponse at VG = 60 V and VD = 1 V illustrates a PC ON/OFF ratio of ~100. (c, d) The MoS2 p-n junction was used as a solar cell for light harvesting at VG = 0 V. The current density as a function of VD illustrates the energy conversion properties. 23 3.5 eV FNT Evac 1.8 eV FNT DT FNT DT Cr Cr Cr Cr Cr Cr Pd Pd Pd Pd Pd Pd Pd (a) 4.0-4.2 eV 4.5 eV 5.2-5.6 eV 1.2 eV p-i-n MoS2 EF Cr Cr Pd 18 layers (11 nm) (c) 18 layers VG=60 V 0 -2 2 VD (V) 10-9 (b) 10-10 ) A ( D I 10-11 10-12 -4 (f) ) 2 D V D / I ( n l -20 -21 -22 -23 -24 -25 -26 4 layers (3 nm) DT & FNT (e) 10-7 10-8 10-9 10-10 10-11 10-12 -4 ) A ( D I 4 -20.0 (g) -20.5 -21.0 -21.5 ) 2 D V D / I ( n 7 layers (5 nm) DT & FNT 10-9 10-10 10-11 (d) ) A ( D I 10-12 4 10-13 -4 7 layers VG=60 V 0 -2 2 VD (V) DT FNT (h) ) 2 D V D / I ( n l -22 -23 -24 -25 -26 -27 4 layers VG=60 V 0 -2 2 VD (V) FNT DT 10-8 10-9 10-10 10-11 10-12 10-13 10-14 -4 -21 -22 -23 -24 -25 -26 -27 -28 1 layer (0.7 nm) FNT 1 layer VG=60 V 0 -2 2 VD (V) FNT -5 -10 0 1/VD (V-1) ) A ( D I 4 (i) ) 2 D V D / I ( n l 5 0 10 1/VD (V-1) -22.0 -22.5 -5 -10 0 1/VD (V-1) l -5 -10 0 1/VD (V-1) (j) ) V e ( B  0.40 0.35 0.30 0.25 0.20 0.15 0.10 Layer Number 0 2 4 6 8 10 12 14 16 18 20 0.4 0.2 0.0 -0.2 -0.4 -0.6 V D , t r a n s ( V ) 0 2 6 4 8 d (nm) 10 12 (k) o i t a R n o i t a c i f i t c e R 102 101 100 10-1 10-2 10-3 Layer Number 0 2 4 6 8 10 12 14 16 18 20 3 V 2 V 1 V Conventional rectification 0 2 Reversed rectification 8 4 d (nm) 6 10 12 4 FIG. 5. Thickness-dependent current rectification of vertical MoS2 p-n junctions. (a) Energy band diagrams of the devices prepared with vertical p-n junctions of various MoS2 thicknesses. The MoS2 band gap was equal to 1.2 eV for the few-layer structure 24 and 1.8 eV for the monolayer. (b, c, d, e) Output characteristics of the p-n MoS2 FETs with layer numbers of 18, 7, 4, and 1. The red and blue backgrounds indicate the current-on and current-off states, respectively. (f, g, h, i) The corresponding Fowler– Nordheim plots of the vertical MoS2 p-n junctions with layer numbers of 18, 7, 4, and 1. The red line denotes the linear fit to the FNT currents. (j) The barrier height and DT- FNT transition voltage as functions of the MoS2 thickness and layer number. (k) Current rectification ratio as a function of the MoS2 thickness and layer number at various VD (±3, ±2 and ±1 V) levels, indicating a transition between the conventional rectification and reversed rectification at ~8 nm (red dot circle). 25 (a) ) A ( D I 10-8 10-9 10-10 10-11 10-12 Ambipolar transport (b) ) A ( D I 18 layers VD=3 V -100 100 0 VG (V) 10-6 10-7 10-8 10-9 10-10 10-11 10-12 -100 Ambipolar transport 7 layers VD=–3 V 0 100 VG (V) (c) ) A ( D I 10-7 10-8 10-9 10-10 10-11 10-12 10-13 Ambipolar transport (d) ) A ( D I -100 4 layers VD=–3 V 0 100 VG (V) 10-7 10-8 10-9 10-10 10-11 10-12 10-13 Unipolar transport -100 1 layer VD=–3 V 0 100 VG (V) FIG. 6. Thickness-dependent carrier transport in MoS2 p-n junctions. Transfer characteristics of the vertical MoS2 p-n junctions in the current-on state illustrate ambipolar transport for layer numbers of (a) 18, (b) 7, and (c) 4, but illustrate unipolar transport for (d) the monolayer. 26
1109.3612
2
1109
2011-09-23T15:30:20
Exciton-phonon coupling efficiency in CdSe quantum dots embedded in ZnSe nanowires
[ "cond-mat.mes-hall" ]
Exciton luminescence of a CdSe quantum dot (QD) inserted in a ZnSe nanowire is strongly influenced by the dark exciton states. Because of the small size of these QDs (2-5nm), exchange interaction between hole and electron is highly enhanced and we measured large energy splitting between bright and dark exciton states ($\Delta E\in [4, 9.2 ]$ meV) and large spin flip rates between these states. Statistics on many QDs showed that this splitting depends on the QD size. Moreover, we measured an increase of the spin flip rate to the dark states with increasing energy splitting. We explain this observation with a model taking into account the fact that the exciton-phonon interaction depends on the bright to dark exciton energy splitting as well as on the size and shape of the exciton wave function. It also has consequences on the exciton line intensity at high temperature.
cond-mat.mes-hall
cond-mat
Exciton-phonon coupling efficiency in CdSe quantum dots embedded in ZnSe nanowires S. Bounouar1,2, C. Morchutt2, M. Elouneg-Jamroz1,2, L. Besombes1, R. André1, E. Bellet-Amalric2, C. Bougerol1, M. Den Hertog3, K. Kheng2, S. Tatarenko1, and J. Ph. Poizat1 1 CEA-CNRS-UJF group 'Nanophysique et Semiconducteurs', Institut Néel, CNRS - Université Joseph Fourier, 38042 Grenoble, France, 2 CEA-CNRS-UJF group 'Nanophysique et Semiconducteurs', CEA/INAC/SP2M, 38054 Grenoble, France, 3 Institut Néel, CNRS - Université Joseph Fourier, 38042 Grenoble, France, Exciton luminescence of a CdSe quantum dot (QD) inserted in a ZnSe nanowire is strongly influenced by the dark exciton states. Because of the small size of these QDs (2-5nm), exchange interaction between hole and electron is highly enhanced and we measured large energy splitting between bright and dark exciton states (∆E ∈ [4, 9.2] meV) and large spin flip rates between these states. Statistics on many QDs showed that this splitting depends on the QD size. Moreover, we measured an increase of the spin flip rate to the dark states with increasing energy splitting. We explain this observation with a model taking into account the fact that the exciton-phonon interaction depends on the bright to dark exciton energy splitting as well as on the size and shape of the exciton wave function. It also has consequences on the exciton line intensity at high temperature. PACS numbers: 78.67.Lt, 78.55.Et I. INTRODUCTION Semiconductor nanowires (NWs) have attracted great attention in the last few years since they hold great promise to become building blocks in tomorrow's nanoscale devices and circuits with vast potential appli- cations ranging from nanoelectronics [1 -- 3], optoelectron- ics (light emitting diodes [4, 5], nanolasers [6]), thermo- electrical energy conversion [7], to biological or chemical sensors [8]. Most of the NW growth methods allow for the variation of the chemical composition [9, 10] or doping [11] along the longitudinal or radial directions. This en- ables the fabrication of semiconductor heterostructures, and more specifically of quantum dots (QDs). Single QDs have turned out to be excellent candi- dates for stable and efficient single photon sources [12 -- 16]. Within this category, QDs embedded in NWs have already demonstrated single photon emission [17], even at relatively high temperature (T = 220K) [18]. Quest for efficient and eventually room temperature QD sin- gle photon sources requires a good understanding of the excitonic dynamics in such systems. In a QD, exciton states are split by the electron hole exchange interaction into higher energy bright exciton states (BS) and lower energy dark states (DS) [19] with respective angular momentum of Jz = ±1 and Jz = ±2. In the present system, the energy splitting is relatively large, and has already been measured around ∆E = 6 meV [20]. As exchange interaction in semi-conductor ma- terials is proportional to the spatial overlap between the electron and hole wave functions, it is strongly enhanced in low dimensional objects. Values of ∆E ∈ [2, 4]meV have been measured in quantum wells [21] and it has been demonstrated that they can be even higher in quan- tum wires [22]. Extremely large splitting were calculated and observed [23] in colloidal QDs (∆E ∈ [2, 20] meV). This is the result of very good confinement of the car- riers owing to the small size of the QDs. Effects of the dark states on the QD luminescence become noticeable when ∆E ≫ kBT , with kB the Boltzmann constant and T the temperature [20, 24, 25]. We show that under non resonant pumping, the BS excitonic population leaks to- wards the DS that recombines non-radiatively, leading to a reduced excitonic light emission compared to the biex- citonic one. Transitions between the BS and DS states are due to hole spin flips assisted by phonons [26]. The speed of this processes depends essentially on the effi- ciency of the hole interaction with the phonon reservoir experienced by the QD [27]. In this paper, we show that the QD size can highly influence the QD phonon spectral density and modify the spin flip rates between the bright and dark exciton states. It not only has consequences on the exciton intensity at T = 4K but also at high temperatures. The paper is organized as follows. The sample prepara- tion and the setup are presented in section II. In section III the BS to DS energy splitting ∆E of several QDs is extracted using temperature dependent lifetime mea- surements. In section IV we present the experimental dependence of the spin flip rate versus ∆E, and suggest an explanation based on a theoretical model. These re- sults are then used in section V to discuss the exciton to biexciton line intensity ratio as a function of ∆E and of the temperature. II. SAMPLE AND SETUP The nanowires are grown by molecular beam epitaxy using the vapor-liquid-solid technique [28]. The substrate is GaAs 100 with a ZnSe buffer. After dewetting of a thin layer of gold at 500°C, the growth is performed at 410°C. The nanowires have a diameter of 10 nm and a length of 400 nm. The wire diameter (around 10 nm) is of the order of the bulk exciton Bohr diameter in CdSe (11nm), which means that the carriers in the QD are in the strong confinement regime. In order to study single QD, the nanowires are broken and dispersed on a sili- con substrate by direct contact. High resolution trans- mission electron microscope (TEM) images revealed very small QD sizes, from 2 to 5 nm in the nanowire direction [29]. Other TEM experiments coupled to spectroscopy on other samples showed that this growth technique of- ten led to ZnSe encapsulated QDs. Photoluminescence on as-grown samples is centered around 2,35eV with a dispersion of ±0.07eV . The experimental apparatus is a time resolved mi- crophotoluminescence experiment setup. The excitation source is a frequency doubled picosecond Ti sapphire laser emitting at a wavelength of λ = 950/2 = 475 nm (ie 2.6 eV). Nanowires luminescence is detected through a δλ = 0.04 nm (ie δE = 0.2 meV) resolution spectrometer on a charged coupled device (CCD) camera for spectra or on a low jitter (40ps) avalanche photodiode (APD) for time resolved measurements (60 ps time resolution for the whole set-up). III. QD SIZE EFFECT ON THE EXCHANGE INTERACTION Figure 1(a) shows typical spectrum obtained on a sin- gle nanowire. The two transitions correspond to exciton and biexciton (respectively denoted X and XX) and were identified using cross-correlation techniques [20]. The biexciton binding energy is measured around 20 meV. The four relevant states of a neutral QD are represented on figure 1(c). The exciton is splitted in two states (noted DS and BS) linked by spin flip transition rates noted γsp1 and γsp2. The quantities ΓX and ΓXX are the radia- tive recombination rates of the bright exciton and the biexciton, and ΓN R is the non radiative decay rate of the dark exciton. These quantities have been measured at T = 4 K on nine QDs as 1/ΓX = 0.50 ± 0.05 ns, 1/ΓXX = 0.30± 0.05 ns, and 1/Γnr = 5.0± 0.5 ns, where the error is the dispersion amongst QDs. An example of power dependence under pulsed excitation is shown in figure 1(b) evidencing the linear and quadratic behavior of the X and XX line intensity respectively. In all the in- vestigated QDs, saturating intensity of the exciton emis- sion is smaller than the biexciton, which is a signature of the strong influence of the dark exciton [24]. When ∆E ≫ kBT , dark excitons cannot transit back to the bright state as there are no phonons available for such a spin flip and dark excitons are stored until recombining non radiatively. As a result, the photoluminescence of the exciton is less intense than that of the biexciton at saturation. The biexciton luminescence is not affected by the presence of the DS, whereas the exciton BS has a large probability to decay to the DS and not produce any photon. As spin flip rates are here of same order of 2 Figure 1: (Color online) (a) Spectrum of a neutral QD at T = 4 K. (b) Power dependence of the X and XX lines under pulsed excitation at T = 4 K. (c) Level scheme and transition rates of a neutral QD. ) 1 − s n ( 2 p s 1 p s γ , γ 4 3 2 1 0 0 γ sp1 γ sp2 50 Temperature (K) 100 Figure 2: (Color online) Transition rates γsp1 and γsp2 versus temperature. The dashed lines are the plot from the the- oretical model following phonon population evolution with temperature. magnitude or larger than the BS radiative decay, exciton intensity is generally small compared to the biexciton. Temperature dependence of exciton decay time give access to the energy splitting ∆E between DS and BS [20, 25]. Spin flip transition rates depend on the spec- tral density of the acoustic phonons at the energy of the transition ∆E and on the phonon population that fol- 10 9 8 7 6 5 ) V e m ( E ∆ 4 2.25 2.3 2.35 2.4 Exciton energy (eV) 3 8 6 4 2 ) 1 − s n ( 1 p s γ 2.45 2.5 0 2 4 6 8 ∆ E (meV) 10 12 Figure 3: (Color online) Increase of splitting energies ∆E between DS and BS measured on nine QDs as a function of their excitonic emission energy. lows a Bose-Einstein distribution NB(∆E, T ) = 1/(1 − exp(∆E/kBT )). The spin flip rates γsp1 (bright to dark) and γsp2 (dark to bright) can be written as: γsp1 ∝ (NB(∆E, T ) + 1)R(∆E), γsp2 ∝ NB(∆E, T )R(∆E). (1) (2) The quantity R(∆E) depends on the BS-DS energy split- ting ∆E but also on the spatial extension and shape of the wave function of the exciton[30, 31]. At T = 0K, NB = 0, so that the spectral density R(∆E) ∝ γsp1(0K) represents the bright to dark state transition rate and γsp2 = 0. When the temperature is increased so that kBT ∼ ∆E, the bright exciton state is repopulated. For each temperature the transition rates γsp1 and γsp2 are extracted from the time resolved lu- minescence and their evolution is fitted using the model based on the level scheme of figure 1 (c)) with ∆E as the fitting parameter. This process is repeated on nine QDs. As shown on figure 3, measured splittings range from 4.2 meV to 9.2 meV. A clear trend is appearing: splittings measured for low energy emission QDs are generally smaller compared to splittings measured for high energy emission QDs. The latters correspond to small size QDs, where electron and hole wave functions overlap very well, so that their ex- change energy is large, leading to large ∆E. Such re- lationships between QD sizes and energy splittings have been calculated for colloidal QDs [32]. Change in compo- sition of the CdxZn1−xSe QD can also affect the emission energy and the value of ∆E. Our measurements do not follow exactly a smooth law. The shape of the confine- ment has also a strong influence on the wave function forms, and consequently on their correlation function. For example the prolate or oblate nature of the QD geom- etry appears to have an important effect. This explains why we obtained a cloud of experimental points following a general trend instead of a strict dependence. Figure 4: (Color online) Transition rate from BS to DS γsp1 at 4K plotted as a function of splitting energy ∆E between DS and BS for nine differents QDs. The dotted line is a guide for the eyes. IV. EFFICIENCY OF THE EXCITON-PHONON COUPLING In figure 4, we have plotted the transition rates from BS to DS measured at 4K, γsp1(4K), versus energy split- tings ∆E for nine different QDs. A clear enhancement of the transition rate for large ∆E can be observed. It can be also noted that the measured rates are comparable and even large than the excitonic radiative rate [24]. This is why the bright exciton is so depopulated compared to the biexciton in all the QDs investigated. The results displayed in figure 4 indicate that large ∆E splittings lead to extremely fast depopulation of the bright state suggesting that exciton-phonon interaction becomes more efficient with large ∆E. As explained, larger splittings correspond to small QDs. Exciton cou- pling to phonons not only depends on the energy needed for the spin flip but also on the QD size. But size and ∆E are not independent parameters and induce oppo- site effects on the transition rates. In order to explain this non-trivial behavior, it is necessary to calculate the phonon spectral density as a function of QD size and energy splitting ∆E for each particular QD to obtain a general trend. since longitudinal optical We shall only consider longitudinal acoustical (LA) compression modes (LO) phonons have energies of about 30 meV far above the dark - bright exciton splitting ∆E. In the following we will perform this calculation both in the 3D and in the 1D case for the available phonon modes. The NW geome- try is obviously somewhere in-between these two extreme cases that will only give us a qualitative behavior. We first consider the 3D situation. The phonons dis- persion is bulk-like, approximated by the Debye law w(k) = clk, with cl the sound speed for LA phonons in the semiconductor material. Piezoelectric interactions and Fröhlich longitudinal optical (LO) phonon couplings are neglected. The exciton-phonon interaction is dom- inated by the hole-phonon interaction [26]. We there- fore consider only the latter, whose Hamiltonian can be written, in the second quantization representation with respect to the carrier states, as: Hh = 1 √N Xknn′ a† na′ nfh,nn′(k)(bk + b−k), (3) where a† n and an are the hole creation and annihilation operators, b† k and bk are LA phonon creation and annihi- lation operators. The index n represents the excitation level of the hole, and k the phonon mode. The coupling constant is defined as fh,nn′(k) = σhs k 2ρV cl Fnn′ (k), (4) where σh is the deformation potential for holes, V is the unit cell volume, ρ is the CdSe volumic mass. The quan- tity Fnn′ (k) is a purely geometrical form factor given by [27] : 4 The quantity Ro contains all material parameters. The cubic dependence is due to the quadratic phonon density of state, and the function g(E) is a function of the energy and of the geometrical parameters of the QD [33]: g(E) =Z π 2 − π 2 ζ cos ζ exp(cid:20)− (l⊥)2E 2 22c2 l ×(cid:18)cos2ζ + l2 z (l⊥)2 sin2ζ(cid:19)(cid:21) dζ, (11) where ζ is the angle of the wave vector k with respect to the normal to the z direction. Describing coupling of the hole to phonons confined in a nanowire the same way as in a 3D semi conductor bulk matrix seems a rough approximation. So we also considered the 1D case in which the nanowire is taken as an infinitely thin monomode wave guide. The phonon density of state is constant and we consider that only the phonons propagating along the nanowire (z direction) can couple to the hole whose wavefunction is taken as ψh(r) ∝ exp[−(1/2)(z/lz)2]. The spectral density becomes: Fnn′ (k) =Z ∞ −∞ d3rψ∗ n(r)eikrψn′ (r). (5) with In order to evaluate the coupling constant for the low- est hole state f11(E) we consider the harmonic oscillator potential ground state as the wave function of the hole: ψ(r) = 1 π3/4l⊥√lz exp"− 1 l⊥(cid:19)2 2(cid:18) r⊥ − 1 lz(cid:19)2# , 2(cid:18) z (6) where r⊥ is the position component in the xy plane and l⊥, lz are respectively the in plane, and out of plane (z direction) localization widths. For this wave function the form factor is easily found as F11(k) = exp"−(cid:18) k⊥l⊥ 2 (cid:19)2 −(cid:18) kzlz 2 (cid:19)2# . (7) For the lowest hole state, the phonon spectral density in the QD is: R(E) = × 1 2 (NB(E) + 1) 1 F11(k)F ∗ N Xk 11(k)[δ(E − E(k)) + δ(E + E(k))]. (8) After performing the summation over k in the contin- uum limit, introducing the quadratic density of state of the phonons corresponding to the 3D case, the phonon spectral density is : with R(E) = RoE 3g(E), Ro = (σh)2 8π2ρc5 l . (9) (10) R1D(E) ∝ Eg1D(E), g1D(E) = exp(cid:20)− l2 zE 2 22c2 l (cid:21) . (12) (13) The phonon spectral density is linear with energy but the geometrical factor g1D(E) has the same gaussian energy dependence as g(E). Since at T = 4K, γsp1(4K) ∝ R(∆E), the BS to DS spin flip rate evolution from dot to dot can be de- scribed by calculating the phonon spectral density for each QD. Three calculated 3D phonon spectral densities corresponding to three different lz values (lz = 2, 4, 6 nm) are plotted in figure 5(a). Because of its increase with energy (linear for 1D, cubic for 3D), R(∆E) cor- responding to QDs with smaller lz have their maximum enhanced and shifted toward the higher energies. As a consequence, in small QDs, high energy phonons couple more efficiently with the hole. We propose to explain qualitatively the increase of the transition rate observed in figure 4 by this size effect. The hole-phonon coupling efficiency, Rlz (∆E) depends on the QD size lz and on the energy splitting ∆E. We determine the relation between lz and ∆E by evaluating the short range hole-electron exchange energy in the QD: ∆E = ∆E 3d 1 ϕ3d(0)2 Z ψe(r)2ψh(r)2d3r, (14) where ψe/h(r) are the electron/hole wave functions, ∆E 3d is the exchange energy in the bulk material ZnCdSe [34] (∆E 3d = 0.19 meV, for a Cd0.5Zn0.5Se composition of the QD as measured in high resolution TEM exper- iments [29]), and ϕ3d(0)2 = 1/πa∗3 B the Bohr radius of the free exciton. To match the measured val- ues of ∆E (from 4 meV to 9 meV), we set the lateral B with a∗ ) t a s ( X X I / X I 1 0.9 0.8 0.7 0.6 0.5 0.4 0.3 0.2 0 50 ∆E= 4.5 meV ∆E= 8.5 meV 100 150 200 Temperature (K) 5 250 300 Figure 7: (Color online) Experimental exciton-biexciton sat- uration ratio under pulsed excitation versus temperature for two QDs with respectively ∆E = 4.5 meV (blue squares) and ∆E = 8.5 meV (red circles). Dashed lines are vertical cut (fixed ∆E) from the model used in figure 6. a trivial result as the cut-off imposed by the dimensions of the QD makes this efficiency vanish for higher energy phonons. The effect of the confinement dimensions on the phonon spectral density can be a good explanation for the trend measured by the experiment in figure 4. The two situations considered here are extreme cases and we can expect a real nanowire geometry to impose an inter- mediate behavior for the hole phonon coupling. V. EXCITON LUMINESCENCE INTENSITY This increase of the exciton-phonon coupling efficiency with energy splitting has some consequences on the tem- perature dependent exciton-biexciton saturation ratio under pulsed excitation. This calculated ratio is repre- sented in figure 6 as a function of temperature and energy splitting ∆E. We used the level scheme presented in fig- ure 1 and the values of the transition rates given in sec- tion III. The relation between γsp1(4K) and ∆E is taken from the function used as a guide for the eyes in figure 4. We also considered the increase of the dark exciton non radiative recombination Γnr(T ) with temperature follow- ing an Arrhenius law Γnr(T ) ∼ exp(−Ea/kBT ), with an activation energy Ea. For the two QDs studied in fig. 7 we have measured Ea = 30 ± 5 meV and we have taken Ea = 30 meV in the model used in figures 6 and 7. The particularity here is that the DS lifetime at T = 4 K (1/Γnr = 5 ns) is very short compared to values reported in other systems (up to 1µs [25]) and is of the same or- der of magnitude as the exciton radiative lifetime when temperature is raised up to only several tens of K. A characteristic temperature behavior is shown in figure 6. The exciton intensity is very small at low temperature (particularly in the high splitting region), increases as temperature is increased up to T = 50 K, and finally decreases with higher temperatures. The effect of repop- Figure 5: (Color online) (a) 3D Phonon spectral density for 3 different QD sizes along the z direction (red curve: lz =2 nm, green curve: lz=4 nm, blue curve: lz=6 nm). Smaller QD z dimension shifts the maximum of the coupling constant toward the higher energies, and enhances its relative value. (b) Calculated 3D hole-phonon coupling efficiency vs tran- sition energy ∆E. (c) Calculated 1D hole-phonon coupling efficiency vs transition energy ∆E. I /I XX X Saturation Ratio (pulsed excitation) 0.8 0.7 0.6 0.5 0.4 0.3 ) K ( e r u t a r e p m e T 50 100 150 200 250 300 4 5 6 7 ∆E (meV) 8 9 Figure 6: (Color online) Calculated saturation ratio Ix/Ixx under pulsed excitation as a function of ∆E and temperature. The color legend represents Ix/Ixx saturation ratio. The cold colors show low exciton intensity and the hot color high in- tensity. confinement parameter l⊥ at 4nm. With lz linked to a corresponding ∆E we make lz vary from 2 nm to 6 nm and we calculate Rlz (∆E). The results, for the 3D and 1D cases described above, are plotted in figure 5 (b) and (c). Because of the simplic- ity of the considered exciton wave function, the aim of the calculation is neither to fit the experimental data nor to obtain a quantitative estimation of the transition rates. However, we can show that the hole-phonon coupling ef- ficiency is increasing with ∆E in both cases, which is not 6 ulation of the bright exciton due to the DS to BS spin flip is compensated and overwhelmed by the exciton popula- tion loss caused by the faster dark exciton non radiative recombination. As shown in figure 7, representing the exciton-biexciton ratio versus temperature for 2 different QDs with different measured ∆E (4.5 meV and 8.5 meV ), this exciton line intensity decrease is more sensitive for large ∆E. However, at 300K, the bright exciton is still less luminescent for large splittings. It can be noted that the model fits rather well the experimental data. ination of the biexcitonic line over the excitonic line is preserved at high temperature despite the bright state repopulation owing to the temperature induced loss of excitonic population through the non radiative dark ex- citon recombination. This exciton weakness could sug- gest to use the biexciton line for high temperature single photon sources. In that case, the contamination by the exciton line could be reduced when the lines are broad- ened at high temperature. VI. CONCLUSION VII. ACKNOWLEDGEMENTS In summary, the exciton-phonon coupling efficiency is highly influenced by the QD size. This can explain the observed enhancement of the bright to dark spin flip rate with increasing splitting energy. As a result, the satura- tion intensity of exciton transition is a lot weaker than the biexciton one. This effect is all the more important as the QD exchange splitting ∆E is larger. The dom- We acknowledge support from the French National Research Agency (ANR) through the Nanoscience and Nanotechnology Program (Project BONAFO ANR-08- NANO-031-01) that provided a research fellowship for MdH. MEJ acknowledges financial support from the Nanosciences Foundation "Nanosciences, aux limites de la nanoélectronique" (RTRA). [1] X. Duan, Y. Huang, Y. Cui, J. Wang, and C. M. Lieber, Nature 409, 66 (2001) [2] W. Lu and C. M. Lieber, Nature Materials 6, 841 (2007) [3] C. Thelander, T. Martensson, M. T. Björk, B. J. Ohlsson, M. W. Larsson, L. R. Wallenberg, and L. Samuelson, Appl. Phys. Lett. 83, 2052, (2003). [4] R. Könenkamp, R.C. Word, and C. Schlegel Appl. Phys. Lett. 85, 6004 (2004) [5] H. M. Kim, Y. H. Cho, H. Lee, S. I. Kim, S. R. Ryu, D. Y. Kim, T. W. Kang, and K. S. Chung, Nano Lett. 4, 1059 (2004) [6] X. Duan, Y. Huang, R. Agarwal, and C. M. Lieber, Na- ture 421, 241 (2003) [7] A. I. Hochbaum, R.Chen, R. D. Delgado, W. Liang, E. C. Garnett, M. Najarian, A. Majumdar, and P. Yang, Nature 451, 163 (2008) [16] J. Claudon, J. Bleuse, N. S. Malik, M. Bazin, P. Jaf- frennou, N. Gregersen, C. Sauvan, P. Lalanne, and J.-M. Gérard, Nature Photonics 4, 174 (2010) [17] M. T. Borgström, V. Zwiller, E. Müller, and A. Imamoglu, Nano Lett. 5, 1439 (2005) [18] A. Tribu, G. Sallen, T. Aichele, R. André, C. Bougerol, S. Tatarenko, J.-Ph. Poizat, and K. Kheng, Nanoletters 349, 225 (1991). [19] V. D. Kulakovskii, G. Bacher, R. Weigand, T. Kümmell, A. Forchel, E. Borovitskaya, K. Leonardi and D. Hom- mel, Phys. Rev. Lett. 82, 1780 (1999); L. Besombes, K. Kheng, and D. Martrou, Phys. Rev. Lett. 85, 425 (2000). [20] G. Sallen, A. Tribu, T. Aichele, R. André, L. Besombes, C. Bougerol, S. Tatarenko, K. Kheng, and J.-Ph. Poizat, Phys. Rev. B 80, 085310 (2009). [21] Y. Chen, B. Gil, P. Lefebvre, and H. Mathieu, Phys. Rev. [8] Y. Cui, Q. Wei, H. Park, and C. M. Lieber, Science 293, B 37, 6429 (1988). 1289 (2001) [9] M. S. Gudiksen, L. Lauhon, J. Wang, D. C. Smith, and C. M. Lieber, Nature 415, 617 (2002) [10] M. T. Björk, B. J. Ohlsson, T. Sass, A. I. Persson, C. Thelander, M. H. Magnusson, K. Deppert, L. R. Wallen- berg, and L. Samuelson, Nanoletters 2, 87 (2002); Appl. Phys. Lett. 80, 1058 (2002) [22] Y. Chen, Phys. Rev. B 41, 10604 (1990). [23] M. Nirmal, D. J. Norris, M. Kuno, M. G. Bawendi, Al. L. Efros, and M. Rosen, Phys. Rev. Lett. 75, 3728 (1995). [24] M. Reischle, G. J. Beirne, R. Rossbach, M. Jetter, and P. Michler, Phys. Rev. Lett. 101, 146402 (2008). [25] O. Labeau, P. Tamarat, and B. Lounis, Phys. Rev. Lett. 90, 257404 (2003). [11] C. Yang, Z. Zhong, and C. M. Lieber, Science 310, 1304 [26] L. M. Woods, T. L. Reinecke, and R. Kotlyar, Phys. Rev. (2005) B 69, 125330 (2004) [12] P. Michler, A. Kiraz, C. Becher, W. V. Schoenfeld, P. M. Petroff, L. Zang, E. Hu, and A. Imamoglu, Science 290, 2282 (2000). [13] E. Moreau, I. Robert, J.-M. Gérard, I. Abram, L. Manin, and V. Thierry-Mieg, Appl. Phys. Lett. 79, 2865 (2001). [14] C. Santori, D. Fattal, J. Vučković, G. S. Solomon, and Y. Yamamoto, Nature 419, 594 (2002). [15] W. H. Chang, W. Y. Chen, H. S. Chang, T. P. Hsieh, J. I. Chyi, and T. M. Hsu, Phys. Rev. Lett. 96, 117401 (2006). [27] A. Grodecka, L. Jacak, P. Machnikowski, and K. Roszak, arXiv:cond-mat/0404364 (2004). [28] M. Den Hertog, M. Elouneg-Jamroz, E. Bellet-Amalric, S. Bounouar, C. Bougerol, R. André, Y. Genuist, J.-Ph. Poizat, K. Kheng and S. Tatarenko, J. Crystal Growth 323, 330 (2011) [29] M. Den Hertog, M. Elouneg-Jamroz, E. Bellet-Amalric, S. Bounouar, C. Bougerol, R. André, Y. Genuist, J.-Ph. Poizat, K. Kheng and S. Tatarenko, J. Appl. Phys. 110, 034318 (2011). [30] L. Besombes, K. Kheng, L. Marsal, and H. Mariette, [33] A. Grodecka, C. Weber, P. Machnikowski, and A. Knorr, Phys. Rev. B 63, 155307 (2001). Phys. Rev. B 76, 205305 (2007) [31] T. Takagahara, Phys. Rev. B 60, 2638 (1999) [32] Al. L. Efros, and M. Rosen, M. Kuno, M. Nirmal, D. J. Norris, and M. Bawendi, Phys. Rev. B 54, 4843 (1996). [34] J. Puls, F. Henneberger, M. Rabe, and A. Siarkos, Jour- nal of Crystal Growth 184/185, 787 (1998) 7
1301.0421
1
1301
2013-01-03T11:25:01
Coherent spin dynamics of nanomolecules and magnetic nanoclusters
[ "cond-mat.mes-hall" ]
Spin dynamics of nanomolecules and nanoclusters are analyzed. The nanosizes of these objects make it possible to consider them as single-domain magnets with a large total spin, where the motion of the spins of all atoms, composing a nanocluster, occurs in a coherent way. Another meaning of coherence in spin dynamics is the coherent spin motion of several nanomolecules or nanoclusters. Different approaches for treating spin dynamics are compared and the main mechanisms influencing the spin motion are studied. Spin dynamics of separate magnetic nanomolecules and nanoclusters are investigated, as well as the spin dynamics of the ensembles of these nano-objects.
cond-mat.mes-hall
cond-mat
Coherent spin dynamics of nanomolecules and magnetic nanoclusters V.I. Yukalov1 and E.P. Yukalova2 1Bogolubov Laboratory of Theoretical Physics, Joint Institute for Nuclear Research, Dubna 141980, Russia 2Laboratory of Information Technologies, Joint Institute for Nuclear Research, Dubna 141980, Russia Abstract Spin dynamics of nanomolecules and nanoclusters are analyzed. The nanosizes of these objects make it possible to consider them as single-domain magnets with a large total spin, where the motion of the spins of all atoms, composing a nanocluster, occurs in a coherent way. Another meaning of coherence in spin dynamics is the coherent spin motion of several nanomolecules or nanoclusters. Different approaches for treating spin dynamics are compared and the main mechanisms influencing the spin motion are studied. Spin dynamics of separate magnetic nanomolecules and nanoclusters are investigated, as well as the spin dynamics of the ensembles of these nano-objects. 1 Introduction Magnetic nanomolecules and nanoclusters enjoy many similar properties because of which the dynamics of their magnetization can be described by the same type of equations. This is why, we consider both these nano-objects together. Of course, there is difference in their structure and parameters which we shall take into account and characterize them by the appropriate models. The detailed description of general physical properties and applications of different magnetic nanoparticles can be found in review articles [1-9]. Here we briefly mention those of the properties and parameters that will be necessary for the following consideration. It is worth stressing that there exist two types of magnetic nanoparticles. One large class consists of nanoclusters and nanomolecules, whose magnetic moments are formed by electron spins. Another type includes nanomolecules that possess magnetic moments solely due to polarized proton spins. Examples are propanediol C3H8O2, butanol C4H9OH, and ammonia NH3. In such nanomolecules, there is no any other magnetic moment except that caused by polarized protons. So, here the proton magnetic moment is not a contribution, but the main object. The magnetic moment of an atom is composed of electron and proton moments, with the electron magnetic moment µe = −geµBS = γeS = −µB and the proton magnetic moment 1 µp = gpµN S = γpS, where ge = 2 and gp = 5.586 are the electron and proton Land´e factors, µB = e/2me and µN = e/2mp are the Bohr and nuclear magnetons, γe and γp are the electron and proton gyromagnetic ratios. Since the proton mass is larger than that of an electron, mp/me ∼ 103, the proton magnetic moment is essentially smaller, µp/µe ∼ 10−3. The electron and proton radii are re ∼ 10−15cm and rp ∼ 10−13cm, respectively. An atom is called magnetic, when its total magnetic moment is nonzero. The total spin of a magnetic atom can be between 1/2 and S ∼ 10, hence, its magnetic moment can be of order 1µB − 10µB. Atom radii are of order rA ∼ 10−9 − 10−8cm. Examples of magnetic atoms are Fe (Iron), Co (Cobalt), Ni (Nickel), Gd (Gadolinium), and Cr (Chromium). Magnetic nanomolecules are composed of many magnetic atoms an, as is clear from their name, are of the nanometer size. An important property of a magnetic nanomolecule is that its total magnetic moment can be treated as being due to an effective total spin. Generally, the molecule spin can be directed either up or down, with an energy barrier between these directions of order EA ∼ 10−100 K. At high temperatures, above the blocking temperature TB ∼ 1 − 10 K, a magnetic molecule behaves as a superparamagnetic particle, whose spin randomly oscillates between the up and down positions. While below the blocking temperature the spin is frozen in one of the directions. Magnetic nanoclusters are also made of magnetic atoms that are assembled together in a random way. This distinguishes them from magnetic molecules, where atoms are strictly connected by chemical bonds. The sizes of nanoclusters can be in the range between 1 nm and 100 nm, containing about 100−105 atoms. These values define the coherence radius Rcoh, below which a nanocluster is in a single-domain state and can be treated as a large particle with an effective spin. A cluster, with a size larger than Rcoh, separates into domains with opposite magnetizations. Similarly to magnetic molecules at low temperature, the magnetic moment of a nanocluster, below the blocking temperature TB ∼ 10 − 100 K, is frozen in one of two possible directions. The effective spin of a nanocluster is formed by electron spins and can be as large as S ∼ 100 − 105. The often considered nanoclusters are made of the magnetic atoms of Fe, Ni, and Co. They can be made of oxides, such as NiO, Fe2O3, NiFe2O4 or alloys, such as Nd2Fe14B, Pr2Fe14B, Tb2Fe14B, DyFe14B, Pr2Co14B, Sm1Fe11Ti1, Sm1Fe10V2, Sm2Fe17N23, Sm2Fe17C22, Sm2Co17, Sm2Co5. To protect nanoclusters from oxidation, one coat them with graphene or noble metals, forming the double-component nanoclusters, such as Fe-Au, Co-Au , Co-Ag, Co-Cu, Co-Pt, Co-Pd, Ni-Au, Ni-Ag, Ni-Pd, and Mn−-Au. The coating is done be means of chemical reactions or laser ablation techniques. The nanoclusters are produced by employing thermal decomposition, microemulsion reactions, and thermal spraying. Magnetic nanoclusters and nanomolecules find numerous applications, among which we can mention magnetic chemistry, biomedical imaging, medical treatment, genetic engineer- ing, waste cleaning, information storage, quantum computing, and creation of radiation devices. Since both nanomolecules and nanoclusters possess many common properties and can be considered as single particles with a large spin, we shall often talk on nanoclusters, implying that similar effects can be realized with both of them, molecules as well as clusters. The use of these nano-objects requires the existence of two properties that contradict each other. From one side, to be able to keep memory, a cluster has to enjoy a stable state with its spin frozen in one direction. But from another side, in order to be able to manipulate the cluster magnetization, there should exist a way of suppressing the anisotropy. And it is necessary that the spin manipulation could be done sufficiently fast, so that the cluster 2 magnetization could be quickly reversed. Recall that thermal reversal is characterized by the Arrhenius law giving the longitudinal relaxation time T1 ∼ exp{EA/kBT}, where EA is the anisotropy energy, so that, at temperatures below the blocking temperature, the magnetization is frozen. Magnetization reversal can be realized by different methods, by applying transverse con- stant or alternating magnetic fields and short magnetic field pulses [10]. To achieve fast reversal, one needs to find optimal values for the amplitude, frequency, and duration of such field pulses. A very efficient method of achieving ultrafast magnetization reversal of magnetic nan- oclusters has been suggested [11] by employing the acceleration effect caused by a resonator feedback field. The efficiency of this method is due to self-optimization of the spin motion producing the resonator field acting back on the spins. Historically, this effect was described by Purcell [12] and considered by Bloembergen and Pound [13] using classical phenomeno- logical equations. Such equations are not sufficient for describing different regimes of spin motion. Microscopic theory of spin dynamics has been developed being applied to polarized proton spins of such molecules as propanediol C3H8O2, butanol C4H9OH, and ammonia NH3 (see review articles [4,14]) and to magnetic molecules [15-19]. The aim of the present paper is threefold. First, we concentrate on the spin dynamics of nanoclusters, comparing the peculiarity of their spin motion with that of proton and molec- ular spins. Second, we analyze the role of other effects, such as the Nyquist-noise triggering and Dicke correlation, studying their influence on the spin dynamics of nanoclusters. We show that these effects are negligible as compared to the Purcell effect. And, third, we compare different approaches to describing spin dynamics, demonstrating the advantage of using a microscopic approach based on quantum equations of motion. 2 Phenomenological classical equations Dynamics of the magnetic moment M of a magnetic particle is usually described by the classical equation dM dt = −γSM × Hef f + R , (1) in which γS is the giromagnetic ratio of the particle with spin S and R is a relaxation term. The effective magnetic field is given by the variational derivative Hef f = − δE/δM of the particle energy E. The length of the magnetic moment is conserved, when the right-hand side of the equation dM2/dt = 2M · R is zero. Choosing the relaxation term in the form R = − αγS M M × (M × Hef f ) , (2) one gets the Landau-Lifshitz equation, where α is a dissipation parameter and M ≡ M. Under form (2), M is conserved. The equation was initially derived [20] for describing energy dissipation in the process of magnetic domain wall motion inside bulk ferromagnetic matter. Though it is often applied for treating the dynamics of ferromagnetic particles [21]. Taking the relaxation term as R = α M M × dM dt , 3 (3) one comes to the Gilbert equation [22]. This equation, up to a renotation of parameters, is equivalent to the Landau-Lifshitz equation. Hence, it has the same region of applicability, though it is also used for describing the magnetization rotation of magnetic particles [10]. Another form of the relaxation term has been advanced by Bloch [23] as R = − Mx − M ∗ x T2 ex − My − M ∗ y T2 ey − Mz − M ∗ z T1 ez , (4) where M∗ is an equilibrium magnetization, eα are unit coordinate vectors, and the relaxation parameters are characterized by the longitudinal relaxation time T1 and transverse relaxation time T2. The latter is also called the dephasing time. For an ensemble of N magnetic particles with a large average spin polarization 1 SN s ≡ NXj=1 hSz j i , (5) the transverse term has to be renormalized [16,24] as 1/eT2 = (1 − s2)/T2 . The Landau-Lifshits equation has a single dissipation parameter α and preserves spherical symmetry, thus, describing isotropic magnetization rotation. Because of these properties, it is appropriate for bulk macroscopic ferromagnetic matter with spherical magnetic symmetry. It may also be used for magnetic clusters, possessing this symmetry, which, however, is a rather rare case. The Bloch equation has two relaxation parameters, T1 and T2. Therefore it can describe more general situation of anisotropic relaxation, which is more realistic for treating nanoclus- ters in a medium or below the blocking temperature, when T2 ≪ T1. The Bloch equations have been employed for considering the electron and nuclear spin motion in a strongly coher- ent regime [25-29] and for spin-polarized 129Xe gas [30]. But these equations cannot describe the whole process of spin relaxation starting from an incoherent quantum stage, for which a microscopic approach is necessary [29,31,32]. The initial stage of spin relaxation is trig- gered by quantum spin fluctuations that can be identified with nonequilibrium spin waves [4,16,31-33]. 3 Microscopic quantum approach In a self-consistent quantum approach, we start with a microscopic spin Hamiltonian H that is a functional of spin operators S. The evolution equations are given by the Heisenberg equations of motion = [S, H] . (6) i dS dt The advantage of using the quantum approach is in the following. First, it takes into account quantum effects that can be important for small clusters. Hence, it is more general. Second, at the initial stage of free spin relaxation, quantum spin fluctuations are of principal importance, being the triggering mechanism for starting the spin motion. Third, being based on an explicit spin Hamiltonian makes it possible to control the used approximations and to have well defined system parameters. 4 We assume that a magnetic cluster is inserted into an magnetic coil, of n turns and length l, of a resonant electric circuit characterized by resistance R, inductance L, and capacity C. The coil axis is taken along the axis x. Moving magnetic moments induce in the coil the electric current j described by the Kirchhoff equation L dj dt + Rj + 1 C Z t 0 j dt = − dΦ dt + Ef , (7) in which the magnetic flux Φ = 4πnMx/cl is formed by the mean transverse magnetization j i, where µ0 ≡ γS. Here Ef is an additional electromotive force, if any. The resonator natural frequency and circuit damping, respectively, are Mx = µ0PN j=1 hSx 1 √LC The coil current creates the magnetic field ω = , γ = R 2L . that is the solution to the equation H = 4πn cl j dH dt + 2γH + ω2Z t where η ≡ V /Vcoil is the filling factor and Mx V mx ≡ 0 H(t′) dt′ = −4πη dmx dt , = µ0 V NXj=1 hSx j i (8) (9) (10) is the transverse magnetization density. The external electromotive force is omitted. The field H is the feedback field, created by moving spins and acting back on them. 4 Dynamics of a single nanocluster The typical Hamiltonian of a nanocluster is H = −µ0B · S − D(Sz)2 + D2(Sx)2 + D4(cid:2)(Sx)2(Sy)2 + (Sy)2(Sz)2 + (Sz)2(Sx)2(cid:3) , where the total magnetic field B = B0ez + B1ex + Hex (11) (12) consists of an external constant field B0, weak transverse anisotropy field B1, and the feed- back resonator field H. The anisotropy parameters D, D2, D4 are defined by the particular type of considered nanoclusters. The main attention will be payed to the investigation of spin dynamics starting from a strongly nonequilibrium initial state, where the magnetization is directed opposite to the constant external magnetic field B0. 5 First, we study the influence of the thermal Nyquist noise of the coil in order to un- derstand whether it can trigger the spin motion in a nanocluster. For the thermal-noise relaxation time, we find tT = 4γVcoil γ 2 Sω tanh(cid:18) ω 2ωT(cid:19) , (13) (14) where ωT ≡ kBT / is the thermal frequency defined by temperature T . At low temperatures, below the blocking temperature, say at T = 1 K, we have ωT ∼ 1012 s−1. Then the thermal- noise relaxation time is tT ≃ 2γVcoil γ 2 SωT (cid:18) ω ωT ≪ 1(cid:19) . On the other side, for the reversal time, caused by the resonator feedback field, we have trev ≃ Vcoil πγ 2 SS . (15) The ratio of the latter to the thermal time (13) is trev/tT ∼ ωT /2πγS. For the typical values T = 1 K, γ ∼ 1010 s−1, and S ∼ 103, this ratio is small: trev/tT ∼ 10−2. Therefore the thermal Nyquist noise does not play any role in the spin dynamics of a nanocluster. We have accomplished numerical solution of the evolution equations for nanocluster parameters typical of Fe, Ni, and Co nanoclusters. The Zeeman frequency is taken as ω0 ≡ 2µBB0/ ∼ 1011 s−1. For the feedback rate, we have γ0 ≡ πηγ 2 SS/Vcoil ∼ 1010 s−1. The typical anisotropy parameters satisfy the relations D/(γ0) ∼ 10−3, D2/(γ0) ∼ 10−3, D4/(γ0) ∼ 10−10. At the initial time, the spin is assumed to be directed along the axis z. The resonator natural frequency is taken to be in resonance with the Zeeman frequency defined by the field B0. The behavior of the spin polarization (5) is shown in Fig. 1, where we compare the spin motion in the presence of the resonator (h 6= 0) and in the absence of the latter (h = 0). Clearly, without the resonator feedback field, the spin is blocked, while in the presence of the resonator, it reverses in short time trev ∼ 10−10 s. s(t) 1 0.8 0.6 0.4 0.2 0 −0.2 −0.4 −0.6 −0.8 −1 0 h = 0 h ≠ 0 1 2 3 4 5 6 7 8 t Figure 1: Spin reversal of a single nanocluster, with parameters typical of nanoclusters made of Fe, Ni, and Co. 5 Dynamics of nanocluster assemblies The ensemble of nanoclusters is described by the Hamiltonian H =Xi Hi + 1 2 Xi6=j Hij , 6 (16) where the indices i, j = 1, 2, . . . , N enumerate nanoclusters. The single nanocluster Hamil- tonians are Hi = −µ0B · Si − D(Sz with the total magnetic field i )2 + D4(cid:2)(Sx i )2(cid:3) , i )2 + D2(Sx i )2 + (Sy i )2 + (Sz i )2(Sy (17) i )2(Sz i )2(Sx The interaction term takes into account the dipolar spin interactions B = B0ez + Hex . (18) (19) Hij =Xαβ 0(cid:16)δαβ − 3nα Dαβ ij Sα i Sβ j , ij(cid:17) /r3 ijnβ ij = µ2 ij, in which rij ≡ rij, nij ≡ rij/rij, through the dipolar tensor Dαβ and rij ≡ ri − rj. One sometimes says that spin systems are similar to atomic systems, where transition dipoles are correlated by means of the photon exchange through the common radiation field. This correlation leads to coherent atomic radiation called the Dicke superradiance [34]. One says that moving spins also radiate electromagnetic field that could yield the correlated spin motion, in the same way as in the Dicke effect. To check whether this is so, we need to compare the time trad, required for inducing spin correlations through the common radiation field with the spin dephasing time T2. As the radiation time [35,36] for nanoclusters, we have while the spin dephasing time is T2 = 1 ργ 2 SS . trad = 3c3 Sω3S 2γ 2 , (20) (21) For the typical nanocluster density ρ ∼ 1020 cm−3 and S ∼ 103, the spin dephasing time is T2 ∼ 10−10 s. While for the radiation time (20), with ω ∼ 1011 s−1, we have trad ∼ 108 s = 10 years. The ratio of times (20) and (21) is extremely large: trad/T2 = 3c3ρ/(2ω3) ∼ 1018. This tells us that the spin motion in no way can be correlated through electromagnetic radiation. That is, the Dicke effect has no relation to the coherent spin motion. But spins can be correlated only through the Purcell effect requiring the presence of a feedback field caused by a resonator. The feedback rate due to the resonator is The reversal time for N correlated nanoclusters becomes γ0 = πηργ 2 SS . trev = 1 γ0 = Vcoil πγ 2 SSN = t1 rev N , (22) (23) where t1 rev is the relaxation time (15) for a single nanocluster inside the same coil. We solved the evolution equations for the nanocluster assemblies involving the scale separation approach [4,14] that is a generalization of the Krylov-Bogolubov [37] averaging method. Four classes of spin objects have been investigated. 7 (i) Polarized nuclear materials, such as propanediol C3H8O2, butanol C4H9OH, and am- monia NH3, with the parameters: S = 1/2, ρ = 1022cm−3, T = 0.1K, B0 ∼ 104G, ω0 ∼ 108s−1, λ ∼ 102cm, T1 ∼ 105s, T2 ∼ 10−5s, τ ≡ 1/γ ∼ 10−6s . Recall that in these nanomolecules the magnetization is due to polarized proton spins. The following characteristic times are found: thermal-noise time tT ∼ 1016s ∼ 109 years, radiation time trad ∼ 1015s ∼ 108 years, and reversal time trev ∼ 10−6s. Therefore, neither the Nyquist thermal noise nor the photon exchange through the radi- ated field play any role in the relaxation process. Spin dynamics, resulting in the magnetiza- tion reversal, is completely due to the action of the resonator feedback field. As is explained above, the same concerns nanomolecules and nanoclusters (ii) Nuclear polarized ferromagnets, where proton spins are polarized and interact through hyperfine forces with electrons participating in forming ferromagnetic order. In such ma- terials, the electron subsystem plays the role of an additional resonator enhancing effective nuclear correlations. Being interested in the motion of nuclear spins, under a fixed mean electron magnetization, we find the reversal time trev ∼ 10−9 s. (iii) Molecular magnets, such as Mn12 and Fe8, with the typical parameters: S = 10, ρ = 1020 − 1021cm−3, TB = 1K, B0 ∼ 105G, ω0 ∼ 1013s−1, λ ∼ 10−2cm, ωA ≡ EA/ ∼ 1010 − 1012s−1, T1 ∼ 105 − 107s, T2 ∼ 10−10s. The reversal time is trev ∼ 10−11 s. (iv) Magnetic nanoclusters composed of Fe, Ni, and Co, at T = 1 K, with the typical parameters: S = 103, ρ = 1020cm−3, TB = 10 − 40K, B0 ∼ 104G = 1T, ω0 ∼ 1011s−1, λ ∼ 1cm, T1 ∼ 1034s ∼ 1027years, T2 ∼ 10−10s. The reversal time can be very small reaching the value trev ∼ 10−12 s. In the case of magnetic molecules and, especially, nanoclusters, because of their high spins, the system of many clusters can produce quite strong coherent radiation of the maximal intensity Imax ∼ 0 2µ2 3c3 S 2ω4N 2 coh , (24) where Ncoh ∼ ρλ3 is the number of clusters in a coherent packet. The intensity of radiation of magnetic molecules, with Ncoh ∼ 1014, is of order Imax ∼ 105W . And for magnetic nanoclusters, with Ncoh ∼ 1020, the radiation intensity can reach Imax ∼ 1012W . There can happen several regimes of spin dynamics depending on the initial spin polar- ization, the strength of a triggering pulse, and the effective coupling parameter γγ0ω0 γ2(γ 2 2 + ∆2) , g ≡ (25) where γ2 ≡ 1/T2 and ∆ ≡ ω − ω0 is the detuning from resonance. These regimes for nanoclusters can be classified analogously to those occurring for nuclear magnets [4,16]: incoherent free relaxation, weakly coherent free induction, weakly coherent superradiance, strongly coherent pure superradiance, strongly coherent triggered superradiance, pulsing superradiance, and punctuated superradiance [38]. It is important to stress that the existence of magnetic anisotropy in magnetic nanoclus- ters does not preclude the realization of fast spin reversal, provided the external magnetic field is sufficiently strong. The influence of the anisotropy energy EA on the spin reversal of a nanocluster system is shown in Fig. 2, where A ≡ EA/ω0. This regime corresponds to pure spin superradiance. 8 A = 1 1 s(t) 0.5 0 A = 0 −0.5 A = 0.5 −1 0 0.05 0.1 0.15 0.2 t Figure 2: Influence of magnetic anisotropy on the spin reversal of an ensemble of many nanoclusters, with the parameters typical of Fe, Ni, and Co. Coherent dynamics in the spin assemblies, formed by magnetic nanomolecules, have an important difference from the spin dynamics in an ensemble of magnetic nanoclusters. Mag- netic molecules are identical and form the systems with well organized crystalline lattices. While magnetic nanoclusters vary in their shapes, sizes, and total spins, which results in an essential nonuniform broadening. Computer simulations, accomplished together with V.K. Henner and P.V. Kharebov, demonstrate that this nonuniformity does not destroy coherent spin motion. A detailed analysis of the computer simulations, with nonuniform nanocluster distributions, will be presented in a separate publication. In conclusion, we have considered spin dynamics in magnetic nanomolecules and nan- oclusters, starting from a strongly nonequilibrium state, with the magnetization directed opposite to the applied external magnetic field. We have compared several methods of de- scribing the spin dynamics, showing that a microscopic approach, based on the quantum equations of motion, is the most accurate. We also have analyzed the influence of different effects on spin dynamics. The effects of the Nyquist-noise triggering and of Dicke correla- tions are found to be negligible for spin systems. This principally distinguishes spin systems from atomic systems or quantum dot systems [39], where correlations, leading to coherent radiance, are caused by the Dicke effect of interactions through the common radiation field. The feedback field, developing in the resonator, reaches rather high values, of the order of the applied constant magnetic field. Such a strong feedback field suppresses the influence of mutual cluster interactions. Generally, in an ensemble of nanoclusters of sufficiently high density, in addition to dipole interactions, there can appear exchange interactions [40] that can influence equilibrium properties of nanoclusters. But in the considered case of strongly nonequilibrium spin dynamics, the exchange interactions are also suppressed by the self- organized resonator feedback field. This important conclusion can be formulated as follows: Coherent spin dynamics are completely governed by the Purcell effect that is caused by the action of the resonator feedback field. References [1] Barbara B, Thomas L, Lionti F, Chiorescu I, and Sulpice A 1999 J. Magn. Magn. Mater. 200 167 [2] Wernsdorfer W 2001 Adv. Chem. Phys. 118 99 9 [3] Ferre J 2002 Topics Appl. Phys. 83 127 [4] Yukalov V I and Yukalova E P 2004 Phys. Part. Nucl. 35 348 [5] Wang J and Zeng X C 2009 in: Nanoscale Magnetic Materials and Applications (Springer, Berlin), p. 35 [6] Bedanta S and Kleemann W 2009 J. Phys. D 42 013001 [7] Berry C C 2009 J. Phys. D 42 224003 [8] Beveridge J S, Stephens J R, and Williams M E 2011 Annu. Rev. Anal. Chem. 4 251 [9] Hoang V V and Ganguli D 2012 Phys. Rep. 518 81 [10] Bauer M, Fassbender J, Hillebrands B, and Stamp R L 2000 Phys. Rev. B 61 3410 [11] Yukalov V I and Yukalova E P 2012 J. Appl. Phys. 111 023911 [12] Purcell E M 1946 Phys. Rev. 69 681 [13] Bloembergen N and Pound R V 1954 Phys. Rev. 95 8 [14] Yukalov V I and Yukalova E P 2000 Phys. Part. Nucl. 31 561 [15] Yukalov V I 2002 Laser Phys. 12 1089 [16] Yukalov V I 2005 Phys. Rev. B 71 184432 [17] Yukalov V I, Henner V K, Kharebov P V and Yukalova E P 2008 Laser Phys. Lett. 5 887 [18] Yukalov V I, Henner V K and Kharebov P V 2008 Phys. Rev. B 77 134427 [19] Yukalov V I and Yukalova E P 2011 Laser Phys. Lett. 8 804 [20] Landau L D and Lifshitz E M 1935 Phys. Zeits. Sow. 8 153 [21] Henner V, Raikher Y and Kharebov P 2011 Phys. Rev. B 84 144412 [22] Gilbert T L 1956 PhD Thesis (Illinois Institute of Technology, Chicago) [23] Bloch F 1946 Phys Rev. 70 460 [24] Abragam A and Goldman M 1982 Nuclear Magnetism: Order and Disorder (Clarendon, Oxford) [25] Yukalov V I 1992 Laser Phys. 2 559 [26] Fokina N P, Khutsishvili K O and Chkhaidze S G 1992 J. Exp. Theor. Phys. 102 1013 [27] Khutsishvili K O and Chkhaidze S G 1992 Physica B 176 54 [28] Fokina N P, Khutsishvili K O and Chkhaidze S G 1992 Physica B 179 171 10 [29] Yukalov V I 1996 Nucl. Instrum. Methods Phys. Res. A 37 345 [30] Yoshimi A, Asahi K, Sakai K, Tsuda M, Yogo K, Ogawa H, Suzuki T and Nakagura M 2002 Phys. Lett. A 304 13 [31] Yukalov V I 1995 Phys. Rev. Lett. 75 3000 [32] Yukalov V I 1996 Phys. Rev. B 53 9232 [33] Rueckriegel A, Kreisel A and Kopietz P 2012 Phys. Rev. B 85 054422 [34] Dicke R H 1954 Phys. Rev. 93 99 [35] Yukalov V I and Yukalova E P 2005 Laser Phys. Lett. 2 302 [36] Yukalov V I 2005 Laser Phys. Lett. 2 356 [37] Bogolubov N N and Mitropolsky Y A 1961 Asymptotic Methods in the Theory of Non- linear Oscillations (Gordon and Breach, New York) [38] Yukalov V I and Yukalova E P 2002 Phys. Rev. Lett. 88 257601 [39] Yukalov V I and Yukalova E P 2010 Phys. Rev. B 81 075308 [40] Kondratyev V N and Lutz H O 1998 Phys. Rev. Lett. 81 4508 11
1810.05799
1
1810
2018-10-13T05:19:35
Core Influence Mechanism on Vertex-Cover Problem through Leaf-Removal-Core Breaking
[ "cs.SI" ]
Leaf-Removal process has been widely researched and applied in many mathematical and physical fields to help understand the complex systems, and a lot of problems including the minimal vertex-cover are deeply related to this process and the Leaf-Removal cores. In this paper, based on the structural features of the Leaf-Removal cores, a method named Core Influence is proposed to break the graphs into No-Leaf-Removal-Core ones, which takes advantages of identifying some significant nodes by localized and greedy strategy. By decomposing the minimal vertex-cover problem into the Leaf-Removal cores breaking process and maximal matching of the remained graphs, it is proved that any minimal vertex-covers of the whole graph can be located into these two processes, of which the latter one is a P problem, and the best boundary is achieved at the transition point. Compared with other node importance indices, the Core Influence method could break down the Leaf-Removal cores much faster and get the no-core graphs by removing fewer nodes from the graphs. Also, the vertex-cover numbers resulted from this method are lower than existing node importance measurements, and compared with the exact minimal vertex-cover numbers, this method performs appropriate accuracy and stability at different scales. This research provides a new localized greedy strategy to break the hard Leaf-Removal Cores efficiently and heuristic methods could be constructed to help understand some NP problems.
cs.SI
cs
Core Influence Mechanism on Vertex-Cover Problem through Leaf-Removal-Core Breaking Xiangnan Feng1,2, Wei Wei1,2,3,∗ Xing Li1,2, and Zhiming Zheng1,2,3,∗ 1School of Mathematics and Systems Science, Beihang University, Beijing, China 2Key Laboratory of Mathematics Informatics Behavioral Semantics, Ministry of Education, China 3Beijing Advanced Innovation Center for Big Data and Brain Computing, Beihang University, Beijing, China (Dated: October 16, 2018) Leaf-Removal process has been widely researched and applied in many mathematical and physical fields to help understand the complex systems, and a lot of problems including the minimal vertex- cover are deeply related to this process and the Leaf-Removal cores. In this paper, based on the structural features of the Leaf-Removal cores, a method named Core Influence is proposed to break the graphs into No-Leaf-Removal-Core ones, which takes advantages of identifying some significant nodes by localized and greedy strategy. By decomposing the minimal vertex-cover problem into the Leaf-Removal cores breaking process and maximal matching of the remained graphs, it is proved that any minimal vertex-covers of the whole graph can be located into these two processes, of which the latter one is a P problem, and the best boundary is achieved at the transition point. Compared with other node importance indices, the Core Influence method could break down the Leaf-Removal cores much faster and get the no-core graphs by removing fewer nodes from the graphs. Also, the vertex- cover numbers resulted from this method are lower than existing node importance measurements, and compared with the exact minimal vertex-cover numbers, this method performs appropriate accuracy and stability at different scales. This research provides a new localized greedy strategy to break the hard Leaf-Removal Cores efficiently and heuristic methods could be constructed to help understand some NP problems. I. INTRODUCTION The minimal vertex-cover problem (Vertex-cover) be- longs to one of Karps 21 NP-complete problems [1] and the six basic NP-complete problems [2, 3], which has a wide range of applications in the related real networks, such as immunization strategies in networks [4] and mon- itoring of internet traffic [5]. When the average degree of the graph gets larger than the Euler number e [6], the instances of minimum vertex-cover problem on the Erdos-R´enyi random graph get into the hard-solving re- gion, which is caused by the Leaf-Removal core. This hard core brings obstacle for solving the minimum vertex- cover, as many correlations among the nodes/variables produce frustrations (even long-range frustrations [7]) in finding the optimal solutions, and how to decouple the Leaf-Removal core determines the effect of the solving strategy. Generally speaking, Leaf-Removal algorithm plays important roles in understanding complex systems or graphs, such as detecting the hierarchical architecture and simplification of solving NP problems. It removes the leaves recursively until no leaves exist, and the Leaf- Removal core is got for the residual graph if it is not a null graph. Different Leaf-Removal strategies focus on different definitions of the leaves: for giant connected components, the leaves are degree-one nodes with corre- sponding edges, and random graphs with average degree larger than 1 have Leaf-Removal core in the giant con- nected components in the viewpoint of the Leaf-Removal ∗ [email protected] mechanism [8]; for k-XORSAT problem, the leaves are equations with variables only emerging once in the whole problem, and the Leaf-Removal core can result in the clustering of the all the solutions and one-step replica symmetric breaking with positive structural entropy [9]; for minimum vertex-cover problem, the leaves are degree- one nodes with their neighbors and related edges, and the existence of the Leaf-Removal core produces higher- order replica symmetric breaking and sets obstruction of understanding the solving complexity of NP-complete problems [10]. Also, the Leaf-Removal strategy works as an important tool in so many such applications, such as solving the k-SAT problem [11] and MAS problem [12], the k-core [13] and k-shell [14] organization of complex network. The complexity of the Leaf-Removal core can be mea- sured by the cost to break it, but to break the Leaf- Removal core with the lowest cost (e.g., least number of nodes/edges) always involves with NP problems, which makes the understanding of its structure complicated. The collective influence [15] was proposed to break the giant connected component, which can also be viewed as breaking the Leaf-Removal core in the Leaf-Removal viewpoint, and it was studied to approximate the optimal percolation and reach appropriate accuracy. The exist- ing algorithms for solving minimum vertex-cover mainly focus on greedy searching strategy and heuristic strat- egy. In statistical mechanics, some algorithms based on the solution space structures were studied and good ap- proximations were achieved in a heuristic way, such as the survey propagation algorithm [16] and the MBEA algorithm [17]. These algorithms took advantage of the backbones and clustering of the solutions to improve the solving efficiency. In this paper, it is aimed to break the Leaf-Removal core of the minimum vertex-cover problem in a greedy way, an order for all the nodes will be investi- gated to break the Leaf-Removal core as fast as possible, and combined with some easily coverage method for the residual graph, which can be used to obtain the approx- imated optimal solutions. II. LEAF-REMOVAL FOR MINIMUM VERTEX-COVER A. The Leaf-Removal Process As mentioned before, there are different definitions on the leaves in the graphs. In this paper, the leaves in the graph stand for the nodes of which the degrees are one and their neighbors, namely, removing the leaves means to remove the one-degree nodes and their neighbors. At the same time, all the links connected to these nodes are removed from the graph. Figure 1. The Leaf-Removal process of the graph in a. v1 ∼ v2 and v3 ∼ v4 are the two leaves. The graph in b is got with the two leaves removed, and in the remained graph, v5 ∼ v6 is a new leaf. Removing this new leaf, we could get the graph in c. There is no more one-degree node and the remained triangle composed by v7, v8 and v9 is the Leaf-Removal core, which is marked as red in c. The dotted lines denote the deleted edges when nodes are removed. Usually, when leaves are removed from the graph, new leaves will appear and removing the new leaves may bring more new leaves. The Leaf-Removal is a recursive pro- cess. Keep removing leaves in the new graph until there is no leaves in the remained graphs. Clearly the remained subgraph is composed of some isolated nodes and sev- eral relatively densely connected cores, the Leaf-Removal cores. There are no nodes in the cores whose degree is one. An example is shown in Figure 1 to perform a complete Leaf-Removal process and identify the Leaf- Removal core. B. Greedy strategy for the coverage by breaking the Leaf-Removal core For an undirected graph G = (V, E) containing N nodes and M edges where V is the vertex set and E 2 is the edges set, let C be a set of specific nodes in graph G. C(G) is called to be a cover of G if for every edge (vi, vj) ∈ E, there is vi ∈ C(G) or vj ∈ C(G). The min- imum vertex-cover, denoted as Cm(G), is defined as the minimal of C(G): Cm(G) = arg min C C(G). (1) Let n = (n1, n2, . . . , nN ) be the indices array of graph G where ni = 0 indicates that node vi and all the edges connected to it are removed from the graph and otherwise ni = 1. In this way the graph induced by the remaining nodes i with ni = 1 is denoted as G(n), and the corre- sponding vertex-cover C(G) and minimum vertex-cover Cm(G) are changed into C(G(n)) and Cm(G(n)). The i=1 ni and the vertex set is V (n) = {vi ∈ V ni = 1}. number of remained nodes is N (n) = (cid:80)N By deleting nodes one by one from graph G, it is trivial to see that at a certain step the graph will be turned into a No-Leaf-Removal-Core graph. Assume following a specific method, the nodes are deleted in a certain order, and the nodes indices array are n0 = (1, 1, . . . , 1), n1, n2, . . . , nN = (0, 0, . . . , 0). At a certain step t, the graph turns into a no-core graph, namely G(nt) contains no Leaf-Removal cores. G(ni) is not a no-core graph for all i < t and for all t ≤ i ≤ N , G(ni) is no-core graph. The t is the transition point. By the Konig's theorem, the residual subgraph G(nj) for t ≤ j ≤ N has no Leaf-Removal core and is a Konig- Egerv´ary graph. Its minimum coverage number is equal to its maximal matching number M (G(nj)) [18], and finding the maximal matching for a graph is an easily- solving problem. If all the removed nodes vi (namely with ni = 0 in nj where t ≤ j ≤ N ) are covered, a greedy strategy for approximation of the minimal coverage can be achieved: for any vertex-cover C(G) of graph G, there exists a deleting order or method which produces node arrays n0, n1, . . . , nN with transition point t, at the step t the cover of the graph G could be decomposed as: C(G) = (V \ V (nt)) ∪ Cm(G(nt)), and the cover number is: C(G) = t + M (G(nt)). (2) (3) An example about getting a cover of a graph through this strategy which deletes the graph into a no-core one is presented in Figure 2. The maximum matching can be solved by an algorithm within polynomial time for general graphs [19], and the main effort for this greedy strategy relies on determining an optimal deleting order to make the transition point t as small as possible. The proposed strategy aims to break the Leaf-Removal core with the lowest cost, and how to distinguish the impor- tant vertex and recognize the polarized vertices as the cover backbones is the core difficulty. 3 cover Cm(G), there exists a deleting method to produce node arrays n0, n1, . . . , nN and transition point t, such that this minimal vertex-cover could be represented as: Cm(G) = (V \ V (nt)) ∪ Cm(G(nt)), and the minimal cover number is: Cm(G) = t + M (G(nt)). (4) (5) Proof : Without generality, the minimal vertex-cover has v1,··· , vs as covered nodes with vs+1,··· , vN as uncov- ered nodes of graph G. For any core-breaking strategy n0, n1, . . . , nt, we have t < s. And, if we delete the nodes according to the natural order v1,··· , vs, i.e., en- suring all the deleted nodes are covered one, after the core-breaking strategy n0, n1, . . . , ns, the residual graph G(ns) should be a null graph composed by isolated nodes. The original graph has Leaf-Removal cores and residual graph G(ns) has no core, then there must exists a cer- tain number t that the core is firstly broken, and the minimal vertex-covered nodes evidently have a decompo- sition representation Cm(G) = (V \ V (nt)) ∪ Cm(G(nt)) with Cm(G) = t + M (G(nt)). (cid:3) Usually for one minimal vertex-cover of a graph, there are more than one corresponding deleting methods. The deleting order of the nodes by the core-breaking order can also be arranged in other greedy strategy, such as deleting the covered nodes with biggest degrees. As for a no-core graph, each leaf contains a covered node with the other uncovered, and the number of covered nodes must be fewer or equal to the number of uncovered ones, thus generally after deleting at least max{0, N −(N −s)∗2} = max{0, 2s − N} covered nodes, it will produce a no-core graph which satisfies t ≥ max{0, 2s − N}. Besides, by deleting one of neighbored covered nodes for all such pairs, the residual graph has each covered node with no covered neighbors, and it is almost a no-core graph with high probability; when the original graph has no triangles, this deleting strategy only deletes at most s/2 nodes and t ≤ s/2 with high probability to break the Leaf-Removal core. There are many other strategies to break the Leaf-Removal core, such as deleting the nodes in the order of different centralities or node importance measurements. When a graph has Leaf-Removal cores, with a high probability it is not a Konig-Egerv´ary subgraph and the relation between the maximal match, the minimal vertex-cover and its vertex number is quite complicated. Though a minimal vertex-cover can be found in such a core-breaking way, but the fast way to break the Leaf- Removal core does not always corresponds to achieve a minimal vertex-cover. As the problem of breaking the giant connected component is NP hard, the optimal so- lution of breaking the Leaf-Removal cores is also a hard problem, which should be related to but different from the minimal vertex-cover problem. Here, it is safe to say that the minimal vertex-cover does not always cor- respond to the fastest method to delete the graph into Figure 2. The procedures to get a vertex-cover of graph in a by the strategy of deleting the graph into a no-core one. a owns one Leaf-Removal core. By the algorithm proposed in the following section, the red nodes in b are removed and the remained graph is a no-core graph. The remained graph sat- isfies the property that the maximal matching number equals to the minimal cover number. In c, one maximal match is marked by red edges. Combing the deleting nodes in b and results of the maximal matching in c, the vertex-cover could be got, denoted by black nodes in d. The dotted lines de- note the deleted links when nodes are removed. The maximal matching number in c is 10 and thus the cover number of graph in a got by this strategy is 12, which exactly equals to the minimal cover number of this graph. C. Relations between the core-breaking and optimal solutions Proposition: For nodes arrays ni and nj where i ≥ j ≥ t, the coverage numbers for the whole graph G by the two core-breaking ways satisfy C(G(ni)) + i ≥ C(G(nj)) + j. Proof : When one more node is deleted from G(ni) and the graph becomes G(ni+1) with N (ni+1) + 1 = N (ni). Yet since one node owns to at most one match, the max- imal matching number of G(ni+1) will decrease by one or remain the same to G(ni), namely M (G(ni+1)) ≥ M (G(ni)) − 1. In this way C(G(ni+1)) + i + 1 ≤ C(G(ni)) + i and C(G(ni)) + i ≥ C(G(nj)) + j for all i ≥ j ≥ t. (cid:3) This proposition shows that the best approximation for the minimal coverage under the above core-breaking strategy occurs at the transition point t, i.e., the first time that Leaf-Removal cores disappear, and so our al- gorithm greedily deletes the least number of nodes to produce a no-core subgraph with easy minimal coverage. It is evident that the obtained coverage is not necessary to be a minimal one, but the following theorem illustrates that all the minimal vertex-cover can be found in such a core-breaking way. Theorem: For any graph G and its minimal vertex- a no-core graph. Yet, it is meaningful to study the pro- cess of deleting nodes since it provides us a new perspec- tive to understand the complicated organization of the Leaf-Removal core and study the minimal vertex-cover problem. III. DELETE A GRAPH INTO THE NO-CORE GRAPH In this section we will discuss how to turn graphs into no-core ones by deleting nodes with the lowest cost. As we said before, this problem will concern a lot of appli- cations in real-world networks. The Leaf-Removal cores will appear after the leaf- removing process is completed. For node vi, we define ci in c = (c1, c2, . . . , cN ) to indicate the probability of node vi belonging to the final remained core: (cid:26) 1 if vi is in a Leaf-Removal core (6) ci = 0 otherwise. Obviously, if the degree of node vi is one or node vi is connected to a one-degree node in the Leaf-Removal pro- cess, we have ci = 0. The problem of breaking the Leaf-Removal cores could be described in the language of optimization. For a node belonging to the original graph, to delete the graph into a no-core one, either this node is removed, or this node does not belong to a Leaf-Removal core. Thus, this prob- lem could be regarded as find the n = (n1, n2, . . . , nN ) satisfying: N(cid:88) i=1 n = arg min N (n), subject to n cini = 0. (7) Consider two connected nodes vi and vj in the original graphs. It is clear that removing node vj may effect the value of ci, yet this relation is complex. There are four situations for ci and cj: ci = 0 and cj = 0; ci = 0 and cj = 1; ci = 1 and cj = 0; ci = 1 and cj = 1. We will ignore the first two situations where node vi and node vj do not belong to the cores because in our following proposed model this situation will not effect the results. In the third situation, the node vj will be removed in one of the leaves removing steps. Clearly, the degree of node vj will not be one and it is connected to a one-degree node, because if vj is a one-degree node, node vi will be deleted. Thus the removal of node vj will not effect the value of ci. The forth situation is complicated: when the core is densely connected, the removal of vj may have no effect on ci; if the core is not that dense or uneven, removing of node vj will produce new leaves and more nodes will be removed in the original cores. Let vi→j be the probability of vi belonging to the core with vj removed. Consider all the nodes that are con- nected to vi. The value of ci with vj removed from the graph is determined by the status of all the neighbors 4 of vi. For a network with tree-like structure locally, this relation could be formulated by: ci→j = cini[1 − (cid:89) h∈∂i,h(cid:54)=j (1 − ch→i)], (8) where the ∂i is the set of neighbors of node vi. This system takes into account the first two situations we have mentioned above: if node vi does not belong to any of the final Leaf-Removal cores, then ci→j will keep to be 0 no matter whether node vj is removed or not. Obvious ci→j = 0 if ni = 0, namely node vi is removed from the graph. In this system, the set {ci→j = 0∀vi, vj ∈ V } will al- ways be one solution. It is worth noting that this case will not always correspond to a no-core graph. For example, a weakly connected network, like a cycle, could be very fragile and removing any node will turn the graph into a no-core one, although in the original graph all the nodes belong to the Leaf-Removal core. Yet it is clear that this kind of graph is very unstable and the stable ones are those whose connection is much denser. It is pretty hard to get the unstable Leaf-Removal cores like the cycle by Leaf-Removal process since only one leaf could easily break it. So in this paper we will neglect this situation and regard that the solution {ci→j = 0∀vi, vj ∈ V } cor- responds to an no-core graph. With knowledge in dynamic system, the solution will be stable if the largest eigenvalue of the linear operation R is smaller than one [20]. R is a matrix with 2M rows and 2M columns and each row or column stands for an edge with one direction i → j. Since edge between vi and vj has two directions i → j and j → i, this matrix will take into account all the directions and defined as: Rw→x,y→z = ∂cw→x ∂cy→z cw→x=0. (9) Let λ(c, n) be the largest eigenvalue of matrix R and clearly this value is determined by index n and c. The stability of a solution corresponding to no-core graph is determined by λ(c, n) < 1. It could be found that, in a graph with tree like struc- ture locally, this matrix R is calculated by the non- backtracking matrix [21, 22] B: where B is defined as: Bw→x,y→z = Rw→x,y→z = cyny Bw→x,y→z, (cid:26) 1 if x = y, w (cid:54)= z 0 otherwise. (10) (11) The non-backtracking has received a lot of attention recently and been used to identify the non-backtracking walks on graphs. According to the Perron-Frobenius the- orem [23], since all the entries in B is non-negative, it largest eigenvalue and all the entries of the correspond- ing eigenvector are all positive. Krzakala et al. showed that the spectrul method based on the non-backtracking method, namely the eigenvector corresponding to the sec- ond largest, could be applied to divide communities in graphs and could reach the detectability threshold [24]. Neumann et al. used this matrix to overcome the pathol- ogy of nodes near the high-degree nodes or hubs when eigenvector centrality is applied in some graphs to eval- uate the influence of nodes [25]. Hernan et al. applied the non-backtracking matrix to the optimal percolation problem and find an index to reduce the size of giant com- ponent [26, 27], which is crucial in influence optimization and immunization [15]. As nodes get deleted in graphs, the largest eigen- value λ(c, n) of the modified non-backtracking matrix R changes. When a node is deleted or the the node is no longer in the cores, the corresponding entries in R turn into zero. According to the Perron-Frobenius the- orem, the largest eigenvalue will decrease. The problem of deleting the graph into a no-core one is then equal to find the fastest way to reduce the largest eigenvalue to be less than one, namely this problem could be represented as: minN − N (n), subject to λ(n, c) < 1. (12) It is impossible to solve it directly, since n and c is so- phisticatedly tangled and there is no formula of λ(n, c) in the form of n and c. To overcome this, we will apply the power method [28], a classical numerical technique, to approximate the largest eigenvalue. Let v0 be a vector with nonzero projection on the di- rection of the eigenvector corresponding to λ(n, c) and vl(n, c) be the vector after l times iterations by R: vl(n, c) = Rl v0. By power method, we have: λ(n, c) = lim l→∞ λl(n, c) = lim l→∞ where for vl(n, c), we have: (cid:0)vl(n, c) v0 (cid:1) 1 l , vl(n, c)2= (cid:104)vl(n, c)vl(n, c)(cid:105) = (cid:104)v0(Rl)T Rl v0(cid:105). (13) (14) (15) (16) Firstly, for l = 1, the approximated eigenvector corre- sponding to λ(n, c) is: v1(n, c)(cid:105) = R v0(cid:105). (17) To further calculate this, the matrix R could be calcu- lated by: Rw→x,y→z = cynyAwxAyzδxy(1 − δwz), (18) where the Awx and Ayz are entries of the adjacency ma- trix and δxy and δwz are the Kronecker symbols with: (cid:26) 1 if i = j δij = 0 otherwise. (19) The ny and cy guarantee the node vy is not deleted from the graph and belongs to the core. The Awx, Ayz and δxy ensure that there is a track from vw to vz through vx or vy and the δwz ensure the non-backtracking property. (cid:88) y→z (cid:88) y→z = swAwxqw, = sxAwxqx. For simplicity, let si stand for the state of node vi and 5 qi the excess degree namely: si ≡ cini, qi = di − 1. (20) Choosing the 2M -dimension vector v0(cid:105) = 1(cid:105), we could get the left vector: w→x(cid:104)v1(n, c)= y→z(cid:104)v0 Rw→x,y→z and the right vector: v1(n, c)(cid:105)w→x= Rw→x,y→z v0(cid:105)y→z Thus, the norm could be presented as: v1(n, c)2= w→x(cid:104)v1(n, c)v1(n, c)(cid:105)w→x w→x (cid:88) (cid:88) λ1(n, c) =(cid:0) 1 w→x = Since v02 =(cid:80)N Awxqwqxswsx. i=1 di = 2M , we have: (cid:88) Awxqwqxswsx 2M w→x (cid:1) 1 2 . (21) (22) (23) (24) (cid:88) y→z (cid:88) y→z (cid:88) N(cid:88) i N(cid:88) i From the equation above, it could be observed that the approximation to the largest eigenvalue at order 1 λ1(n, c) involve all the edges in the graphs, or in other word, all the paths of which length is one. The non- backtracking requires that if there exist self-edges, they should not be taken into account in the approximation. For l = 2, the left vector w→x(cid:104)v2(n, c) could be de- rived directly from the same process: w→x(cid:104)v2(n, c)= y→z(cid:104)v1 Rw→x,y→z = swAwx Awisiqi(1 − δxi), (25) and the same to the right vector: v2(n, c)(cid:105)w→x= Rw→x,y→z v1(cid:105)y→z = sxAwx Axisiqi(1 − δwi). (26) So the norm is: v2(n, v)2 = (AwxAxyAyz × w→x,y→z (1 − δwy)(1 − δxz)qwqzswsxsysz), (27) and the approximation to the largest eigenvalue λ2(n, c) is: λ2(n, c) =(cid:0) 1 (cid:88) 2M (AwxAxyAyz × w→x,y→z (1 − δwy)(1 − δxz)qwqzswsxsysz)(cid:1) 1 4 . (28) By the same derivation, the approximation at order 3 to the largest eigenvalue λ3(n, c) is: λ3(n, c) =(cid:0) 1 (cid:88) (AuvAvwAwxAxyAyz × y→z u→v,w→x 2M (1 − δuw)(1 − δvx)(1 − δwy)(1 − δxz) × quqzsusvswsxsysz)(cid:1) 1 6 . (29) And for any l > 0, we have: λl(n, c) =(cid:0) 1 (cid:88) x1,x2,...,x2l (Ax1x2Ax2x3 . . . Ax2l−1x2l × 2M (1 − δx1x3 )(1 − δx2x4) . . . (1 − δx2l−2x2l ) × qx1qx2l sx1 sx2 . . . sx2l )(cid:1) 1 2l (30) As we could see, the l = 2 approximation involves all the paths of length 3 and all the pathes satisfy the non- backtracking property; the l = 3 approximation require all the paths of length 5 to be searched. It could be in- ferred that in the order l approximation, the paths whose length is 2l − 1 are needed to be considered. It is worth noting that the in the approximation with order larger than 2, when a path meets the non-backtracking require- ment, loops are allowed in the path. The track could walk by the nodes that have been already visited in the path. The formula of the approximation to the largest eigen- value at order l could be written in other way: λl(n, c) =(cid:0) N(cid:88) (cid:88) (cid:89) qi ( j∈∂(i,2l−1) i=1 k∈P2l−1(i,j) sk)qj (cid:1) 1 2l , (31) where the ∂(i, 2l − 1) contains all the nodes vj which has paths of length 2l−1 (with loops allowed), and P2l−1(i, j) contain all the nodes which are on these paths. The formula above involves all the paths in the graph with length 2l − 1. Yet this calculation could be general- ized to the situation of length 2l. By Power Method, the largest eigenvalue could also approximated by: (cid:0)(cid:104)vl(n, c) R vl(n, c)(cid:105) (cid:1) 1 2l+1 . (32) λ(n, c) = lim l→∞ For example, when l = 1, we have: (cid:104)v1(n, c) R v1(n, c)(cid:105) = (cid:104)v0v0(cid:105) (cid:88) x→y,y→z AxyAyz(1 − δxz) ×qxqzsxsysz. (33) Thus, for any l, according to the same derivation intro- duced above, the largest eigenvalue could be approxi- mated by: l(n, c) =(cid:0) N(cid:88) λ(cid:48) qi (cid:88) (cid:89) (cid:1) 1 i=1 j∈∂(i,2l) ( k∈P2l(i,j) sk)qj 2l+1 . (34) 6 From all the calculation above, when paths of length l are considered in the approximation, the leading part of the approximation formula is: (cid:88) (cid:89) N(cid:88) i=1 Hl = qi ( j∈∂(i,l) k∈Pl(i,j) sk)qj. (35) To reduce the largest eigenvalue quickly, a direct idea is to find the nodes which could reduce the Hl fastest. To illustrate this, we define the Core Influence of node vi, H(vi) to be: (cid:88) (cid:89) H(vi) = qi j∈∂(i,l) ( k∈Pl(i,j) sk)qj. (36) This value will be the indicator in removing nodes to turn the graph into a no-core one. First calculate H(vi) for all the nodes and deleted the node who owns the highest H(vi) value and all the edges connected to this node. Repeat this process again until the remained graphs is a no-core one. The detailed algorithm is presented in 1. After the graph is turned into a no-core one, find the maximal match for the remained graph. By unifying the deleted nodes and matched nodes, a vertex-cover could be got. Algorithm 1 The process of deleting the graph into a no-core one. while G is not a no-core graph, namely(cid:80) ci (cid:54)= 0 do Input graph G; For each node vi, calculate ci, qi and the state values si = cini; For each node vi, calculate all the Core Influence H(vi); Select node vj with largest H(vj) value; Remove node vj and all the edges linked to it from the graph; Update the graph G, set ni = 0; end while Output Nodes that are deleted in each step, namely n. The calculation complexity of Leaf-Removal process is O(N ), and calculating the Core Influence takes O(N log N ) complexity. In this way, to spot and remove the node with the highest Core Influence costs O(N ) time complexity. In a graph, around half of the total nodes need to be removed to turn the graph into a no- core one. Thus, the total complexity of Algorithm 1 is O(N 2 log N ). One problem in applying the Algorithm 1 is how to update the state indicator values ci. The leaf-removing is a fast process with time complexity O(N ), however, it will cost a lot to update them for every step. To overcome this, we propose to use a relatively coarse yet much faster strategy to update the indicator values. Before node vi is removed from the graph, we search all the neighbors of vi. Then neighbors with degree two, namely the nodes which will become leaves if vi is removed, are specified. The state values s of these neighbors and nodes connected to them are set to be zero. A detailed algorithm is shown in 2. This will reduce the complexity to O(N (log N )2). Since the structure of the core is sophisticated, removing one node in core may produce a long-lasting effect on the core structure and more nodes rather than neighbors will turn into leaves (of higher orders) and be deleted from the core, this method in 2 is only a local approximation to the complete update process. Better methods require a much deeper understanding to the core structure, which deserves further research. Algorithm 2 The updating method of indicator values. Input graph G, state values c and n for each node, node vi that is going to be deleted; for Each neighbor vj of node vi do if dj == 2, the two nodes connected to vj is vi and vk then Set cj = 0, ci = 1, ck = 1; end if end for IV. EXPERIMENTS In this section some experiments are conducted to present the efficiency of several centrality methods of deleting nodes. We examine the steps required to delete the graphs into no-core ones by each centrality and com- pare the numbers of steps. Also, combining with the theorems mentioned above, the minimal cover numbers of all the methods are compared. A. Results for Leaf-Removal Cores Breaking We compare our Core Influence method Hl with cen- tralities including High Degree Centrality (DC) [29], k- Core (KC) [13], Betweenness Centrality (BC) [30], Close- ness Centrality (CC) [31, 32], Collective Influence (CI) [15], High Degree Adaptive (HDA), PageRank (PR) [33] and Eigenvector Centrality (EC) [34] on Erdos-R´enyi (ER) graphs and Scale-Free (SF) graphs [35]. All these methods are applied to calculate how many nodes are required to be removed to turn the random graphs into no-core ones. Results are shown in Figure 3. The Core Influence method is applied at order l = 1 in equation (36). As we could see, the Core Influ- ence method performs great efficiency in this problem. With the average degree increasing, the graphs get more denser, yet compared to other node importance indices the Core Influence method still keeps requiring fewer nodes to be deleted to turn the graphs into no-core ones. The Core Influence method with an approximated states updating method in Algorithm 2 performs similar results. Results on SF graphs are better than that on the ER graphs, namely on SF graphs fewer nodes are required to be deleted to transform the graphs into no-core ones. That's because there exist nodes with very high degrees or hubs and deleting them will bring large changes on 7 Figure 3. The average numbers of nodes required to be deleted to turn the graphs into no-core ones. The experiments are conducted on ER and SF graphs with average degrees ranging from 3 to 10. For each average degree value, 30 graphs are generated and each graph contains 1000 nodes. Results of DC, KC, BC, CC, CI, HDA, PR, EC and Core Influence Hl are drawn on the graph. a. Results on ER graphs. The error bars of EC, HDA, Hl and approximated Hl (The four best methods) are plotted in the inside figure. b. Results on Scale-Free graphs with γ = 3. the topological structure. As a localized greedy method aiming at breaking the Leaf-Removal cores, the proposed Core Influence measurement works more efficiently on different topologies B. Results for Minimal Vertex-Cover Number At the same time, the vertex-cover is determined by the deleted nodes and the remained no-core graphs, and different node importance measurements are applied to delete the graphs into no-core ones and then by equa- tion (4) and (5) the minimal vertex-cover numbers can be calculated. The specific process for getting the minimal vertex-cover by Core Influence is presented in Algorithm 3. Results of the experiments are shown in Figure 4. Algorithm 3 The vertex-cover number by Core Influ- ence Input graph G; Get the deleted node array n by Algorithm 1; Get the maximal matching M (G(n)) for graph G(n); Output The vertex-cover number N − N (n) + M (G(n)). As we could see, by the Leaf-Removal process, the cov- erage numbers are much smaller compared to other meth- ods, especially when the average degrees are small. The Core Influence method proposed in the paper works bet- ter and could lower thel vertex-covering numbers. When the degree is getting higher (than 6), the approximated method in Algorithm 2 works similar to the HDA method and they both work better than other centralities, yet the complete algorithm Hl still works better than HDA. These results perform that the solution by deleting the graphs into no-core ones is a new perspective in studying graph-related problems and has shown great potential in minimal vertex-covering problem. More researches on the updating strategy are expected to improve the per- formance. Table I. The percentages of differences between results of Core Influence method and exact minimal vertex-cover num- bers against nodes numbers. The experiments are conducted on the ER graphs with 80, 100 and 120 nodes with average degrees from 3 to 7 and every results are the average of 30 graphs. Nodes Numbers N = 80 N = 100 N = 120 3 Average Degrees 4 6 5 7 0.21% 0.71% 1.33% 1.63% 1.38% 0.27% 0.80% 1.53% 1.43% 1.47% 0.05% 0.67% 1.28% 1.33% 1.53% To further explore the efficiency of the Core Influence method, the cover numbers resulting from this method are compared with the exact results of minimal vertex- cover. The differences between the results of Core Influ- ence method and real Cm are calculated and the percent- ages of these differences against the total nodes numbers are shown in Table I. As we could see, the Core Influence performs well and as the nodes numbers increase, this method stays stable. With constant nodes number, as the edges numbers increase, the gaps are getting larger. That's due to the increase of the densely connected clus- ters. Yet the Core Influence method still keeps high effi- ciency and the cover numbers keep a low difference from the real minimal vertex-cover numbers. 8 Figure 4. The vertex-cover number by deleting the graphs into no-core ones. The experiments are conducted on ER and SF graphs with average degrees ranging from 3 to 10. For each average degree value, 30 graphs are generated and each graph contains 1000 nodes. Results of DC, KC, BC, CC, CI, HDA, PR, EC and Core Influence Hl are drawn on the graph. a. Minimal vertex-cover numbers on ER graphs. The error bars of EC, HDA, Hl and approximated Hl (The four best methods) are plotted in the inside figure. b. Minimal vertex-cover numbers on SF graphs. Inside figures are the local amplifications. C. Experiments on GR-QC Collaboration Network The same experiments are conducted on the General Relativity and Quantum Cosmology (GR-QC) collabora- tion network [36]. This network is from the arXiv site and records the papers submitted to the GR-QC category from January 1993 to April 2003. There are 5242 nodes and 14496 edges in the network. Each node represents an author and if two authors cooperated and submit- ted a paper to the GR-QC category in arXiv together, 9 Table II. The numbers of nodes required to be deleted to turn the General Relativity and Quantum Cosmology (GR-QC) collaboration network into no-core one and the corresponding vertex-cover number. There are 5242 nodes and 14496 edges in the network and results of DC, KC, BC, CC, CI, HDA, PR, EC and Core Influence Hl are shown. Deleted Nodes Numbers Vertex-Cover Numbers DC 4042 4220 BC 5240 5241 CC 4778 4956 CI 1563 2820 EC 5211 5220 PR 4577 4582 KC 3918 4213 HDA 1831 2795 Hl 779 2785 Hl(Approximated) 855 2787 there will be an undirected link between the correspond- ing nodes. The Leaf-Removal process and Core Influence method are implemented on the network and results are shown in Table II. As the results show, the Core Influence method keeps the great efficiency and require removing less than 1000 nodes to get the no-core graph. The BC require 5240 nodes to be deleted to turn the graph into no-core one, which is only 2 nodes less than the total nodes num- ber. This is due to the appearance of isolated triangles in the Leaf-Removal process, which is common in scientific research cooperations. At the same time, the vertex- cover number by Core Influence method is also the low- est, around half of the total number of nodes. It is hard to get the vertex-cover for networks at this scale, yet we could see the Hl gets reasonable results on the minimal vertex-cover problem. CONCLUSION AND DISCUSSION In this paper, focusing on the minimal vertex-cover problem, a method based on dynamical system and power method is proposed. This Core Influence method could transform the graphs into No-Leaf-Removal-Core ones fast by deleting selected nodes and breaking the Leaf-Removal cores. It is proved that any minimal vertex-covers of the whole graph can be located into Leaf- Removal Cores breaking and maximal matching of the re- mained graphs and the best boundary is achieved at the transition point. The coverage numbers resulting from this Core Influence method is better compared to other node importance indices. Since the Leaf-Removal process is crucial in many researches, this study provides a use- ful tool for researches on graphs and a new perspective of the minimal vertex-cover problem. Since the structure of Leaf-Removal cores is sophisti- cated and we still have not made it clear, researches re- lated to it are expected to further improve this method. More understandings on the transition to no-core graphs will help find more accurate expressions on the nodes in Leaf-Removal cores and improve the performance. Also, a fast and accurate method for judging whether a node belongs to the Leaf-Removal cores will improve the ac- curacy and efficiency. More researches on NP problem in the view of Leaf-Removal process are expected in the future. ACKNOWLEDGEMENTS This work is supported by the Fundamental Research Funds for the Central Universities, the National Natural Science Foundation of China (No.11201019), the Interna- tional Cooperation Project No.2010DFR00700 and Fun- damental Research of Civil Aircraft No.MJ-F-2012-04. REFERENCES [1] R.M. Karp, in Proc. Sympos. IBM Thomas J.Watson [8] B. Bollob'as, Random Graphs (Academic Press, New Res. Center (Plenum, New York, 1972), pp. 85-103. York, 1985). [2] S. A. Cook, in Proc. 3rd Ann. ACM Symp. on Theory of Computing (Association for Computing Machinery, New York, 1971), pp. 151-158. [9] M. Mzard, F. Ricci-Tersenghi and R. Zecchina, J. Stat. Phys. 111 505 (2003) [10] M. Weigt and A. K. Hartmann, Phys. Rev. E 63, 056127 [3] C. H. Papadimitriou, Computational Complexity (John (2001). Wiley and Sons, Chichester, 2003). [11] S. Mertens, M. Mzard, and R. Zecchina, Algorithms 28 [4] J. Gomez-Gardenes, P. Echenique, and Y. Moreno, Eur. 340-73 (2005) Phys. J. B 49, 259 (2006). [12] W. Wei, B. Guo, and Z. Zheng, J. Stat.Mech.: Theor. [5] Y. Breitbart, C. Y. Chan, M. Garofalakis, R. Rastogi, and A. Silverschatz, in Proc. IEEE INFOCOM (IEEE Communication Society, Anchorage, Alaska, 2001), pp. 933-942. [6] M.Weigt and A. K. Hartmann, Phys. Rev. Lett. 84, 6118 (2000). [7] H. Zhou, Phys. Rev. Lett. 94, 217203 (2005). Exp. (2009) P02010. [13] S. N. Dorogovtsev, A. V. Goltsev, J. F. F. Mendes, Phys. Rev. Lett. 96 (4): 040601 (2006) [14] M. Kitsak, L. K. Gallos, S. Havlin, F. Liljeros, L. Much- nik, H. E. Stanley, and H. A. Makse, 6(11): 888-893, (2010) [15] F. Morone and H. A Makse, Nature, 524(7563), 65. (2015). 10 [16] M. Weigt and H. Zhou, Phys. Rev. E 74 046110 (2006) [17] W. Wei, R. Zhang, B. Guo, and Z. Zheng, Phys. Rev. E 86 016112 (2012) [18] J. A. Bondy and U. S. R. Murty, Graph Theory with Applications (Oxford: Elsevier) (1976) [19] H. N. Gabow and R. E. Tarjan, J. ACM 38 815-53 (1991) [20] S. Wiggins, Introduction to applied nonlinear dynamical systems and chaos (Vol. 2). Springer Science & Business Media. (2003) [21] C. Bordenave, M. Lelarge, and L. Massouli´e, In Foun- dations of Computer Science (FOCS), 2015 IEEE 56th Annual Symposium on (pp. 1347-1357). IEEE. (2000). [27] R. Cohen, K. Erez, ben-Avraham D., and S. Havlin, "Breakdown of the Internet under intentional attack", Phys. Rev. Lett. 86, 3682C3685 (2001). [28] D. Kincaid, D. R. Kincaid, and E. W. Cheney, Numerical analysis: mathematics of scientific computing. American Mathematical Soc. (2009) [29] M. Newman, Networks. Oxford university press. (2018) [30] L. C. Freeman, "A set of measures of centrality based on betweenness", Sociom. 35 -- 41 (1977) [31] A. Bavelas, "Communication patterns in task-oriented groups", The J. Acoust. Soc. Am. 22 725 -- 730 (1950) [22] K. I. Hashimoto, In Automorphic forms and geometry of [32] G. Sabidussi, "The centrality index of a graph", Psy- arithmetic varieties (pp. 211-280). (1989) chom. 31 588 -- 603 (1966) [23] R. A. Horn, and C. R. Johnson, Matrix Analysis, Cam- [33] L, Page, S. Brin, R. Motwani, and T. Winograd, Stanford bridge University Press New York, NY, USA (2012) InfoLab (1999) [24] F. Krzakala, C. Moore, E. Mossel, J. Neeman, A. Sly, L. Zdeborov, and P. Zhang, Proceedings of the National Academy of Sciences, 110(52), 20935-20940. (2013) [25] B. Karrer, M. E. J. Newman, and L. Zdebrova. Phys. Rev. Lett. 113, 208702 (2014) [26] R. Albert, H. Jeong and A. Barab´asi, "Error and attack tolerance of complex networks", Nature 406, 378C382 [34] L. C. Freeman, Soc. Networks 1, 215C239 (1978). [35] M. E. J. Newman, Networks: An Introduction. Oxford university press. (2000) [36] J. Leskovec, J. Kleinberg and C. Faloutsos. ACM Transactions on Knowledge Discovery from Data (ACM TKDD), 1(1), 2007.