Unnamed: 0
int64
1
143k
directory
stringlengths
39
203
repo_id
float64
143k
552M
file_name
stringlengths
3
107
extension
stringclasses
6 values
no_lines
int64
5
304k
max_line_len
int64
15
21.6k
generation_keywords
stringclasses
3 values
license_whitelist_keywords
stringclasses
16 values
license_blacklist_keywords
stringclasses
4 values
icarus_module_spans
stringlengths
8
6.16k
icarus_exception
stringlengths
12
124
verilator_xml_output_path
stringlengths
60
60
verilator_exception
stringlengths
33
1.53M
file_index
int64
0
315k
snippet_type
stringclasses
2 values
snippet
stringlengths
21
9.27M
snippet_def
stringlengths
9
30.3k
snippet_body
stringlengths
10
9.27M
gh_stars
int64
0
1.61k
404
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBTC1CON34_29(O, I); output O; input I; assign O = I; endmodule
module UBTC1CON34_29(O, I);
output O; input I; assign O = I; endmodule
0
405
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBTC1CON34_30(O, I); output O; input I; assign O = I; endmodule
module UBTC1CON34_30(O, I);
output O; input I; assign O = I; endmodule
0
406
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBTC1CON34_31(O, I); output O; input I; assign O = I; endmodule
module UBTC1CON34_31(O, I);
output O; input I; assign O = I; endmodule
0
407
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBTC1CON34_32(O, I); output O; input I; assign O = I; endmodule
module UBTC1CON34_32(O, I);
output O; input I; assign O = I; endmodule
0
408
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBTC1CON34_33(O, I); output O; input I; assign O = I; endmodule
module UBTC1CON34_33(O, I);
output O; input I; assign O = I; endmodule
0
409
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBTCTCONV_34_34(O, I); output [34:34] O; input [34:34] I; assign O = ~ I; endmodule
module UBTCTCONV_34_34(O, I);
output [34:34] O; input [34:34] I; assign O = ~ I; endmodule
0
410
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module Multiplier_15_0_1000(P, IN1, IN2); output [31:0] P; input [15:0] IN1; input [15:0] IN2; wire [34:0] W; assign P[0] = W[0]; assign P[1] = W[1]; assign P[2] = W[2]; assign P[3] = W[3]; assign P[4] = W[4]; assign P[5] = W[5]; assign P[6] = W[6]; assign P[7] = W[7]; assign P[8] = W[8]; assign P[9] = W[9]; assign P[10] = W[10]; assign P[11] = W[11]; assign P[12] = W[12]; assign P[13] = W[13]; assign P[14] = W[14]; assign P[15] = W[15]; assign P[16] = W[16]; assign P[17] = W[17]; assign P[18] = W[18]; assign P[19] = W[19]; assign P[20] = W[20]; assign P[21] = W[21]; assign P[22] = W[22]; assign P[23] = W[23]; assign P[24] = W[24]; assign P[25] = W[25]; assign P[26] = W[26]; assign P[27] = W[27]; assign P[28] = W[28]; assign P[29] = W[29]; assign P[30] = W[30]; assign P[31] = W[31]; MultUB_R4B_D73_BC000 U0 (W, IN1, IN2); endmodule
module Multiplier_15_0_1000(P, IN1, IN2);
output [31:0] P; input [15:0] IN1; input [15:0] IN2; wire [34:0] W; assign P[0] = W[0]; assign P[1] = W[1]; assign P[2] = W[2]; assign P[3] = W[3]; assign P[4] = W[4]; assign P[5] = W[5]; assign P[6] = W[6]; assign P[7] = W[7]; assign P[8] = W[8]; assign P[9] = W[9]; assign P[10] = W[10]; assign P[11] = W[11]; assign P[12] = W[12]; assign P[13] = W[13]; assign P[14] = W[14]; assign P[15] = W[15]; assign P[16] = W[16]; assign P[17] = W[17]; assign P[18] = W[18]; assign P[19] = W[19]; assign P[20] = W[20]; assign P[21] = W[21]; assign P[22] = W[22]; assign P[23] = W[23]; assign P[24] = W[24]; assign P[25] = W[25]; assign P[26] = W[26]; assign P[27] = W[27]; assign P[28] = W[28]; assign P[29] = W[29]; assign P[30] = W[30]; assign P[31] = W[31]; MultUB_R4B_D73_BC000 U0 (W, IN1, IN2); endmodule
0
411
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module D7_3CTR_18_0_20_0000 (S1, S2, PP0, PP1, PP2, PP3, PP4, PP5, PP6, PP7, PP8, PP9); output [33:0] S1; output [33:0] S2; input [18:0] PP0; input [20:0] PP1; input [22:2] PP2; input [24:4] PP3; input [26:6] PP4; input [28:8] PP5; input [30:10] PP6; input [32:12] PP7; input [33:14] PP8; input [17:16] PP9; wire [33:0] W0; wire [32:0] W1; wire [30:2] W2; wire [28:4] W3; wire [26:6] W4; wire [24:8] W5; wire [23:10] W6; UBHA_12 U0 (W5[13], W6[12], PP0[12], PP1[12]); UBFA_13 U1 (W5[14], W6[13], PP0[13], PP1[13], PP2[13]); UB4_3C14 U2 (W4[16], W5[15], W6[14], PP0[14], PP1[14], PP2[14], PP3[14]); UB4_3C15 U3 (W4[17], W5[16], W6[15], PP0[15], PP1[15], PP2[15], PP3[15]); UB6_3C16 U4 (W4[18], W5[17], W6[16], PP0[16], PP1[16], PP2[16], PP3[16], PP4[16], PP5[16]); UB6_3C17 U5 (W4[19], W5[18], W6[17], PP0[17], PP1[17], PP2[17], PP3[17], PP4[17], PP5[17]); UB5_3C18 U6 (W4[20], W5[19], W6[18], PP0[18], PP1[18], PP2[18], PP3[18], PP4[18]); UB4_3C19 U7 (W4[21], W5[20], W6[19], PP1[19], PP2[19], PP3[19], PP4[19]); UB4_3C20 U8 (W4[22], W5[21], W6[20], PP1[20], PP2[20], PP3[20], PP4[20]); UBFA_21 U9 (W5[22], W6[21], PP2[21], PP3[21], PP4[21]); UBFA_22 U10 (W6[23], W6[22], PP2[22], PP3[22], PP4[22]); UBCON_11_0 U11 (W0[11:0], PP0[11:0]); UB1DCON_12 U12 (W0[12], PP2[12]); UB1DCON_13 U13 (W0[13], PP3[13]); UBCON_15_14 U14 (W0[15:14], PP4[15:14]); UBCON_17_16 U15 (W0[17:16], PP6[17:16]); UBCON_22_18 U16 (W0[22:18], PP5[22:18]); UBCON_24_23 U17 (W0[24:23], PP3[24:23]); UBCON_26_25 U18 (W0[26:25], PP4[26:25]); UBCON_28_27 U19 (W0[28:27], PP5[28:27]); UBCON_30_29 U20 (W0[30:29], PP6[30:29]); UBCON_32_31 U21 (W0[32:31], PP7[32:31]); UB1DCON_33 U22 (W0[33], PP8[33]); UBCON_11_0 U23 (W1[11:0], PP1[11:0]); UB1DCON_12 U24 (W1[12], PP3[12]); UB1DCON_13 U25 (W1[13], PP4[13]); UBCON_15_14 U26 (W1[15:14], PP5[15:14]); UBCON_17_16 U27 (W1[17:16], PP7[17:16]); UBCON_22_18 U28 (W1[22:18], PP6[22:18]); UBCON_24_23 U29 (W1[24:23], PP4[24:23]); UBCON_26_25 U30 (W1[26:25], PP5[26:25]); UBCON_28_27 U31 (W1[28:27], PP6[28:27]); UBCON_30_29 U32 (W1[30:29], PP7[30:29]); UBCON_32_31 U33 (W1[32:31], PP8[32:31]); UBCON_11_2 U34 (W2[11:2], PP2[11:2]); UB1DCON_12 U35 (W2[12], PP4[12]); UB1DCON_13 U36 (W2[13], PP5[13]); UBCON_15_14 U37 (W2[15:14], PP6[15:14]); UBCON_17_16 U38 (W2[17:16], PP8[17:16]); UBCON_22_18 U39 (W2[22:18], PP7[22:18]); UBCON_24_23 U40 (W2[24:23], PP5[24:23]); UBCON_26_25 U41 (W2[26:25], PP6[26:25]); UBCON_28_27 U42 (W2[28:27], PP7[28:27]); UBCON_30_29 U43 (W2[30:29], PP8[30:29]); UBCON_11_4 U44 (W3[11:4], PP3[11:4]); UB1DCON_12 U45 (W3[12], PP5[12]); UB1DCON_13 U46 (W3[13], PP6[13]); UBCON_15_14 U47 (W3[15:14], PP7[15:14]); UBCON_17_16 U48 (W3[17:16], PP9[17:16]); UBCON_22_18 U49 (W3[22:18], PP8[22:18]); UBCON_24_23 U50 (W3[24:23], PP6[24:23]); UBCON_26_25 U51 (W3[26:25], PP7[26:25]); UBCON_28_27 U52 (W3[28:27], PP8[28:27]); UBCON_11_6 U53 (W4[11:6], PP4[11:6]); UB1DCON_12 U54 (W4[12], PP6[12]); UB1DCON_13 U55 (W4[13], PP7[13]); UBCON_15_14 U56 (W4[15:14], PP8[15:14]); UBCON_24_23 U57 (W4[24:23], PP7[24:23]); UBCON_26_25 U58 (W4[26:25], PP8[26:25]); UBCON_11_8 U59 (W5[11:8], PP5[11:8]); UB1DCON_12 U60 (W5[12], PP7[12]); UBCON_24_23 U61 (W5[24:23], PP8[24:23]); UBCON_11_10 U62 (W6[11:10], PP6[11:10]); D7_3CTR_33_0_32_0000 U63 (S1, S2, W0, W1, W2, W3, W4, W5, W6); endmodule
module D7_3CTR_18_0_20_0000 (S1, S2, PP0, PP1, PP2, PP3, PP4, PP5, PP6, PP7, PP8, PP9);
output [33:0] S1; output [33:0] S2; input [18:0] PP0; input [20:0] PP1; input [22:2] PP2; input [24:4] PP3; input [26:6] PP4; input [28:8] PP5; input [30:10] PP6; input [32:12] PP7; input [33:14] PP8; input [17:16] PP9; wire [33:0] W0; wire [32:0] W1; wire [30:2] W2; wire [28:4] W3; wire [26:6] W4; wire [24:8] W5; wire [23:10] W6; UBHA_12 U0 (W5[13], W6[12], PP0[12], PP1[12]); UBFA_13 U1 (W5[14], W6[13], PP0[13], PP1[13], PP2[13]); UB4_3C14 U2 (W4[16], W5[15], W6[14], PP0[14], PP1[14], PP2[14], PP3[14]); UB4_3C15 U3 (W4[17], W5[16], W6[15], PP0[15], PP1[15], PP2[15], PP3[15]); UB6_3C16 U4 (W4[18], W5[17], W6[16], PP0[16], PP1[16], PP2[16], PP3[16], PP4[16], PP5[16]); UB6_3C17 U5 (W4[19], W5[18], W6[17], PP0[17], PP1[17], PP2[17], PP3[17], PP4[17], PP5[17]); UB5_3C18 U6 (W4[20], W5[19], W6[18], PP0[18], PP1[18], PP2[18], PP3[18], PP4[18]); UB4_3C19 U7 (W4[21], W5[20], W6[19], PP1[19], PP2[19], PP3[19], PP4[19]); UB4_3C20 U8 (W4[22], W5[21], W6[20], PP1[20], PP2[20], PP3[20], PP4[20]); UBFA_21 U9 (W5[22], W6[21], PP2[21], PP3[21], PP4[21]); UBFA_22 U10 (W6[23], W6[22], PP2[22], PP3[22], PP4[22]); UBCON_11_0 U11 (W0[11:0], PP0[11:0]); UB1DCON_12 U12 (W0[12], PP2[12]); UB1DCON_13 U13 (W0[13], PP3[13]); UBCON_15_14 U14 (W0[15:14], PP4[15:14]); UBCON_17_16 U15 (W0[17:16], PP6[17:16]); UBCON_22_18 U16 (W0[22:18], PP5[22:18]); UBCON_24_23 U17 (W0[24:23], PP3[24:23]); UBCON_26_25 U18 (W0[26:25], PP4[26:25]); UBCON_28_27 U19 (W0[28:27], PP5[28:27]); UBCON_30_29 U20 (W0[30:29], PP6[30:29]); UBCON_32_31 U21 (W0[32:31], PP7[32:31]); UB1DCON_33 U22 (W0[33], PP8[33]); UBCON_11_0 U23 (W1[11:0], PP1[11:0]); UB1DCON_12 U24 (W1[12], PP3[12]); UB1DCON_13 U25 (W1[13], PP4[13]); UBCON_15_14 U26 (W1[15:14], PP5[15:14]); UBCON_17_16 U27 (W1[17:16], PP7[17:16]); UBCON_22_18 U28 (W1[22:18], PP6[22:18]); UBCON_24_23 U29 (W1[24:23], PP4[24:23]); UBCON_26_25 U30 (W1[26:25], PP5[26:25]); UBCON_28_27 U31 (W1[28:27], PP6[28:27]); UBCON_30_29 U32 (W1[30:29], PP7[30:29]); UBCON_32_31 U33 (W1[32:31], PP8[32:31]); UBCON_11_2 U34 (W2[11:2], PP2[11:2]); UB1DCON_12 U35 (W2[12], PP4[12]); UB1DCON_13 U36 (W2[13], PP5[13]); UBCON_15_14 U37 (W2[15:14], PP6[15:14]); UBCON_17_16 U38 (W2[17:16], PP8[17:16]); UBCON_22_18 U39 (W2[22:18], PP7[22:18]); UBCON_24_23 U40 (W2[24:23], PP5[24:23]); UBCON_26_25 U41 (W2[26:25], PP6[26:25]); UBCON_28_27 U42 (W2[28:27], PP7[28:27]); UBCON_30_29 U43 (W2[30:29], PP8[30:29]); UBCON_11_4 U44 (W3[11:4], PP3[11:4]); UB1DCON_12 U45 (W3[12], PP5[12]); UB1DCON_13 U46 (W3[13], PP6[13]); UBCON_15_14 U47 (W3[15:14], PP7[15:14]); UBCON_17_16 U48 (W3[17:16], PP9[17:16]); UBCON_22_18 U49 (W3[22:18], PP8[22:18]); UBCON_24_23 U50 (W3[24:23], PP6[24:23]); UBCON_26_25 U51 (W3[26:25], PP7[26:25]); UBCON_28_27 U52 (W3[28:27], PP8[28:27]); UBCON_11_6 U53 (W4[11:6], PP4[11:6]); UB1DCON_12 U54 (W4[12], PP6[12]); UB1DCON_13 U55 (W4[13], PP7[13]); UBCON_15_14 U56 (W4[15:14], PP8[15:14]); UBCON_24_23 U57 (W4[24:23], PP7[24:23]); UBCON_26_25 U58 (W4[26:25], PP8[26:25]); UBCON_11_8 U59 (W5[11:8], PP5[11:8]); UB1DCON_12 U60 (W5[12], PP7[12]); UBCON_24_23 U61 (W5[24:23], PP8[24:23]); UBCON_11_10 U62 (W6[11:10], PP6[11:10]); D7_3CTR_33_0_32_0000 U63 (S1, S2, W0, W1, W2, W3, W4, W5, W6); endmodule
0
412
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module D7_3CTR_33_0_32_0000 (S1, S2, PP0, PP1, PP2, PP3, PP4, PP5, PP6); output [33:0] S1; output [33:0] S2; input [33:0] PP0; input [32:0] PP1; input [30:2] PP2; input [28:4] PP3; input [26:6] PP4; input [24:8] PP5; input [23:10] PP6; wire [33:0] W0; wire [32:0] W1; wire [31:2] W2; UBHA_4 U0 (W1[5], W2[4], PP0[4], PP1[4]); UBFA_5 U1 (W1[6], W2[5], PP0[5], PP1[5], PP2[5]); UB4_3C6 U2 (W0[8], W1[7], W2[6], PP0[6], PP1[6], PP2[6], PP3[6]); UB4_3C7 U3 (W0[9], W1[8], W2[7], PP0[7], PP1[7], PP2[7], PP3[7]); UB6_3C8 U4 (W0[10], W1[9], W2[8], PP0[8], PP1[8], PP2[8], PP3[8], PP4[8], PP5[8]); UB6_3C9 U5 (W0[11], W1[10], W2[9], PP0[9], PP1[9], PP2[9], PP3[9], PP4[9], PP5[9]); UB7_3C10 U6 (W0[12], W1[11], W2[10], PP0[10], PP1[10], PP2[10], PP3[10], PP4[10], PP5[10], PP6[10]); UB7_3C11 U7 (W0[13], W1[12], W2[11], PP0[11], PP1[11], PP2[11], PP3[11], PP4[11], PP5[11], PP6[11]); UB7_3C12 U8 (W0[14], W1[13], W2[12], PP0[12], PP1[12], PP2[12], PP3[12], PP4[12], PP5[12], PP6[12]); UB7_3C13 U9 (W0[15], W1[14], W2[13], PP0[13], PP1[13], PP2[13], PP3[13], PP4[13], PP5[13], PP6[13]); UB7_3C14 U10 (W0[16], W1[15], W2[14], PP0[14], PP1[14], PP2[14], PP3[14], PP4[14], PP5[14], PP6[14]); UB7_3C15 U11 (W0[17], W1[16], W2[15], PP0[15], PP1[15], PP2[15], PP3[15], PP4[15], PP5[15], PP6[15]); UB7_3C16 U12 (W0[18], W1[17], W2[16], PP0[16], PP1[16], PP2[16], PP3[16], PP4[16], PP5[16], PP6[16]); UB7_3C17 U13 (W0[19], W1[18], W2[17], PP0[17], PP1[17], PP2[17], PP3[17], PP4[17], PP5[17], PP6[17]); UB7_3C18 U14 (W0[20], W1[19], W2[18], PP0[18], PP1[18], PP2[18], PP3[18], PP4[18], PP5[18], PP6[18]); UB7_3C19 U15 (W0[21], W1[20], W2[19], PP0[19], PP1[19], PP2[19], PP3[19], PP4[19], PP5[19], PP6[19]); UB7_3C20 U16 (W0[22], W1[21], W2[20], PP0[20], PP1[20], PP2[20], PP3[20], PP4[20], PP5[20], PP6[20]); UB7_3C21 U17 (W0[23], W1[22], W2[21], PP0[21], PP1[21], PP2[21], PP3[21], PP4[21], PP5[21], PP6[21]); UB7_3C22 U18 (W0[24], W1[23], W2[22], PP0[22], PP1[22], PP2[22], PP3[22], PP4[22], PP5[22], PP6[22]); UB7_3C23 U19 (W0[25], W1[24], W2[23], PP0[23], PP1[23], PP2[23], PP3[23], PP4[23], PP5[23], PP6[23]); UB6_3C24 U20 (W0[26], W1[25], W2[24], PP0[24], PP1[24], PP2[24], PP3[24], PP4[24], PP5[24]); UB5_3C25 U21 (W0[27], W1[26], W2[25], PP0[25], PP1[25], PP2[25], PP3[25], PP4[25]); UB5_3C26 U22 (W0[28], W1[27], W2[26], PP0[26], PP1[26], PP2[26], PP3[26], PP4[26]); UB4_3C27 U23 (W0[29], W1[28], W2[27], PP0[27], PP1[27], PP2[27], PP3[27]); UB4_3C28 U24 (W0[30], W1[29], W2[28], PP0[28], PP1[28], PP2[28], PP3[28]); UBFA_29 U25 (W1[30], W2[29], PP0[29], PP1[29], PP2[29]); UBFA_30 U26 (W2[31], W2[30], PP0[30], PP1[30], PP2[30]); UBCON_3_0 U27 (W0[3:0], PP0[3:0]); UB1DCON_4 U28 (W0[4], PP2[4]); UB1DCON_5 U29 (W0[5], PP3[5]); UBCON_7_6 U30 (W0[7:6], PP4[7:6]); UBCON_33_31 U31 (W0[33:31], PP0[33:31]); UBCON_3_0 U32 (W1[3:0], PP1[3:0]); UB1DCON_4 U33 (W1[4], PP3[4]); UBCON_32_31 U34 (W1[32:31], PP1[32:31]); UBCON_3_2 U35 (W2[3:2], PP2[3:2]); D7_3CTR_33_0_32_0001 U36 (S1, S2, W0, W1, W2); endmodule
module D7_3CTR_33_0_32_0000 (S1, S2, PP0, PP1, PP2, PP3, PP4, PP5, PP6);
output [33:0] S1; output [33:0] S2; input [33:0] PP0; input [32:0] PP1; input [30:2] PP2; input [28:4] PP3; input [26:6] PP4; input [24:8] PP5; input [23:10] PP6; wire [33:0] W0; wire [32:0] W1; wire [31:2] W2; UBHA_4 U0 (W1[5], W2[4], PP0[4], PP1[4]); UBFA_5 U1 (W1[6], W2[5], PP0[5], PP1[5], PP2[5]); UB4_3C6 U2 (W0[8], W1[7], W2[6], PP0[6], PP1[6], PP2[6], PP3[6]); UB4_3C7 U3 (W0[9], W1[8], W2[7], PP0[7], PP1[7], PP2[7], PP3[7]); UB6_3C8 U4 (W0[10], W1[9], W2[8], PP0[8], PP1[8], PP2[8], PP3[8], PP4[8], PP5[8]); UB6_3C9 U5 (W0[11], W1[10], W2[9], PP0[9], PP1[9], PP2[9], PP3[9], PP4[9], PP5[9]); UB7_3C10 U6 (W0[12], W1[11], W2[10], PP0[10], PP1[10], PP2[10], PP3[10], PP4[10], PP5[10], PP6[10]); UB7_3C11 U7 (W0[13], W1[12], W2[11], PP0[11], PP1[11], PP2[11], PP3[11], PP4[11], PP5[11], PP6[11]); UB7_3C12 U8 (W0[14], W1[13], W2[12], PP0[12], PP1[12], PP2[12], PP3[12], PP4[12], PP5[12], PP6[12]); UB7_3C13 U9 (W0[15], W1[14], W2[13], PP0[13], PP1[13], PP2[13], PP3[13], PP4[13], PP5[13], PP6[13]); UB7_3C14 U10 (W0[16], W1[15], W2[14], PP0[14], PP1[14], PP2[14], PP3[14], PP4[14], PP5[14], PP6[14]); UB7_3C15 U11 (W0[17], W1[16], W2[15], PP0[15], PP1[15], PP2[15], PP3[15], PP4[15], PP5[15], PP6[15]); UB7_3C16 U12 (W0[18], W1[17], W2[16], PP0[16], PP1[16], PP2[16], PP3[16], PP4[16], PP5[16], PP6[16]); UB7_3C17 U13 (W0[19], W1[18], W2[17], PP0[17], PP1[17], PP2[17], PP3[17], PP4[17], PP5[17], PP6[17]); UB7_3C18 U14 (W0[20], W1[19], W2[18], PP0[18], PP1[18], PP2[18], PP3[18], PP4[18], PP5[18], PP6[18]); UB7_3C19 U15 (W0[21], W1[20], W2[19], PP0[19], PP1[19], PP2[19], PP3[19], PP4[19], PP5[19], PP6[19]); UB7_3C20 U16 (W0[22], W1[21], W2[20], PP0[20], PP1[20], PP2[20], PP3[20], PP4[20], PP5[20], PP6[20]); UB7_3C21 U17 (W0[23], W1[22], W2[21], PP0[21], PP1[21], PP2[21], PP3[21], PP4[21], PP5[21], PP6[21]); UB7_3C22 U18 (W0[24], W1[23], W2[22], PP0[22], PP1[22], PP2[22], PP3[22], PP4[22], PP5[22], PP6[22]); UB7_3C23 U19 (W0[25], W1[24], W2[23], PP0[23], PP1[23], PP2[23], PP3[23], PP4[23], PP5[23], PP6[23]); UB6_3C24 U20 (W0[26], W1[25], W2[24], PP0[24], PP1[24], PP2[24], PP3[24], PP4[24], PP5[24]); UB5_3C25 U21 (W0[27], W1[26], W2[25], PP0[25], PP1[25], PP2[25], PP3[25], PP4[25]); UB5_3C26 U22 (W0[28], W1[27], W2[26], PP0[26], PP1[26], PP2[26], PP3[26], PP4[26]); UB4_3C27 U23 (W0[29], W1[28], W2[27], PP0[27], PP1[27], PP2[27], PP3[27]); UB4_3C28 U24 (W0[30], W1[29], W2[28], PP0[28], PP1[28], PP2[28], PP3[28]); UBFA_29 U25 (W1[30], W2[29], PP0[29], PP1[29], PP2[29]); UBFA_30 U26 (W2[31], W2[30], PP0[30], PP1[30], PP2[30]); UBCON_3_0 U27 (W0[3:0], PP0[3:0]); UB1DCON_4 U28 (W0[4], PP2[4]); UB1DCON_5 U29 (W0[5], PP3[5]); UBCON_7_6 U30 (W0[7:6], PP4[7:6]); UBCON_33_31 U31 (W0[33:31], PP0[33:31]); UBCON_3_0 U32 (W1[3:0], PP1[3:0]); UB1DCON_4 U33 (W1[4], PP3[4]); UBCON_32_31 U34 (W1[32:31], PP1[32:31]); UBCON_3_2 U35 (W2[3:2], PP2[3:2]); D7_3CTR_33_0_32_0001 U36 (S1, S2, W0, W1, W2); endmodule
0
413
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module D7_3CTR_33_0_32_0001 (S1, S2, PP0, PP1, PP2); output [33:0] S1; output [33:0] S2; input [33:0] PP0; input [32:0] PP1; input [31:2] PP2; wire [33:0] W0; wire [33:0] W1; UBHA_2 U0 (W0[3], W1[2], PP0[2], PP1[2]); UBFA_3 U1 (W0[4], W1[3], PP0[3], PP1[3], PP2[3]); UBFA_4 U2 (W0[5], W1[4], PP0[4], PP1[4], PP2[4]); UBFA_5 U3 (W0[6], W1[5], PP0[5], PP1[5], PP2[5]); UBFA_6 U4 (W0[7], W1[6], PP0[6], PP1[6], PP2[6]); UBFA_7 U5 (W0[8], W1[7], PP0[7], PP1[7], PP2[7]); UBFA_8 U6 (W0[9], W1[8], PP0[8], PP1[8], PP2[8]); UBFA_9 U7 (W0[10], W1[9], PP0[9], PP1[9], PP2[9]); UBFA_10 U8 (W0[11], W1[10], PP0[10], PP1[10], PP2[10]); UBFA_11 U9 (W0[12], W1[11], PP0[11], PP1[11], PP2[11]); UBFA_12 U10 (W0[13], W1[12], PP0[12], PP1[12], PP2[12]); UBFA_13 U11 (W0[14], W1[13], PP0[13], PP1[13], PP2[13]); UBFA_14 U12 (W0[15], W1[14], PP0[14], PP1[14], PP2[14]); UBFA_15 U13 (W0[16], W1[15], PP0[15], PP1[15], PP2[15]); UBFA_16 U14 (W0[17], W1[16], PP0[16], PP1[16], PP2[16]); UBFA_17 U15 (W0[18], W1[17], PP0[17], PP1[17], PP2[17]); UBFA_18 U16 (W0[19], W1[18], PP0[18], PP1[18], PP2[18]); UBFA_19 U17 (W0[20], W1[19], PP0[19], PP1[19], PP2[19]); UBFA_20 U18 (W0[21], W1[20], PP0[20], PP1[20], PP2[20]); UBFA_21 U19 (W0[22], W1[21], PP0[21], PP1[21], PP2[21]); UBFA_22 U20 (W0[23], W1[22], PP0[22], PP1[22], PP2[22]); UBFA_23 U21 (W0[24], W1[23], PP0[23], PP1[23], PP2[23]); UBFA_24 U22 (W0[25], W1[24], PP0[24], PP1[24], PP2[24]); UBFA_25 U23 (W0[26], W1[25], PP0[25], PP1[25], PP2[25]); UBFA_26 U24 (W0[27], W1[26], PP0[26], PP1[26], PP2[26]); UBFA_27 U25 (W0[28], W1[27], PP0[27], PP1[27], PP2[27]); UBFA_28 U26 (W0[29], W1[28], PP0[28], PP1[28], PP2[28]); UBFA_29 U27 (W0[30], W1[29], PP0[29], PP1[29], PP2[29]); UBFA_30 U28 (W0[31], W1[30], PP0[30], PP1[30], PP2[30]); UBFA_31 U29 (W0[32], W1[31], PP0[31], PP1[31], PP2[31]); UBHA_32 U30 (W1[33], W1[32], PP0[32], PP1[32]); UBCON_1_0 U31 (W0[1:0], PP0[1:0]); UB1DCON_2 U32 (W0[2], PP2[2]); UB1DCON_33 U33 (W0[33], PP0[33]); UBCON_1_0 U34 (W1[1:0], PP1[1:0]); D7_3CTR_33_0_33_0 U35 (S1, S2, W0, W1); endmodule
module D7_3CTR_33_0_32_0001 (S1, S2, PP0, PP1, PP2);
output [33:0] S1; output [33:0] S2; input [33:0] PP0; input [32:0] PP1; input [31:2] PP2; wire [33:0] W0; wire [33:0] W1; UBHA_2 U0 (W0[3], W1[2], PP0[2], PP1[2]); UBFA_3 U1 (W0[4], W1[3], PP0[3], PP1[3], PP2[3]); UBFA_4 U2 (W0[5], W1[4], PP0[4], PP1[4], PP2[4]); UBFA_5 U3 (W0[6], W1[5], PP0[5], PP1[5], PP2[5]); UBFA_6 U4 (W0[7], W1[6], PP0[6], PP1[6], PP2[6]); UBFA_7 U5 (W0[8], W1[7], PP0[7], PP1[7], PP2[7]); UBFA_8 U6 (W0[9], W1[8], PP0[8], PP1[8], PP2[8]); UBFA_9 U7 (W0[10], W1[9], PP0[9], PP1[9], PP2[9]); UBFA_10 U8 (W0[11], W1[10], PP0[10], PP1[10], PP2[10]); UBFA_11 U9 (W0[12], W1[11], PP0[11], PP1[11], PP2[11]); UBFA_12 U10 (W0[13], W1[12], PP0[12], PP1[12], PP2[12]); UBFA_13 U11 (W0[14], W1[13], PP0[13], PP1[13], PP2[13]); UBFA_14 U12 (W0[15], W1[14], PP0[14], PP1[14], PP2[14]); UBFA_15 U13 (W0[16], W1[15], PP0[15], PP1[15], PP2[15]); UBFA_16 U14 (W0[17], W1[16], PP0[16], PP1[16], PP2[16]); UBFA_17 U15 (W0[18], W1[17], PP0[17], PP1[17], PP2[17]); UBFA_18 U16 (W0[19], W1[18], PP0[18], PP1[18], PP2[18]); UBFA_19 U17 (W0[20], W1[19], PP0[19], PP1[19], PP2[19]); UBFA_20 U18 (W0[21], W1[20], PP0[20], PP1[20], PP2[20]); UBFA_21 U19 (W0[22], W1[21], PP0[21], PP1[21], PP2[21]); UBFA_22 U20 (W0[23], W1[22], PP0[22], PP1[22], PP2[22]); UBFA_23 U21 (W0[24], W1[23], PP0[23], PP1[23], PP2[23]); UBFA_24 U22 (W0[25], W1[24], PP0[24], PP1[24], PP2[24]); UBFA_25 U23 (W0[26], W1[25], PP0[25], PP1[25], PP2[25]); UBFA_26 U24 (W0[27], W1[26], PP0[26], PP1[26], PP2[26]); UBFA_27 U25 (W0[28], W1[27], PP0[27], PP1[27], PP2[27]); UBFA_28 U26 (W0[29], W1[28], PP0[28], PP1[28], PP2[28]); UBFA_29 U27 (W0[30], W1[29], PP0[29], PP1[29], PP2[29]); UBFA_30 U28 (W0[31], W1[30], PP0[30], PP1[30], PP2[30]); UBFA_31 U29 (W0[32], W1[31], PP0[31], PP1[31], PP2[31]); UBHA_32 U30 (W1[33], W1[32], PP0[32], PP1[32]); UBCON_1_0 U31 (W0[1:0], PP0[1:0]); UB1DCON_2 U32 (W0[2], PP2[2]); UB1DCON_33 U33 (W0[33], PP0[33]); UBCON_1_0 U34 (W1[1:0], PP1[1:0]); D7_3CTR_33_0_33_0 U35 (S1, S2, W0, W1); endmodule
0
414
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module D7_3CTR_33_0_33_0 (S1, S2, PP0, PP1); output [33:0] S1; output [33:0] S2; input [33:0] PP0; input [33:0] PP1; UBCON_33_0 U0 (S1, PP0); UBCON_33_0 U1 (S2, PP1); endmodule
module D7_3CTR_33_0_33_0 (S1, S2, PP0, PP1);
output [33:0] S1; output [33:0] S2; input [33:0] PP0; input [33:0] PP1; UBCON_33_0 U0 (S1, PP0); UBCON_33_0 U1 (S2, PP1); endmodule
0
415
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module MultUB_R4B_D73_BC000 (P, IN1, IN2); output [34:0] P; input [15:0] IN1; input [15:0] IN2; wire [18:0] PP0; wire [20:0] PP1; wire [22:2] PP2; wire [24:4] PP3; wire [26:6] PP4; wire [28:8] PP5; wire [30:10] PP6; wire [32:12] PP7; wire [33:14] PP8; wire [17:16] PP9; wire [33:0] S1; wire [33:0] S2; wire [34:0] UP; UBR4BPPG_15_0_15_000 U0 (PP0, PP1, PP2, PP3, PP4, PP5, PP6, PP7, PP8, PP9, IN1, IN2); D7_3CTR_18_0_20_0000 U1 (S1, S2, PP0, PP1, PP2, PP3, PP4, PP5, PP6, PP7, PP8, PP9); UBBCL_33_0_33_0 U2 (UP, S1, S2); UBTCCONV34_34_0 U3 (P, UP); endmodule
module MultUB_R4B_D73_BC000 (P, IN1, IN2);
output [34:0] P; input [15:0] IN1; input [15:0] IN2; wire [18:0] PP0; wire [20:0] PP1; wire [22:2] PP2; wire [24:4] PP3; wire [26:6] PP4; wire [28:8] PP5; wire [30:10] PP6; wire [32:12] PP7; wire [33:14] PP8; wire [17:16] PP9; wire [33:0] S1; wire [33:0] S2; wire [34:0] UP; UBR4BPPG_15_0_15_000 U0 (PP0, PP1, PP2, PP3, PP4, PP5, PP6, PP7, PP8, PP9, IN1, IN2); D7_3CTR_18_0_20_0000 U1 (S1, S2, PP0, PP1, PP2, PP3, PP4, PP5, PP6, PP7, PP8, PP9); UBBCL_33_0_33_0 U2 (UP, S1, S2); UBTCCONV34_34_0 U3 (P, UP); endmodule
0
416
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module TCU4VPPG_16_0_0 (O_T, O_R, IN1_T, IN1_R, U__d1, U__d0); output [16:0] O_R; output O_T; input [15:0] IN1_R; input IN1_T; input U__d1, U__d0; wire [16:1] P; U4DPPGL_0_0 U0 (P[1], O_R[0], IN1_R[0], U__d1, U__d0); U4DPPG_1_0 U1 (P[2], O_R[1], IN1_R[1], U__d1, U__d0, P[1]); U4DPPG_2_0 U2 (P[3], O_R[2], IN1_R[2], U__d1, U__d0, P[2]); U4DPPG_3_0 U3 (P[4], O_R[3], IN1_R[3], U__d1, U__d0, P[3]); U4DPPG_4_0 U4 (P[5], O_R[4], IN1_R[4], U__d1, U__d0, P[4]); U4DPPG_5_0 U5 (P[6], O_R[5], IN1_R[5], U__d1, U__d0, P[5]); U4DPPG_6_0 U6 (P[7], O_R[6], IN1_R[6], U__d1, U__d0, P[6]); U4DPPG_7_0 U7 (P[8], O_R[7], IN1_R[7], U__d1, U__d0, P[7]); U4DPPG_8_0 U8 (P[9], O_R[8], IN1_R[8], U__d1, U__d0, P[8]); U4DPPG_9_0 U9 (P[10], O_R[9], IN1_R[9], U__d1, U__d0, P[9]); U4DPPG_10_0 U10 (P[11], O_R[10], IN1_R[10], U__d1, U__d0, P[10]); U4DPPG_11_0 U11 (P[12], O_R[11], IN1_R[11], U__d1, U__d0, P[11]); U4DPPG_12_0 U12 (P[13], O_R[12], IN1_R[12], U__d1, U__d0, P[12]); U4DPPG_13_0 U13 (P[14], O_R[13], IN1_R[13], U__d1, U__d0, P[13]); U4DPPG_14_0 U14 (P[15], O_R[14], IN1_R[14], U__d1, U__d0, P[14]); U4DPPG_15_0 U15 (P[16], O_R[15], IN1_R[15], U__d1, U__d0, P[15]); U4DPPGH_16_0 U16 (O_T, O_R[16], IN1_T, U__d1, U__d0, P[16]); endmodule
module TCU4VPPG_16_0_0 (O_T, O_R, IN1_T, IN1_R, U__d1, U__d0);
output [16:0] O_R; output O_T; input [15:0] IN1_R; input IN1_T; input U__d1, U__d0; wire [16:1] P; U4DPPGL_0_0 U0 (P[1], O_R[0], IN1_R[0], U__d1, U__d0); U4DPPG_1_0 U1 (P[2], O_R[1], IN1_R[1], U__d1, U__d0, P[1]); U4DPPG_2_0 U2 (P[3], O_R[2], IN1_R[2], U__d1, U__d0, P[2]); U4DPPG_3_0 U3 (P[4], O_R[3], IN1_R[3], U__d1, U__d0, P[3]); U4DPPG_4_0 U4 (P[5], O_R[4], IN1_R[4], U__d1, U__d0, P[4]); U4DPPG_5_0 U5 (P[6], O_R[5], IN1_R[5], U__d1, U__d0, P[5]); U4DPPG_6_0 U6 (P[7], O_R[6], IN1_R[6], U__d1, U__d0, P[6]); U4DPPG_7_0 U7 (P[8], O_R[7], IN1_R[7], U__d1, U__d0, P[7]); U4DPPG_8_0 U8 (P[9], O_R[8], IN1_R[8], U__d1, U__d0, P[8]); U4DPPG_9_0 U9 (P[10], O_R[9], IN1_R[9], U__d1, U__d0, P[9]); U4DPPG_10_0 U10 (P[11], O_R[10], IN1_R[10], U__d1, U__d0, P[10]); U4DPPG_11_0 U11 (P[12], O_R[11], IN1_R[11], U__d1, U__d0, P[11]); U4DPPG_12_0 U12 (P[13], O_R[12], IN1_R[12], U__d1, U__d0, P[12]); U4DPPG_13_0 U13 (P[14], O_R[13], IN1_R[13], U__d1, U__d0, P[13]); U4DPPG_14_0 U14 (P[15], O_R[14], IN1_R[14], U__d1, U__d0, P[14]); U4DPPG_15_0 U15 (P[16], O_R[15], IN1_R[15], U__d1, U__d0, P[15]); U4DPPGH_16_0 U16 (O_T, O_R[16], IN1_T, U__d1, U__d0, P[16]); endmodule
0
417
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module TCU4VPPG_16_0_1 (O_T, O_R, IN1_T, IN1_R, U__d1, U__d0); output [18:2] O_R; output O_T; input [15:0] IN1_R; input IN1_T; input U__d1, U__d0; wire [18:3] P; U4DPPGL_0_1 U0 (P[3], O_R[2], IN1_R[0], U__d1, U__d0); U4DPPG_1_1 U1 (P[4], O_R[3], IN1_R[1], U__d1, U__d0, P[3]); U4DPPG_2_1 U2 (P[5], O_R[4], IN1_R[2], U__d1, U__d0, P[4]); U4DPPG_3_1 U3 (P[6], O_R[5], IN1_R[3], U__d1, U__d0, P[5]); U4DPPG_4_1 U4 (P[7], O_R[6], IN1_R[4], U__d1, U__d0, P[6]); U4DPPG_5_1 U5 (P[8], O_R[7], IN1_R[5], U__d1, U__d0, P[7]); U4DPPG_6_1 U6 (P[9], O_R[8], IN1_R[6], U__d1, U__d0, P[8]); U4DPPG_7_1 U7 (P[10], O_R[9], IN1_R[7], U__d1, U__d0, P[9]); U4DPPG_8_1 U8 (P[11], O_R[10], IN1_R[8], U__d1, U__d0, P[10]); U4DPPG_9_1 U9 (P[12], O_R[11], IN1_R[9], U__d1, U__d0, P[11]); U4DPPG_10_1 U10 (P[13], O_R[12], IN1_R[10], U__d1, U__d0, P[12]); U4DPPG_11_1 U11 (P[14], O_R[13], IN1_R[11], U__d1, U__d0, P[13]); U4DPPG_12_1 U12 (P[15], O_R[14], IN1_R[12], U__d1, U__d0, P[14]); U4DPPG_13_1 U13 (P[16], O_R[15], IN1_R[13], U__d1, U__d0, P[15]); U4DPPG_14_1 U14 (P[17], O_R[16], IN1_R[14], U__d1, U__d0, P[16]); U4DPPG_15_1 U15 (P[18], O_R[17], IN1_R[15], U__d1, U__d0, P[17]); U4DPPGH_16_1 U16 (O_T, O_R[18], IN1_T, U__d1, U__d0, P[18]); endmodule
module TCU4VPPG_16_0_1 (O_T, O_R, IN1_T, IN1_R, U__d1, U__d0);
output [18:2] O_R; output O_T; input [15:0] IN1_R; input IN1_T; input U__d1, U__d0; wire [18:3] P; U4DPPGL_0_1 U0 (P[3], O_R[2], IN1_R[0], U__d1, U__d0); U4DPPG_1_1 U1 (P[4], O_R[3], IN1_R[1], U__d1, U__d0, P[3]); U4DPPG_2_1 U2 (P[5], O_R[4], IN1_R[2], U__d1, U__d0, P[4]); U4DPPG_3_1 U3 (P[6], O_R[5], IN1_R[3], U__d1, U__d0, P[5]); U4DPPG_4_1 U4 (P[7], O_R[6], IN1_R[4], U__d1, U__d0, P[6]); U4DPPG_5_1 U5 (P[8], O_R[7], IN1_R[5], U__d1, U__d0, P[7]); U4DPPG_6_1 U6 (P[9], O_R[8], IN1_R[6], U__d1, U__d0, P[8]); U4DPPG_7_1 U7 (P[10], O_R[9], IN1_R[7], U__d1, U__d0, P[9]); U4DPPG_8_1 U8 (P[11], O_R[10], IN1_R[8], U__d1, U__d0, P[10]); U4DPPG_9_1 U9 (P[12], O_R[11], IN1_R[9], U__d1, U__d0, P[11]); U4DPPG_10_1 U10 (P[13], O_R[12], IN1_R[10], U__d1, U__d0, P[12]); U4DPPG_11_1 U11 (P[14], O_R[13], IN1_R[11], U__d1, U__d0, P[13]); U4DPPG_12_1 U12 (P[15], O_R[14], IN1_R[12], U__d1, U__d0, P[14]); U4DPPG_13_1 U13 (P[16], O_R[15], IN1_R[13], U__d1, U__d0, P[15]); U4DPPG_14_1 U14 (P[17], O_R[16], IN1_R[14], U__d1, U__d0, P[16]); U4DPPG_15_1 U15 (P[18], O_R[17], IN1_R[15], U__d1, U__d0, P[17]); U4DPPGH_16_1 U16 (O_T, O_R[18], IN1_T, U__d1, U__d0, P[18]); endmodule
0
418
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module TCU4VPPG_16_0_2 (O_T, O_R, IN1_T, IN1_R, U__d1, U__d0); output [20:4] O_R; output O_T; input [15:0] IN1_R; input IN1_T; input U__d1, U__d0; wire [20:5] P; U4DPPGL_0_2 U0 (P[5], O_R[4], IN1_R[0], U__d1, U__d0); U4DPPG_1_2 U1 (P[6], O_R[5], IN1_R[1], U__d1, U__d0, P[5]); U4DPPG_2_2 U2 (P[7], O_R[6], IN1_R[2], U__d1, U__d0, P[6]); U4DPPG_3_2 U3 (P[8], O_R[7], IN1_R[3], U__d1, U__d0, P[7]); U4DPPG_4_2 U4 (P[9], O_R[8], IN1_R[4], U__d1, U__d0, P[8]); U4DPPG_5_2 U5 (P[10], O_R[9], IN1_R[5], U__d1, U__d0, P[9]); U4DPPG_6_2 U6 (P[11], O_R[10], IN1_R[6], U__d1, U__d0, P[10]); U4DPPG_7_2 U7 (P[12], O_R[11], IN1_R[7], U__d1, U__d0, P[11]); U4DPPG_8_2 U8 (P[13], O_R[12], IN1_R[8], U__d1, U__d0, P[12]); U4DPPG_9_2 U9 (P[14], O_R[13], IN1_R[9], U__d1, U__d0, P[13]); U4DPPG_10_2 U10 (P[15], O_R[14], IN1_R[10], U__d1, U__d0, P[14]); U4DPPG_11_2 U11 (P[16], O_R[15], IN1_R[11], U__d1, U__d0, P[15]); U4DPPG_12_2 U12 (P[17], O_R[16], IN1_R[12], U__d1, U__d0, P[16]); U4DPPG_13_2 U13 (P[18], O_R[17], IN1_R[13], U__d1, U__d0, P[17]); U4DPPG_14_2 U14 (P[19], O_R[18], IN1_R[14], U__d1, U__d0, P[18]); U4DPPG_15_2 U15 (P[20], O_R[19], IN1_R[15], U__d1, U__d0, P[19]); U4DPPGH_16_2 U16 (O_T, O_R[20], IN1_T, U__d1, U__d0, P[20]); endmodule
module TCU4VPPG_16_0_2 (O_T, O_R, IN1_T, IN1_R, U__d1, U__d0);
output [20:4] O_R; output O_T; input [15:0] IN1_R; input IN1_T; input U__d1, U__d0; wire [20:5] P; U4DPPGL_0_2 U0 (P[5], O_R[4], IN1_R[0], U__d1, U__d0); U4DPPG_1_2 U1 (P[6], O_R[5], IN1_R[1], U__d1, U__d0, P[5]); U4DPPG_2_2 U2 (P[7], O_R[6], IN1_R[2], U__d1, U__d0, P[6]); U4DPPG_3_2 U3 (P[8], O_R[7], IN1_R[3], U__d1, U__d0, P[7]); U4DPPG_4_2 U4 (P[9], O_R[8], IN1_R[4], U__d1, U__d0, P[8]); U4DPPG_5_2 U5 (P[10], O_R[9], IN1_R[5], U__d1, U__d0, P[9]); U4DPPG_6_2 U6 (P[11], O_R[10], IN1_R[6], U__d1, U__d0, P[10]); U4DPPG_7_2 U7 (P[12], O_R[11], IN1_R[7], U__d1, U__d0, P[11]); U4DPPG_8_2 U8 (P[13], O_R[12], IN1_R[8], U__d1, U__d0, P[12]); U4DPPG_9_2 U9 (P[14], O_R[13], IN1_R[9], U__d1, U__d0, P[13]); U4DPPG_10_2 U10 (P[15], O_R[14], IN1_R[10], U__d1, U__d0, P[14]); U4DPPG_11_2 U11 (P[16], O_R[15], IN1_R[11], U__d1, U__d0, P[15]); U4DPPG_12_2 U12 (P[17], O_R[16], IN1_R[12], U__d1, U__d0, P[16]); U4DPPG_13_2 U13 (P[18], O_R[17], IN1_R[13], U__d1, U__d0, P[17]); U4DPPG_14_2 U14 (P[19], O_R[18], IN1_R[14], U__d1, U__d0, P[18]); U4DPPG_15_2 U15 (P[20], O_R[19], IN1_R[15], U__d1, U__d0, P[19]); U4DPPGH_16_2 U16 (O_T, O_R[20], IN1_T, U__d1, U__d0, P[20]); endmodule
0
419
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module TCU4VPPG_16_0_3 (O_T, O_R, IN1_T, IN1_R, U__d1, U__d0); output [22:6] O_R; output O_T; input [15:0] IN1_R; input IN1_T; input U__d1, U__d0; wire [22:7] P; U4DPPGL_0_3 U0 (P[7], O_R[6], IN1_R[0], U__d1, U__d0); U4DPPG_1_3 U1 (P[8], O_R[7], IN1_R[1], U__d1, U__d0, P[7]); U4DPPG_2_3 U2 (P[9], O_R[8], IN1_R[2], U__d1, U__d0, P[8]); U4DPPG_3_3 U3 (P[10], O_R[9], IN1_R[3], U__d1, U__d0, P[9]); U4DPPG_4_3 U4 (P[11], O_R[10], IN1_R[4], U__d1, U__d0, P[10]); U4DPPG_5_3 U5 (P[12], O_R[11], IN1_R[5], U__d1, U__d0, P[11]); U4DPPG_6_3 U6 (P[13], O_R[12], IN1_R[6], U__d1, U__d0, P[12]); U4DPPG_7_3 U7 (P[14], O_R[13], IN1_R[7], U__d1, U__d0, P[13]); U4DPPG_8_3 U8 (P[15], O_R[14], IN1_R[8], U__d1, U__d0, P[14]); U4DPPG_9_3 U9 (P[16], O_R[15], IN1_R[9], U__d1, U__d0, P[15]); U4DPPG_10_3 U10 (P[17], O_R[16], IN1_R[10], U__d1, U__d0, P[16]); U4DPPG_11_3 U11 (P[18], O_R[17], IN1_R[11], U__d1, U__d0, P[17]); U4DPPG_12_3 U12 (P[19], O_R[18], IN1_R[12], U__d1, U__d0, P[18]); U4DPPG_13_3 U13 (P[20], O_R[19], IN1_R[13], U__d1, U__d0, P[19]); U4DPPG_14_3 U14 (P[21], O_R[20], IN1_R[14], U__d1, U__d0, P[20]); U4DPPG_15_3 U15 (P[22], O_R[21], IN1_R[15], U__d1, U__d0, P[21]); U4DPPGH_16_3 U16 (O_T, O_R[22], IN1_T, U__d1, U__d0, P[22]); endmodule
module TCU4VPPG_16_0_3 (O_T, O_R, IN1_T, IN1_R, U__d1, U__d0);
output [22:6] O_R; output O_T; input [15:0] IN1_R; input IN1_T; input U__d1, U__d0; wire [22:7] P; U4DPPGL_0_3 U0 (P[7], O_R[6], IN1_R[0], U__d1, U__d0); U4DPPG_1_3 U1 (P[8], O_R[7], IN1_R[1], U__d1, U__d0, P[7]); U4DPPG_2_3 U2 (P[9], O_R[8], IN1_R[2], U__d1, U__d0, P[8]); U4DPPG_3_3 U3 (P[10], O_R[9], IN1_R[3], U__d1, U__d0, P[9]); U4DPPG_4_3 U4 (P[11], O_R[10], IN1_R[4], U__d1, U__d0, P[10]); U4DPPG_5_3 U5 (P[12], O_R[11], IN1_R[5], U__d1, U__d0, P[11]); U4DPPG_6_3 U6 (P[13], O_R[12], IN1_R[6], U__d1, U__d0, P[12]); U4DPPG_7_3 U7 (P[14], O_R[13], IN1_R[7], U__d1, U__d0, P[13]); U4DPPG_8_3 U8 (P[15], O_R[14], IN1_R[8], U__d1, U__d0, P[14]); U4DPPG_9_3 U9 (P[16], O_R[15], IN1_R[9], U__d1, U__d0, P[15]); U4DPPG_10_3 U10 (P[17], O_R[16], IN1_R[10], U__d1, U__d0, P[16]); U4DPPG_11_3 U11 (P[18], O_R[17], IN1_R[11], U__d1, U__d0, P[17]); U4DPPG_12_3 U12 (P[19], O_R[18], IN1_R[12], U__d1, U__d0, P[18]); U4DPPG_13_3 U13 (P[20], O_R[19], IN1_R[13], U__d1, U__d0, P[19]); U4DPPG_14_3 U14 (P[21], O_R[20], IN1_R[14], U__d1, U__d0, P[20]); U4DPPG_15_3 U15 (P[22], O_R[21], IN1_R[15], U__d1, U__d0, P[21]); U4DPPGH_16_3 U16 (O_T, O_R[22], IN1_T, U__d1, U__d0, P[22]); endmodule
0
420
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module TCU4VPPG_16_0_4 (O_T, O_R, IN1_T, IN1_R, U__d1, U__d0); output [24:8] O_R; output O_T; input [15:0] IN1_R; input IN1_T; input U__d1, U__d0; wire [24:9] P; U4DPPGL_0_4 U0 (P[9], O_R[8], IN1_R[0], U__d1, U__d0); U4DPPG_1_4 U1 (P[10], O_R[9], IN1_R[1], U__d1, U__d0, P[9]); U4DPPG_2_4 U2 (P[11], O_R[10], IN1_R[2], U__d1, U__d0, P[10]); U4DPPG_3_4 U3 (P[12], O_R[11], IN1_R[3], U__d1, U__d0, P[11]); U4DPPG_4_4 U4 (P[13], O_R[12], IN1_R[4], U__d1, U__d0, P[12]); U4DPPG_5_4 U5 (P[14], O_R[13], IN1_R[5], U__d1, U__d0, P[13]); U4DPPG_6_4 U6 (P[15], O_R[14], IN1_R[6], U__d1, U__d0, P[14]); U4DPPG_7_4 U7 (P[16], O_R[15], IN1_R[7], U__d1, U__d0, P[15]); U4DPPG_8_4 U8 (P[17], O_R[16], IN1_R[8], U__d1, U__d0, P[16]); U4DPPG_9_4 U9 (P[18], O_R[17], IN1_R[9], U__d1, U__d0, P[17]); U4DPPG_10_4 U10 (P[19], O_R[18], IN1_R[10], U__d1, U__d0, P[18]); U4DPPG_11_4 U11 (P[20], O_R[19], IN1_R[11], U__d1, U__d0, P[19]); U4DPPG_12_4 U12 (P[21], O_R[20], IN1_R[12], U__d1, U__d0, P[20]); U4DPPG_13_4 U13 (P[22], O_R[21], IN1_R[13], U__d1, U__d0, P[21]); U4DPPG_14_4 U14 (P[23], O_R[22], IN1_R[14], U__d1, U__d0, P[22]); U4DPPG_15_4 U15 (P[24], O_R[23], IN1_R[15], U__d1, U__d0, P[23]); U4DPPGH_16_4 U16 (O_T, O_R[24], IN1_T, U__d1, U__d0, P[24]); endmodule
module TCU4VPPG_16_0_4 (O_T, O_R, IN1_T, IN1_R, U__d1, U__d0);
output [24:8] O_R; output O_T; input [15:0] IN1_R; input IN1_T; input U__d1, U__d0; wire [24:9] P; U4DPPGL_0_4 U0 (P[9], O_R[8], IN1_R[0], U__d1, U__d0); U4DPPG_1_4 U1 (P[10], O_R[9], IN1_R[1], U__d1, U__d0, P[9]); U4DPPG_2_4 U2 (P[11], O_R[10], IN1_R[2], U__d1, U__d0, P[10]); U4DPPG_3_4 U3 (P[12], O_R[11], IN1_R[3], U__d1, U__d0, P[11]); U4DPPG_4_4 U4 (P[13], O_R[12], IN1_R[4], U__d1, U__d0, P[12]); U4DPPG_5_4 U5 (P[14], O_R[13], IN1_R[5], U__d1, U__d0, P[13]); U4DPPG_6_4 U6 (P[15], O_R[14], IN1_R[6], U__d1, U__d0, P[14]); U4DPPG_7_4 U7 (P[16], O_R[15], IN1_R[7], U__d1, U__d0, P[15]); U4DPPG_8_4 U8 (P[17], O_R[16], IN1_R[8], U__d1, U__d0, P[16]); U4DPPG_9_4 U9 (P[18], O_R[17], IN1_R[9], U__d1, U__d0, P[17]); U4DPPG_10_4 U10 (P[19], O_R[18], IN1_R[10], U__d1, U__d0, P[18]); U4DPPG_11_4 U11 (P[20], O_R[19], IN1_R[11], U__d1, U__d0, P[19]); U4DPPG_12_4 U12 (P[21], O_R[20], IN1_R[12], U__d1, U__d0, P[20]); U4DPPG_13_4 U13 (P[22], O_R[21], IN1_R[13], U__d1, U__d0, P[21]); U4DPPG_14_4 U14 (P[23], O_R[22], IN1_R[14], U__d1, U__d0, P[22]); U4DPPG_15_4 U15 (P[24], O_R[23], IN1_R[15], U__d1, U__d0, P[23]); U4DPPGH_16_4 U16 (O_T, O_R[24], IN1_T, U__d1, U__d0, P[24]); endmodule
0
421
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module TCU4VPPG_16_0_5 (O_T, O_R, IN1_T, IN1_R, U__d1, U__d0); output [26:10] O_R; output O_T; input [15:0] IN1_R; input IN1_T; input U__d1, U__d0; wire [26:11] P; U4DPPGL_0_5 U0 (P[11], O_R[10], IN1_R[0], U__d1, U__d0); U4DPPG_1_5 U1 (P[12], O_R[11], IN1_R[1], U__d1, U__d0, P[11]); U4DPPG_2_5 U2 (P[13], O_R[12], IN1_R[2], U__d1, U__d0, P[12]); U4DPPG_3_5 U3 (P[14], O_R[13], IN1_R[3], U__d1, U__d0, P[13]); U4DPPG_4_5 U4 (P[15], O_R[14], IN1_R[4], U__d1, U__d0, P[14]); U4DPPG_5_5 U5 (P[16], O_R[15], IN1_R[5], U__d1, U__d0, P[15]); U4DPPG_6_5 U6 (P[17], O_R[16], IN1_R[6], U__d1, U__d0, P[16]); U4DPPG_7_5 U7 (P[18], O_R[17], IN1_R[7], U__d1, U__d0, P[17]); U4DPPG_8_5 U8 (P[19], O_R[18], IN1_R[8], U__d1, U__d0, P[18]); U4DPPG_9_5 U9 (P[20], O_R[19], IN1_R[9], U__d1, U__d0, P[19]); U4DPPG_10_5 U10 (P[21], O_R[20], IN1_R[10], U__d1, U__d0, P[20]); U4DPPG_11_5 U11 (P[22], O_R[21], IN1_R[11], U__d1, U__d0, P[21]); U4DPPG_12_5 U12 (P[23], O_R[22], IN1_R[12], U__d1, U__d0, P[22]); U4DPPG_13_5 U13 (P[24], O_R[23], IN1_R[13], U__d1, U__d0, P[23]); U4DPPG_14_5 U14 (P[25], O_R[24], IN1_R[14], U__d1, U__d0, P[24]); U4DPPG_15_5 U15 (P[26], O_R[25], IN1_R[15], U__d1, U__d0, P[25]); U4DPPGH_16_5 U16 (O_T, O_R[26], IN1_T, U__d1, U__d0, P[26]); endmodule
module TCU4VPPG_16_0_5 (O_T, O_R, IN1_T, IN1_R, U__d1, U__d0);
output [26:10] O_R; output O_T; input [15:0] IN1_R; input IN1_T; input U__d1, U__d0; wire [26:11] P; U4DPPGL_0_5 U0 (P[11], O_R[10], IN1_R[0], U__d1, U__d0); U4DPPG_1_5 U1 (P[12], O_R[11], IN1_R[1], U__d1, U__d0, P[11]); U4DPPG_2_5 U2 (P[13], O_R[12], IN1_R[2], U__d1, U__d0, P[12]); U4DPPG_3_5 U3 (P[14], O_R[13], IN1_R[3], U__d1, U__d0, P[13]); U4DPPG_4_5 U4 (P[15], O_R[14], IN1_R[4], U__d1, U__d0, P[14]); U4DPPG_5_5 U5 (P[16], O_R[15], IN1_R[5], U__d1, U__d0, P[15]); U4DPPG_6_5 U6 (P[17], O_R[16], IN1_R[6], U__d1, U__d0, P[16]); U4DPPG_7_5 U7 (P[18], O_R[17], IN1_R[7], U__d1, U__d0, P[17]); U4DPPG_8_5 U8 (P[19], O_R[18], IN1_R[8], U__d1, U__d0, P[18]); U4DPPG_9_5 U9 (P[20], O_R[19], IN1_R[9], U__d1, U__d0, P[19]); U4DPPG_10_5 U10 (P[21], O_R[20], IN1_R[10], U__d1, U__d0, P[20]); U4DPPG_11_5 U11 (P[22], O_R[21], IN1_R[11], U__d1, U__d0, P[21]); U4DPPG_12_5 U12 (P[23], O_R[22], IN1_R[12], U__d1, U__d0, P[22]); U4DPPG_13_5 U13 (P[24], O_R[23], IN1_R[13], U__d1, U__d0, P[23]); U4DPPG_14_5 U14 (P[25], O_R[24], IN1_R[14], U__d1, U__d0, P[24]); U4DPPG_15_5 U15 (P[26], O_R[25], IN1_R[15], U__d1, U__d0, P[25]); U4DPPGH_16_5 U16 (O_T, O_R[26], IN1_T, U__d1, U__d0, P[26]); endmodule
0
422
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module TCU4VPPG_16_0_6 (O_T, O_R, IN1_T, IN1_R, U__d1, U__d0); output [28:12] O_R; output O_T; input [15:0] IN1_R; input IN1_T; input U__d1, U__d0; wire [28:13] P; U4DPPGL_0_6 U0 (P[13], O_R[12], IN1_R[0], U__d1, U__d0); U4DPPG_1_6 U1 (P[14], O_R[13], IN1_R[1], U__d1, U__d0, P[13]); U4DPPG_2_6 U2 (P[15], O_R[14], IN1_R[2], U__d1, U__d0, P[14]); U4DPPG_3_6 U3 (P[16], O_R[15], IN1_R[3], U__d1, U__d0, P[15]); U4DPPG_4_6 U4 (P[17], O_R[16], IN1_R[4], U__d1, U__d0, P[16]); U4DPPG_5_6 U5 (P[18], O_R[17], IN1_R[5], U__d1, U__d0, P[17]); U4DPPG_6_6 U6 (P[19], O_R[18], IN1_R[6], U__d1, U__d0, P[18]); U4DPPG_7_6 U7 (P[20], O_R[19], IN1_R[7], U__d1, U__d0, P[19]); U4DPPG_8_6 U8 (P[21], O_R[20], IN1_R[8], U__d1, U__d0, P[20]); U4DPPG_9_6 U9 (P[22], O_R[21], IN1_R[9], U__d1, U__d0, P[21]); U4DPPG_10_6 U10 (P[23], O_R[22], IN1_R[10], U__d1, U__d0, P[22]); U4DPPG_11_6 U11 (P[24], O_R[23], IN1_R[11], U__d1, U__d0, P[23]); U4DPPG_12_6 U12 (P[25], O_R[24], IN1_R[12], U__d1, U__d0, P[24]); U4DPPG_13_6 U13 (P[26], O_R[25], IN1_R[13], U__d1, U__d0, P[25]); U4DPPG_14_6 U14 (P[27], O_R[26], IN1_R[14], U__d1, U__d0, P[26]); U4DPPG_15_6 U15 (P[28], O_R[27], IN1_R[15], U__d1, U__d0, P[27]); U4DPPGH_16_6 U16 (O_T, O_R[28], IN1_T, U__d1, U__d0, P[28]); endmodule
module TCU4VPPG_16_0_6 (O_T, O_R, IN1_T, IN1_R, U__d1, U__d0);
output [28:12] O_R; output O_T; input [15:0] IN1_R; input IN1_T; input U__d1, U__d0; wire [28:13] P; U4DPPGL_0_6 U0 (P[13], O_R[12], IN1_R[0], U__d1, U__d0); U4DPPG_1_6 U1 (P[14], O_R[13], IN1_R[1], U__d1, U__d0, P[13]); U4DPPG_2_6 U2 (P[15], O_R[14], IN1_R[2], U__d1, U__d0, P[14]); U4DPPG_3_6 U3 (P[16], O_R[15], IN1_R[3], U__d1, U__d0, P[15]); U4DPPG_4_6 U4 (P[17], O_R[16], IN1_R[4], U__d1, U__d0, P[16]); U4DPPG_5_6 U5 (P[18], O_R[17], IN1_R[5], U__d1, U__d0, P[17]); U4DPPG_6_6 U6 (P[19], O_R[18], IN1_R[6], U__d1, U__d0, P[18]); U4DPPG_7_6 U7 (P[20], O_R[19], IN1_R[7], U__d1, U__d0, P[19]); U4DPPG_8_6 U8 (P[21], O_R[20], IN1_R[8], U__d1, U__d0, P[20]); U4DPPG_9_6 U9 (P[22], O_R[21], IN1_R[9], U__d1, U__d0, P[21]); U4DPPG_10_6 U10 (P[23], O_R[22], IN1_R[10], U__d1, U__d0, P[22]); U4DPPG_11_6 U11 (P[24], O_R[23], IN1_R[11], U__d1, U__d0, P[23]); U4DPPG_12_6 U12 (P[25], O_R[24], IN1_R[12], U__d1, U__d0, P[24]); U4DPPG_13_6 U13 (P[26], O_R[25], IN1_R[13], U__d1, U__d0, P[25]); U4DPPG_14_6 U14 (P[27], O_R[26], IN1_R[14], U__d1, U__d0, P[26]); U4DPPG_15_6 U15 (P[28], O_R[27], IN1_R[15], U__d1, U__d0, P[27]); U4DPPGH_16_6 U16 (O_T, O_R[28], IN1_T, U__d1, U__d0, P[28]); endmodule
0
423
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module TCU4VPPG_16_0_7 (O_T, O_R, IN1_T, IN1_R, U__d1, U__d0); output [30:14] O_R; output O_T; input [15:0] IN1_R; input IN1_T; input U__d1, U__d0; wire [30:15] P; U4DPPGL_0_7 U0 (P[15], O_R[14], IN1_R[0], U__d1, U__d0); U4DPPG_1_7 U1 (P[16], O_R[15], IN1_R[1], U__d1, U__d0, P[15]); U4DPPG_2_7 U2 (P[17], O_R[16], IN1_R[2], U__d1, U__d0, P[16]); U4DPPG_3_7 U3 (P[18], O_R[17], IN1_R[3], U__d1, U__d0, P[17]); U4DPPG_4_7 U4 (P[19], O_R[18], IN1_R[4], U__d1, U__d0, P[18]); U4DPPG_5_7 U5 (P[20], O_R[19], IN1_R[5], U__d1, U__d0, P[19]); U4DPPG_6_7 U6 (P[21], O_R[20], IN1_R[6], U__d1, U__d0, P[20]); U4DPPG_7_7 U7 (P[22], O_R[21], IN1_R[7], U__d1, U__d0, P[21]); U4DPPG_8_7 U8 (P[23], O_R[22], IN1_R[8], U__d1, U__d0, P[22]); U4DPPG_9_7 U9 (P[24], O_R[23], IN1_R[9], U__d1, U__d0, P[23]); U4DPPG_10_7 U10 (P[25], O_R[24], IN1_R[10], U__d1, U__d0, P[24]); U4DPPG_11_7 U11 (P[26], O_R[25], IN1_R[11], U__d1, U__d0, P[25]); U4DPPG_12_7 U12 (P[27], O_R[26], IN1_R[12], U__d1, U__d0, P[26]); U4DPPG_13_7 U13 (P[28], O_R[27], IN1_R[13], U__d1, U__d0, P[27]); U4DPPG_14_7 U14 (P[29], O_R[28], IN1_R[14], U__d1, U__d0, P[28]); U4DPPG_15_7 U15 (P[30], O_R[29], IN1_R[15], U__d1, U__d0, P[29]); U4DPPGH_16_7 U16 (O_T, O_R[30], IN1_T, U__d1, U__d0, P[30]); endmodule
module TCU4VPPG_16_0_7 (O_T, O_R, IN1_T, IN1_R, U__d1, U__d0);
output [30:14] O_R; output O_T; input [15:0] IN1_R; input IN1_T; input U__d1, U__d0; wire [30:15] P; U4DPPGL_0_7 U0 (P[15], O_R[14], IN1_R[0], U__d1, U__d0); U4DPPG_1_7 U1 (P[16], O_R[15], IN1_R[1], U__d1, U__d0, P[15]); U4DPPG_2_7 U2 (P[17], O_R[16], IN1_R[2], U__d1, U__d0, P[16]); U4DPPG_3_7 U3 (P[18], O_R[17], IN1_R[3], U__d1, U__d0, P[17]); U4DPPG_4_7 U4 (P[19], O_R[18], IN1_R[4], U__d1, U__d0, P[18]); U4DPPG_5_7 U5 (P[20], O_R[19], IN1_R[5], U__d1, U__d0, P[19]); U4DPPG_6_7 U6 (P[21], O_R[20], IN1_R[6], U__d1, U__d0, P[20]); U4DPPG_7_7 U7 (P[22], O_R[21], IN1_R[7], U__d1, U__d0, P[21]); U4DPPG_8_7 U8 (P[23], O_R[22], IN1_R[8], U__d1, U__d0, P[22]); U4DPPG_9_7 U9 (P[24], O_R[23], IN1_R[9], U__d1, U__d0, P[23]); U4DPPG_10_7 U10 (P[25], O_R[24], IN1_R[10], U__d1, U__d0, P[24]); U4DPPG_11_7 U11 (P[26], O_R[25], IN1_R[11], U__d1, U__d0, P[25]); U4DPPG_12_7 U12 (P[27], O_R[26], IN1_R[12], U__d1, U__d0, P[26]); U4DPPG_13_7 U13 (P[28], O_R[27], IN1_R[13], U__d1, U__d0, P[27]); U4DPPG_14_7 U14 (P[29], O_R[28], IN1_R[14], U__d1, U__d0, P[28]); U4DPPG_15_7 U15 (P[30], O_R[29], IN1_R[15], U__d1, U__d0, P[29]); U4DPPGH_16_7 U16 (O_T, O_R[30], IN1_T, U__d1, U__d0, P[30]); endmodule
0
424
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module TCU4VPPG_16_0_8 (O_T, O_R, IN1_T, IN1_R, U__d1, U__d0); output [32:16] O_R; output O_T; input [15:0] IN1_R; input IN1_T; input U__d1, U__d0; wire [32:17] P; U4DPPGL_0_8 U0 (P[17], O_R[16], IN1_R[0], U__d1, U__d0); U4DPPG_1_8 U1 (P[18], O_R[17], IN1_R[1], U__d1, U__d0, P[17]); U4DPPG_2_8 U2 (P[19], O_R[18], IN1_R[2], U__d1, U__d0, P[18]); U4DPPG_3_8 U3 (P[20], O_R[19], IN1_R[3], U__d1, U__d0, P[19]); U4DPPG_4_8 U4 (P[21], O_R[20], IN1_R[4], U__d1, U__d0, P[20]); U4DPPG_5_8 U5 (P[22], O_R[21], IN1_R[5], U__d1, U__d0, P[21]); U4DPPG_6_8 U6 (P[23], O_R[22], IN1_R[6], U__d1, U__d0, P[22]); U4DPPG_7_8 U7 (P[24], O_R[23], IN1_R[7], U__d1, U__d0, P[23]); U4DPPG_8_8 U8 (P[25], O_R[24], IN1_R[8], U__d1, U__d0, P[24]); U4DPPG_9_8 U9 (P[26], O_R[25], IN1_R[9], U__d1, U__d0, P[25]); U4DPPG_10_8 U10 (P[27], O_R[26], IN1_R[10], U__d1, U__d0, P[26]); U4DPPG_11_8 U11 (P[28], O_R[27], IN1_R[11], U__d1, U__d0, P[27]); U4DPPG_12_8 U12 (P[29], O_R[28], IN1_R[12], U__d1, U__d0, P[28]); U4DPPG_13_8 U13 (P[30], O_R[29], IN1_R[13], U__d1, U__d0, P[29]); U4DPPG_14_8 U14 (P[31], O_R[30], IN1_R[14], U__d1, U__d0, P[30]); U4DPPG_15_8 U15 (P[32], O_R[31], IN1_R[15], U__d1, U__d0, P[31]); U4DPPGH_16_8 U16 (O_T, O_R[32], IN1_T, U__d1, U__d0, P[32]); endmodule
module TCU4VPPG_16_0_8 (O_T, O_R, IN1_T, IN1_R, U__d1, U__d0);
output [32:16] O_R; output O_T; input [15:0] IN1_R; input IN1_T; input U__d1, U__d0; wire [32:17] P; U4DPPGL_0_8 U0 (P[17], O_R[16], IN1_R[0], U__d1, U__d0); U4DPPG_1_8 U1 (P[18], O_R[17], IN1_R[1], U__d1, U__d0, P[17]); U4DPPG_2_8 U2 (P[19], O_R[18], IN1_R[2], U__d1, U__d0, P[18]); U4DPPG_3_8 U3 (P[20], O_R[19], IN1_R[3], U__d1, U__d0, P[19]); U4DPPG_4_8 U4 (P[21], O_R[20], IN1_R[4], U__d1, U__d0, P[20]); U4DPPG_5_8 U5 (P[22], O_R[21], IN1_R[5], U__d1, U__d0, P[21]); U4DPPG_6_8 U6 (P[23], O_R[22], IN1_R[6], U__d1, U__d0, P[22]); U4DPPG_7_8 U7 (P[24], O_R[23], IN1_R[7], U__d1, U__d0, P[23]); U4DPPG_8_8 U8 (P[25], O_R[24], IN1_R[8], U__d1, U__d0, P[24]); U4DPPG_9_8 U9 (P[26], O_R[25], IN1_R[9], U__d1, U__d0, P[25]); U4DPPG_10_8 U10 (P[27], O_R[26], IN1_R[10], U__d1, U__d0, P[26]); U4DPPG_11_8 U11 (P[28], O_R[27], IN1_R[11], U__d1, U__d0, P[27]); U4DPPG_12_8 U12 (P[29], O_R[28], IN1_R[12], U__d1, U__d0, P[28]); U4DPPG_13_8 U13 (P[30], O_R[29], IN1_R[13], U__d1, U__d0, P[29]); U4DPPG_14_8 U14 (P[31], O_R[30], IN1_R[14], U__d1, U__d0, P[30]); U4DPPG_15_8 U15 (P[32], O_R[31], IN1_R[15], U__d1, U__d0, P[31]); U4DPPGH_16_8 U16 (O_T, O_R[32], IN1_T, U__d1, U__d0, P[32]); endmodule
0
425
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module TUBWCON_17_0 (O, I_T, I_R, S); output [17:0] O; input [16:0] I_R; input I_T; input S; BWCPP_0 U0 (O[0], I_R[0], S); BWCPP_1 U1 (O[1], I_R[1], S); BWCPP_2 U2 (O[2], I_R[2], S); BWCPP_3 U3 (O[3], I_R[3], S); BWCPP_4 U4 (O[4], I_R[4], S); BWCPP_5 U5 (O[5], I_R[5], S); BWCPP_6 U6 (O[6], I_R[6], S); BWCPP_7 U7 (O[7], I_R[7], S); BWCPP_8 U8 (O[8], I_R[8], S); BWCPP_9 U9 (O[9], I_R[9], S); BWCPP_10 U10 (O[10], I_R[10], S); BWCPP_11 U11 (O[11], I_R[11], S); BWCPP_12 U12 (O[12], I_R[12], S); BWCPP_13 U13 (O[13], I_R[13], S); BWCPP_14 U14 (O[14], I_R[14], S); BWCPP_15 U15 (O[15], I_R[15], S); BWCPP_16 U16 (O[16], I_R[16], S); BWCNP_17 U17 (O[17], I_T, S); endmodule
module TUBWCON_17_0 (O, I_T, I_R, S);
output [17:0] O; input [16:0] I_R; input I_T; input S; BWCPP_0 U0 (O[0], I_R[0], S); BWCPP_1 U1 (O[1], I_R[1], S); BWCPP_2 U2 (O[2], I_R[2], S); BWCPP_3 U3 (O[3], I_R[3], S); BWCPP_4 U4 (O[4], I_R[4], S); BWCPP_5 U5 (O[5], I_R[5], S); BWCPP_6 U6 (O[6], I_R[6], S); BWCPP_7 U7 (O[7], I_R[7], S); BWCPP_8 U8 (O[8], I_R[8], S); BWCPP_9 U9 (O[9], I_R[9], S); BWCPP_10 U10 (O[10], I_R[10], S); BWCPP_11 U11 (O[11], I_R[11], S); BWCPP_12 U12 (O[12], I_R[12], S); BWCPP_13 U13 (O[13], I_R[13], S); BWCPP_14 U14 (O[14], I_R[14], S); BWCPP_15 U15 (O[15], I_R[15], S); BWCPP_16 U16 (O[16], I_R[16], S); BWCNP_17 U17 (O[17], I_T, S); endmodule
0
426
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module TUBWCON_19_2 (O, I_T, I_R, S); output [19:2] O; input [18:2] I_R; input I_T; input S; BWCPP_2 U0 (O[2], I_R[2], S); BWCPP_3 U1 (O[3], I_R[3], S); BWCPP_4 U2 (O[4], I_R[4], S); BWCPP_5 U3 (O[5], I_R[5], S); BWCPP_6 U4 (O[6], I_R[6], S); BWCPP_7 U5 (O[7], I_R[7], S); BWCPP_8 U6 (O[8], I_R[8], S); BWCPP_9 U7 (O[9], I_R[9], S); BWCPP_10 U8 (O[10], I_R[10], S); BWCPP_11 U9 (O[11], I_R[11], S); BWCPP_12 U10 (O[12], I_R[12], S); BWCPP_13 U11 (O[13], I_R[13], S); BWCPP_14 U12 (O[14], I_R[14], S); BWCPP_15 U13 (O[15], I_R[15], S); BWCPP_16 U14 (O[16], I_R[16], S); BWCPP_17 U15 (O[17], I_R[17], S); BWCPP_18 U16 (O[18], I_R[18], S); BWCNP_19 U17 (O[19], I_T, S); endmodule
module TUBWCON_19_2 (O, I_T, I_R, S);
output [19:2] O; input [18:2] I_R; input I_T; input S; BWCPP_2 U0 (O[2], I_R[2], S); BWCPP_3 U1 (O[3], I_R[3], S); BWCPP_4 U2 (O[4], I_R[4], S); BWCPP_5 U3 (O[5], I_R[5], S); BWCPP_6 U4 (O[6], I_R[6], S); BWCPP_7 U5 (O[7], I_R[7], S); BWCPP_8 U6 (O[8], I_R[8], S); BWCPP_9 U7 (O[9], I_R[9], S); BWCPP_10 U8 (O[10], I_R[10], S); BWCPP_11 U9 (O[11], I_R[11], S); BWCPP_12 U10 (O[12], I_R[12], S); BWCPP_13 U11 (O[13], I_R[13], S); BWCPP_14 U12 (O[14], I_R[14], S); BWCPP_15 U13 (O[15], I_R[15], S); BWCPP_16 U14 (O[16], I_R[16], S); BWCPP_17 U15 (O[17], I_R[17], S); BWCPP_18 U16 (O[18], I_R[18], S); BWCNP_19 U17 (O[19], I_T, S); endmodule
0
427
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module TUBWCON_21_4 (O, I_T, I_R, S); output [21:4] O; input [20:4] I_R; input I_T; input S; BWCPP_4 U0 (O[4], I_R[4], S); BWCPP_5 U1 (O[5], I_R[5], S); BWCPP_6 U2 (O[6], I_R[6], S); BWCPP_7 U3 (O[7], I_R[7], S); BWCPP_8 U4 (O[8], I_R[8], S); BWCPP_9 U5 (O[9], I_R[9], S); BWCPP_10 U6 (O[10], I_R[10], S); BWCPP_11 U7 (O[11], I_R[11], S); BWCPP_12 U8 (O[12], I_R[12], S); BWCPP_13 U9 (O[13], I_R[13], S); BWCPP_14 U10 (O[14], I_R[14], S); BWCPP_15 U11 (O[15], I_R[15], S); BWCPP_16 U12 (O[16], I_R[16], S); BWCPP_17 U13 (O[17], I_R[17], S); BWCPP_18 U14 (O[18], I_R[18], S); BWCPP_19 U15 (O[19], I_R[19], S); BWCPP_20 U16 (O[20], I_R[20], S); BWCNP_21 U17 (O[21], I_T, S); endmodule
module TUBWCON_21_4 (O, I_T, I_R, S);
output [21:4] O; input [20:4] I_R; input I_T; input S; BWCPP_4 U0 (O[4], I_R[4], S); BWCPP_5 U1 (O[5], I_R[5], S); BWCPP_6 U2 (O[6], I_R[6], S); BWCPP_7 U3 (O[7], I_R[7], S); BWCPP_8 U4 (O[8], I_R[8], S); BWCPP_9 U5 (O[9], I_R[9], S); BWCPP_10 U6 (O[10], I_R[10], S); BWCPP_11 U7 (O[11], I_R[11], S); BWCPP_12 U8 (O[12], I_R[12], S); BWCPP_13 U9 (O[13], I_R[13], S); BWCPP_14 U10 (O[14], I_R[14], S); BWCPP_15 U11 (O[15], I_R[15], S); BWCPP_16 U12 (O[16], I_R[16], S); BWCPP_17 U13 (O[17], I_R[17], S); BWCPP_18 U14 (O[18], I_R[18], S); BWCPP_19 U15 (O[19], I_R[19], S); BWCPP_20 U16 (O[20], I_R[20], S); BWCNP_21 U17 (O[21], I_T, S); endmodule
0
428
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module TUBWCON_23_6 (O, I_T, I_R, S); output [23:6] O; input [22:6] I_R; input I_T; input S; BWCPP_6 U0 (O[6], I_R[6], S); BWCPP_7 U1 (O[7], I_R[7], S); BWCPP_8 U2 (O[8], I_R[8], S); BWCPP_9 U3 (O[9], I_R[9], S); BWCPP_10 U4 (O[10], I_R[10], S); BWCPP_11 U5 (O[11], I_R[11], S); BWCPP_12 U6 (O[12], I_R[12], S); BWCPP_13 U7 (O[13], I_R[13], S); BWCPP_14 U8 (O[14], I_R[14], S); BWCPP_15 U9 (O[15], I_R[15], S); BWCPP_16 U10 (O[16], I_R[16], S); BWCPP_17 U11 (O[17], I_R[17], S); BWCPP_18 U12 (O[18], I_R[18], S); BWCPP_19 U13 (O[19], I_R[19], S); BWCPP_20 U14 (O[20], I_R[20], S); BWCPP_21 U15 (O[21], I_R[21], S); BWCPP_22 U16 (O[22], I_R[22], S); BWCNP_23 U17 (O[23], I_T, S); endmodule
module TUBWCON_23_6 (O, I_T, I_R, S);
output [23:6] O; input [22:6] I_R; input I_T; input S; BWCPP_6 U0 (O[6], I_R[6], S); BWCPP_7 U1 (O[7], I_R[7], S); BWCPP_8 U2 (O[8], I_R[8], S); BWCPP_9 U3 (O[9], I_R[9], S); BWCPP_10 U4 (O[10], I_R[10], S); BWCPP_11 U5 (O[11], I_R[11], S); BWCPP_12 U6 (O[12], I_R[12], S); BWCPP_13 U7 (O[13], I_R[13], S); BWCPP_14 U8 (O[14], I_R[14], S); BWCPP_15 U9 (O[15], I_R[15], S); BWCPP_16 U10 (O[16], I_R[16], S); BWCPP_17 U11 (O[17], I_R[17], S); BWCPP_18 U12 (O[18], I_R[18], S); BWCPP_19 U13 (O[19], I_R[19], S); BWCPP_20 U14 (O[20], I_R[20], S); BWCPP_21 U15 (O[21], I_R[21], S); BWCPP_22 U16 (O[22], I_R[22], S); BWCNP_23 U17 (O[23], I_T, S); endmodule
0
429
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module TUBWCON_25_8 (O, I_T, I_R, S); output [25:8] O; input [24:8] I_R; input I_T; input S; BWCPP_8 U0 (O[8], I_R[8], S); BWCPP_9 U1 (O[9], I_R[9], S); BWCPP_10 U2 (O[10], I_R[10], S); BWCPP_11 U3 (O[11], I_R[11], S); BWCPP_12 U4 (O[12], I_R[12], S); BWCPP_13 U5 (O[13], I_R[13], S); BWCPP_14 U6 (O[14], I_R[14], S); BWCPP_15 U7 (O[15], I_R[15], S); BWCPP_16 U8 (O[16], I_R[16], S); BWCPP_17 U9 (O[17], I_R[17], S); BWCPP_18 U10 (O[18], I_R[18], S); BWCPP_19 U11 (O[19], I_R[19], S); BWCPP_20 U12 (O[20], I_R[20], S); BWCPP_21 U13 (O[21], I_R[21], S); BWCPP_22 U14 (O[22], I_R[22], S); BWCPP_23 U15 (O[23], I_R[23], S); BWCPP_24 U16 (O[24], I_R[24], S); BWCNP_25 U17 (O[25], I_T, S); endmodule
module TUBWCON_25_8 (O, I_T, I_R, S);
output [25:8] O; input [24:8] I_R; input I_T; input S; BWCPP_8 U0 (O[8], I_R[8], S); BWCPP_9 U1 (O[9], I_R[9], S); BWCPP_10 U2 (O[10], I_R[10], S); BWCPP_11 U3 (O[11], I_R[11], S); BWCPP_12 U4 (O[12], I_R[12], S); BWCPP_13 U5 (O[13], I_R[13], S); BWCPP_14 U6 (O[14], I_R[14], S); BWCPP_15 U7 (O[15], I_R[15], S); BWCPP_16 U8 (O[16], I_R[16], S); BWCPP_17 U9 (O[17], I_R[17], S); BWCPP_18 U10 (O[18], I_R[18], S); BWCPP_19 U11 (O[19], I_R[19], S); BWCPP_20 U12 (O[20], I_R[20], S); BWCPP_21 U13 (O[21], I_R[21], S); BWCPP_22 U14 (O[22], I_R[22], S); BWCPP_23 U15 (O[23], I_R[23], S); BWCPP_24 U16 (O[24], I_R[24], S); BWCNP_25 U17 (O[25], I_T, S); endmodule
0
430
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module TUBWCON_27_10 (O, I_T, I_R, S); output [27:10] O; input [26:10] I_R; input I_T; input S; BWCPP_10 U0 (O[10], I_R[10], S); BWCPP_11 U1 (O[11], I_R[11], S); BWCPP_12 U2 (O[12], I_R[12], S); BWCPP_13 U3 (O[13], I_R[13], S); BWCPP_14 U4 (O[14], I_R[14], S); BWCPP_15 U5 (O[15], I_R[15], S); BWCPP_16 U6 (O[16], I_R[16], S); BWCPP_17 U7 (O[17], I_R[17], S); BWCPP_18 U8 (O[18], I_R[18], S); BWCPP_19 U9 (O[19], I_R[19], S); BWCPP_20 U10 (O[20], I_R[20], S); BWCPP_21 U11 (O[21], I_R[21], S); BWCPP_22 U12 (O[22], I_R[22], S); BWCPP_23 U13 (O[23], I_R[23], S); BWCPP_24 U14 (O[24], I_R[24], S); BWCPP_25 U15 (O[25], I_R[25], S); BWCPP_26 U16 (O[26], I_R[26], S); BWCNP_27 U17 (O[27], I_T, S); endmodule
module TUBWCON_27_10 (O, I_T, I_R, S);
output [27:10] O; input [26:10] I_R; input I_T; input S; BWCPP_10 U0 (O[10], I_R[10], S); BWCPP_11 U1 (O[11], I_R[11], S); BWCPP_12 U2 (O[12], I_R[12], S); BWCPP_13 U3 (O[13], I_R[13], S); BWCPP_14 U4 (O[14], I_R[14], S); BWCPP_15 U5 (O[15], I_R[15], S); BWCPP_16 U6 (O[16], I_R[16], S); BWCPP_17 U7 (O[17], I_R[17], S); BWCPP_18 U8 (O[18], I_R[18], S); BWCPP_19 U9 (O[19], I_R[19], S); BWCPP_20 U10 (O[20], I_R[20], S); BWCPP_21 U11 (O[21], I_R[21], S); BWCPP_22 U12 (O[22], I_R[22], S); BWCPP_23 U13 (O[23], I_R[23], S); BWCPP_24 U14 (O[24], I_R[24], S); BWCPP_25 U15 (O[25], I_R[25], S); BWCPP_26 U16 (O[26], I_R[26], S); BWCNP_27 U17 (O[27], I_T, S); endmodule
0
431
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module TUBWCON_29_12 (O, I_T, I_R, S); output [29:12] O; input [28:12] I_R; input I_T; input S; BWCPP_12 U0 (O[12], I_R[12], S); BWCPP_13 U1 (O[13], I_R[13], S); BWCPP_14 U2 (O[14], I_R[14], S); BWCPP_15 U3 (O[15], I_R[15], S); BWCPP_16 U4 (O[16], I_R[16], S); BWCPP_17 U5 (O[17], I_R[17], S); BWCPP_18 U6 (O[18], I_R[18], S); BWCPP_19 U7 (O[19], I_R[19], S); BWCPP_20 U8 (O[20], I_R[20], S); BWCPP_21 U9 (O[21], I_R[21], S); BWCPP_22 U10 (O[22], I_R[22], S); BWCPP_23 U11 (O[23], I_R[23], S); BWCPP_24 U12 (O[24], I_R[24], S); BWCPP_25 U13 (O[25], I_R[25], S); BWCPP_26 U14 (O[26], I_R[26], S); BWCPP_27 U15 (O[27], I_R[27], S); BWCPP_28 U16 (O[28], I_R[28], S); BWCNP_29 U17 (O[29], I_T, S); endmodule
module TUBWCON_29_12 (O, I_T, I_R, S);
output [29:12] O; input [28:12] I_R; input I_T; input S; BWCPP_12 U0 (O[12], I_R[12], S); BWCPP_13 U1 (O[13], I_R[13], S); BWCPP_14 U2 (O[14], I_R[14], S); BWCPP_15 U3 (O[15], I_R[15], S); BWCPP_16 U4 (O[16], I_R[16], S); BWCPP_17 U5 (O[17], I_R[17], S); BWCPP_18 U6 (O[18], I_R[18], S); BWCPP_19 U7 (O[19], I_R[19], S); BWCPP_20 U8 (O[20], I_R[20], S); BWCPP_21 U9 (O[21], I_R[21], S); BWCPP_22 U10 (O[22], I_R[22], S); BWCPP_23 U11 (O[23], I_R[23], S); BWCPP_24 U12 (O[24], I_R[24], S); BWCPP_25 U13 (O[25], I_R[25], S); BWCPP_26 U14 (O[26], I_R[26], S); BWCPP_27 U15 (O[27], I_R[27], S); BWCPP_28 U16 (O[28], I_R[28], S); BWCNP_29 U17 (O[29], I_T, S); endmodule
0
432
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module TUBWCON_31_14 (O, I_T, I_R, S); output [31:14] O; input [30:14] I_R; input I_T; input S; BWCPP_14 U0 (O[14], I_R[14], S); BWCPP_15 U1 (O[15], I_R[15], S); BWCPP_16 U2 (O[16], I_R[16], S); BWCPP_17 U3 (O[17], I_R[17], S); BWCPP_18 U4 (O[18], I_R[18], S); BWCPP_19 U5 (O[19], I_R[19], S); BWCPP_20 U6 (O[20], I_R[20], S); BWCPP_21 U7 (O[21], I_R[21], S); BWCPP_22 U8 (O[22], I_R[22], S); BWCPP_23 U9 (O[23], I_R[23], S); BWCPP_24 U10 (O[24], I_R[24], S); BWCPP_25 U11 (O[25], I_R[25], S); BWCPP_26 U12 (O[26], I_R[26], S); BWCPP_27 U13 (O[27], I_R[27], S); BWCPP_28 U14 (O[28], I_R[28], S); BWCPP_29 U15 (O[29], I_R[29], S); BWCPP_30 U16 (O[30], I_R[30], S); BWCNP_31 U17 (O[31], I_T, S); endmodule
module TUBWCON_31_14 (O, I_T, I_R, S);
output [31:14] O; input [30:14] I_R; input I_T; input S; BWCPP_14 U0 (O[14], I_R[14], S); BWCPP_15 U1 (O[15], I_R[15], S); BWCPP_16 U2 (O[16], I_R[16], S); BWCPP_17 U3 (O[17], I_R[17], S); BWCPP_18 U4 (O[18], I_R[18], S); BWCPP_19 U5 (O[19], I_R[19], S); BWCPP_20 U6 (O[20], I_R[20], S); BWCPP_21 U7 (O[21], I_R[21], S); BWCPP_22 U8 (O[22], I_R[22], S); BWCPP_23 U9 (O[23], I_R[23], S); BWCPP_24 U10 (O[24], I_R[24], S); BWCPP_25 U11 (O[25], I_R[25], S); BWCPP_26 U12 (O[26], I_R[26], S); BWCPP_27 U13 (O[27], I_R[27], S); BWCPP_28 U14 (O[28], I_R[28], S); BWCPP_29 U15 (O[29], I_R[29], S); BWCPP_30 U16 (O[30], I_R[30], S); BWCNP_31 U17 (O[31], I_T, S); endmodule
0
433
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module TUBWCON_33_16 (O, I_T, I_R, S); output [33:16] O; input [32:16] I_R; input I_T; input S; BWCPP_16 U0 (O[16], I_R[16], S); BWCPP_17 U1 (O[17], I_R[17], S); BWCPP_18 U2 (O[18], I_R[18], S); BWCPP_19 U3 (O[19], I_R[19], S); BWCPP_20 U4 (O[20], I_R[20], S); BWCPP_21 U5 (O[21], I_R[21], S); BWCPP_22 U6 (O[22], I_R[22], S); BWCPP_23 U7 (O[23], I_R[23], S); BWCPP_24 U8 (O[24], I_R[24], S); BWCPP_25 U9 (O[25], I_R[25], S); BWCPP_26 U10 (O[26], I_R[26], S); BWCPP_27 U11 (O[27], I_R[27], S); BWCPP_28 U12 (O[28], I_R[28], S); BWCPP_29 U13 (O[29], I_R[29], S); BWCPP_30 U14 (O[30], I_R[30], S); BWCPP_31 U15 (O[31], I_R[31], S); BWCPP_32 U16 (O[32], I_R[32], S); BWCNP_33 U17 (O[33], I_T, S); endmodule
module TUBWCON_33_16 (O, I_T, I_R, S);
output [33:16] O; input [32:16] I_R; input I_T; input S; BWCPP_16 U0 (O[16], I_R[16], S); BWCPP_17 U1 (O[17], I_R[17], S); BWCPP_18 U2 (O[18], I_R[18], S); BWCPP_19 U3 (O[19], I_R[19], S); BWCPP_20 U4 (O[20], I_R[20], S); BWCPP_21 U5 (O[21], I_R[21], S); BWCPP_22 U6 (O[22], I_R[22], S); BWCPP_23 U7 (O[23], I_R[23], S); BWCPP_24 U8 (O[24], I_R[24], S); BWCPP_25 U9 (O[25], I_R[25], S); BWCPP_26 U10 (O[26], I_R[26], S); BWCPP_27 U11 (O[27], I_R[27], S); BWCPP_28 U12 (O[28], I_R[28], S); BWCPP_29 U13 (O[29], I_R[29], S); BWCPP_30 U14 (O[30], I_R[30], S); BWCPP_31 U15 (O[31], I_R[31], S); BWCPP_32 U16 (O[32], I_R[32], S); BWCNP_33 U17 (O[33], I_T, S); endmodule
0
434
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBBCL_33_0_33_0 (S, X, Y); output [34:0] S; input [33:0] X; input [33:0] Y; UBPureBCL_33_0 U0 (S[34:0], X[33:0], Y[33:0]); endmodule
module UBBCL_33_0_33_0 (S, X, Y);
output [34:0] S; input [33:0] X; input [33:0] Y; UBPureBCL_33_0 U0 (S[34:0], X[33:0], Y[33:0]); endmodule
0
435
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBCMBIN_17_17_16_000 (O, IN0, IN1); output [17:16] O; input IN0; input IN1; UB1DCON_17 U0 (O[17], IN0); UB1DCON_16 U1 (O[16], IN1); endmodule
module UBCMBIN_17_17_16_000 (O, IN0, IN1);
output [17:16] O; input IN0; input IN1; UB1DCON_17 U0 (O[17], IN0); UB1DCON_16 U1 (O[16], IN1); endmodule
0
436
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBCMBIN_18_18_17_000 (O, IN0, IN1); output [18:0] O; input IN0; input [17:0] IN1; UB1DCON_18 U0 (O[18], IN0); UBCON_17_0 U1 (O[17:0], IN1); endmodule
module UBCMBIN_18_18_17_000 (O, IN0, IN1);
output [18:0] O; input IN0; input [17:0] IN1; UB1DCON_18 U0 (O[18], IN0); UBCON_17_0 U1 (O[17:0], IN1); endmodule
0
437
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBCMBIN_20_20_19_000 (O, IN0, IN1, IN2); output [20:0] O; input IN0; input [19:2] IN1; input IN2; UB1DCON_20 U0 (O[20], IN0); UBCON_19_2 U1 (O[19:2], IN1); UBZero_1_1 U2 (O[1]); UB1DCON_0 U3 (O[0], IN2); endmodule
module UBCMBIN_20_20_19_000 (O, IN0, IN1, IN2);
output [20:0] O; input IN0; input [19:2] IN1; input IN2; UB1DCON_20 U0 (O[20], IN0); UBCON_19_2 U1 (O[19:2], IN1); UBZero_1_1 U2 (O[1]); UB1DCON_0 U3 (O[0], IN2); endmodule
0
438
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBCMBIN_22_22_21_000 (O, IN0, IN1, IN2); output [22:2] O; input IN0; input [21:4] IN1; input IN2; UB1DCON_22 U0 (O[22], IN0); UBCON_21_4 U1 (O[21:4], IN1); UBZero_3_3 U2 (O[3]); UB1DCON_2 U3 (O[2], IN2); endmodule
module UBCMBIN_22_22_21_000 (O, IN0, IN1, IN2);
output [22:2] O; input IN0; input [21:4] IN1; input IN2; UB1DCON_22 U0 (O[22], IN0); UBCON_21_4 U1 (O[21:4], IN1); UBZero_3_3 U2 (O[3]); UB1DCON_2 U3 (O[2], IN2); endmodule
0
439
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBCMBIN_24_24_23_000 (O, IN0, IN1, IN2); output [24:4] O; input IN0; input [23:6] IN1; input IN2; UB1DCON_24 U0 (O[24], IN0); UBCON_23_6 U1 (O[23:6], IN1); UBZero_5_5 U2 (O[5]); UB1DCON_4 U3 (O[4], IN2); endmodule
module UBCMBIN_24_24_23_000 (O, IN0, IN1, IN2);
output [24:4] O; input IN0; input [23:6] IN1; input IN2; UB1DCON_24 U0 (O[24], IN0); UBCON_23_6 U1 (O[23:6], IN1); UBZero_5_5 U2 (O[5]); UB1DCON_4 U3 (O[4], IN2); endmodule
0
440
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBCMBIN_26_26_25_000 (O, IN0, IN1, IN2); output [26:6] O; input IN0; input [25:8] IN1; input IN2; UB1DCON_26 U0 (O[26], IN0); UBCON_25_8 U1 (O[25:8], IN1); UBZero_7_7 U2 (O[7]); UB1DCON_6 U3 (O[6], IN2); endmodule
module UBCMBIN_26_26_25_000 (O, IN0, IN1, IN2);
output [26:6] O; input IN0; input [25:8] IN1; input IN2; UB1DCON_26 U0 (O[26], IN0); UBCON_25_8 U1 (O[25:8], IN1); UBZero_7_7 U2 (O[7]); UB1DCON_6 U3 (O[6], IN2); endmodule
0
441
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBCMBIN_28_28_27_000 (O, IN0, IN1, IN2); output [28:8] O; input IN0; input [27:10] IN1; input IN2; UB1DCON_28 U0 (O[28], IN0); UBCON_27_10 U1 (O[27:10], IN1); UBZero_9_9 U2 (O[9]); UB1DCON_8 U3 (O[8], IN2); endmodule
module UBCMBIN_28_28_27_000 (O, IN0, IN1, IN2);
output [28:8] O; input IN0; input [27:10] IN1; input IN2; UB1DCON_28 U0 (O[28], IN0); UBCON_27_10 U1 (O[27:10], IN1); UBZero_9_9 U2 (O[9]); UB1DCON_8 U3 (O[8], IN2); endmodule
0
442
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBCMBIN_30_30_29_000 (O, IN0, IN1, IN2); output [30:10] O; input IN0; input [29:12] IN1; input IN2; UB1DCON_30 U0 (O[30], IN0); UBCON_29_12 U1 (O[29:12], IN1); UBZero_11_11 U2 (O[11]); UB1DCON_10 U3 (O[10], IN2); endmodule
module UBCMBIN_30_30_29_000 (O, IN0, IN1, IN2);
output [30:10] O; input IN0; input [29:12] IN1; input IN2; UB1DCON_30 U0 (O[30], IN0); UBCON_29_12 U1 (O[29:12], IN1); UBZero_11_11 U2 (O[11]); UB1DCON_10 U3 (O[10], IN2); endmodule
0
443
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBCMBIN_32_32_31_000 (O, IN0, IN1, IN2); output [32:12] O; input IN0; input [31:14] IN1; input IN2; UB1DCON_32 U0 (O[32], IN0); UBCON_31_14 U1 (O[31:14], IN1); UBZero_13_13 U2 (O[13]); UB1DCON_12 U3 (O[12], IN2); endmodule
module UBCMBIN_32_32_31_000 (O, IN0, IN1, IN2);
output [32:12] O; input IN0; input [31:14] IN1; input IN2; UB1DCON_32 U0 (O[32], IN0); UBCON_31_14 U1 (O[31:14], IN1); UBZero_13_13 U2 (O[13]); UB1DCON_12 U3 (O[12], IN2); endmodule
0
444
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBCMBIN_33_16_14_000 (O, IN0, IN1); output [33:14] O; input [33:16] IN0; input IN1; UBCON_33_16 U0 (O[33:16], IN0); UBZero_15_15 U1 (O[15]); UB1DCON_14 U2 (O[14], IN1); endmodule
module UBCMBIN_33_16_14_000 (O, IN0, IN1);
output [33:14] O; input [33:16] IN0; input IN1; UBCON_33_16 U0 (O[33:16], IN0); UBZero_15_15 U1 (O[15]); UB1DCON_14 U2 (O[14], IN1); endmodule
0
445
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBCON_11_0 (O, I); output [11:0] O; input [11:0] I; UB1DCON_0 U0 (O[0], I[0]); UB1DCON_1 U1 (O[1], I[1]); UB1DCON_2 U2 (O[2], I[2]); UB1DCON_3 U3 (O[3], I[3]); UB1DCON_4 U4 (O[4], I[4]); UB1DCON_5 U5 (O[5], I[5]); UB1DCON_6 U6 (O[6], I[6]); UB1DCON_7 U7 (O[7], I[7]); UB1DCON_8 U8 (O[8], I[8]); UB1DCON_9 U9 (O[9], I[9]); UB1DCON_10 U10 (O[10], I[10]); UB1DCON_11 U11 (O[11], I[11]); endmodule
module UBCON_11_0 (O, I);
output [11:0] O; input [11:0] I; UB1DCON_0 U0 (O[0], I[0]); UB1DCON_1 U1 (O[1], I[1]); UB1DCON_2 U2 (O[2], I[2]); UB1DCON_3 U3 (O[3], I[3]); UB1DCON_4 U4 (O[4], I[4]); UB1DCON_5 U5 (O[5], I[5]); UB1DCON_6 U6 (O[6], I[6]); UB1DCON_7 U7 (O[7], I[7]); UB1DCON_8 U8 (O[8], I[8]); UB1DCON_9 U9 (O[9], I[9]); UB1DCON_10 U10 (O[10], I[10]); UB1DCON_11 U11 (O[11], I[11]); endmodule
0
446
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBCON_11_10 (O, I); output [11:10] O; input [11:10] I; UB1DCON_10 U0 (O[10], I[10]); UB1DCON_11 U1 (O[11], I[11]); endmodule
module UBCON_11_10 (O, I);
output [11:10] O; input [11:10] I; UB1DCON_10 U0 (O[10], I[10]); UB1DCON_11 U1 (O[11], I[11]); endmodule
0
447
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBCON_11_2 (O, I); output [11:2] O; input [11:2] I; UB1DCON_2 U0 (O[2], I[2]); UB1DCON_3 U1 (O[3], I[3]); UB1DCON_4 U2 (O[4], I[4]); UB1DCON_5 U3 (O[5], I[5]); UB1DCON_6 U4 (O[6], I[6]); UB1DCON_7 U5 (O[7], I[7]); UB1DCON_8 U6 (O[8], I[8]); UB1DCON_9 U7 (O[9], I[9]); UB1DCON_10 U8 (O[10], I[10]); UB1DCON_11 U9 (O[11], I[11]); endmodule
module UBCON_11_2 (O, I);
output [11:2] O; input [11:2] I; UB1DCON_2 U0 (O[2], I[2]); UB1DCON_3 U1 (O[3], I[3]); UB1DCON_4 U2 (O[4], I[4]); UB1DCON_5 U3 (O[5], I[5]); UB1DCON_6 U4 (O[6], I[6]); UB1DCON_7 U5 (O[7], I[7]); UB1DCON_8 U6 (O[8], I[8]); UB1DCON_9 U7 (O[9], I[9]); UB1DCON_10 U8 (O[10], I[10]); UB1DCON_11 U9 (O[11], I[11]); endmodule
0
448
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBCON_11_4 (O, I); output [11:4] O; input [11:4] I; UB1DCON_4 U0 (O[4], I[4]); UB1DCON_5 U1 (O[5], I[5]); UB1DCON_6 U2 (O[6], I[6]); UB1DCON_7 U3 (O[7], I[7]); UB1DCON_8 U4 (O[8], I[8]); UB1DCON_9 U5 (O[9], I[9]); UB1DCON_10 U6 (O[10], I[10]); UB1DCON_11 U7 (O[11], I[11]); endmodule
module UBCON_11_4 (O, I);
output [11:4] O; input [11:4] I; UB1DCON_4 U0 (O[4], I[4]); UB1DCON_5 U1 (O[5], I[5]); UB1DCON_6 U2 (O[6], I[6]); UB1DCON_7 U3 (O[7], I[7]); UB1DCON_8 U4 (O[8], I[8]); UB1DCON_9 U5 (O[9], I[9]); UB1DCON_10 U6 (O[10], I[10]); UB1DCON_11 U7 (O[11], I[11]); endmodule
0
449
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBCON_11_6 (O, I); output [11:6] O; input [11:6] I; UB1DCON_6 U0 (O[6], I[6]); UB1DCON_7 U1 (O[7], I[7]); UB1DCON_8 U2 (O[8], I[8]); UB1DCON_9 U3 (O[9], I[9]); UB1DCON_10 U4 (O[10], I[10]); UB1DCON_11 U5 (O[11], I[11]); endmodule
module UBCON_11_6 (O, I);
output [11:6] O; input [11:6] I; UB1DCON_6 U0 (O[6], I[6]); UB1DCON_7 U1 (O[7], I[7]); UB1DCON_8 U2 (O[8], I[8]); UB1DCON_9 U3 (O[9], I[9]); UB1DCON_10 U4 (O[10], I[10]); UB1DCON_11 U5 (O[11], I[11]); endmodule
0
450
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBCON_11_8 (O, I); output [11:8] O; input [11:8] I; UB1DCON_8 U0 (O[8], I[8]); UB1DCON_9 U1 (O[9], I[9]); UB1DCON_10 U2 (O[10], I[10]); UB1DCON_11 U3 (O[11], I[11]); endmodule
module UBCON_11_8 (O, I);
output [11:8] O; input [11:8] I; UB1DCON_8 U0 (O[8], I[8]); UB1DCON_9 U1 (O[9], I[9]); UB1DCON_10 U2 (O[10], I[10]); UB1DCON_11 U3 (O[11], I[11]); endmodule
0
451
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBCON_15_14 (O, I); output [15:14] O; input [15:14] I; UB1DCON_14 U0 (O[14], I[14]); UB1DCON_15 U1 (O[15], I[15]); endmodule
module UBCON_15_14 (O, I);
output [15:14] O; input [15:14] I; UB1DCON_14 U0 (O[14], I[14]); UB1DCON_15 U1 (O[15], I[15]); endmodule
0
452
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBCON_17_0 (O, I); output [17:0] O; input [17:0] I; UB1DCON_0 U0 (O[0], I[0]); UB1DCON_1 U1 (O[1], I[1]); UB1DCON_2 U2 (O[2], I[2]); UB1DCON_3 U3 (O[3], I[3]); UB1DCON_4 U4 (O[4], I[4]); UB1DCON_5 U5 (O[5], I[5]); UB1DCON_6 U6 (O[6], I[6]); UB1DCON_7 U7 (O[7], I[7]); UB1DCON_8 U8 (O[8], I[8]); UB1DCON_9 U9 (O[9], I[9]); UB1DCON_10 U10 (O[10], I[10]); UB1DCON_11 U11 (O[11], I[11]); UB1DCON_12 U12 (O[12], I[12]); UB1DCON_13 U13 (O[13], I[13]); UB1DCON_14 U14 (O[14], I[14]); UB1DCON_15 U15 (O[15], I[15]); UB1DCON_16 U16 (O[16], I[16]); UB1DCON_17 U17 (O[17], I[17]); endmodule
module UBCON_17_0 (O, I);
output [17:0] O; input [17:0] I; UB1DCON_0 U0 (O[0], I[0]); UB1DCON_1 U1 (O[1], I[1]); UB1DCON_2 U2 (O[2], I[2]); UB1DCON_3 U3 (O[3], I[3]); UB1DCON_4 U4 (O[4], I[4]); UB1DCON_5 U5 (O[5], I[5]); UB1DCON_6 U6 (O[6], I[6]); UB1DCON_7 U7 (O[7], I[7]); UB1DCON_8 U8 (O[8], I[8]); UB1DCON_9 U9 (O[9], I[9]); UB1DCON_10 U10 (O[10], I[10]); UB1DCON_11 U11 (O[11], I[11]); UB1DCON_12 U12 (O[12], I[12]); UB1DCON_13 U13 (O[13], I[13]); UB1DCON_14 U14 (O[14], I[14]); UB1DCON_15 U15 (O[15], I[15]); UB1DCON_16 U16 (O[16], I[16]); UB1DCON_17 U17 (O[17], I[17]); endmodule
0
453
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBCON_17_16 (O, I); output [17:16] O; input [17:16] I; UB1DCON_16 U0 (O[16], I[16]); UB1DCON_17 U1 (O[17], I[17]); endmodule
module UBCON_17_16 (O, I);
output [17:16] O; input [17:16] I; UB1DCON_16 U0 (O[16], I[16]); UB1DCON_17 U1 (O[17], I[17]); endmodule
0
454
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBCON_19_2 (O, I); output [19:2] O; input [19:2] I; UB1DCON_2 U0 (O[2], I[2]); UB1DCON_3 U1 (O[3], I[3]); UB1DCON_4 U2 (O[4], I[4]); UB1DCON_5 U3 (O[5], I[5]); UB1DCON_6 U4 (O[6], I[6]); UB1DCON_7 U5 (O[7], I[7]); UB1DCON_8 U6 (O[8], I[8]); UB1DCON_9 U7 (O[9], I[9]); UB1DCON_10 U8 (O[10], I[10]); UB1DCON_11 U9 (O[11], I[11]); UB1DCON_12 U10 (O[12], I[12]); UB1DCON_13 U11 (O[13], I[13]); UB1DCON_14 U12 (O[14], I[14]); UB1DCON_15 U13 (O[15], I[15]); UB1DCON_16 U14 (O[16], I[16]); UB1DCON_17 U15 (O[17], I[17]); UB1DCON_18 U16 (O[18], I[18]); UB1DCON_19 U17 (O[19], I[19]); endmodule
module UBCON_19_2 (O, I);
output [19:2] O; input [19:2] I; UB1DCON_2 U0 (O[2], I[2]); UB1DCON_3 U1 (O[3], I[3]); UB1DCON_4 U2 (O[4], I[4]); UB1DCON_5 U3 (O[5], I[5]); UB1DCON_6 U4 (O[6], I[6]); UB1DCON_7 U5 (O[7], I[7]); UB1DCON_8 U6 (O[8], I[8]); UB1DCON_9 U7 (O[9], I[9]); UB1DCON_10 U8 (O[10], I[10]); UB1DCON_11 U9 (O[11], I[11]); UB1DCON_12 U10 (O[12], I[12]); UB1DCON_13 U11 (O[13], I[13]); UB1DCON_14 U12 (O[14], I[14]); UB1DCON_15 U13 (O[15], I[15]); UB1DCON_16 U14 (O[16], I[16]); UB1DCON_17 U15 (O[17], I[17]); UB1DCON_18 U16 (O[18], I[18]); UB1DCON_19 U17 (O[19], I[19]); endmodule
0
455
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBCON_1_0 (O, I); output [1:0] O; input [1:0] I; UB1DCON_0 U0 (O[0], I[0]); UB1DCON_1 U1 (O[1], I[1]); endmodule
module UBCON_1_0 (O, I);
output [1:0] O; input [1:0] I; UB1DCON_0 U0 (O[0], I[0]); UB1DCON_1 U1 (O[1], I[1]); endmodule
0
456
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBCON_21_4 (O, I); output [21:4] O; input [21:4] I; UB1DCON_4 U0 (O[4], I[4]); UB1DCON_5 U1 (O[5], I[5]); UB1DCON_6 U2 (O[6], I[6]); UB1DCON_7 U3 (O[7], I[7]); UB1DCON_8 U4 (O[8], I[8]); UB1DCON_9 U5 (O[9], I[9]); UB1DCON_10 U6 (O[10], I[10]); UB1DCON_11 U7 (O[11], I[11]); UB1DCON_12 U8 (O[12], I[12]); UB1DCON_13 U9 (O[13], I[13]); UB1DCON_14 U10 (O[14], I[14]); UB1DCON_15 U11 (O[15], I[15]); UB1DCON_16 U12 (O[16], I[16]); UB1DCON_17 U13 (O[17], I[17]); UB1DCON_18 U14 (O[18], I[18]); UB1DCON_19 U15 (O[19], I[19]); UB1DCON_20 U16 (O[20], I[20]); UB1DCON_21 U17 (O[21], I[21]); endmodule
module UBCON_21_4 (O, I);
output [21:4] O; input [21:4] I; UB1DCON_4 U0 (O[4], I[4]); UB1DCON_5 U1 (O[5], I[5]); UB1DCON_6 U2 (O[6], I[6]); UB1DCON_7 U3 (O[7], I[7]); UB1DCON_8 U4 (O[8], I[8]); UB1DCON_9 U5 (O[9], I[9]); UB1DCON_10 U6 (O[10], I[10]); UB1DCON_11 U7 (O[11], I[11]); UB1DCON_12 U8 (O[12], I[12]); UB1DCON_13 U9 (O[13], I[13]); UB1DCON_14 U10 (O[14], I[14]); UB1DCON_15 U11 (O[15], I[15]); UB1DCON_16 U12 (O[16], I[16]); UB1DCON_17 U13 (O[17], I[17]); UB1DCON_18 U14 (O[18], I[18]); UB1DCON_19 U15 (O[19], I[19]); UB1DCON_20 U16 (O[20], I[20]); UB1DCON_21 U17 (O[21], I[21]); endmodule
0
457
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBCON_22_18 (O, I); output [22:18] O; input [22:18] I; UB1DCON_18 U0 (O[18], I[18]); UB1DCON_19 U1 (O[19], I[19]); UB1DCON_20 U2 (O[20], I[20]); UB1DCON_21 U3 (O[21], I[21]); UB1DCON_22 U4 (O[22], I[22]); endmodule
module UBCON_22_18 (O, I);
output [22:18] O; input [22:18] I; UB1DCON_18 U0 (O[18], I[18]); UB1DCON_19 U1 (O[19], I[19]); UB1DCON_20 U2 (O[20], I[20]); UB1DCON_21 U3 (O[21], I[21]); UB1DCON_22 U4 (O[22], I[22]); endmodule
0
458
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBCON_23_6 (O, I); output [23:6] O; input [23:6] I; UB1DCON_6 U0 (O[6], I[6]); UB1DCON_7 U1 (O[7], I[7]); UB1DCON_8 U2 (O[8], I[8]); UB1DCON_9 U3 (O[9], I[9]); UB1DCON_10 U4 (O[10], I[10]); UB1DCON_11 U5 (O[11], I[11]); UB1DCON_12 U6 (O[12], I[12]); UB1DCON_13 U7 (O[13], I[13]); UB1DCON_14 U8 (O[14], I[14]); UB1DCON_15 U9 (O[15], I[15]); UB1DCON_16 U10 (O[16], I[16]); UB1DCON_17 U11 (O[17], I[17]); UB1DCON_18 U12 (O[18], I[18]); UB1DCON_19 U13 (O[19], I[19]); UB1DCON_20 U14 (O[20], I[20]); UB1DCON_21 U15 (O[21], I[21]); UB1DCON_22 U16 (O[22], I[22]); UB1DCON_23 U17 (O[23], I[23]); endmodule
module UBCON_23_6 (O, I);
output [23:6] O; input [23:6] I; UB1DCON_6 U0 (O[6], I[6]); UB1DCON_7 U1 (O[7], I[7]); UB1DCON_8 U2 (O[8], I[8]); UB1DCON_9 U3 (O[9], I[9]); UB1DCON_10 U4 (O[10], I[10]); UB1DCON_11 U5 (O[11], I[11]); UB1DCON_12 U6 (O[12], I[12]); UB1DCON_13 U7 (O[13], I[13]); UB1DCON_14 U8 (O[14], I[14]); UB1DCON_15 U9 (O[15], I[15]); UB1DCON_16 U10 (O[16], I[16]); UB1DCON_17 U11 (O[17], I[17]); UB1DCON_18 U12 (O[18], I[18]); UB1DCON_19 U13 (O[19], I[19]); UB1DCON_20 U14 (O[20], I[20]); UB1DCON_21 U15 (O[21], I[21]); UB1DCON_22 U16 (O[22], I[22]); UB1DCON_23 U17 (O[23], I[23]); endmodule
0
459
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBCON_24_23 (O, I); output [24:23] O; input [24:23] I; UB1DCON_23 U0 (O[23], I[23]); UB1DCON_24 U1 (O[24], I[24]); endmodule
module UBCON_24_23 (O, I);
output [24:23] O; input [24:23] I; UB1DCON_23 U0 (O[23], I[23]); UB1DCON_24 U1 (O[24], I[24]); endmodule
0
460
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBCON_25_8 (O, I); output [25:8] O; input [25:8] I; UB1DCON_8 U0 (O[8], I[8]); UB1DCON_9 U1 (O[9], I[9]); UB1DCON_10 U2 (O[10], I[10]); UB1DCON_11 U3 (O[11], I[11]); UB1DCON_12 U4 (O[12], I[12]); UB1DCON_13 U5 (O[13], I[13]); UB1DCON_14 U6 (O[14], I[14]); UB1DCON_15 U7 (O[15], I[15]); UB1DCON_16 U8 (O[16], I[16]); UB1DCON_17 U9 (O[17], I[17]); UB1DCON_18 U10 (O[18], I[18]); UB1DCON_19 U11 (O[19], I[19]); UB1DCON_20 U12 (O[20], I[20]); UB1DCON_21 U13 (O[21], I[21]); UB1DCON_22 U14 (O[22], I[22]); UB1DCON_23 U15 (O[23], I[23]); UB1DCON_24 U16 (O[24], I[24]); UB1DCON_25 U17 (O[25], I[25]); endmodule
module UBCON_25_8 (O, I);
output [25:8] O; input [25:8] I; UB1DCON_8 U0 (O[8], I[8]); UB1DCON_9 U1 (O[9], I[9]); UB1DCON_10 U2 (O[10], I[10]); UB1DCON_11 U3 (O[11], I[11]); UB1DCON_12 U4 (O[12], I[12]); UB1DCON_13 U5 (O[13], I[13]); UB1DCON_14 U6 (O[14], I[14]); UB1DCON_15 U7 (O[15], I[15]); UB1DCON_16 U8 (O[16], I[16]); UB1DCON_17 U9 (O[17], I[17]); UB1DCON_18 U10 (O[18], I[18]); UB1DCON_19 U11 (O[19], I[19]); UB1DCON_20 U12 (O[20], I[20]); UB1DCON_21 U13 (O[21], I[21]); UB1DCON_22 U14 (O[22], I[22]); UB1DCON_23 U15 (O[23], I[23]); UB1DCON_24 U16 (O[24], I[24]); UB1DCON_25 U17 (O[25], I[25]); endmodule
0
461
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBCON_26_25 (O, I); output [26:25] O; input [26:25] I; UB1DCON_25 U0 (O[25], I[25]); UB1DCON_26 U1 (O[26], I[26]); endmodule
module UBCON_26_25 (O, I);
output [26:25] O; input [26:25] I; UB1DCON_25 U0 (O[25], I[25]); UB1DCON_26 U1 (O[26], I[26]); endmodule
0
462
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBCON_27_10 (O, I); output [27:10] O; input [27:10] I; UB1DCON_10 U0 (O[10], I[10]); UB1DCON_11 U1 (O[11], I[11]); UB1DCON_12 U2 (O[12], I[12]); UB1DCON_13 U3 (O[13], I[13]); UB1DCON_14 U4 (O[14], I[14]); UB1DCON_15 U5 (O[15], I[15]); UB1DCON_16 U6 (O[16], I[16]); UB1DCON_17 U7 (O[17], I[17]); UB1DCON_18 U8 (O[18], I[18]); UB1DCON_19 U9 (O[19], I[19]); UB1DCON_20 U10 (O[20], I[20]); UB1DCON_21 U11 (O[21], I[21]); UB1DCON_22 U12 (O[22], I[22]); UB1DCON_23 U13 (O[23], I[23]); UB1DCON_24 U14 (O[24], I[24]); UB1DCON_25 U15 (O[25], I[25]); UB1DCON_26 U16 (O[26], I[26]); UB1DCON_27 U17 (O[27], I[27]); endmodule
module UBCON_27_10 (O, I);
output [27:10] O; input [27:10] I; UB1DCON_10 U0 (O[10], I[10]); UB1DCON_11 U1 (O[11], I[11]); UB1DCON_12 U2 (O[12], I[12]); UB1DCON_13 U3 (O[13], I[13]); UB1DCON_14 U4 (O[14], I[14]); UB1DCON_15 U5 (O[15], I[15]); UB1DCON_16 U6 (O[16], I[16]); UB1DCON_17 U7 (O[17], I[17]); UB1DCON_18 U8 (O[18], I[18]); UB1DCON_19 U9 (O[19], I[19]); UB1DCON_20 U10 (O[20], I[20]); UB1DCON_21 U11 (O[21], I[21]); UB1DCON_22 U12 (O[22], I[22]); UB1DCON_23 U13 (O[23], I[23]); UB1DCON_24 U14 (O[24], I[24]); UB1DCON_25 U15 (O[25], I[25]); UB1DCON_26 U16 (O[26], I[26]); UB1DCON_27 U17 (O[27], I[27]); endmodule
0
463
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBCON_28_27 (O, I); output [28:27] O; input [28:27] I; UB1DCON_27 U0 (O[27], I[27]); UB1DCON_28 U1 (O[28], I[28]); endmodule
module UBCON_28_27 (O, I);
output [28:27] O; input [28:27] I; UB1DCON_27 U0 (O[27], I[27]); UB1DCON_28 U1 (O[28], I[28]); endmodule
0
464
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBCON_29_12 (O, I); output [29:12] O; input [29:12] I; UB1DCON_12 U0 (O[12], I[12]); UB1DCON_13 U1 (O[13], I[13]); UB1DCON_14 U2 (O[14], I[14]); UB1DCON_15 U3 (O[15], I[15]); UB1DCON_16 U4 (O[16], I[16]); UB1DCON_17 U5 (O[17], I[17]); UB1DCON_18 U6 (O[18], I[18]); UB1DCON_19 U7 (O[19], I[19]); UB1DCON_20 U8 (O[20], I[20]); UB1DCON_21 U9 (O[21], I[21]); UB1DCON_22 U10 (O[22], I[22]); UB1DCON_23 U11 (O[23], I[23]); UB1DCON_24 U12 (O[24], I[24]); UB1DCON_25 U13 (O[25], I[25]); UB1DCON_26 U14 (O[26], I[26]); UB1DCON_27 U15 (O[27], I[27]); UB1DCON_28 U16 (O[28], I[28]); UB1DCON_29 U17 (O[29], I[29]); endmodule
module UBCON_29_12 (O, I);
output [29:12] O; input [29:12] I; UB1DCON_12 U0 (O[12], I[12]); UB1DCON_13 U1 (O[13], I[13]); UB1DCON_14 U2 (O[14], I[14]); UB1DCON_15 U3 (O[15], I[15]); UB1DCON_16 U4 (O[16], I[16]); UB1DCON_17 U5 (O[17], I[17]); UB1DCON_18 U6 (O[18], I[18]); UB1DCON_19 U7 (O[19], I[19]); UB1DCON_20 U8 (O[20], I[20]); UB1DCON_21 U9 (O[21], I[21]); UB1DCON_22 U10 (O[22], I[22]); UB1DCON_23 U11 (O[23], I[23]); UB1DCON_24 U12 (O[24], I[24]); UB1DCON_25 U13 (O[25], I[25]); UB1DCON_26 U14 (O[26], I[26]); UB1DCON_27 U15 (O[27], I[27]); UB1DCON_28 U16 (O[28], I[28]); UB1DCON_29 U17 (O[29], I[29]); endmodule
0
465
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBCON_30_29 (O, I); output [30:29] O; input [30:29] I; UB1DCON_29 U0 (O[29], I[29]); UB1DCON_30 U1 (O[30], I[30]); endmodule
module UBCON_30_29 (O, I);
output [30:29] O; input [30:29] I; UB1DCON_29 U0 (O[29], I[29]); UB1DCON_30 U1 (O[30], I[30]); endmodule
0
466
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBCON_31_14 (O, I); output [31:14] O; input [31:14] I; UB1DCON_14 U0 (O[14], I[14]); UB1DCON_15 U1 (O[15], I[15]); UB1DCON_16 U2 (O[16], I[16]); UB1DCON_17 U3 (O[17], I[17]); UB1DCON_18 U4 (O[18], I[18]); UB1DCON_19 U5 (O[19], I[19]); UB1DCON_20 U6 (O[20], I[20]); UB1DCON_21 U7 (O[21], I[21]); UB1DCON_22 U8 (O[22], I[22]); UB1DCON_23 U9 (O[23], I[23]); UB1DCON_24 U10 (O[24], I[24]); UB1DCON_25 U11 (O[25], I[25]); UB1DCON_26 U12 (O[26], I[26]); UB1DCON_27 U13 (O[27], I[27]); UB1DCON_28 U14 (O[28], I[28]); UB1DCON_29 U15 (O[29], I[29]); UB1DCON_30 U16 (O[30], I[30]); UB1DCON_31 U17 (O[31], I[31]); endmodule
module UBCON_31_14 (O, I);
output [31:14] O; input [31:14] I; UB1DCON_14 U0 (O[14], I[14]); UB1DCON_15 U1 (O[15], I[15]); UB1DCON_16 U2 (O[16], I[16]); UB1DCON_17 U3 (O[17], I[17]); UB1DCON_18 U4 (O[18], I[18]); UB1DCON_19 U5 (O[19], I[19]); UB1DCON_20 U6 (O[20], I[20]); UB1DCON_21 U7 (O[21], I[21]); UB1DCON_22 U8 (O[22], I[22]); UB1DCON_23 U9 (O[23], I[23]); UB1DCON_24 U10 (O[24], I[24]); UB1DCON_25 U11 (O[25], I[25]); UB1DCON_26 U12 (O[26], I[26]); UB1DCON_27 U13 (O[27], I[27]); UB1DCON_28 U14 (O[28], I[28]); UB1DCON_29 U15 (O[29], I[29]); UB1DCON_30 U16 (O[30], I[30]); UB1DCON_31 U17 (O[31], I[31]); endmodule
0
467
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBCON_32_31 (O, I); output [32:31] O; input [32:31] I; UB1DCON_31 U0 (O[31], I[31]); UB1DCON_32 U1 (O[32], I[32]); endmodule
module UBCON_32_31 (O, I);
output [32:31] O; input [32:31] I; UB1DCON_31 U0 (O[31], I[31]); UB1DCON_32 U1 (O[32], I[32]); endmodule
0
468
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBCON_33_0 (O, I); output [33:0] O; input [33:0] I; UB1DCON_0 U0 (O[0], I[0]); UB1DCON_1 U1 (O[1], I[1]); UB1DCON_2 U2 (O[2], I[2]); UB1DCON_3 U3 (O[3], I[3]); UB1DCON_4 U4 (O[4], I[4]); UB1DCON_5 U5 (O[5], I[5]); UB1DCON_6 U6 (O[6], I[6]); UB1DCON_7 U7 (O[7], I[7]); UB1DCON_8 U8 (O[8], I[8]); UB1DCON_9 U9 (O[9], I[9]); UB1DCON_10 U10 (O[10], I[10]); UB1DCON_11 U11 (O[11], I[11]); UB1DCON_12 U12 (O[12], I[12]); UB1DCON_13 U13 (O[13], I[13]); UB1DCON_14 U14 (O[14], I[14]); UB1DCON_15 U15 (O[15], I[15]); UB1DCON_16 U16 (O[16], I[16]); UB1DCON_17 U17 (O[17], I[17]); UB1DCON_18 U18 (O[18], I[18]); UB1DCON_19 U19 (O[19], I[19]); UB1DCON_20 U20 (O[20], I[20]); UB1DCON_21 U21 (O[21], I[21]); UB1DCON_22 U22 (O[22], I[22]); UB1DCON_23 U23 (O[23], I[23]); UB1DCON_24 U24 (O[24], I[24]); UB1DCON_25 U25 (O[25], I[25]); UB1DCON_26 U26 (O[26], I[26]); UB1DCON_27 U27 (O[27], I[27]); UB1DCON_28 U28 (O[28], I[28]); UB1DCON_29 U29 (O[29], I[29]); UB1DCON_30 U30 (O[30], I[30]); UB1DCON_31 U31 (O[31], I[31]); UB1DCON_32 U32 (O[32], I[32]); UB1DCON_33 U33 (O[33], I[33]); endmodule
module UBCON_33_0 (O, I);
output [33:0] O; input [33:0] I; UB1DCON_0 U0 (O[0], I[0]); UB1DCON_1 U1 (O[1], I[1]); UB1DCON_2 U2 (O[2], I[2]); UB1DCON_3 U3 (O[3], I[3]); UB1DCON_4 U4 (O[4], I[4]); UB1DCON_5 U5 (O[5], I[5]); UB1DCON_6 U6 (O[6], I[6]); UB1DCON_7 U7 (O[7], I[7]); UB1DCON_8 U8 (O[8], I[8]); UB1DCON_9 U9 (O[9], I[9]); UB1DCON_10 U10 (O[10], I[10]); UB1DCON_11 U11 (O[11], I[11]); UB1DCON_12 U12 (O[12], I[12]); UB1DCON_13 U13 (O[13], I[13]); UB1DCON_14 U14 (O[14], I[14]); UB1DCON_15 U15 (O[15], I[15]); UB1DCON_16 U16 (O[16], I[16]); UB1DCON_17 U17 (O[17], I[17]); UB1DCON_18 U18 (O[18], I[18]); UB1DCON_19 U19 (O[19], I[19]); UB1DCON_20 U20 (O[20], I[20]); UB1DCON_21 U21 (O[21], I[21]); UB1DCON_22 U22 (O[22], I[22]); UB1DCON_23 U23 (O[23], I[23]); UB1DCON_24 U24 (O[24], I[24]); UB1DCON_25 U25 (O[25], I[25]); UB1DCON_26 U26 (O[26], I[26]); UB1DCON_27 U27 (O[27], I[27]); UB1DCON_28 U28 (O[28], I[28]); UB1DCON_29 U29 (O[29], I[29]); UB1DCON_30 U30 (O[30], I[30]); UB1DCON_31 U31 (O[31], I[31]); UB1DCON_32 U32 (O[32], I[32]); UB1DCON_33 U33 (O[33], I[33]); endmodule
0
469
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBCON_33_16 (O, I); output [33:16] O; input [33:16] I; UB1DCON_16 U0 (O[16], I[16]); UB1DCON_17 U1 (O[17], I[17]); UB1DCON_18 U2 (O[18], I[18]); UB1DCON_19 U3 (O[19], I[19]); UB1DCON_20 U4 (O[20], I[20]); UB1DCON_21 U5 (O[21], I[21]); UB1DCON_22 U6 (O[22], I[22]); UB1DCON_23 U7 (O[23], I[23]); UB1DCON_24 U8 (O[24], I[24]); UB1DCON_25 U9 (O[25], I[25]); UB1DCON_26 U10 (O[26], I[26]); UB1DCON_27 U11 (O[27], I[27]); UB1DCON_28 U12 (O[28], I[28]); UB1DCON_29 U13 (O[29], I[29]); UB1DCON_30 U14 (O[30], I[30]); UB1DCON_31 U15 (O[31], I[31]); UB1DCON_32 U16 (O[32], I[32]); UB1DCON_33 U17 (O[33], I[33]); endmodule
module UBCON_33_16 (O, I);
output [33:16] O; input [33:16] I; UB1DCON_16 U0 (O[16], I[16]); UB1DCON_17 U1 (O[17], I[17]); UB1DCON_18 U2 (O[18], I[18]); UB1DCON_19 U3 (O[19], I[19]); UB1DCON_20 U4 (O[20], I[20]); UB1DCON_21 U5 (O[21], I[21]); UB1DCON_22 U6 (O[22], I[22]); UB1DCON_23 U7 (O[23], I[23]); UB1DCON_24 U8 (O[24], I[24]); UB1DCON_25 U9 (O[25], I[25]); UB1DCON_26 U10 (O[26], I[26]); UB1DCON_27 U11 (O[27], I[27]); UB1DCON_28 U12 (O[28], I[28]); UB1DCON_29 U13 (O[29], I[29]); UB1DCON_30 U14 (O[30], I[30]); UB1DCON_31 U15 (O[31], I[31]); UB1DCON_32 U16 (O[32], I[32]); UB1DCON_33 U17 (O[33], I[33]); endmodule
0
470
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBCON_33_31 (O, I); output [33:31] O; input [33:31] I; UB1DCON_31 U0 (O[31], I[31]); UB1DCON_32 U1 (O[32], I[32]); UB1DCON_33 U2 (O[33], I[33]); endmodule
module UBCON_33_31 (O, I);
output [33:31] O; input [33:31] I; UB1DCON_31 U0 (O[31], I[31]); UB1DCON_32 U1 (O[32], I[32]); UB1DCON_33 U2 (O[33], I[33]); endmodule
0
471
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBCON_3_0 (O, I); output [3:0] O; input [3:0] I; UB1DCON_0 U0 (O[0], I[0]); UB1DCON_1 U1 (O[1], I[1]); UB1DCON_2 U2 (O[2], I[2]); UB1DCON_3 U3 (O[3], I[3]); endmodule
module UBCON_3_0 (O, I);
output [3:0] O; input [3:0] I; UB1DCON_0 U0 (O[0], I[0]); UB1DCON_1 U1 (O[1], I[1]); UB1DCON_2 U2 (O[2], I[2]); UB1DCON_3 U3 (O[3], I[3]); endmodule
0
472
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBCON_3_2 (O, I); output [3:2] O; input [3:2] I; UB1DCON_2 U0 (O[2], I[2]); UB1DCON_3 U1 (O[3], I[3]); endmodule
module UBCON_3_2 (O, I);
output [3:2] O; input [3:2] I; UB1DCON_2 U0 (O[2], I[2]); UB1DCON_3 U1 (O[3], I[3]); endmodule
0
473
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBCON_7_6 (O, I); output [7:6] O; input [7:6] I; UB1DCON_6 U0 (O[6], I[6]); UB1DCON_7 U1 (O[7], I[7]); endmodule
module UBCON_7_6 (O, I);
output [7:6] O; input [7:6] I; UB1DCON_6 U0 (O[6], I[6]); UB1DCON_7 U1 (O[7], I[7]); endmodule
0
474
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBPureBCL_33_0 (S, X, Y); output [34:0] S; input [33:0] X; input [33:0] Y; wire C; PriMBCLA_33_0 U0 (S, X, Y, C); UBZero_0_0 U1 (C); endmodule
module UBPureBCL_33_0 (S, X, Y);
output [34:0] S; input [33:0] X; input [33:0] Y; wire C; PriMBCLA_33_0 U0 (S, X, Y, C); UBZero_0_0 U1 (C); endmodule
0
475
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBR4BE_15_0 (O__ds, O__d1, O__d0, I); output [8:0] O__ds, O__d1, O__d0; input [15:0] I; wire T; NUBZero_16_16 U0 (T); R4BEEL_0_2 U1 (O__ds[0], O__d1[0], O__d0[0], I[1], I[0]); R4BEE_1 U2 (O__ds[1], O__d1[1], O__d0[1], I[3], I[2], I[1]); R4BEE_2 U3 (O__ds[2], O__d1[2], O__d0[2], I[5], I[4], I[3]); R4BEE_3 U4 (O__ds[3], O__d1[3], O__d0[3], I[7], I[6], I[5]); R4BEE_4 U5 (O__ds[4], O__d1[4], O__d0[4], I[9], I[8], I[7]); R4BEE_5 U6 (O__ds[5], O__d1[5], O__d0[5], I[11], I[10], I[9]); R4BEE_6 U7 (O__ds[6], O__d1[6], O__d0[6], I[13], I[12], I[11]); R4BEE_7 U8 (O__ds[7], O__d1[7], O__d0[7], I[15], I[14], I[13]); R4BEEH_8_2 U9 (O__ds[8], O__d1[8], O__d0[8], T, I[15]); endmodule
module UBR4BE_15_0 (O__ds, O__d1, O__d0, I);
output [8:0] O__ds, O__d1, O__d0; input [15:0] I; wire T; NUBZero_16_16 U0 (T); R4BEEL_0_2 U1 (O__ds[0], O__d1[0], O__d0[0], I[1], I[0]); R4BEE_1 U2 (O__ds[1], O__d1[1], O__d0[1], I[3], I[2], I[1]); R4BEE_2 U3 (O__ds[2], O__d1[2], O__d0[2], I[5], I[4], I[3]); R4BEE_3 U4 (O__ds[3], O__d1[3], O__d0[3], I[7], I[6], I[5]); R4BEE_4 U5 (O__ds[4], O__d1[4], O__d0[4], I[9], I[8], I[7]); R4BEE_5 U6 (O__ds[5], O__d1[5], O__d0[5], I[11], I[10], I[9]); R4BEE_6 U7 (O__ds[6], O__d1[6], O__d0[6], I[13], I[12], I[11]); R4BEE_7 U8 (O__ds[7], O__d1[7], O__d0[7], I[15], I[14], I[13]); R4BEEH_8_2 U9 (O__ds[8], O__d1[8], O__d0[8], T, I[15]); endmodule
0
476
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBR4BPPG_15_0_15_000 (PP0, PP1, PP2, PP3, PP4, PP5, PP6, PP7, PP8, PP9, IN1, IN2); output [18:0] PP0; output [20:0] PP1; output [22:2] PP2; output [24:4] PP3; output [26:6] PP4; output [28:8] PP5; output [30:10] PP6; output [32:12] PP7; output [33:14] PP8; output [17:16] PP9; input [15:0] IN1; input [15:0] IN2; wire B0; wire B1; wire B2; wire B3; wire B4; wire B5; wire B6; wire B7; wire B8; wire [8:0] IN2SD__ds, IN2SD__d1, IN2SD__d0; wire [17:0] PPT0; wire [19:2] PPT1; wire [21:4] PPT2; wire [23:6] PPT3; wire [25:8] PPT4; wire [27:10] PPT5; wire [29:12] PPT6; wire [31:14] PPT7; wire [33:16] PPT8; wire S0; wire S1; wire S2; wire S3; wire S4; wire S5; wire S6; wire S7; wire S8; UBR4BE_15_0 U0 (IN2SD__ds, IN2SD__d1, IN2SD__d0, IN2); UBSD4VPPG_15_0_0 U1 (PPT0, S0, IN1, IN2SD__ds[0], IN2SD__d1[0], IN2SD__d0[0]); UBSD4VPPG_15_0_1 U2 (PPT1, S1, IN1, IN2SD__ds[1], IN2SD__d1[1], IN2SD__d0[1]); UBSD4VPPG_15_0_2 U3 (PPT2, S2, IN1, IN2SD__ds[2], IN2SD__d1[2], IN2SD__d0[2]); UBSD4VPPG_15_0_3 U4 (PPT3, S3, IN1, IN2SD__ds[3], IN2SD__d1[3], IN2SD__d0[3]); UBSD4VPPG_15_0_4 U5 (PPT4, S4, IN1, IN2SD__ds[4], IN2SD__d1[4], IN2SD__d0[4]); UBSD4VPPG_15_0_5 U6 (PPT5, S5, IN1, IN2SD__ds[5], IN2SD__d1[5], IN2SD__d0[5]); UBSD4VPPG_15_0_6 U7 (PPT6, S6, IN1, IN2SD__ds[6], IN2SD__d1[6], IN2SD__d0[6]); UBSD4VPPG_15_0_7 U8 (PPT7, S7, IN1, IN2SD__ds[7], IN2SD__d1[7], IN2SD__d0[7]); UBSD4VPPG_15_0_8 U9 (PPT8, S8, IN1, IN2SD__ds[8], IN2SD__d1[8], IN2SD__d0[8]); UBOne_18 U10 (B0); UBCMBIN_18_18_17_000 U11 (PP0, B0, PPT0); UBOne_20 U12 (B1); UBCMBIN_20_20_19_000 U13 (PP1, B1, PPT1, S0); UBOne_22 U14 (B2); UBCMBIN_22_22_21_000 U15 (PP2, B2, PPT2, S1); UBOne_24 U16 (B3); UBCMBIN_24_24_23_000 U17 (PP3, B3, PPT3, S2); UBOne_26 U18 (B4); UBCMBIN_26_26_25_000 U19 (PP4, B4, PPT4, S3); UBOne_28 U20 (B5); UBCMBIN_28_28_27_000 U21 (PP5, B5, PPT5, S4); UBOne_30 U22 (B6); UBCMBIN_30_30_29_000 U23 (PP6, B6, PPT6, S5); UBOne_32 U24 (B7); UBCMBIN_32_32_31_000 U25 (PP7, B7, PPT7, S6); UBCMBIN_33_16_14_000 U26 (PP8, PPT8, S7); UBOne_17 U27 (B8); UBCMBIN_17_17_16_000 U28 (PP9, B8, S8); endmodule
module UBR4BPPG_15_0_15_000 (PP0, PP1, PP2, PP3, PP4, PP5, PP6, PP7, PP8, PP9, IN1, IN2);
output [18:0] PP0; output [20:0] PP1; output [22:2] PP2; output [24:4] PP3; output [26:6] PP4; output [28:8] PP5; output [30:10] PP6; output [32:12] PP7; output [33:14] PP8; output [17:16] PP9; input [15:0] IN1; input [15:0] IN2; wire B0; wire B1; wire B2; wire B3; wire B4; wire B5; wire B6; wire B7; wire B8; wire [8:0] IN2SD__ds, IN2SD__d1, IN2SD__d0; wire [17:0] PPT0; wire [19:2] PPT1; wire [21:4] PPT2; wire [23:6] PPT3; wire [25:8] PPT4; wire [27:10] PPT5; wire [29:12] PPT6; wire [31:14] PPT7; wire [33:16] PPT8; wire S0; wire S1; wire S2; wire S3; wire S4; wire S5; wire S6; wire S7; wire S8; UBR4BE_15_0 U0 (IN2SD__ds, IN2SD__d1, IN2SD__d0, IN2); UBSD4VPPG_15_0_0 U1 (PPT0, S0, IN1, IN2SD__ds[0], IN2SD__d1[0], IN2SD__d0[0]); UBSD4VPPG_15_0_1 U2 (PPT1, S1, IN1, IN2SD__ds[1], IN2SD__d1[1], IN2SD__d0[1]); UBSD4VPPG_15_0_2 U3 (PPT2, S2, IN1, IN2SD__ds[2], IN2SD__d1[2], IN2SD__d0[2]); UBSD4VPPG_15_0_3 U4 (PPT3, S3, IN1, IN2SD__ds[3], IN2SD__d1[3], IN2SD__d0[3]); UBSD4VPPG_15_0_4 U5 (PPT4, S4, IN1, IN2SD__ds[4], IN2SD__d1[4], IN2SD__d0[4]); UBSD4VPPG_15_0_5 U6 (PPT5, S5, IN1, IN2SD__ds[5], IN2SD__d1[5], IN2SD__d0[5]); UBSD4VPPG_15_0_6 U7 (PPT6, S6, IN1, IN2SD__ds[6], IN2SD__d1[6], IN2SD__d0[6]); UBSD4VPPG_15_0_7 U8 (PPT7, S7, IN1, IN2SD__ds[7], IN2SD__d1[7], IN2SD__d0[7]); UBSD4VPPG_15_0_8 U9 (PPT8, S8, IN1, IN2SD__ds[8], IN2SD__d1[8], IN2SD__d0[8]); UBOne_18 U10 (B0); UBCMBIN_18_18_17_000 U11 (PP0, B0, PPT0); UBOne_20 U12 (B1); UBCMBIN_20_20_19_000 U13 (PP1, B1, PPT1, S0); UBOne_22 U14 (B2); UBCMBIN_22_22_21_000 U15 (PP2, B2, PPT2, S1); UBOne_24 U16 (B3); UBCMBIN_24_24_23_000 U17 (PP3, B3, PPT3, S2); UBOne_26 U18 (B4); UBCMBIN_26_26_25_000 U19 (PP4, B4, PPT4, S3); UBOne_28 U20 (B5); UBCMBIN_28_28_27_000 U21 (PP5, B5, PPT5, S4); UBOne_30 U22 (B6); UBCMBIN_30_30_29_000 U23 (PP6, B6, PPT6, S5); UBOne_32 U24 (B7); UBCMBIN_32_32_31_000 U25 (PP7, B7, PPT7, S6); UBCMBIN_33_16_14_000 U26 (PP8, PPT8, S7); UBOne_17 U27 (B8); UBCMBIN_17_17_16_000 U28 (PP9, B8, S8); endmodule
0
477
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBSD4VPPG_15_0_0 (PP, C, IN1, IN2__ds, IN2__d1, IN2__d0); output C; output [17:0] PP; input [15:0] IN1; input IN2__ds, IN2__d1, IN2__d0; wire NZ; wire S; wire U__d1, U__d0; wire [16:0] W_R; wire W_T; SD41DDECON_0 U0 (S, U__d1, U__d0, IN2__ds, IN2__d1, IN2__d0); NUBZero_16_16 U1 (NZ); TCU4VPPG_16_0_0 U2 (W_T, W_R, NZ, IN1, U__d1, U__d0); TUBWCON_17_0 U3 (PP, W_T, W_R, S); UBBBG_0 U4 (C, S); endmodule
module UBSD4VPPG_15_0_0 (PP, C, IN1, IN2__ds, IN2__d1, IN2__d0);
output C; output [17:0] PP; input [15:0] IN1; input IN2__ds, IN2__d1, IN2__d0; wire NZ; wire S; wire U__d1, U__d0; wire [16:0] W_R; wire W_T; SD41DDECON_0 U0 (S, U__d1, U__d0, IN2__ds, IN2__d1, IN2__d0); NUBZero_16_16 U1 (NZ); TCU4VPPG_16_0_0 U2 (W_T, W_R, NZ, IN1, U__d1, U__d0); TUBWCON_17_0 U3 (PP, W_T, W_R, S); UBBBG_0 U4 (C, S); endmodule
0
478
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBSD4VPPG_15_0_1 (PP, C, IN1, IN2__ds, IN2__d1, IN2__d0); output C; output [19:2] PP; input [15:0] IN1; input IN2__ds, IN2__d1, IN2__d0; wire NZ; wire S; wire U__d1, U__d0; wire [18:2] W_R; wire W_T; SD41DDECON_1 U0 (S, U__d1, U__d0, IN2__ds, IN2__d1, IN2__d0); NUBZero_16_16 U1 (NZ); TCU4VPPG_16_0_1 U2 (W_T, W_R, NZ, IN1, U__d1, U__d0); TUBWCON_19_2 U3 (PP, W_T, W_R, S); UBBBG_2 U4 (C, S); endmodule
module UBSD4VPPG_15_0_1 (PP, C, IN1, IN2__ds, IN2__d1, IN2__d0);
output C; output [19:2] PP; input [15:0] IN1; input IN2__ds, IN2__d1, IN2__d0; wire NZ; wire S; wire U__d1, U__d0; wire [18:2] W_R; wire W_T; SD41DDECON_1 U0 (S, U__d1, U__d0, IN2__ds, IN2__d1, IN2__d0); NUBZero_16_16 U1 (NZ); TCU4VPPG_16_0_1 U2 (W_T, W_R, NZ, IN1, U__d1, U__d0); TUBWCON_19_2 U3 (PP, W_T, W_R, S); UBBBG_2 U4 (C, S); endmodule
0
479
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBSD4VPPG_15_0_2 (PP, C, IN1, IN2__ds, IN2__d1, IN2__d0); output C; output [21:4] PP; input [15:0] IN1; input IN2__ds, IN2__d1, IN2__d0; wire NZ; wire S; wire U__d1, U__d0; wire [20:4] W_R; wire W_T; SD41DDECON_2 U0 (S, U__d1, U__d0, IN2__ds, IN2__d1, IN2__d0); NUBZero_16_16 U1 (NZ); TCU4VPPG_16_0_2 U2 (W_T, W_R, NZ, IN1, U__d1, U__d0); TUBWCON_21_4 U3 (PP, W_T, W_R, S); UBBBG_4 U4 (C, S); endmodule
module UBSD4VPPG_15_0_2 (PP, C, IN1, IN2__ds, IN2__d1, IN2__d0);
output C; output [21:4] PP; input [15:0] IN1; input IN2__ds, IN2__d1, IN2__d0; wire NZ; wire S; wire U__d1, U__d0; wire [20:4] W_R; wire W_T; SD41DDECON_2 U0 (S, U__d1, U__d0, IN2__ds, IN2__d1, IN2__d0); NUBZero_16_16 U1 (NZ); TCU4VPPG_16_0_2 U2 (W_T, W_R, NZ, IN1, U__d1, U__d0); TUBWCON_21_4 U3 (PP, W_T, W_R, S); UBBBG_4 U4 (C, S); endmodule
0
480
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBSD4VPPG_15_0_3 (PP, C, IN1, IN2__ds, IN2__d1, IN2__d0); output C; output [23:6] PP; input [15:0] IN1; input IN2__ds, IN2__d1, IN2__d0; wire NZ; wire S; wire U__d1, U__d0; wire [22:6] W_R; wire W_T; SD41DDECON_3 U0 (S, U__d1, U__d0, IN2__ds, IN2__d1, IN2__d0); NUBZero_16_16 U1 (NZ); TCU4VPPG_16_0_3 U2 (W_T, W_R, NZ, IN1, U__d1, U__d0); TUBWCON_23_6 U3 (PP, W_T, W_R, S); UBBBG_6 U4 (C, S); endmodule
module UBSD4VPPG_15_0_3 (PP, C, IN1, IN2__ds, IN2__d1, IN2__d0);
output C; output [23:6] PP; input [15:0] IN1; input IN2__ds, IN2__d1, IN2__d0; wire NZ; wire S; wire U__d1, U__d0; wire [22:6] W_R; wire W_T; SD41DDECON_3 U0 (S, U__d1, U__d0, IN2__ds, IN2__d1, IN2__d0); NUBZero_16_16 U1 (NZ); TCU4VPPG_16_0_3 U2 (W_T, W_R, NZ, IN1, U__d1, U__d0); TUBWCON_23_6 U3 (PP, W_T, W_R, S); UBBBG_6 U4 (C, S); endmodule
0
481
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBSD4VPPG_15_0_4 (PP, C, IN1, IN2__ds, IN2__d1, IN2__d0); output C; output [25:8] PP; input [15:0] IN1; input IN2__ds, IN2__d1, IN2__d0; wire NZ; wire S; wire U__d1, U__d0; wire [24:8] W_R; wire W_T; SD41DDECON_4 U0 (S, U__d1, U__d0, IN2__ds, IN2__d1, IN2__d0); NUBZero_16_16 U1 (NZ); TCU4VPPG_16_0_4 U2 (W_T, W_R, NZ, IN1, U__d1, U__d0); TUBWCON_25_8 U3 (PP, W_T, W_R, S); UBBBG_8 U4 (C, S); endmodule
module UBSD4VPPG_15_0_4 (PP, C, IN1, IN2__ds, IN2__d1, IN2__d0);
output C; output [25:8] PP; input [15:0] IN1; input IN2__ds, IN2__d1, IN2__d0; wire NZ; wire S; wire U__d1, U__d0; wire [24:8] W_R; wire W_T; SD41DDECON_4 U0 (S, U__d1, U__d0, IN2__ds, IN2__d1, IN2__d0); NUBZero_16_16 U1 (NZ); TCU4VPPG_16_0_4 U2 (W_T, W_R, NZ, IN1, U__d1, U__d0); TUBWCON_25_8 U3 (PP, W_T, W_R, S); UBBBG_8 U4 (C, S); endmodule
0
482
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBSD4VPPG_15_0_5 (PP, C, IN1, IN2__ds, IN2__d1, IN2__d0); output C; output [27:10] PP; input [15:0] IN1; input IN2__ds, IN2__d1, IN2__d0; wire NZ; wire S; wire U__d1, U__d0; wire [26:10] W_R; wire W_T; SD41DDECON_5 U0 (S, U__d1, U__d0, IN2__ds, IN2__d1, IN2__d0); NUBZero_16_16 U1 (NZ); TCU4VPPG_16_0_5 U2 (W_T, W_R, NZ, IN1, U__d1, U__d0); TUBWCON_27_10 U3 (PP, W_T, W_R, S); UBBBG_10 U4 (C, S); endmodule
module UBSD4VPPG_15_0_5 (PP, C, IN1, IN2__ds, IN2__d1, IN2__d0);
output C; output [27:10] PP; input [15:0] IN1; input IN2__ds, IN2__d1, IN2__d0; wire NZ; wire S; wire U__d1, U__d0; wire [26:10] W_R; wire W_T; SD41DDECON_5 U0 (S, U__d1, U__d0, IN2__ds, IN2__d1, IN2__d0); NUBZero_16_16 U1 (NZ); TCU4VPPG_16_0_5 U2 (W_T, W_R, NZ, IN1, U__d1, U__d0); TUBWCON_27_10 U3 (PP, W_T, W_R, S); UBBBG_10 U4 (C, S); endmodule
0
483
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBSD4VPPG_15_0_6 (PP, C, IN1, IN2__ds, IN2__d1, IN2__d0); output C; output [29:12] PP; input [15:0] IN1; input IN2__ds, IN2__d1, IN2__d0; wire NZ; wire S; wire U__d1, U__d0; wire [28:12] W_R; wire W_T; SD41DDECON_6 U0 (S, U__d1, U__d0, IN2__ds, IN2__d1, IN2__d0); NUBZero_16_16 U1 (NZ); TCU4VPPG_16_0_6 U2 (W_T, W_R, NZ, IN1, U__d1, U__d0); TUBWCON_29_12 U3 (PP, W_T, W_R, S); UBBBG_12 U4 (C, S); endmodule
module UBSD4VPPG_15_0_6 (PP, C, IN1, IN2__ds, IN2__d1, IN2__d0);
output C; output [29:12] PP; input [15:0] IN1; input IN2__ds, IN2__d1, IN2__d0; wire NZ; wire S; wire U__d1, U__d0; wire [28:12] W_R; wire W_T; SD41DDECON_6 U0 (S, U__d1, U__d0, IN2__ds, IN2__d1, IN2__d0); NUBZero_16_16 U1 (NZ); TCU4VPPG_16_0_6 U2 (W_T, W_R, NZ, IN1, U__d1, U__d0); TUBWCON_29_12 U3 (PP, W_T, W_R, S); UBBBG_12 U4 (C, S); endmodule
0
484
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBSD4VPPG_15_0_7 (PP, C, IN1, IN2__ds, IN2__d1, IN2__d0); output C; output [31:14] PP; input [15:0] IN1; input IN2__ds, IN2__d1, IN2__d0; wire NZ; wire S; wire U__d1, U__d0; wire [30:14] W_R; wire W_T; SD41DDECON_7 U0 (S, U__d1, U__d0, IN2__ds, IN2__d1, IN2__d0); NUBZero_16_16 U1 (NZ); TCU4VPPG_16_0_7 U2 (W_T, W_R, NZ, IN1, U__d1, U__d0); TUBWCON_31_14 U3 (PP, W_T, W_R, S); UBBBG_14 U4 (C, S); endmodule
module UBSD4VPPG_15_0_7 (PP, C, IN1, IN2__ds, IN2__d1, IN2__d0);
output C; output [31:14] PP; input [15:0] IN1; input IN2__ds, IN2__d1, IN2__d0; wire NZ; wire S; wire U__d1, U__d0; wire [30:14] W_R; wire W_T; SD41DDECON_7 U0 (S, U__d1, U__d0, IN2__ds, IN2__d1, IN2__d0); NUBZero_16_16 U1 (NZ); TCU4VPPG_16_0_7 U2 (W_T, W_R, NZ, IN1, U__d1, U__d0); TUBWCON_31_14 U3 (PP, W_T, W_R, S); UBBBG_14 U4 (C, S); endmodule
0
485
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBSD4VPPG_15_0_8 (PP, C, IN1, IN2__ds, IN2__d1, IN2__d0); output C; output [33:16] PP; input [15:0] IN1; input IN2__ds, IN2__d1, IN2__d0; wire NZ; wire S; wire U__d1, U__d0; wire [32:16] W_R; wire W_T; SD41DDECON_8 U0 (S, U__d1, U__d0, IN2__ds, IN2__d1, IN2__d0); NUBZero_16_16 U1 (NZ); TCU4VPPG_16_0_8 U2 (W_T, W_R, NZ, IN1, U__d1, U__d0); TUBWCON_33_16 U3 (PP, W_T, W_R, S); UBBBG_16 U4 (C, S); endmodule
module UBSD4VPPG_15_0_8 (PP, C, IN1, IN2__ds, IN2__d1, IN2__d0);
output C; output [33:16] PP; input [15:0] IN1; input IN2__ds, IN2__d1, IN2__d0; wire NZ; wire S; wire U__d1, U__d0; wire [32:16] W_R; wire W_T; SD41DDECON_8 U0 (S, U__d1, U__d0, IN2__ds, IN2__d1, IN2__d0); NUBZero_16_16 U1 (NZ); TCU4VPPG_16_0_8 U2 (W_T, W_R, NZ, IN1, U__d1, U__d0); TUBWCON_33_16 U3 (PP, W_T, W_R, S); UBBBG_16 U4 (C, S); endmodule
0
486
data/full_repos/permissive/405799749/amg_new/mult/Unsigned/16/16/PPG_with_Radix-4_modified_Booth_recoding/(7,3)_counter_tree/Block_carry_look-ahead_adder/module.v
405,799,749
module.v
v
5,340
104
[]
[]
[]
[(14, 17), (19, 26), (28, 36), (38, 46), (48, 56), (58, 66), (68, 76), (78, 86), (88, 96), (98, 105), (107, 114), (116, 123), (125, 133), (135, 143), (145, 153), (155, 163), (165, 173), (175, 183), (185, 193), (195, 203), (205, 213), (215, 223), (225, 233), (235, 243), (245, 253), (255, 263), (265, 273), (275, 283), (285, 290), (292, 297), (299, 304), (306, 311), (313, 318), (320, 325), (327, 332), (334, 339), (341, 346), (348, 353), (355, 360), (362, 367), (369, 374), (376, 381), (383, 388), (390, 395), (397, 402), (404, 409), (411, 415), (417, 424), (426, 433), (435, 443), (445, 453), (455, 463), (465, 473), (475, 483), (485, 493), (495, 503), (505, 513), (515, 523), (525, 533), (535, 543), (545, 553), (555, 563), (565, 573), (575, 583), (585, 593), (595, 600), (602, 607), (609, 614), (616, 620), (622, 629), (631, 638), (640, 648), (650, 658), (660, 668), (670, 678), (680, 688), (690, 698), (700, 708), (710, 718), (720, 728), (730, 738), (740, 748), (750, 758), (760, 768), (770, 778), (780, 788), (790, 798), (800, 805), (807, 812), (814, 819), (821, 825), (827, 834), (836, 843), (845, 853), (855, 863), (865, 873), (875, 883), (885, 893), (895, 903), (905, 913), (915, 923), (925, 933), (935, 943), (945, 953), (955, 963), (965, 973), (975, 983), (985, 993), (995, 1003), (1005, 1010), (1012, 1017), (1019, 1024), (1026, 1030), (1032, 1039), (1041, 1048), (1050, 1058), (1060, 1068), (1070, 1078), (1080, 1088), (1090, 1098), (1100, 1108), (1110, 1118), (1120, 1128), (1130, 1138), (1140, 1148), (1150, 1158), (1160, 1168), (1170, 1178), (1180, 1188), (1190, 1198), (1200, 1208), (1210, 1215), (1217, 1222), (1224, 1229), (1231, 1235), (1237, 1244), (1246, 1253), (1255, 1263), (1265, 1273), (1275, 1283), (1285, 1293), (1295, 1303), (1305, 1313), (1315, 1323), (1325, 1333), (1335, 1343), (1345, 1353), (1355, 1363), (1365, 1373), (1375, 1383), (1385, 1393), (1395, 1403), (1405, 1413), (1415, 1420), (1422, 1427), (1429, 1434), (1436, 1440), (1442, 1449), (1451, 1458), (1460, 1468), (1470, 1478), (1480, 1488), (1490, 1498), (1500, 1508), (1510, 1518), (1520, 1528), (1530, 1538), (1540, 1548), (1550, 1558), (1560, 1568), (1570, 1578), (1580, 1588), (1590, 1598), (1600, 1608), (1610, 1618), (1620, 1625), (1627, 1632), (1634, 1639), (1641, 1645), (1647, 1654), (1656, 1663), (1665, 1673), (1675, 1683), (1685, 1693), (1695, 1703), (1705, 1713), (1715, 1723), (1725, 1733), (1735, 1743), (1745, 1753), (1755, 1763), (1765, 1773), (1775, 1783), (1785, 1793), (1795, 1803), (1805, 1813), (1815, 1823), (1825, 1830), (1832, 1837), (1839, 1844), (1846, 1850), (1852, 1859), (1861, 1868), (1870, 1878), (1880, 1888), (1890, 1898), (1900, 1908), (1910, 1918), (1920, 1928), (1930, 1938), (1940, 1948), (1950, 1958), (1960, 1968), (1970, 1978), (1980, 1988), (1990, 1998), (2000, 2008), (2010, 2018), (2020, 2028), (2030, 2035), (2037, 2042), (2044, 2049), (2051, 2055), (2057, 2060), (2062, 2066), (2068, 2072), (2074, 2078), (2080, 2084), (2086, 2090), (2092, 2096), (2098, 2102), (2104, 2108), (2110, 2114), (2116, 2120), (2122, 2126), (2128, 2132), (2134, 2138), (2140, 2144), (2146, 2150), (2152, 2156), (2158, 2162), (2164, 2168), (2170, 2174), (2176, 2179), (2181, 2185), (2187, 2191), (2193, 2196), (2198, 2201), (2203, 2207), (2209, 2213), (2215, 2218), (2220, 2223), (2225, 2229), (2231, 2235), (2237, 2240), (2242, 2245), (2247, 2251), (2253, 2257), (2259, 2262), (2264, 2267), (2269, 2273), (2275, 2279), (2281, 2284), (2286, 2289), (2291, 2295), (2297, 2301), (2303, 2306), (2308, 2311), (2313, 2317), (2319, 2323), (2325, 2328), (2330, 2334), (2336, 2339), (2341, 2344), (2346, 2353), (2355, 2363), (2365, 2388), (2390, 2413), (2415, 2440), (2442, 2467), (2469, 2493), (2495, 2518), (2520, 2543), (2545, 2553), (2555, 2563), (2565, 2572), (2574, 2582), (2584, 2607), (2609, 2632), (2634, 2659), (2661, 2686), (2688, 2714), (2716, 2742), (2744, 2770), (2772, 2798), (2800, 2826), (2828, 2854), (2856, 2882), (2884, 2910), (2912, 2938), (2940, 2966), (2968, 2994), (2996, 3022), (3024, 3050), (3052, 3078), (3080, 3105), (3107, 3131), (3133, 3157), (3159, 3182), (3184, 3207), (3209, 3217), (3219, 3227), (3229, 3236), (3238, 3246), (3248, 3256), (3258, 3266), (3268, 3276), (3278, 3286), (3288, 3296), (3298, 3306), (3308, 3316), (3318, 3326), (3328, 3336), (3338, 3346), (3348, 3356), (3358, 3366), (3368, 3376), (3378, 3386), (3388, 3396), (3398, 3406), (3408, 3416), (3418, 3426), (3428, 3436), (3438, 3446), (3448, 3456), (3458, 3466), (3468, 3475), (3477, 3484), (3486, 3494), (3496, 3518), (3520, 3528), (3530, 3546), (3548, 3556), (3558, 3594), (3596, 3599), (3601, 3605), (3607, 3611), (3613, 3617), (3619, 3623), (3625, 3629), (3631, 3635), (3637, 3641), (3643, 3647), (3649, 3653), (3655, 3659), (3661, 3665), (3667, 3671), (3673, 3677), (3679, 3683), (3685, 3689), (3691, 3695), (3697, 3701), (3703, 3707), (3709, 3713), (3715, 3719), (3721, 3725), (3727, 3731), (3733, 3737), (3739, 3743), (3745, 3749), (3751, 3755), (3757, 3761), (3763, 3767), (3769, 3773), (3775, 3779), (3781, 3785), (3787, 3791), (3793, 3797), (3799, 3803), (3805, 3809), (3811, 3849), (3851, 3935), (3937, 3987), (3989, 4033), (4035, 4042), (4044, 4065), (4067, 4091), (4093, 4117), (4119, 4143), (4145, 4169), (4171, 4195), (4197, 4221), (4223, 4247), (4249, 4273), (4275, 4299), (4301, 4324), (4326, 4349), (4351, 4374), (4376, 4399), (4401, 4424), (4426, 4449), (4451, 4474), (4476, 4499), (4501, 4524), (4526, 4531), (4533, 4539), (4541, 4547), (4549, 4558), (4560, 4569), (4571, 4580), (4582, 4591), (4593, 4602), (4604, 4613), (4615, 4624), (4626, 4633), (4635, 4650), (4652, 4657), (4659, 4672), (4674, 4685), (4687, 4696), (4698, 4705), (4707, 4712), (4714, 4735), (4737, 4742), (4744, 4765), (4767, 4772), (4774, 4795), (4797, 4805), (4807, 4828), (4830, 4835), (4837, 4858), (4860, 4865), (4867, 4888), (4890, 4895), (4897, 4918), (4920, 4925), (4927, 4948), (4950, 4955), (4957, 4994), (4996, 5017), (5019, 5025), (5027, 5034), (5036, 5041), (5043, 5048), (5050, 5057), (5059, 5073), (5075, 5145), (5147, 5162), (5164, 5179), (5181, 5196), (5198, 5213), (5215, 5230), (5232, 5247), (5249, 5264), (5266, 5281), (5283, 5298), (5300, 5338)]
null
data/verilator_xmls/586941b8-cf5a-463a-bf44-da887600ad38.xml
null
194,992
module
module UBTCCONV34_34_0 (O, I); output [34:0] O; input [34:0] I; UBTC1CON34_0 U0 (O[0], I[0]); UBTC1CON34_1 U1 (O[1], I[1]); UBTC1CON34_2 U2 (O[2], I[2]); UBTC1CON34_3 U3 (O[3], I[3]); UBTC1CON34_4 U4 (O[4], I[4]); UBTC1CON34_5 U5 (O[5], I[5]); UBTC1CON34_6 U6 (O[6], I[6]); UBTC1CON34_7 U7 (O[7], I[7]); UBTC1CON34_8 U8 (O[8], I[8]); UBTC1CON34_9 U9 (O[9], I[9]); UBTC1CON34_10 U10 (O[10], I[10]); UBTC1CON34_11 U11 (O[11], I[11]); UBTC1CON34_12 U12 (O[12], I[12]); UBTC1CON34_13 U13 (O[13], I[13]); UBTC1CON34_14 U14 (O[14], I[14]); UBTC1CON34_15 U15 (O[15], I[15]); UBTC1CON34_16 U16 (O[16], I[16]); UBTC1CON34_17 U17 (O[17], I[17]); UBTC1CON34_18 U18 (O[18], I[18]); UBTC1CON34_19 U19 (O[19], I[19]); UBTC1CON34_20 U20 (O[20], I[20]); UBTC1CON34_21 U21 (O[21], I[21]); UBTC1CON34_22 U22 (O[22], I[22]); UBTC1CON34_23 U23 (O[23], I[23]); UBTC1CON34_24 U24 (O[24], I[24]); UBTC1CON34_25 U25 (O[25], I[25]); UBTC1CON34_26 U26 (O[26], I[26]); UBTC1CON34_27 U27 (O[27], I[27]); UBTC1CON34_28 U28 (O[28], I[28]); UBTC1CON34_29 U29 (O[29], I[29]); UBTC1CON34_30 U30 (O[30], I[30]); UBTC1CON34_31 U31 (O[31], I[31]); UBTC1CON34_32 U32 (O[32], I[32]); UBTC1CON34_33 U33 (O[33], I[33]); UBTCTCONV_34_34 U34 (O[34], I[34]); endmodule
module UBTCCONV34_34_0 (O, I);
output [34:0] O; input [34:0] I; UBTC1CON34_0 U0 (O[0], I[0]); UBTC1CON34_1 U1 (O[1], I[1]); UBTC1CON34_2 U2 (O[2], I[2]); UBTC1CON34_3 U3 (O[3], I[3]); UBTC1CON34_4 U4 (O[4], I[4]); UBTC1CON34_5 U5 (O[5], I[5]); UBTC1CON34_6 U6 (O[6], I[6]); UBTC1CON34_7 U7 (O[7], I[7]); UBTC1CON34_8 U8 (O[8], I[8]); UBTC1CON34_9 U9 (O[9], I[9]); UBTC1CON34_10 U10 (O[10], I[10]); UBTC1CON34_11 U11 (O[11], I[11]); UBTC1CON34_12 U12 (O[12], I[12]); UBTC1CON34_13 U13 (O[13], I[13]); UBTC1CON34_14 U14 (O[14], I[14]); UBTC1CON34_15 U15 (O[15], I[15]); UBTC1CON34_16 U16 (O[16], I[16]); UBTC1CON34_17 U17 (O[17], I[17]); UBTC1CON34_18 U18 (O[18], I[18]); UBTC1CON34_19 U19 (O[19], I[19]); UBTC1CON34_20 U20 (O[20], I[20]); UBTC1CON34_21 U21 (O[21], I[21]); UBTC1CON34_22 U22 (O[22], I[22]); UBTC1CON34_23 U23 (O[23], I[23]); UBTC1CON34_24 U24 (O[24], I[24]); UBTC1CON34_25 U25 (O[25], I[25]); UBTC1CON34_26 U26 (O[26], I[26]); UBTC1CON34_27 U27 (O[27], I[27]); UBTC1CON34_28 U28 (O[28], I[28]); UBTC1CON34_29 U29 (O[29], I[29]); UBTC1CON34_30 U30 (O[30], I[30]); UBTC1CON34_31 U31 (O[31], I[31]); UBTC1CON34_32 U32 (O[32], I[32]); UBTC1CON34_33 U33 (O[33], I[33]); UBTCTCONV_34_34 U34 (O[34], I[34]); endmodule
0
487
data/full_repos/permissive/307994676/Lab13/1801cs16_lab13/Verilog Code and Test Bench Files/tb_p2.v
307,994,676
tb_p2.v
v
20
65
[]
[]
[]
null
line:4: before: "="
null
1: b'%Error: Cannot find file containing module: Code\n ... Looked in:\n data/full_repos/permissive/307994676/Lab13/1801cs16_lab13/Verilog/Code\n data/full_repos/permissive/307994676/Lab13/1801cs16_lab13/Verilog/Code.v\n data/full_repos/permissive/307994676/Lab13/1801cs16_lab13/Verilog/Code.sv\n Code\n Code.v\n Code.sv\n obj_dir/Code\n obj_dir/Code.v\n obj_dir/Code.sv\n%Error: Cannot find file containing module: and\n%Error: Cannot find file containing module: Test\n%Error: Cannot find file containing module: Bench\n%Error: Cannot find file containing module: Files,data/full_repos/permissive/307994676\n%Error: Cannot find file containing module: data/full_repos/permissive/307994676/Lab13/1801cs16_lab13/Verilog\n%Error: Cannot find file containing module: Files/tb_p2.v\n%Error: Exiting due to 7 error(s)\n'
122,668
module
module tb_p2(); reg S,clk=0; wire Y; integer i; p2 uut(Y,S,clk); always #5 clk = ~clk; initial begin for(i = 0; i < 100; i = i + 1) begin S = $urandom($realtime)%2; #10; $monitor(" S = %b | Y = %b \n",S,Y); end end endmodule
module tb_p2();
reg S,clk=0; wire Y; integer i; p2 uut(Y,S,clk); always #5 clk = ~clk; initial begin for(i = 0; i < 100; i = i + 1) begin S = $urandom($realtime)%2; #10; $monitor(" S = %b | Y = %b \n",S,Y); end end endmodule
0
488
data/full_repos/permissive/19435930/camera.v
19,435,930
camera.v
v
70
92
[]
[]
[]
[(3, 69)]
null
null
1: b"%Error: data/full_repos/permissive/19435930/camera.v:53: Cannot find file containing module: 'counter'\ncounter #(V) current_line_counter(\n^~~~~~~\n ... Looked in:\n data/full_repos/permissive/19435930,data/full_repos/permissive/19435930/counter\n data/full_repos/permissive/19435930,data/full_repos/permissive/19435930/counter.v\n data/full_repos/permissive/19435930,data/full_repos/permissive/19435930/counter.sv\n counter\n counter.v\n counter.sv\n obj_dir/counter\n obj_dir/counter.v\n obj_dir/counter.sv\n%Error: data/full_repos/permissive/19435930/camera.v:61: Cannot find file containing module: 'counter'\ncounter #(H) current_column_counter(\n^~~~~~~\n%Error: Exiting due to 2 error(s)\n"
54,010
module
module camera #(parameter H = 752, parameter V = 480) ( input PIXCLK, input LINE_VALID, input FRAME_VALID, input [9:0] DATA_IN, output [9:0] DATA_OUT, output [$clog2(V)-1:0] CURRENT_LINE, output [$clog2(H)-1:0] CURRENT_COLUMN, output PIXEL_VALID ); assign DATA_OUT = data; reg[9:0] data; always @ (posedge PIXCLK) begin data<=DATA_IN; end reg prev_frame_valid = 1; always @ (posedge PIXCLK) begin prev_frame_valid <= FRAME_VALID; end reg frame_start_seen = 0; wire frame_start_seen_now = (FRAME_VALID && !prev_frame_valid) || frame_start_seen; always @ (posedge PIXCLK) begin frame_start_seen <= frame_start_seen_now; end reg pixel_valid = 0; assign PIXEL_VALID = pixel_valid; always @ (posedge PIXCLK) begin pixel_valid <= (frame_start_seen_now && LINE_VALID && FRAME_VALID); end reg prev_line_valid = 0; always @ (posedge PIXCLK) begin prev_line_valid <= LINE_VALID; end wire line_ended = (prev_line_valid && !LINE_VALID); counter #(V) current_line_counter( .CLK(PIXCLK), .RST(!FRAME_VALID||!frame_start_seen), .MAXED(), .EN(line_ended), .VALUE(CURRENT_LINE) ); counter #(H) current_column_counter( .CLK(PIXCLK), .RST(!LINE_VALID||!frame_start_seen), .MAXED(), .EN(prev_line_valid), .VALUE(CURRENT_COLUMN) ); endmodule
module camera #(parameter H = 752, parameter V = 480) ( input PIXCLK, input LINE_VALID, input FRAME_VALID, input [9:0] DATA_IN, output [9:0] DATA_OUT, output [$clog2(V)-1:0] CURRENT_LINE, output [$clog2(H)-1:0] CURRENT_COLUMN, output PIXEL_VALID );
assign DATA_OUT = data; reg[9:0] data; always @ (posedge PIXCLK) begin data<=DATA_IN; end reg prev_frame_valid = 1; always @ (posedge PIXCLK) begin prev_frame_valid <= FRAME_VALID; end reg frame_start_seen = 0; wire frame_start_seen_now = (FRAME_VALID && !prev_frame_valid) || frame_start_seen; always @ (posedge PIXCLK) begin frame_start_seen <= frame_start_seen_now; end reg pixel_valid = 0; assign PIXEL_VALID = pixel_valid; always @ (posedge PIXCLK) begin pixel_valid <= (frame_start_seen_now && LINE_VALID && FRAME_VALID); end reg prev_line_valid = 0; always @ (posedge PIXCLK) begin prev_line_valid <= LINE_VALID; end wire line_ended = (prev_line_valid && !LINE_VALID); counter #(V) current_line_counter( .CLK(PIXCLK), .RST(!FRAME_VALID||!frame_start_seen), .MAXED(), .EN(line_ended), .VALUE(CURRENT_LINE) ); counter #(H) current_column_counter( .CLK(PIXCLK), .RST(!LINE_VALID||!frame_start_seen), .MAXED(), .EN(prev_line_valid), .VALUE(CURRENT_COLUMN) ); endmodule
11
489
data/full_repos/permissive/529642835/rtl/AutoIncOutput.v
529,642,835
AutoIncOutput.v
v
42
55
[]
[]
[]
[(1, 41)]
null
data/verilator_xmls/7998ee60-dd81-402a-8393-9d28d14b4a3b.xml
null
274,545
module
module AutoIncOutput ( input reset_n, clk, input OUT1n, BD3, input [2:0] BA, output BUF1BUF2n, STARTLED1, output SIREn, PLAYER2, output YINCn, XINCn, output AYn, AXn ); reg [7:0] q; always @(posedge clk or negedge reset_n) begin if (~reset_n) q <= #1 8'b00000000; else if (~OUT1n) case (BA) 3'b000: q[0] <= #1 BD3; 3'b001: q[1] <= #1 BD3; 3'b010: q[2] <= #1 BD3; 3'b011: q[3] <= #1 BD3; 3'b100: q[4] <= #1 BD3; 3'b101: q[5] <= #1 BD3; 3'b110: q[6] <= #1 BD3; 3'b111: q[7] <= #1 BD3; endcase end assign BUF1BUF2n = q[7]; assign STARTLED1 = q[6]; assign SIREn = q[5]; assign PLAYER2 = q[4]; assign YINCn = q[3]; assign XINCn = q[2]; assign AYn = q[1]; assign AXn = q[0]; endmodule
module AutoIncOutput ( input reset_n, clk, input OUT1n, BD3, input [2:0] BA, output BUF1BUF2n, STARTLED1, output SIREn, PLAYER2, output YINCn, XINCn, output AYn, AXn );
reg [7:0] q; always @(posedge clk or negedge reset_n) begin if (~reset_n) q <= #1 8'b00000000; else if (~OUT1n) case (BA) 3'b000: q[0] <= #1 BD3; 3'b001: q[1] <= #1 BD3; 3'b010: q[2] <= #1 BD3; 3'b011: q[3] <= #1 BD3; 3'b100: q[4] <= #1 BD3; 3'b101: q[5] <= #1 BD3; 3'b110: q[6] <= #1 BD3; 3'b111: q[7] <= #1 BD3; endcase end assign BUF1BUF2n = q[7]; assign STARTLED1 = q[6]; assign SIREn = q[5]; assign PLAYER2 = q[4]; assign YINCn = q[3]; assign XINCn = q[2]; assign AYn = q[1]; assign AXn = q[0]; endmodule
2
490
data/full_repos/permissive/210844779/lab1/1.3.2/tbsr.v
210,844,779
tbsr.v
v
18
28
[]
[]
[]
null
[Errno 2] No such file or directory: 'preprocess.output'
null
1: b'%Warning-STMTDLY: data/full_repos/permissive/210844779/lab1/1.3.2/tbsr.v:14: Unsupported: Ignoring delay on this delayed statement.\n#10;\n^\n ... Use "/* verilator lint_off STMTDLY */" and lint_on around source to disable this message.\n%Error: data/full_repos/permissive/210844779/lab1/1.3.2/tbsr.v:6: Cannot find file containing module: \'sr_nor\'\nsr_nor USR(S,R,En,Q,not_Q);\n^~~~~~\n ... Looked in:\n data/full_repos/permissive/210844779/lab1/1.3.2,data/full_repos/permissive/210844779/sr_nor\n data/full_repos/permissive/210844779/lab1/1.3.2,data/full_repos/permissive/210844779/sr_nor.v\n data/full_repos/permissive/210844779/lab1/1.3.2,data/full_repos/permissive/210844779/sr_nor.sv\n sr_nor\n sr_nor.v\n sr_nor.sv\n obj_dir/sr_nor\n obj_dir/sr_nor.v\n obj_dir/sr_nor.sv\n%Warning-WIDTH: data/full_repos/permissive/210844779/lab1/1.3.2/tbsr.v:11: Operator ASSIGN expects 1 bits on the Assign RHS, but Assign RHS\'s RAND generates 32 bits.\n : ... In instance tbsr\nS= $random;\n ^\n%Warning-WIDTH: data/full_repos/permissive/210844779/lab1/1.3.2/tbsr.v:12: Operator ASSIGN expects 1 bits on the Assign RHS, but Assign RHS\'s RAND generates 32 bits.\n : ... In instance tbsr\nR= $random;\n ^\n%Warning-WIDTH: data/full_repos/permissive/210844779/lab1/1.3.2/tbsr.v:13: Operator ASSIGN expects 1 bits on the Assign RHS, but Assign RHS\'s RAND generates 32 bits.\n : ... In instance tbsr\nEn= $random;\n ^\n%Error: Exiting due to 1 error(s), 4 warning(s)\n ... See the manual and https://verilator.org for more assistance.\n'
68,928
module
module tbsr(); reg S,R,En; wire Q,not_Q; sr_nor USR(S,R,En,Q,not_Q); always begin S= $random; R= $random; En= $random; #10; end endmodule
module tbsr();
reg S,R,En; wire Q,not_Q; sr_nor USR(S,R,En,Q,not_Q); always begin S= $random; R= $random; En= $random; #10; end endmodule
0
493
data/full_repos/permissive/353612763/Lab3/Decoder.v
353,612,763
Decoder.v
v
228
83
[]
[]
[]
null
'utf-8' codec can't decode byte 0xb0 in position 265: invalid start byte
data/verilator_xmls/dc7ae448-783f-47ac-804b-17f75f616a30.xml
null
165,666
module
module Decoder( instr_op_i, RegWrite_o, ALU_op_o, ALUSrc_o, RegDst_o, Branch_o, Jump_o, MemRead_o, MemWrite_o, MemToReg_o, BranchType_o ); input [5:0] instr_op_i; output RegWrite_o; output [3:0] ALU_op_o; output ALUSrc_o; output [1:0] RegDst_o; output Branch_o; output Jump_o; output MemRead_o; output MemWrite_o; output [1:0] MemToReg_o; output [2:0] BranchType_o; reg [3:0] ALU_op_o; reg ALUSrc_o; reg RegWrite_o; reg [1:0] RegDst_o; reg Branch_o; reg Jump_o; reg MemRead_o; reg MemWrite_o; reg [1:0] MemToReg_o; reg [2:0] BranchType_o; always@ (*) begin if(instr_op_i == 6'b000000) begin ALU_op_o <= 4'b0010; ALUSrc_o <= 0; RegWrite_o <= 1; RegDst_o <= 1; Branch_o <= 0; Jump_o <= 0; MemRead_o <= 0; MemWrite_o <= 0; MemToReg_o <= 0; BranchType_o <= 3'b000; end if(instr_op_i == 6'b001000) begin ALU_op_o <= 4'b0100; ALUSrc_o <= 1; RegWrite_o <= 1; RegDst_o <= 0; Branch_o <= 0; Jump_o <= 0; MemRead_o <= 0; MemWrite_o <= 0; MemToReg_o <= 0; BranchType_o <= 3'b000; end if(instr_op_i == 6'b001011) begin ALU_op_o <= 4'b0101; ALUSrc_o <= 1; RegWrite_o <= 1; RegDst_o <= 0; Branch_o <= 0; Jump_o <= 0; MemRead_o <= 0; MemWrite_o <= 0; MemToReg_o <= 0; BranchType_o <= 3'b000; end if(instr_op_i == 6'b000100) begin ALU_op_o <= 4'b0001; ALUSrc_o <= 0; RegWrite_o <= 0; RegDst_o <= 1; Branch_o <= 1; Jump_o <= 0; MemRead_o <= 0; MemWrite_o <= 0; MemToReg_o <= 0; BranchType_o <= 3'b001; end if(instr_op_i == 6'b001111) begin ALU_op_o <= 4'b0110; ALUSrc_o <= 1; RegWrite_o <= 1; RegDst_o <= 0; Branch_o <= 0; Jump_o <= 0; MemRead_o <= 0; MemWrite_o <= 0; MemToReg_o <= 0; BranchType_o <= 3'b000; end if(instr_op_i == 6'b001111) begin ALU_op_o <= 4'b1100; ALUSrc_o <= 1; RegWrite_o <= 1; RegDst_o <= 0; Branch_o <= 0; Jump_o <= 0; MemRead_o <= 0; MemWrite_o <= 0; MemToReg_o <= 0; BranchType_o <= 3'b000; end if(instr_op_i == 6'b001101) begin ALU_op_o <= 4'b0111; ALUSrc_o <= 1; RegWrite_o <= 1; RegDst_o <= 0; Branch_o <= 0; Jump_o <= 0; MemRead_o <= 0; MemWrite_o <= 0; MemToReg_o <= 0; BranchType_o <= 3'b000; end if(instr_op_i == 6'b000101) begin ALU_op_o <= 4'b0011; ALUSrc_o <= 0; RegWrite_o <= 0; RegDst_o <= 1; Branch_o <= 1; Jump_o <= 0; MemRead_o <= 0; MemWrite_o <= 0; MemToReg_o <= 0; BranchType_o <= 3'b010; end if(instr_op_i == 6'b100011) begin ALU_op_o <= 4'b1000; ALUSrc_o <= 1; RegWrite_o <= 1; RegDst_o <= 0; Branch_o <= 0; Jump_o <= 0; MemRead_o <= 1; MemWrite_o <= 0; MemToReg_o <= 1; BranchType_o <= 3'b000; end if(instr_op_i == 6'b101011) begin ALU_op_o <= 4'b1001; ALUSrc_o <= 1; RegWrite_o <= 0; RegDst_o <= 0; Branch_o <= 0; Jump_o <= 0; MemRead_o <= 0; MemWrite_o <= 1; MemToReg_o <= 0; BranchType_o <= 3'b000; end if(instr_op_i == 6'b000010) begin ALU_op_o <= 4'b0000; ALUSrc_o <= 0; RegWrite_o <= 0; RegDst_o <= 0; Branch_o <= 0; Jump_o <= 1; MemRead_o <= 0; MemWrite_o <= 0; MemToReg_o <= 0; BranchType_o <= 3'b000; end if(instr_op_i == 6'b000011) begin ALU_op_o <= 4'b0000; ALUSrc_o <= 0; RegWrite_o <= 1; RegDst_o <= 2; Branch_o <= 0; Jump_o <= 1; MemRead_o <= 0; MemWrite_o <= 0; MemToReg_o <= 2; BranchType_o <= 3'b000; end if(instr_op_i == 6'b000110) begin ALU_op_o <= 4'b1010; ALUSrc_o <= 0; RegWrite_o <= 0; RegDst_o <= 1; Branch_o <= 1; Jump_o <= 0; MemRead_o <= 0; MemWrite_o <= 0; MemToReg_o <= 0; BranchType_o <= 3'b011; end if(instr_op_i == 6'b000001) begin ALU_op_o <= 4'b1011; ALUSrc_o <= 0; RegWrite_o <= 0; RegDst_o <= 1; Branch_o <= 1; Jump_o <= 0; MemRead_o <= 0; MemWrite_o <= 0; MemToReg_o <= 0; BranchType_o <= 3'b100; end end endmodule
module Decoder( instr_op_i, RegWrite_o, ALU_op_o, ALUSrc_o, RegDst_o, Branch_o, Jump_o, MemRead_o, MemWrite_o, MemToReg_o, BranchType_o );
input [5:0] instr_op_i; output RegWrite_o; output [3:0] ALU_op_o; output ALUSrc_o; output [1:0] RegDst_o; output Branch_o; output Jump_o; output MemRead_o; output MemWrite_o; output [1:0] MemToReg_o; output [2:0] BranchType_o; reg [3:0] ALU_op_o; reg ALUSrc_o; reg RegWrite_o; reg [1:0] RegDst_o; reg Branch_o; reg Jump_o; reg MemRead_o; reg MemWrite_o; reg [1:0] MemToReg_o; reg [2:0] BranchType_o; always@ (*) begin if(instr_op_i == 6'b000000) begin ALU_op_o <= 4'b0010; ALUSrc_o <= 0; RegWrite_o <= 1; RegDst_o <= 1; Branch_o <= 0; Jump_o <= 0; MemRead_o <= 0; MemWrite_o <= 0; MemToReg_o <= 0; BranchType_o <= 3'b000; end if(instr_op_i == 6'b001000) begin ALU_op_o <= 4'b0100; ALUSrc_o <= 1; RegWrite_o <= 1; RegDst_o <= 0; Branch_o <= 0; Jump_o <= 0; MemRead_o <= 0; MemWrite_o <= 0; MemToReg_o <= 0; BranchType_o <= 3'b000; end if(instr_op_i == 6'b001011) begin ALU_op_o <= 4'b0101; ALUSrc_o <= 1; RegWrite_o <= 1; RegDst_o <= 0; Branch_o <= 0; Jump_o <= 0; MemRead_o <= 0; MemWrite_o <= 0; MemToReg_o <= 0; BranchType_o <= 3'b000; end if(instr_op_i == 6'b000100) begin ALU_op_o <= 4'b0001; ALUSrc_o <= 0; RegWrite_o <= 0; RegDst_o <= 1; Branch_o <= 1; Jump_o <= 0; MemRead_o <= 0; MemWrite_o <= 0; MemToReg_o <= 0; BranchType_o <= 3'b001; end if(instr_op_i == 6'b001111) begin ALU_op_o <= 4'b0110; ALUSrc_o <= 1; RegWrite_o <= 1; RegDst_o <= 0; Branch_o <= 0; Jump_o <= 0; MemRead_o <= 0; MemWrite_o <= 0; MemToReg_o <= 0; BranchType_o <= 3'b000; end if(instr_op_i == 6'b001111) begin ALU_op_o <= 4'b1100; ALUSrc_o <= 1; RegWrite_o <= 1; RegDst_o <= 0; Branch_o <= 0; Jump_o <= 0; MemRead_o <= 0; MemWrite_o <= 0; MemToReg_o <= 0; BranchType_o <= 3'b000; end if(instr_op_i == 6'b001101) begin ALU_op_o <= 4'b0111; ALUSrc_o <= 1; RegWrite_o <= 1; RegDst_o <= 0; Branch_o <= 0; Jump_o <= 0; MemRead_o <= 0; MemWrite_o <= 0; MemToReg_o <= 0; BranchType_o <= 3'b000; end if(instr_op_i == 6'b000101) begin ALU_op_o <= 4'b0011; ALUSrc_o <= 0; RegWrite_o <= 0; RegDst_o <= 1; Branch_o <= 1; Jump_o <= 0; MemRead_o <= 0; MemWrite_o <= 0; MemToReg_o <= 0; BranchType_o <= 3'b010; end if(instr_op_i == 6'b100011) begin ALU_op_o <= 4'b1000; ALUSrc_o <= 1; RegWrite_o <= 1; RegDst_o <= 0; Branch_o <= 0; Jump_o <= 0; MemRead_o <= 1; MemWrite_o <= 0; MemToReg_o <= 1; BranchType_o <= 3'b000; end if(instr_op_i == 6'b101011) begin ALU_op_o <= 4'b1001; ALUSrc_o <= 1; RegWrite_o <= 0; RegDst_o <= 0; Branch_o <= 0; Jump_o <= 0; MemRead_o <= 0; MemWrite_o <= 1; MemToReg_o <= 0; BranchType_o <= 3'b000; end if(instr_op_i == 6'b000010) begin ALU_op_o <= 4'b0000; ALUSrc_o <= 0; RegWrite_o <= 0; RegDst_o <= 0; Branch_o <= 0; Jump_o <= 1; MemRead_o <= 0; MemWrite_o <= 0; MemToReg_o <= 0; BranchType_o <= 3'b000; end if(instr_op_i == 6'b000011) begin ALU_op_o <= 4'b0000; ALUSrc_o <= 0; RegWrite_o <= 1; RegDst_o <= 2; Branch_o <= 0; Jump_o <= 1; MemRead_o <= 0; MemWrite_o <= 0; MemToReg_o <= 2; BranchType_o <= 3'b000; end if(instr_op_i == 6'b000110) begin ALU_op_o <= 4'b1010; ALUSrc_o <= 0; RegWrite_o <= 0; RegDst_o <= 1; Branch_o <= 1; Jump_o <= 0; MemRead_o <= 0; MemWrite_o <= 0; MemToReg_o <= 0; BranchType_o <= 3'b011; end if(instr_op_i == 6'b000001) begin ALU_op_o <= 4'b1011; ALUSrc_o <= 0; RegWrite_o <= 0; RegDst_o <= 1; Branch_o <= 1; Jump_o <= 0; MemRead_o <= 0; MemWrite_o <= 0; MemToReg_o <= 0; BranchType_o <= 3'b100; end end endmodule
0
494
data/full_repos/permissive/343915012/state_machine.v
343,915,012
state_machine.v
v
107
61
[]
[]
[]
null
[Errno 2] No such file or directory: 'preprocess.output'
null
1: b"%Error: data/full_repos/permissive/343915012/state_machine.v:13: syntax error, unexpected ')', expecting '['\n); \n^\n%Error: data/full_repos/permissive/343915012/state_machine.v:21: syntax error, unexpected always\nalways @(posedge clk or posedge rst)\n^~~~~~\n%Error: Exiting due to 2 error(s)\n"
162,444
module
module state_machine( input wire clk, input wire rst, input wire input_stb, input wire [31:0] input_dat, input wire input_operator, input wire output_ack, output reg [31:0] output_dat, output reg input_ack, output reg output_stb, output reg output_stb2, ); reg [2:0] state_machine; wire [2:0] pop_dat; reg pop_stb; reg push_stb; reg input_stb2; reg input_stb3; always @(posedge clk or posedge rst) if(rst) begin state_machine <= 0; end else case(state_machine) 0: begin if(input_stb) begin if(input_operator == 1) begin if(input_dat === 4) state_machine <= 4; else state_machine <= 2; end else begin output_dat <= input_dat; output_stb <= 1; output_stb2 <= 0; state_machine <= 1; end end end 1: begin pop_stb <= 0; if (output_ack) begin output_stb <= 0; output_dat <= 0; output_stb2 <= 0; if(input_stb3 === 1) begin input_stb3 <= 0; state_machine <= 4; end else if(input_stb2 === 1) begin input_stb2 <= 0; state_machine <= 2; end else begin state_machine <= 3; input_ack <= 1; end end end 2: begin if(input_dat[1] >= pop_dat[1]) begin output_dat <= pop_dat; pop_stb <= 1; state_machine <= 1; input_stb2 <= 1; output_stb2 <= 1; output_stb <= 1; end else begin push_stb <= 1; input_ack <= 1; state_machine <= 3; end end 3: begin input_ack <= 0; push_stb <= 0; state_machine <= 0; end 4: begin if(pop_dat === 3'bx) begin output_stb <= 1; state_machine <= 1; output_dat <= input_dat; output_stb2 <= 1; end else begin output_stb <= 1; output_dat <= pop_dat; pop_stb <= 1; state_machine <= 1; input_stb3 <= 1; output_stb2 <= 1; end end endcase stack mystack( .clk(clk), .rst(rst), .push_stb(push_stb), .push_dat(input_dat), .pop_stb(pop_stb), .pop_dat(pop_dat) ); endmodule
module state_machine( input wire clk, input wire rst, input wire input_stb, input wire [31:0] input_dat, input wire input_operator, input wire output_ack, output reg [31:0] output_dat, output reg input_ack, output reg output_stb, output reg output_stb2, );
reg [2:0] state_machine; wire [2:0] pop_dat; reg pop_stb; reg push_stb; reg input_stb2; reg input_stb3; always @(posedge clk or posedge rst) if(rst) begin state_machine <= 0; end else case(state_machine) 0: begin if(input_stb) begin if(input_operator == 1) begin if(input_dat === 4) state_machine <= 4; else state_machine <= 2; end else begin output_dat <= input_dat; output_stb <= 1; output_stb2 <= 0; state_machine <= 1; end end end 1: begin pop_stb <= 0; if (output_ack) begin output_stb <= 0; output_dat <= 0; output_stb2 <= 0; if(input_stb3 === 1) begin input_stb3 <= 0; state_machine <= 4; end else if(input_stb2 === 1) begin input_stb2 <= 0; state_machine <= 2; end else begin state_machine <= 3; input_ack <= 1; end end end 2: begin if(input_dat[1] >= pop_dat[1]) begin output_dat <= pop_dat; pop_stb <= 1; state_machine <= 1; input_stb2 <= 1; output_stb2 <= 1; output_stb <= 1; end else begin push_stb <= 1; input_ack <= 1; state_machine <= 3; end end 3: begin input_ack <= 0; push_stb <= 0; state_machine <= 0; end 4: begin if(pop_dat === 3'bx) begin output_stb <= 1; state_machine <= 1; output_dat <= input_dat; output_stb2 <= 1; end else begin output_stb <= 1; output_dat <= pop_dat; pop_stb <= 1; state_machine <= 1; input_stb3 <= 1; output_stb2 <= 1; end end endcase stack mystack( .clk(clk), .rst(rst), .push_stb(push_stb), .push_dat(input_dat), .pop_stb(pop_stb), .pop_dat(pop_dat) ); endmodule
0
495
data/full_repos/permissive/437677776/OOP_Testbench/testbench.sv
437,677,776
testbench.sv
sv
70
53
[]
[]
[]
null
None: at end of input
null
1: b'%Error: data/full_repos/permissive/437677776/OOP_Testbench/testbench.sv:1: Cannot find include file: timescale.v\n`include "timescale.v" \n ^~~~~~~~~~~~~\n ... Looked in:\n data/full_repos/permissive/437677776/OOP_Testbench,data/full_repos/permissive/437677776/timescale.v\n data/full_repos/permissive/437677776/OOP_Testbench,data/full_repos/permissive/437677776/timescale.v.v\n data/full_repos/permissive/437677776/OOP_Testbench,data/full_repos/permissive/437677776/timescale.v.sv\n timescale.v\n timescale.v.v\n timescale.v.sv\n obj_dir/timescale.v\n obj_dir/timescale.v.v\n obj_dir/timescale.v.sv\n%Warning-STMTDLY: data/full_repos/permissive/437677776/OOP_Testbench/testbench.sv:14: Unsupported: Ignoring delay on this delayed statement.\n #3200; \n ^\n ... Use "/* verilator lint_off STMTDLY */" and lint_on around source to disable this message.\n%Error: Exiting due to 1 error(s), 1 warning(s)\n'
216,106
module
module tb; bit clk_156m25; bit clk_xgmii_rx; bit clk_xgmii_tx; initial begin clk_156m25 = 1'b0; clk_xgmii_rx = 1'b0; clk_xgmii_tx = 1'b0; forever begin #3200; clk_156m25 = ~clk_156m25; clk_xgmii_rx = ~clk_xgmii_rx; clk_xgmii_tx = ~clk_xgmii_tx; end end mac_if mif (clk_156m25, clk_xgmii_rx, clk_xgmii_tx); xge_mac dut ( .clk_156m25 (mif.clk_156m25), .clk_xgmii_rx (mif.clk_xgmii_rx), .clk_xgmii_tx (mif.clk_xgmii_tx), .pkt_rx_ren (mif.pkt_rx_ren), .pkt_tx_data (mif.pkt_tx_data[63:0]), .pkt_tx_eop (mif.pkt_tx_eop), .pkt_tx_mod (mif.pkt_tx_mod[2:0]), .pkt_tx_sop (mif.pkt_tx_sop), .pkt_tx_val (mif.pkt_tx_val), .reset_156m25_n (mif.reset_156m25_n), .reset_xgmii_rx_n (mif.reset_xgmii_rx_n), .reset_xgmii_tx_n (mif.reset_xgmii_tx_n), .wb_adr_i (mif.wb_adr_i[7:0]), .wb_clk_i (mif.wb_clk_i), .wb_cyc_i (mif.wb_cyc_i), .wb_dat_i (mif.wb_dat_i[31:0]), .wb_rst_i (mif.wb_rst_i), .wb_stb_i (mif.wb_stb_i), .wb_we_i (mif.wb_we_i), .xgmii_rxc (mif.xgmii_rxc[7:0]), .xgmii_rxd (mif.xgmii_rxd[63:0]), .pkt_rx_avail (mif.pkt_rx_avail), .pkt_rx_data (mif.pkt_rx_data[63:0]), .pkt_rx_eop (mif.pkt_rx_eop), .pkt_rx_err (mif.pkt_rx_err), .pkt_rx_mod (mif.pkt_rx_mod[2:0]), .pkt_rx_sop (mif.pkt_rx_sop), .pkt_rx_val (mif.pkt_rx_val), .pkt_tx_full (mif.pkt_tx_full), .wb_ack_o (mif.wb_ack_o), .wb_dat_o (mif.wb_dat_o[31:0]), .wb_int_o (mif.wb_int_o), .xgmii_txc (mif.xgmii_txc[7:0]), .xgmii_txd (mif.xgmii_txd[63:0]) ); testcase test ( mif.test_port, mif.test_port ); endmodule
module tb;
bit clk_156m25; bit clk_xgmii_rx; bit clk_xgmii_tx; initial begin clk_156m25 = 1'b0; clk_xgmii_rx = 1'b0; clk_xgmii_tx = 1'b0; forever begin #3200; clk_156m25 = ~clk_156m25; clk_xgmii_rx = ~clk_xgmii_rx; clk_xgmii_tx = ~clk_xgmii_tx; end end mac_if mif (clk_156m25, clk_xgmii_rx, clk_xgmii_tx); xge_mac dut ( .clk_156m25 (mif.clk_156m25), .clk_xgmii_rx (mif.clk_xgmii_rx), .clk_xgmii_tx (mif.clk_xgmii_tx), .pkt_rx_ren (mif.pkt_rx_ren), .pkt_tx_data (mif.pkt_tx_data[63:0]), .pkt_tx_eop (mif.pkt_tx_eop), .pkt_tx_mod (mif.pkt_tx_mod[2:0]), .pkt_tx_sop (mif.pkt_tx_sop), .pkt_tx_val (mif.pkt_tx_val), .reset_156m25_n (mif.reset_156m25_n), .reset_xgmii_rx_n (mif.reset_xgmii_rx_n), .reset_xgmii_tx_n (mif.reset_xgmii_tx_n), .wb_adr_i (mif.wb_adr_i[7:0]), .wb_clk_i (mif.wb_clk_i), .wb_cyc_i (mif.wb_cyc_i), .wb_dat_i (mif.wb_dat_i[31:0]), .wb_rst_i (mif.wb_rst_i), .wb_stb_i (mif.wb_stb_i), .wb_we_i (mif.wb_we_i), .xgmii_rxc (mif.xgmii_rxc[7:0]), .xgmii_rxd (mif.xgmii_rxd[63:0]), .pkt_rx_avail (mif.pkt_rx_avail), .pkt_rx_data (mif.pkt_rx_data[63:0]), .pkt_rx_eop (mif.pkt_rx_eop), .pkt_rx_err (mif.pkt_rx_err), .pkt_rx_mod (mif.pkt_rx_mod[2:0]), .pkt_rx_sop (mif.pkt_rx_sop), .pkt_rx_val (mif.pkt_rx_val), .pkt_tx_full (mif.pkt_tx_full), .wb_ack_o (mif.wb_ack_o), .wb_dat_o (mif.wb_dat_o[31:0]), .wb_int_o (mif.wb_int_o), .xgmii_txc (mif.xgmii_txc[7:0]), .xgmii_txd (mif.xgmii_txd[63:0]) ); testcase test ( mif.test_port, mif.test_port ); endmodule
0
496
data/full_repos/permissive/518791335/verilog_model/sky130_fd_sc_hd.v
518,791,335
sky130_fd_sc_hd.v
v
102,455
130
[]
[]
[]
null
[Errno 2] No such file or directory: 'preprocess.output'
null
1: b"%Error: data/full_repos/permissive/518791335/verilog_model/sky130_fd_sc_hd.v:4976: Can't resolve module reference: 'sky130_fd_sc_hd__udp_dlatch$P_pp$PG$N'\n sky130_fd_sc_hd__udp_dlatch$P_pp$PG$N dlatch0 (m0 , GATE_delayed, clkn, notifier, VPWR, VGND);\n ^~~~~~~\n%Error: Exiting due to 1 error(s)\n"
267,285
module
module sky130_fd_sc_hd__lpflow_decapkapwr_6 ( VPWR , KAPWR, VGND , VPB , VNB ); input VPWR ; input KAPWR; input VGND ; input VPB ; input VNB ; sky130_fd_sc_hd__lpflow_decapkapwr base ( .VPWR(VPWR), .KAPWR(KAPWR), .VGND(VGND), .VPB(VPB), .VNB(VNB) ); endmodule
module sky130_fd_sc_hd__lpflow_decapkapwr_6 ( VPWR , KAPWR, VGND , VPB , VNB );
input VPWR ; input KAPWR; input VGND ; input VPB ; input VNB ; sky130_fd_sc_hd__lpflow_decapkapwr base ( .VPWR(VPWR), .KAPWR(KAPWR), .VGND(VGND), .VPB(VPB), .VNB(VNB) ); endmodule
0
497
data/full_repos/permissive/518791335/verilog_model/sky130_fd_sc_hd.v
518,791,335
sky130_fd_sc_hd.v
v
102,455
130
[]
[]
[]
null
[Errno 2] No such file or directory: 'preprocess.output'
null
1: b"%Error: data/full_repos/permissive/518791335/verilog_model/sky130_fd_sc_hd.v:4976: Can't resolve module reference: 'sky130_fd_sc_hd__udp_dlatch$P_pp$PG$N'\n sky130_fd_sc_hd__udp_dlatch$P_pp$PG$N dlatch0 (m0 , GATE_delayed, clkn, notifier, VPWR, VGND);\n ^~~~~~~\n%Error: Exiting due to 1 error(s)\n"
267,285
module
module sky130_fd_sc_hd__lpflow_decapkapwr_6 (); supply1 VPWR ; supply1 KAPWR; supply0 VGND ; supply1 VPB ; supply0 VNB ; sky130_fd_sc_hd__lpflow_decapkapwr base (); endmodule
module sky130_fd_sc_hd__lpflow_decapkapwr_6 ();
supply1 VPWR ; supply1 KAPWR; supply0 VGND ; supply1 VPB ; supply0 VNB ; sky130_fd_sc_hd__lpflow_decapkapwr base (); endmodule
0
498
data/full_repos/permissive/518791335/verilog_model/sky130_fd_sc_hd.v
518,791,335
sky130_fd_sc_hd.v
v
102,455
130
[]
[]
[]
null
[Errno 2] No such file or directory: 'preprocess.output'
null
1: b"%Error: data/full_repos/permissive/518791335/verilog_model/sky130_fd_sc_hd.v:4976: Can't resolve module reference: 'sky130_fd_sc_hd__udp_dlatch$P_pp$PG$N'\n sky130_fd_sc_hd__udp_dlatch$P_pp$PG$N dlatch0 (m0 , GATE_delayed, clkn, notifier, VPWR, VGND);\n ^~~~~~~\n%Error: Exiting due to 1 error(s)\n"
267,285
module
module sky130_fd_sc_hd__lpflow_decapkapwr_12 ( VPWR , KAPWR, VGND , VPB , VNB ); input VPWR ; input KAPWR; input VGND ; input VPB ; input VNB ; sky130_fd_sc_hd__lpflow_decapkapwr base ( .VPWR(VPWR), .KAPWR(KAPWR), .VGND(VGND), .VPB(VPB), .VNB(VNB) ); endmodule
module sky130_fd_sc_hd__lpflow_decapkapwr_12 ( VPWR , KAPWR, VGND , VPB , VNB );
input VPWR ; input KAPWR; input VGND ; input VPB ; input VNB ; sky130_fd_sc_hd__lpflow_decapkapwr base ( .VPWR(VPWR), .KAPWR(KAPWR), .VGND(VGND), .VPB(VPB), .VNB(VNB) ); endmodule
0
499
data/full_repos/permissive/518791335/verilog_model/sky130_fd_sc_hd.v
518,791,335
sky130_fd_sc_hd.v
v
102,455
130
[]
[]
[]
null
[Errno 2] No such file or directory: 'preprocess.output'
null
1: b"%Error: data/full_repos/permissive/518791335/verilog_model/sky130_fd_sc_hd.v:4976: Can't resolve module reference: 'sky130_fd_sc_hd__udp_dlatch$P_pp$PG$N'\n sky130_fd_sc_hd__udp_dlatch$P_pp$PG$N dlatch0 (m0 , GATE_delayed, clkn, notifier, VPWR, VGND);\n ^~~~~~~\n%Error: Exiting due to 1 error(s)\n"
267,285
module
module sky130_fd_sc_hd__lpflow_decapkapwr_12 (); supply1 VPWR ; supply1 KAPWR; supply0 VGND ; supply1 VPB ; supply0 VNB ; sky130_fd_sc_hd__lpflow_decapkapwr base (); endmodule
module sky130_fd_sc_hd__lpflow_decapkapwr_12 ();
supply1 VPWR ; supply1 KAPWR; supply0 VGND ; supply1 VPB ; supply0 VNB ; sky130_fd_sc_hd__lpflow_decapkapwr base (); endmodule
0
500
data/full_repos/permissive/518791335/verilog_model/sky130_fd_sc_hd.v
518,791,335
sky130_fd_sc_hd.v
v
102,455
130
[]
[]
[]
null
[Errno 2] No such file or directory: 'preprocess.output'
null
1: b"%Error: data/full_repos/permissive/518791335/verilog_model/sky130_fd_sc_hd.v:4976: Can't resolve module reference: 'sky130_fd_sc_hd__udp_dlatch$P_pp$PG$N'\n sky130_fd_sc_hd__udp_dlatch$P_pp$PG$N dlatch0 (m0 , GATE_delayed, clkn, notifier, VPWR, VGND);\n ^~~~~~~\n%Error: Exiting due to 1 error(s)\n"
267,285
module
module sky130_fd_sc_hd__lpflow_decapkapwr_3 ( VPWR , KAPWR, VGND , VPB , VNB ); input VPWR ; input KAPWR; input VGND ; input VPB ; input VNB ; sky130_fd_sc_hd__lpflow_decapkapwr base ( .VPWR(VPWR), .KAPWR(KAPWR), .VGND(VGND), .VPB(VPB), .VNB(VNB) ); endmodule
module sky130_fd_sc_hd__lpflow_decapkapwr_3 ( VPWR , KAPWR, VGND , VPB , VNB );
input VPWR ; input KAPWR; input VGND ; input VPB ; input VNB ; sky130_fd_sc_hd__lpflow_decapkapwr base ( .VPWR(VPWR), .KAPWR(KAPWR), .VGND(VGND), .VPB(VPB), .VNB(VNB) ); endmodule
0
501
data/full_repos/permissive/518791335/verilog_model/sky130_fd_sc_hd.v
518,791,335
sky130_fd_sc_hd.v
v
102,455
130
[]
[]
[]
null
[Errno 2] No such file or directory: 'preprocess.output'
null
1: b"%Error: data/full_repos/permissive/518791335/verilog_model/sky130_fd_sc_hd.v:4976: Can't resolve module reference: 'sky130_fd_sc_hd__udp_dlatch$P_pp$PG$N'\n sky130_fd_sc_hd__udp_dlatch$P_pp$PG$N dlatch0 (m0 , GATE_delayed, clkn, notifier, VPWR, VGND);\n ^~~~~~~\n%Error: Exiting due to 1 error(s)\n"
267,285
module
module sky130_fd_sc_hd__lpflow_decapkapwr_3 (); supply1 VPWR ; supply1 KAPWR; supply0 VGND ; supply1 VPB ; supply0 VNB ; sky130_fd_sc_hd__lpflow_decapkapwr base (); endmodule
module sky130_fd_sc_hd__lpflow_decapkapwr_3 ();
supply1 VPWR ; supply1 KAPWR; supply0 VGND ; supply1 VPB ; supply0 VNB ; sky130_fd_sc_hd__lpflow_decapkapwr base (); endmodule
0
502
data/full_repos/permissive/518791335/verilog_model/sky130_fd_sc_hd.v
518,791,335
sky130_fd_sc_hd.v
v
102,455
130
[]
[]
[]
null
[Errno 2] No such file or directory: 'preprocess.output'
null
1: b"%Error: data/full_repos/permissive/518791335/verilog_model/sky130_fd_sc_hd.v:4976: Can't resolve module reference: 'sky130_fd_sc_hd__udp_dlatch$P_pp$PG$N'\n sky130_fd_sc_hd__udp_dlatch$P_pp$PG$N dlatch0 (m0 , GATE_delayed, clkn, notifier, VPWR, VGND);\n ^~~~~~~\n%Error: Exiting due to 1 error(s)\n"
267,285
module
module sky130_fd_sc_hd__lpflow_decapkapwr_4 ( VPWR , KAPWR, VGND , VPB , VNB ); input VPWR ; input KAPWR; input VGND ; input VPB ; input VNB ; sky130_fd_sc_hd__lpflow_decapkapwr base ( .VPWR(VPWR), .KAPWR(KAPWR), .VGND(VGND), .VPB(VPB), .VNB(VNB) ); endmodule
module sky130_fd_sc_hd__lpflow_decapkapwr_4 ( VPWR , KAPWR, VGND , VPB , VNB );
input VPWR ; input KAPWR; input VGND ; input VPB ; input VNB ; sky130_fd_sc_hd__lpflow_decapkapwr base ( .VPWR(VPWR), .KAPWR(KAPWR), .VGND(VGND), .VPB(VPB), .VNB(VNB) ); endmodule
0
503
data/full_repos/permissive/518791335/verilog_model/sky130_fd_sc_hd.v
518,791,335
sky130_fd_sc_hd.v
v
102,455
130
[]
[]
[]
null
[Errno 2] No such file or directory: 'preprocess.output'
null
1: b"%Error: data/full_repos/permissive/518791335/verilog_model/sky130_fd_sc_hd.v:4976: Can't resolve module reference: 'sky130_fd_sc_hd__udp_dlatch$P_pp$PG$N'\n sky130_fd_sc_hd__udp_dlatch$P_pp$PG$N dlatch0 (m0 , GATE_delayed, clkn, notifier, VPWR, VGND);\n ^~~~~~~\n%Error: Exiting due to 1 error(s)\n"
267,285
module
module sky130_fd_sc_hd__lpflow_decapkapwr_4 (); supply1 VPWR ; supply1 KAPWR; supply0 VGND ; supply1 VPB ; supply0 VNB ; sky130_fd_sc_hd__lpflow_decapkapwr base (); endmodule
module sky130_fd_sc_hd__lpflow_decapkapwr_4 ();
supply1 VPWR ; supply1 KAPWR; supply0 VGND ; supply1 VPB ; supply0 VNB ; sky130_fd_sc_hd__lpflow_decapkapwr base (); endmodule
0
504
data/full_repos/permissive/518791335/verilog_model/sky130_fd_sc_hd.v
518,791,335
sky130_fd_sc_hd.v
v
102,455
130
[]
[]
[]
null
[Errno 2] No such file or directory: 'preprocess.output'
null
1: b"%Error: data/full_repos/permissive/518791335/verilog_model/sky130_fd_sc_hd.v:4976: Can't resolve module reference: 'sky130_fd_sc_hd__udp_dlatch$P_pp$PG$N'\n sky130_fd_sc_hd__udp_dlatch$P_pp$PG$N dlatch0 (m0 , GATE_delayed, clkn, notifier, VPWR, VGND);\n ^~~~~~~\n%Error: Exiting due to 1 error(s)\n"
267,285
module
module sky130_fd_sc_hd__lpflow_decapkapwr ( VPWR , KAPWR, VGND , VPB , VNB ); input VPWR ; input KAPWR; input VGND ; input VPB ; input VNB ; endmodule
module sky130_fd_sc_hd__lpflow_decapkapwr ( VPWR , KAPWR, VGND , VPB , VNB );
input VPWR ; input KAPWR; input VGND ; input VPB ; input VNB ; endmodule
0
506
data/full_repos/permissive/518791335/verilog_model/sky130_fd_sc_hd.v
518,791,335
sky130_fd_sc_hd.v
v
102,455
130
[]
[]
[]
null
[Errno 2] No such file or directory: 'preprocess.output'
null
1: b"%Error: data/full_repos/permissive/518791335/verilog_model/sky130_fd_sc_hd.v:4976: Can't resolve module reference: 'sky130_fd_sc_hd__udp_dlatch$P_pp$PG$N'\n sky130_fd_sc_hd__udp_dlatch$P_pp$PG$N dlatch0 (m0 , GATE_delayed, clkn, notifier, VPWR, VGND);\n ^~~~~~~\n%Error: Exiting due to 1 error(s)\n"
267,285
module
module sky130_fd_sc_hd__lpflow_decapkapwr (); endmodule
module sky130_fd_sc_hd__lpflow_decapkapwr ();
endmodule
0