text
stringlengths
1.87k
3.49M
summary
stringlengths
86
3.43k
RELATED APPLICATIONS [0001] This application claims the benefit of U.S. Provisional Patent Application No. 61/727,759, filed on 19 Nov. 2012, and entitled “Press-in-place Gaskets and Fabrication Methods”, which application is incorporated by reference in its entirety herein. TECHNICAL FIELD [0002] This disclosure relates generally to gaskets for creating a seal between a pair of facing mating surfaces and more particularly to so-called press-in-place or spaghetti gaskets configured to be pressed into a groove formed in one or more of the mating surfaces. BACKGROUND [0003] Press-in-place gaskets are well known for sealing between a pair of flanges that are secured together in face-to-face relationship with bolts or the like. Such gaskets, sometimes referred to as spaghetti gaskets, generally are relatively thin bands of rubber or similar material that are injection molded to have a shape corresponding to that of a groove formed in the surface of one (or both) of the flanges to be sealed. The press-in-place gasket, as its name implies, is pressed into the groove before the flanges are joined and bolted together. A cross-sectional profile of the gasket may be configured to hold the gasket in place within the groove and to control the compression of the gasket as the flange and its mating flange are bolted together, whereupon the gasket forms a seal between the mating surfaces. Some press-in-place gaskets are made with a metal core to, among other things; help the gaskets hold their shape before and during installation. These metal core gaskets generally are fabricated in an injection mold wherein a metal core in the shape of the gasket is placed in the injection mold. The rubberized gasket material is then injection molded around the metal to form the gasket. [0004] Prior press-in-place gaskets, and methods of making them, have exhibited inherent problems and shortcomings. For example, the cost of an injection mold for molding press-in-place gaskets can be exceedingly expensive and generally requires weeks of time for its production. This also means that there can be no substantive changes in the shape or configuration of the gasket once the mold maker begins the task of making the mold. Further, a modification in the gasket before or after the mold is finished usually requires that a new mold be created, taking time and consuming substantial financial resources. It often is useful for a designer of power train components to have access to prototypes of gaskets to be used in power train components in order to test and refine the components and surfaces to be sealed. Unfortunately, it is problematic to provide prototypes of press-in-place gaskets since the injection molding process by which they are made is expensive, slow, and does not lend itself readily to rapid prototyping techniques. [0005] A need exists for a press-in-place gasket and a method of making press-in-place gaskets that addresses these and other problems of the prior art. It is to the provision of such a gasket and method that this disclosure is primarily directed. SUMMARY [0006] Briefly described, a method of fabricating press-in-place gaskets includes extruding a rubberized gasket material around a central core made of a malleable material such as aluminum to form a continuous elongated strand of gasket stock. The gasket stock has a predetermined outer profile and may be wound onto storage reels for storage and/or shipment. The method further includes incrementally moving the gasket stock through a computer controlled bender having heads that bend the gasket stock into a desired programmed shape corresponding to the shape of a groove in a flange. When the bending is complete the strand is cut and the resulting free ends may be bonded together to form a continuous closed press-in-place gasket. Press-in-place gaskets having unique characteristics according to the invention and press-in-place gaskets formed by the unique method of the invention are also within the scope of the invention. Once fabricated, the press-in-place gaskets can be used in a manner similar to traditional injection molded press-in-place gaskets by pressing them into a groove formed in a mating surface and clamping the corresponding mating surface to the first mating surface. [0007] The method of the invention eliminates the requirement to form an injection mold in which to mold press-in-place gaskets. Further, a press-in-place gasket of virtually any shape can be fabricated in an exceedingly short time since the shape of the gasket is determined in a digital profile such as a CAD or other electronic file. Significant also is the fact that changes can be made to a gasket design at any time in the process, and those changes can be implemented simply by revising the digital profile in the computer and “bend forming” the new gasket. Prototypes having perhaps various different shapes can be delivered in short order to power train component designers for research and development purposes and for a fraction of the cost of traditional injection molded press-in-place gaskets. Accordingly, press-in-place gaskets and methods of fabricating them are now provided that address the problems above and provide other benefits and advantages not possible with traditional injection molding techniques. These and other aspects, features, and advantages will be better appreciated upon review of the detailed description set forth below taken in conjunction with the accompanying drawing figures, which are briefly described as follows. BRIEF DESCRIPTION OF THE DRAWINGS [0008] FIG. 1 is a perspective partially sectioned view of a press-in-place gasket that embodies principles of the invention in one preferred form. [0009] FIG. 1 a is a cross sectional view of a press-in-place gasket having an alternate exterior profile according to an aspect of the invention. [0010] FIG. 2 is a cross-sectional view of a cross-head injection molding apparatus configured to extrude press-in-place gasket stock according to methods of the invention. [0011] FIG. 3 is a simplified schematic of a bending apparatus forming a press-in-place gasket from the extruded stock according to principles of the invention. [0012] FIG. 4 is an enlarged view of a computer controlled bender head of the bender illustrating control of the head to bend the press-in-place gasket stock to form a press-in-place gasket of a desired shape. [0013] FIG. 5 is a plan view illustrating one technique for bonding cut ends of a press-in-place gasket to form a continuous gasket according to the invention. [0014] FIG. 6 is a cross sectional view showing a press-in-place gasket according to the invention disposed between a pair of mating surfaces forming a seal therebetween. DETAILED DESCRIPTION [0015] Referring now in more detail to the drawing figures, wherein like reference numerals indicate like parts throughout the several views, FIG. 1 illustrates a length of press-in-place gasket stock that embodies principles of the invention on one preferred form. The gasket stock 11 comprises a core 12 that is made of a malleable or bendable material that holds its shape when bent. In the preferred embodiment, the material of the core can be aluminum; however, other materials such as mild steel, copper, other metals, or non-metals such as polymers and composites may be used so long as the core can be bent and retains its bent shape after bending. The core 12 in the illustrated embodiment has a rectangular cross sectional profile so that it is readily bendable in a direction transverse to the long dimension of the core but resists bending in a direction transverse to the short dimension of the core. In FIG. 1 , for instance, the core is readily bendable in the direction indicated by arrows 15 . It also is possible that the core be substantially square in cross section so that it is bendable along two orthogonal axes perpendicular to adjacent sides but resists bending in directions between these. Indeed, the core can be shaped to bend readily in more than two directions if desired for a particular gasket. In this way, press-in-place gaskets configurations in more than one plane can be formed. All such configurations are within the scope of the invention even though the exemplary embodiment is readily bendable in only one direction. [0016] The core is encased in a jacket 13 made of gasket material. The gasket material may be any compressible material suitable for use as a press-in-place gasket including, without limitation, a thermoset rubber, a polymer, an acrylic, a polyacrylic, an elastomer, a composite, or combinations thereof. As detailed below, the jacket 13 is extruded around the core 12 and is formed to exhibit an exterior profile of a desired shape. In the embodiment of FIG. 1 , for instance, the profile has a base 16 , side ribs 14 , and a top portion 17 . When pressed into a groove of a mating surface, the side ribs may compress against the walls of the groove to hold the gasket in place in the groove as the mating flange is secured against the mating surface. The gasket also may be formed with any other suitable exterior profile. For example, in Fig. la, a gasket 21 has a core 22 embedded within a jacket 23 . The jacket 23 is extruded to have an exterior profile with a bottom protrusion 26 , a top protrusion 27 formed of a pair of upwardly projecting ribs 28 , and side ribs 24 . In this embodiment, the side ribs 24 help hold the gasket in a groove while the bottom protrusion 26 and the upwardly projecting ribs bear against mating surfaces to form a seal. These and many other profiles of the gasket are possible depending upon application specific requirements, and all such profiles are intended to be within the scope of the invention. [0017] FIG. 2 illustrates a preferred methodology for forming press-in-place gasket stock according to an aspect of the invention. The gasket may be formed using a traditional cross-head extruder 31 . Such extruders are generally understood by the skilled artisan, and so need not be described in detail here. In general, however, the extruder 31 has a central opening through which a length of core material 32 is moved in the direction of arrow 29 . Jacket material, which may be a thermoset material, is fed, usually in strips, from a hopper (not shown) through the barrel 33 of an extruder by a screw 34 . In the process, the jacket material is heated until it becomes flowable. The jacket material is forced by the extrusion screw 34 through channels 38 in the extruder and through aligned channels in an extruder die 37 until it intersects with and encases the moving core 32 . In this regard, the rate of movement of the core 32 is controlled to correspond to the rate at which the jacket material moves through the die to minimize shear and promote good bonding between the jacket material and the material of the core. [0018] The die is formed to shape the jacket material with a desired exterior profile, such as those shown in FIGS. 1 and la for example, to form the metal core gasket stock of the invention. As the gasket stock leaves the die, it can be cooled by known methods to cure the thermoset jacket material. Alternatively, the gasket stock can be passed through a temperature controlled bath of hot salt water or other liquid so that the jacket material is not completely set or cured at this stage. With this alternative, gaskets later formed from the gasket stock as described below can be cured by annealing or otherwise after they are formed. In either event, the resulting gasket stock may be wound onto a bulk reel for storage and transport. [0019] FIG. 3 is a simplified schematic of an apparatus for forming press-in-place gaskets from gasket stock according to the methodology of the invention. The apparatus 41 is fed with gasket stock as described above from a reel 42 or other bulk store from which gasket stock can be drawn. The stock extends through a vice 44 that can be computer controlled to grip and release the gasket stock and, when gripping it, to move predetermined distances in a back and/or forth direction as indicated by arrow 36 . In this way, gasket stock can be controllably fed from the reel 42 through the apparatus in predetermined increments of predetermined sizes. The gasket stock 43 is fed by the vice through an alignment block 47 , which holds the stock straight as it is fed downstream to the right in FIG. 3 . Straightening rollers also may be incorporated in the alignment block or elsewhere to straighten the gasket stock 43 as it is drawn from the spiral reel. [0020] A bending head 48 is disposed just downstream of the alignment block 47 and the gasket stock 43 extends from the alignment block through the bending head 48 . With reference to FIG. 4 , the bending head in the illustrated embodiment comprises a rotatable platter 50 to which is attached a pair of arcuate bending dogs 52 and 53 . The bending dog 52 has an end 58 and the bending dog 53 has an end 59 . The platter 50 is controllably rotatable in the directions indicated by arrows 49 and the rotation of the platter is controlled by the computer or other controller that controls the vice 44 . A cylindrical anvil 51 is axially aligned with and is stationary with respect to the platter 50 . A slot 57 extends through the anvil 51 and each wall of the slot terminates in a downstream edge 55 . The slot 57 is sized to receive gasket stock 43 in such a way that the gasket stock is snug within the slot 57 but nevertheless moveable therethrough as the closed vice 44 advances the gasket stock incrementally as indicated at 46 . [0021] Referring to FIG. 4 , as the platter 50 and its bending dogs rotate in, for example, a counterclockwise direction indicated in 49 with a length of gasket stock 43 projecting from the slot 57 of the anvil 51 , the end 58 of bending dog 52 engages the gasket stock. As the platter 50 rotates further, the bending dog 52 causes the gasket stock to bend at the edge 55 of the slot 57 . The rectangular metal core of the gasket stock is oriented transverse to the direction of the bend. Thus, bending of the gasket stock in the direction shown in FIG. 4 (and the opposite direction as in bend 56 ) occurs readily and the resulting bend keeps its shape after the bending. The platter 50 and its bending dogs 52 and 53 are controllably rotatable in either direction and through any degree of arc so that a bend in the gasket stock of virtually any angle can be accomplished. [0022] To fabricate a press-in-place gasket of desired configuration, the desired finished shape of the gasket is established in a CAD or other electronic file. This file is received into the computer that is programmed to control the apparatus 41 and the computer carries out its program instructions to form the gasket according to the specification in the electronic file. More specifically, the computer controls the vice 44 to grip the gasket stock 43 and to move the stock in the downstream direction until the location of a desired bend in the stock aligns with the edges 55 of the anvil 51 . With the gasket stock so located, the computer then controls the platter 50 and thus the bending dogs 52 and 53 to rotate in the direction of the desired bend in the gasket stock. As the end of a bending dog engages the gasket stock, it begins to bend the gasket stock as shown in FIG. 4 . The computer rotates the platter through the appropriate arc to form a bend in the gasket stock having the angle specified in the electronic file. The bend may be slight or may be ninety degrees or more as required to conform to a flange slot into which the finished gasket is to be pressed. [0023] The vice is then controlled to move the gasket stock to the incremental location of the next desired bend and the platter and bending dogs form the next bend in a similar manner. It will thus be seen that a press-in-place gasket 54 is progressively formed having multiple bends that define a shape that corresponds to that specified in the CAD or electronic file. This is exemplified in FIG. 3 , for instance, where the press-in-place gasket 54 is seen taking shape with bends 56 of various angles having been created at the proper locations. [0024] Once the gasket shape is completed, the gasket stock is cut at the appropriate place to form a free end. This free end can then be joined to the opposite free end of the formed gasket to complete the continuous press-in-place gasket as illustrated in FIG. 5 . Any appropriate technique of joining the free ends can be used including bonding with adhesives, bonding with solvents, bonding with heat or, as in FIG. 5 , bonding through sonic welding. In the case of FIG. 5 , the free ends may be cut at an angle to increase the surface areas of the ends in contact when brought together. In the illustrated embodiment, an ultrasonic welding head 66 of an ultrasonic welder (not shown) can then apply ultrasonic energy to the ends to weld or fuse the gasket material together. It has been found that welding the jacket material and not joining the ends of the internal metal core helps to compensate for the differences in coefficients of expansion between the two materials when the gasket is in service. [0025] Regardless of the bonding technique, the result is a continuous press-in-place gasket that is ready to be pressed into a matching shape groove in a surface to form a seal, as shown in FIG. 6 . In this simplified example, part 71 has a surface 73 and part 72 has a mating surface 74 . The mating mating surface 74 is formed with a groove 77 having a shape determined by the part designer to seal the region between the two mating surfaces 73 and 74 . A press-in-place gasket previously formed by the methodology of the present invention has a shape that matches that of the groove 77 . Prior to bolting the parts 71 and 72 together, the press-in-place gasket is pressed into the groove 77 in the mating surface 74 of part 72 . The parts 71 and 72 are, in this example, bolted together with bolts 76 . As the surface 73 is tightened toward engagement with the mating surface 74 , the jacket 82 of the press-in-place gasket 79 is compressed and deformed so that the gasket forms a seal between the two surfaces. The metal core of the press-in-place gasket is sized so that it does not interfere with the compression of the jacket material. [0026] In view of the forgoing discussion, it now will be understood by the skilled artisan that press-in-place gaskets of virtually any shape and configuration can be formed quickly, reliably, and without the need to make injection molds in which to form the gaskets. Test gaskets of various configurations can be made to order and be immediately available to power train designers and others for testing various designs and gasket configurations for a particular purpose. Further, power train designers are now free to make changes in the designs of mating surfaces to be sealed right up until production; and press-in-place gaskets to accommodate these changes can be fabricated and made immediately available to designers at very little cost. This level of flexibility simply has not been available to power train designers and others in the past. [0027] The invention has been described herein in terms of preferred embodiments and methodologies that exemplify the invention and are considered by the inventors to represent the best modes of carrying out the invention. The skilled artisan will understand, however, that a wide gamut of additions, deletions, and substitutions, both subtle and gross, might well be made to the illustrated embodiments without departing from the spirit and scope of the invention, which is determined only by the claims. For example, while the disclosure is particularly applicable to gaskets for power trains of vehicles and other equipment, it may be applied to the formation of gaskets for virtually any press-in-place application. Such gaskets may be useful in the pluming industry, for sealing oil and gas pipeline sections, and many other applications. Thus, the invention is not limited to any particular end application. The particular bending head configuration illustrated herein is an example only and is not limiting. Bending devices of other configurations are within the scope of the invention so long as they meet the requirements detailed above for forming the press-in gasket stock into desired shapes. These and other modifications, both subtle and gross, are possible without departing from the scope of the invention exemplified above.
Gasket stock is disclosed having a rectangular metal core that is readily bendable in one direction and a deformable rubberized jacket coextruded with and encasing the metal core. A method of fabricating the gasket stock through a coextrusion process is disclosed. A method also is disclosed for forming a press-in-place gasket with the method including obtaining bendable gasket stock, incrementally advancing the gasket stock to predetermined positions in a bender, and bending the gasket stock at the predetermined positions along its length to form a desired shape of the press-in-place gasket. The bender is controlled by a computer to form the shape of the gasket according to a CAD or other electronic file. Finally, a method of supplying press-in-place gaskets and accommodating design changes of such gaskets is disclosed.
BACKGROUND OF THE INVENTION 1. Field of the Invention The invention generally relates to processors and, in particular, to processors employing power-saving modes. 2. Description of the Related Art Typical microcontrollers include processors that have power-saving modes (e.g., idle and powerdown modes). These modes are power reduction modes for use in applications where power consumption is a concern. User-programmed software instructions activate these modes by writing to a power saving register. The program execution halts, but resumes when the power saving mode is exited by an external interrupt or hardware reset. FIG. 1 illustrates a power saving mode for a sequential processor 1 that is implemented in an Intel microcontroller (MCS 51). In this Intel Architecture the core processor utilizes machine cycles, each cycle including six states (i.e., S1-S6). Each state includes a first phase and a second phase. The phase one clock (PH1) and the phase two clock (PH2) provides two non-overlapping clocks for use by the computer system. Using non-overlapping clocks in a microprocessor architecture is well known in the art. FIG. 1 also illustrates software code that includes a plurality of instructions. In the MCS a power saving instruction (e.g., powerdown instruction) is executed in state four (S4) of the machine cycle. Once the powerdown instruction has been executed, the phase clocks (PH1 and PH2) are frozen in the state in which they were when the power saving instruction was executed. Since the phase clocks are both frozen, the program execution halts. An external source (i.e., a hardware reset or a external interrupt) must be utilized to bring the processor out of the power saving mode. Taking the case of an external interrupt, once an external interrupt is detected, the phase clocks are restarted first, completing its current machine cycle, before branching to an interrupt service routing (ISR). The first instruction in the ISR is the first instruction executed by the processor when it comes out of the power saving mode. Please note that the first instruction is the first instruction being executed since the power saving instruction. After the instructions in the ISR are executed, the last instruction in the ISR is a return from interrupt instruction. The return passes control back to the software code that was running before the power saving mode and branch to the ISR. Program execution of the software code resumes at the next instruction (i.e., the instruction immediately following the power saving instruction). This Intel Architecture for the MCS has the following attributes. First, the phase clocks are stopped (i.e., frozen) within the power saving instruction cycle. Second, if wake-up from a power saving mode is accomplished by an interrupt, the next instruction executed is guaranteed to be the first instruction in the corresponding ISR. Third, upon return from the ISR, the instruction, immediately following the power saving instruction, is guaranteed to be executed next. Thus, this architecture provides both predictability and ensures uniformity in both the wake-up from a power saving mode and also the return from an ISR. FIG. 2 illustrates a pipe-lined processor 3 in which instructions are segmented into stages for processing, and the stages of different instructions are overlapped (i.e., stages of different instructions are processed concurrently). For example, a first instruction (e.g., power saving instruction) includes three stages: a Fetch stage, a Decode and Read stage, and an Execute and Write-Back stage. In the first state (S1), the processor fetches the first instruction In state two (S2), the processor decodes the first instruction while fetching the second instruction. In S3, the processor concurrently executes the first instruction, decodes the second instruction and fetches the third instruction. As can be seen from FIG. 2, the phase clocks are not frozen until the processor processes the Execute and Write-back stage of the third instruction (i.e., clocks are frozen in some state after S3). The phase clocks are frozen in some state after S3 because there is a delay in setting the bit set in power saving register. Although this pipe-lined processor improves performance of the processor, the pipe-lined nature of the processor introduces uncertainty as to 1) when the clocks are frozen, 2) which instruction is executed upon wake-up from power saving modes and also 3) which instruction is executed upon a return from the interrupt service request (i.e., MCS51 attributes are no longer guaranteed). For example, if the second instruction is an instruction that executes and completes in one stage, the second instruction will be executed before the phase clocks are frozen. In this case, upon wake up by an external interrupt, the first instruction in the ISR 37 occurs after the second instruction or later. Moreover, when the ISR 37 returns to the software code, a third instruction is the next instruction executed. As can be seen from FIG. 2, in a pipelined processor the last instruction in the software code, before going into a power saving mode and branching to the ISR and the instruction after which it returns, depends upon the complexity of the second instruction (i.e., the number of stages required by the second instruction to complete). Thus, the branch to the ISR may occur after the power saving instruction, after a second instruction, or even a third instruction (as shown) depending on what the second and third instructions are. In the example illustrated in FIG. 2, the power save bit is set in State 5 (S5). In State 6 (S6) the second instruction is executed. In State 7 (S7), the execution and write, associated with the third instruction, is carried out. Upon wake-up in State 8 (S8), the execution and write, associated with the third instruction, is completed. Thus, in this example, the branch to the ISR occurs during the third instruction, and the return from ISR occurs before the fourth instruction. This is very undesirable because the computer system behaves unpredictably, since this behavior is code specific (i.e., it can change for different software programs and even within different sections of the same program). Accordingly, there is a need for a method and apparatus to provide predictability and uniformity in 1) when the program execution halts, 2) which instruction is executed upon wake-up and 3) which instruction is executed after a return from the ISR in a pipelined processor. One proposed solution is to provide additional circuitry in the processor to detect a power saving command (i.e., idle and powerdown). The additional circuitry in the processor detects and decodes these power saving commands and correspondingly flushes any following commands before the branch to the ISR. One disadvantage of this approach is that additional circuitry is required to treat the power saving command as a special hardware event. Additional circuitry is necessary to decode this special instruction and all the addressing modes for the operands of this special command. These addressing modes includes register addressing (where the instruction specifies the register which contains the operands), immediate addressing (where the instruction contains the operand), direct addressing (where the instruction contains the operand address), indirect addressing (where the instruction specifies the register containing operand addresses), displacement addressing (where the sum of register and the assigned offset specified by the instruction is the operand address), relative addressing (where the instruction contains a relative offset from the next instruction to target the address) and the bit addressing (where the instruction contains the bit address). Accordingly, additional circuitry is required to decode each of the above-noted cases. In addition, circuitry is further required for flushing the following commands that are in the pipeline at the time of entering a power saving mode. This process of flushing commands is complex and requires its own overhead. Consequently, there is a need for a method and apparatus for providing power saving modes to a pipeline microprocessor that ensures uniformity and predictability upon wake-up and return from ISR without the problems noted in the proposed solution. BRIEF SUMMARY OF THE INVENTION The present invention provides a method and apparatus for providing power saving modes to a pipelined microprocessor and for guaranteeing that the power saving instruction is the last instruction to be executed before the clocks are frozen, that upon wake-up, the next instruction executed is the first instruction in the interrupt service routine (ISR), and that upon return from the ISR, the instruction immediately following the power saving instruction is executed. The present invention implements the following processing steps. First, a write to a power saving mode register (PCON SFR) is detected. Once this write to the power saving mode register is detected, the present invention stalls the processor. Next, a further determination is made of whether or not a powerdown bit or an idle bit is set as a result of the write. If either of these bits are set, the present invention continues to stall the processor and begins to freeze the clocks with PH1 low and PH2 high. If neither of these bits are set, the present invention restarts (i.e., ceases to stall) the processor. A further determination is made whether or not an interrupt request is active, and the powerdown bit or the idle bit is clear. If both of these conditions are true, the present invention first restarts the clocks and then ceases to stall the processor. If either of these conditions are not true, the present invention continues to stall the clocks and the processor until both of these conditions become true. In one embodiment, the present invention is implemented in a clock and reset unit. A power saving mode register is provided in the clock and reset unit for initiating a power saving mode. For example, a software programmer selects a particular power saving mode by setting a corresponding bit in this register (i.e., writing a predetermined value to this register). The present invention includes a processor stall circuitry for generating a signal that indicates to the processor that a peripheral (i.e., a clock and reset unit (CRU)) is not ready to process a processor's request. The processor inserts wait states (i.e., is stalled) until the CRU is ready. The CRU is provided a signal from an interrupt handler. This signal indicates that the processor has received the interrupt request and is going to branch to the ISR at the very next instruction. In response to this signal, the CRU deasserts a not-ready request signal to the CPU, which resumes processor execution. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 illustrates how a power saving mode is implemented in a sequential machine. FIG. 2 illustrates problems associated with implementing a power saving mode in a pipelined machine. FIG. 3 illustrates a block diagram of a general computer system in which the present invention may be implemented. FIG. 4 illustrates a block diagram of the critical blocks and signals which are used by the present invention. FIG. 5 illustrates in greater detail the functional blocks of the clock and reset unit of FIG. 4. FIG. 6 illustrates in greater detail the functional blocks of the Power Save Control block of FIG. 5. FIG. 7 illustrates a state diagram for the processor stall circuit of FIG. 6. FIG. 8 illustrates a circuit for generating the PWRDNOUTA1 signal and the IDLEOUTA1 signal. FIG. 9 illustrates a timing diagram of the state machine of FIG. 7 entering the idle mode. FIG. 10 illustrates a timing diagram of the state machine of FIG. 7 exiting the idle mode. FIG. 11 a timing diagram of the state machine of FIG. 7 entering the powerdown mode. FIG. 12 illustrates a timing diagram of the state machine of FIG. 7 exiting the powerdown mode. FIG. 13 illustrates a flow chart of the processing steps implemented by the present invention. FIG. 14 illustrates a timing diagram and the processing steps for several pipeline stages of a pipelined processor that implements the teachings of the present invention. DETAILED DESCRIPTION OF THE INVENTION Referring to the figures, exemplary embodiments of the invention will now be described. The exemplary embodiments are provided to illustrate the aspects of the invention and should not be construed as limiting the scope of the invention. The exemplary embodiments are primarily described with reference to block diagrams or flowcharts. As to the flowcharts, each block within the flowcharts represents both a method step and an apparatus element for performing the method step. Depending upon the implementation, the corresponding apparatus element may be configured in hardware, software, firmware or combinations thereof. FIG. 3 illustrates a block diagram of a microcontroller in which the present invention may be implemented. A microcontroller 51 includes a Processor Core 53 that is coupled to a System Bus and I/O Ports Interface 55 and Read Only Memory (ROM) 57 for storing program instruction via a memory data bus and a memory address bus. The Processor Core 53 is also coupled to Random Access Memory (RAM) 59 for storing data through data bus 73 and a data address bus 75. Microcontroller 51 also includes a plurality of peripherals 61 (e.g., a Watchdog Timer/63, timer and counters 65, a programmable counter array 67 (PCA), and a serial I/O port 69. These peripherals 61 are coupled to the Processor Core 53 via a Peripheral Interface Unit (PIU) 77 and an Interrupt Handler Unit (IHU) 81. The peripherals 61 are coupled to the Peripheral Interface Unit 77 and the Interrupt Handler Unit 81 through an internal bus (IB) 71 and an Interrupt Request (IREQ) bus, respectively, as shown in FIG. 4. The PIU 77 acts as a bridge between the IB 71 and a data bus 73 and a data address bus 75. The IHU 81 receives interrupts from the peripherals and other devices on the IB 71 and communicates with an Instruction Sequencer 79 that is part of the Processor Core 53. The Processor Core 53 also includes an Arithmetic Logic Unit (ALU) 85, a Register File 87 and Data Memory Interface 89. These blocks, as well as the other blocks shown in the Processor Core 53, are known in the art and will not be described further. The present invention may be implemented in a Clock and Reset Unit (CRU 83 that is coupled to the IB 71. The CRU 83 provides a synchronized hardware reset and also clock signals to the whole computer system. FIG. 4 illustrates a block diagram of the critical blocks and signals which are used by the present invention. As mentioned previously, the CRU 83 generates and provides a number of clock signals to the computer system (e.g., PH1 93, PH2 95, PH1S 97, PH2S 99, PH1C 101, PH2C 103). The CRU 83 also generates a P -- RDYu1 signal 105 to the Peripheral Interface Unit (PIU) 77. This signal 105 is reflected to the processor 53 as D -- RDYZZ -- N 121 and indicates to the processor 53 whether or not the CRU 83 is ready to process data from the Processor 53. The CRU 83 includes a Power Save Register 84 that is accessible to software. By writing predetermined values in the power Save Register 84 the computer system enters the corresponding power saving mode. How a software instruction brings the computer system into a power saving mode is now described. First, the power saving instruction (i.e., data to be written into the power save register 84) is sent from the processor 53 to the PIU 77 by employing data bus (DDZ2X) 107 and address bus DAQ2X 109. The PIU 77 then initiates a special function register (SFR) bus cycle with SFR 83 address on IB bus 71 and asserts the lB2ARSQ1 -- N signal 111. The PIU 77 then provides the data to be written to the power save register 84 onto the internal bus (IB) 71 and asserts the IB2ARQ1 -- N signal 113 that writes the data on the IB 71 into the power save register 84. The PIU 77 asserts an AR2IBQ1 -- N signal 115 to read data from a special function register (SFR). In response to the signal 115, the CRU 83 provides the data from the selected SFR to the IB 71. Data being written to the power saving register is decoded by the CRU 83. If the power saving bit is set, the CRU 83 asserts the P -- RDYu1 signal 105 and provides the signal 105 to the PIU 77. This signal 105 indicates to the processor 53 that the CRU 83 needs more time to process the data. The processor 53 treats this as a wait state request and continues to stay within the power saving instruction (i.e., the instruction is writing to the power save register 84). The clocks are stopped within a few states of setting the power saving bit. Once the processor 53 is in a power saving mode, an external interrupt may wake the processor 53 up. For example, external interrupts INT0 123 and INT1 125 are provided both to the CRU 83 and also to an Interrupt Handling Unit (IHU) 81. The leading edge of either of these external interrupts restarts the clock. At the trailing edge of the external interrupt, the P -- RDYu1 signal 105 is deasserted when it is guaranteed that the external interrupt request has been posted to the CPU 53. The IHU 81 indicates to the CRU 83 that an external interrupt request is posted via an asserted INTXSETq2 signal 129 for power down mode or INTRq2 signal for idle mode. When the P -- RDYu1 signal 105 is deasserted, the CPU 53 exits the power saving instruction which was halted by the CRU 83. Given that the CPU 53 processes an interrupt request at the instruction boundary, the requested interrupt will be processed at the boundary of the power saving instruction. The INTRq2 signal 127 is also generated in response to an external interrupt provided to the IHU 81 (before P -- RDYu1 is deasserted). This signal 127 is provided to the CPU 53 to request that an interrupt be processed. In response, the CPU 53 provides an INTA signal 131 to the IHU 81 when processor 53 begins to execute the ISR, corresponding to that interrupt. This signal 129 is used by a state machine (hereinafter also referred to as a processor stall signal generator state machine) inside the CRU 83 in determining when to deassert the P -- RDYu1 signal 105. This processor stall signal generator state machine will be described further hereinafter with respect to FIGS. 7-11. FIG. 5 illustrates the functional blocks in the CRU 83. The CRU 83 includes an Oscillator 143, coupled to a Divide by 2 and Phase Synchronizer 145 that is further coupled to a Non-overlapping Clock Buffer 147. The Oscillator 143 in conjunction with the Phase Synchronizer 145 and a Non-Overlapping Clock Buffer 147 produce the clock signals for the computer system. The CRU 83 also incudes a Reset Logic 151 for receiving a Reset signal 152. This Reset Logic 151 is coupled to a Reset Synchronizer 153 that generates a synchronized reset signal (POCq2) 154. The CRU also includes a Power Save Control Block 155 for receiving the INTXSETq2 129, the INTIN0 -- n and the INTIN1 -- n (i.e., external interrupts) and also a powerdown signal 130 and an Idle signal 132. A state machine within the power save control block 155 generates an IDL -- REQq1 135, a PWRDN -- REQq1 signal 137, an INT0 -- n signal 139, an INT1 -- n signal 141 and the P -- RDYu1 signal 105. The CRU 83 also includes a Data Flow Control Block 157 that includes the Power Save Register 84. The data flow control block 157 interfaces the power save control block 155 with the IB 71. The power save control block 155 will be described in greater detail with respect to FIG. 6. FIG. 6 illustrates the functional blocks in the power save control block 155. The Power Save Block 155 includes Phase Enable Circuitry 161, Power Save Request Circuitry 169, CPU Stall Circuitry 175 and miscellaneous combinational logic and latches 185. A Processor Stall Signal Generator 175, generates the P -- RDYu1 signal 105. The Processor Stall Signal Generator 175 receives the following signals: I -- PDQ1 signal 162, I -- PDQ1 signal 164, INTRQ1 signal 176 and the IB2ARq1 -- N signal 178. The I -- PDQ1 signal 162 reflects the status of a bit in the power save register (i.e., PCON) 84 that indicates a powerdown mode. Similarly, the I -- IDLEQ1 signal 164 represents the status of another bit in the power save register 84 that indicates an idle mode. The INTRQ1 signal 176 is similar in functionality to the INTXSETQ1 signal 129, illustrated in FIG. 5. This signal 176 indicates to a Processor Stall Signal Generator 175 that an interrupt request is active (i.e., the IHU 81 has requested an interrupt with the processor). The IB2ARQ1 -- N signal 178, as discussed previously, is a control signal provided by the PIU 77 to indicate to the CRU 83 that a write to a special register (in this case, the PCON register) is being initiated. Processor Stall Signal Generator 175 can be implemented as a state machine. FIG. 7 illustrates a state machine diagram 200 for the Processor Stall Signal Generator 175 of FIG. 6. The output of the Processor Stall Signal Generator 175 is the P -- RDYu1 signal 105. The Processor Stall Generator 175 generates the output signal, I -- PRDYQ1 -- n 201. This signal 201 is gated with the gated -- PH2S -- N signal 202 via the flip-flop circuitry 198 to generate the P -- RDYu1 signal 105. The Processor Stall Signal Generator state machine diagram 200 has four states: a NORMAL state 203, a PCONWRITE state 205, a PWRDNMODE state 207, and an IDLEMODE state 209. The Processor Stall Signal Generator 175 is reset into the NORMAL state 203 by the I -- RSTVLATEQ1 signal 204. If a write (IB2ARQ1 -- n signal 178 asserted) is detected to the PCON register (I -- DECODEQ1 -- n# signal 204 is asserted), then Processor Stall Signal Generator 175 transitions from the NORMAL State 203 to the PCONWRITE state 205. If the powerdown bit is set in the PCON register (i.e., I -- PDQ1 signal 162 is asserted), then the Processor Stall Signal Generator 175 transitions from the PCONWRITE State 205 into the PWRDNMODE state 207. If the idle bit in the PCON register is set (i.e., the I -- IDLEQ1 signal 164 is asserted), then Processor Stall Signal Generator 175 transitions from the PCONWRITE State 205 to the IDLEMODE state 209. If neither the powerdown bit nor the idle bit is set, state machine 200 transitions from the PCONWRITE State 205 back to the NORMAL State 203. Once in the PWRDNMODE state 207, Processor Stall Signal Generator 175 stays in the PWRDNMODE state 207 until the PWRDNOUTA1 signal 208 is asserted. How this signal 208 is generated will be described in detail hereinafter with reference to FIG. 8. Once Processor Stall Signal Generator 175 is in IDLEMODE State 209, it continues in IDLEMODE State 209 until IDLEOUTA1 signal 210 is asserted. The details of how IDLEOUTA1 is generated will be described hereinafter with reference to FIG. 8. FIG. 8 illustrates a circuit 214 for generating the PWRDNOUTA1 signal 208 and the IDLEOUTA1 signal 210. The circuit 214 includes 215, 221, 217, 223, and a number of inverters. PWDNOUTA1 signal 208 is generated when the I -- PDQ1 signal 162 is cleared (i.e., the powerdown bit in the PCON register is cleared), and the interrupt set signal (I -- INTXSETQDQ1) 129 is active (indicating that an interrupt request has been generated by the IHU to the processor). The IDLEOUTA1 signal 210 is generated when the I -- IDLEQ1 signal 164 is low (i.e., the idle bit in the PCON is cleared), and the interrupt request (i.e., I -- INTRQ1) is active. The output of the state machine is PRDYYQ1 -- n which feeds into the pull down component, illustrated in FIG. 7, to generate P -- RDYu1 on PH2SI. FIG. 9 illustrates a timing diagram of State Machine 200 transition from the Normal State 203 to the PCON Write State 205 into the Idle Mode State 209. The I -- DECODEQ1 -- n signal 204 and the IB2ARQ1 -- n signal 178 trigger P -- RDYu1 signal 105 to be de-asserted. Moreover, signal 204 and 178 transition the PD state 1 from normal into PCON write state. As a data one is written from the IBU1X bus, this sets the I -- IDLEQ1 signal 164 that transitions the PD state 1 into the idle mode. FIG. 10 illustrates a timing diagram showing the State Machine 200 exiting idle mode. The INTRQ2 signal 176 and I -- IDLEQ signal 164 cause the IDLEOUTA1 signal to be asserted. The IDOUTA1 signal in turn transitions the state machine from the idle mode state into the normal state and asserts the I -- PRDYQ1 -- n signal. FIG. 11 illustrates a timing diagram of State Machine 200 entering into the powerdown mode state. As in the idle mode case, the IB2ARSQ1 -- n signal 178 and the IDCODEQ1 -- n signal 204 trigger the P -- RDYu1 signal 105 to be asserted. As the P -- RDYu1 signal 105 becomes de asserted, it stalls the processor. When a value of two is written from the IBU1X into the PCON register, the State Machine 200 transitions from the PCON write state into the powerdown mode state. FIG. 12 illustrates a timing diagram of how state machine 200 exits the powerdown mode state. First, the IPDQ1 signal 162 becomes de-asserted, and the INTXSETQ1 signal 129 is asserted. This causes PWRNDOUtA1 signal 208 to become asserted. The asserted PWRDNOUT1 signal 208 triggers the transition from the powerdown mode into a normal state and also causes the P -- RDYu1 signal 105 to become de-asserted. FIG. 13 illustrates the processing steps implemented by the present invention. First, a determination is made of whether or not a write to a PCON SFR is detected (decision block 230). If no, the processing returns to node A. If yes, the present invention stalls the processor (processing step 234). Next, a determination is made of whether or not the PCON powerdown or idle bit is set as a result of the write (decision block 238). If not, the present invention ceases to stall the processor (node A). If yes, the present invention continues to stall the processor and freezes the clocks (processing step 242). A further determination is made of whether or not an interrupt or reset has been detected (decision block 243). If no, the present invention continues to stall the processor and to freeze the clocks (processing step 242). If yes, the present invention enables the clocks, and the docks resume their operation (processing step 244). After the clocks have resumed, a further determination is made of whether or not an interrupt request is active, and the powerdown or idle bit is clear (decision block 246). If no, the present invention continues to stall the processor (processing step 244). If yes, the present invention ceases to stall (i.e., restarts) the processor (processing step 248). Then, processing continues to node A. FIG. 14 illustrates the processing that takes place in several pipeline stages of a pipelined processor that implements the teachings of the present invention. The present invention asserts the not-ready request at State 6 (S6). This asserted not-ready request keeps the processor in the write stage, associated with the first instruction. When the clocks freeze in State 7 (S7), the processor is still in the execution and write of the first instruction. Upon wake-up, the present invention insures that the processor is still in the execution and write, associated with the first instruction. Thus, the present invention insures those desirable attributes discussed previously. A variety of hardware and software functions have been described herein. Depending upon the implementation, many of the hardware functions may be emulated using software. Likewise, software functions may be performed using hardware components having hardwired circuitry configured to perform the functions. In other implementations, some of the hardware or software functions may be configured using firmware or other computer system implementation technologies. The exemplary embodiments described herein are provided merely to illustrate the principles of the invention and should not be construed as limiting the scope of the invention. Rather, the principles of the invention may be applied to a wide range of systems to achieve the advantages described herein and to achieve other advantages or to satisfy other objectives as well.
A clock and reset unit for providing power saving modes to a pipelined microprocessor and for guaranteeing that power saving instruction is the last to be executed before the clocks stop, upon wake-up the next instruction executed is the first instruction in the interrupt service routine (ISR) and that upon return from the ISR, the instruction immediately following the power saving instruction is executed. A register is provided in the clock and reset unit for initiating a power saving mode. A software programmer selects a particular power saving mode by setting a corresponding bit in this register (i.e., writing a predetermined value to this register). A processor stalling signal generator for generating a signal that indicates to the processor that the peripheral is not ready to process a processor request (thereby causing the processor to insert wait states until the peripheral is ready) is provided. The clock and reset unit is also provided a signal from an interrupt handler indicating that the processor will be executing the ISR upon leaving the power save instruction. In response to this signal, the clock and reset unit de-assert the wait state request and brought the processor out of the power saving instruction.
FIELD OF THE INVENTION [0001] The present invention relates to a lift mechanism for a pedestal/heater assembly in a process chamber for semiconductor substrates. More particularly, the present invention relates to a pedestal/heater assembly lift mechanism which includes a direct lead drive screw for transmitting driving rotation directly from a drive motor to a pedestal/heater assembly. BACKGROUND OF THE INVENTION [0002] The fabrication of various solid state devices requires the use of planar substrates, or semiconductor wafers, on which integrated circuits are fabricated. The final number, or yield, of functional integrated circuits on a wafer at the end of the IC fabrication process is of utmost importance to semiconductor manufacturers, and increasing the yield of circuits on the wafer is the main goal of semiconductor fabrication. After packaging, the circuits on the wafers are tested, wherein non-functional dies are marked using an inking process and the functional dies on the wafer are separated and sold. IC fabricators increase the yield of dies on a wafer by exploiting economies of scale. Over 1000 dies may be formed on a single wafer which measures from six to twelve inches in diameter. [0003] In the semiconductor production industry, various processing steps are used to fabricate integrated circuits on a semiconductor wafer. These steps include the deposition of layers of different materials including metallization layers, passivation layers and insulation layers on the wafer substrate, as well as photoresist stripping and sidewall passivation polymer layer removal. In modern memory devices, for example, multiple layers of metal conductors are required for providing a multi-layer metal interconnection structure in defining a circuit on the wafer. A current drive in the semiconductor device industry is to produce semiconductors having an increasingly large density of integrated circuits which are ever-decreasing in size. These goals are achieved by scaling down the size of the circuit features in both the lateral and vertical dimensions. Vertical downscaling requires that the thickness of conductive and insulative films on the wafer be reduced by a degree which corresponds to shrinkage of the circuit features in the lateral dimension. Ultrathin device features will become increasingly essential for the fabrication of semiconductor integrated circuits in the burgeoning small/fast device technology. [0004] Chemical vapor deposition (CVD) processes are widely used to form layers of materials on a semiconductor wafer. CVD processes include thermal deposition processes, in which a gas is reacted with the heated surface of a semiconductor wafer substrate, as well as plasma-enhanced CVD processes, in which a gas is subjected to electromagnetic energy in order to transform the gas into a more reactive plasma. Forming a plasma can lower the temperature required to deposit a layer on the wafer substrate, to increase the rate of layer deposition, or both. Other CVD processes include APCVD (atmospheric pressure chemical vapor deposition), and LPCVD (low pressure chemical vapor deposition). While APCVD systems have high equipment throughput, good uniformity and the capability to process large-diameter wafers, APCVD systems consume large quantities of process gas and often exhibit poor step coverage. Currently, LPCVD is used more often than APCVD because of its lower cost, higher production throughput and superior film properties. LPCVD is commonly used to deposit nitride, TEOS oxide and polysilicon films on wafer surfaces for front-end-of-line (FEOL) processes. [0005] A conventional CVD chamber 30 , such as a Centura DxZ CVD chamber available from Applied Materials, Inc., of Santa Clara, Calif., is shown in cross-section in FIG. 1. The CVD chamber 30 includes a pedestal/heater assembly 31 having a pedestal 32 which contains a heater 92 and has a wafer supporting surface 34 on which a wafer 36 to be subjected to the CVD process is supported. Lift pins 38 are slidably mounted in the pedestal 32 , and the lower ends of the lift pins 38 are engaged by a vertically movable lift ring 39 which extends the lift pins 38 from the surface 34 of the pedestal 32 . The pedestal/heater assembly 31 is vertically movable by actuation of a mechanism which will be hereinafter described. After a robot blade (not shown) transfers the wafer 36 into the chamber 30 , the lift pins 38 initially lift the wafer 36 off the robot blade and the pedestal 32 then raises the wafer 36 from the lift pins 38 and onto the supporting surface 34 . [0006] The pedestal 32 further raises the wafer 36 into close proximity to a gas distribution plate (GDP) or “showerhead” 40 which includes passageways 42 that dispense a process gas into a processing space 56 towards the wafer 36 . The process gas is initially injected into the chamber 30 through a central gas inlet 44 in a gas-feed cover plate 46 , into a disk-shaped manifold 48 , through passageways 50 in a baffle plate 52 , through a second disk-shaped manifold 54 in the rear portion of the showerhead 40 , and finally, through the passageways 42 in the showerhead 40 . The process gas reacts with the surface of the wafer 36 to deposit the material in a layer on the wafer 36 . Unreacted process gas and reaction byproducts flow radially outwardly to an annular pumping channel 60 that surrounds the upper periphery of the pedestal 32 . The pumping channel 60 is connected through a constricted exhaust aperture 74 to a pumping plenum 76 , and a valve gate 78 gates the exhaust through an exhaust vent 80 to a vacuum pump 82 . Accordingly, the process gas and its reaction byproducts flow from the center of the showerhead 40 across the surface of the wafer 36 and toward the periphery of the pedestal 32 along radial paths, and then to the pumping channel 60 through a choke aperture 62 . The gas then flows circumferentially in the pumping channel 60 , to the exhaust aperture 74 and then through the exhaust plenum 76 and the exhaust vent 80 , respectively, to the vacuum pump 82 . Because of restrictions 62 , 74 , in the gas flow path, the radial flow of the gas across the wafer 36 is nearly uniform in the horizontal direction. [0007] The CVD chamber 30 is capable of operation in either of two modes, a thermal mode and plasma-enhanced mode. In the thermal mode, an electrical power source 90 supplies power to the heater 92 in the top portion of the pedestal 32 to heat the pedestal 32 , and thus, the wafer 36 to a temperature sufficient to thermally activate the CVD reaction. In the plasma-enhanced mode, an RF electrical source 94 is passed by a switch 96 to the metallic showerhead 40 , which thus acts as an electrode. The showerhead 40 is electrically insulated from the lid rim 66 and the main chamber body 72 by an annular isolator ring 64 , which is typically formed of an electrically non-conductive ceramic. The pedestal 32 is connected to a biasing element 98 associated with the RF source 94 such that RF power is split between the showerhead 40 and the pedestal 32 . Sufficient voltage and power is applied by the RF source 94 to cause the process gas in the processing space 56 between the showerhead 40 and the pedestal 32 to discharge and form a plasma. [0008] A schematic view of a conventional lift mechanism 84 for the pedestal/heater assembly 31 is shown in FIG. 2 and includes a drive motor 85 that directly engages a drive pulley 86 . A driven pulley 88 is provided on the bottom end portion of a threaded lead screw 89 that threadibly engages the pedestal/heater assembly 31 . A drive belt 87 trained around the drive pulley 86 and the driven pulley 88 connects the drive motor 85 to the lead screw 89 in parallel. Thus, the drive belt 87 transmits rotation from the drive motor 85 to the lead screw 89 to facilitate raising and lowering along the Y-axis of the pedestal/heater assembly 31 in the CVD chamber 30 . [0009] The conventional belt-driven lift mechanism 31 has several drawbacks, one being inordinate failure of the drive belt 87 to precisely transfer rotation from the drive pulley 86 to the driven pulley 88 and achieve the intended vertical or Y-axis translation of the pedestal/heater assembly 31 within the chamber 30 . This problem, usually caused by a gradual loss of elasticity in the drive belt 87 , results in non-uniform coating of the CVD material on the wafer 34 , as well as potential damage to the wafer transfer robot and scratching of and/or damage to the wafer 34 . This additionally causes activation of the re-homing alarm for the lift mechanism, requiring re-working and sometimes scrapping of the wafer 34 as well as downtime and maintenance or repair of the CVD process tool. Another drawback of the conventional belt-driven lift mechanism 31 is that the horizontal force exerted against the driven pulley 88 by the drive belt 87 causes excessive and premature wearing of a lead screw bearing (not shown) through which the lead screw 89 extends. Accordingly, a new and improved lift mechanism is needed for accurately, reliably and efficiently transmitting rotational force from a drive motor to a lead screw for a pedestal/heater assembly in a process chamber. [0010] An object of the present invention is to provide a new and improved lift mechanism for a pedestal/heater assembly in a processing chamber for semiconductor wafers. [0011] Another object of the present invention is to provide a new and improved pedestal/heater assembly lift mechanism for a process chamber, which pedestal/heater assembly is characterized by reliable and essentially trouble-free operation. [0012] Another object of the present invention is to provide a new and improved pedestal/heater assembly lift mechanism which is compatible with pedestal/heater assemblies of a variety of process chambers for substrates. [0013] Still another object of the present invention is to provide a new and improved pedestal/heater assembly lift mechanism for transmitting drive rotation directly from a drive motor to a lead screw for Y-axis movement of a pedestal/heater assembly. [0014] A still further object of the present invention is to provide a new and improved pedestal/heater assembly lift mechanism which is economical and efficient in operation. [0015] Yet another object of the present invention is to provide a new and improved pedestal/heater assembly lift mechanism which significantly improves product yield in the fabrication if integrated circuits on semiconductor wafer substrates. [0016] A still further object of the present invention is to provide a new and improved pedestal/heater assembly lift mechanism which utilizes a lead screw directly coupled to a drive motor to facilitate the selective raising and lowering of a pedestal/heater assembly in a process chamber. [0017] Yet another object of the present invention is to provide a new and improved lift mechanism for a pedestal/heater assembly in a process chamber, which lift mechanism may be retrofitted to existing pedestal/heater assemblies. SUMMARY OF THE INVENTION [0018] In accordance with these and other objects and advantages, the present invention is generally directed to a new and improved lift mechanism which is suitably adapted for raising and lowering a pedestal/heater assembly along a Y-axis inside a processing chamber for semiconductor wafer substrates. The pedestal/heater assembly lift mechanism includes a drive motor which is directly coupled through a shaft coupling to a threaded lead screw for rotating the lead screw in the clockwise or counterclockwise direction. The lead screw threadibly engages the pedestal/heater assembly for selectively raising and lowering the pedestal/heater assembly inside the processing chamber. Accordingly, the shaft coupling directly transmits rotation from the drive motor to the lead screw to facilitate raising or lowering of the pedestal/heat assembly without the possibility of slippage between the drive motor and the lead screw. [0019] The lift mechanism may further include a coupling support block having a coupling cradle for supporting the shaft coupling. The shaft coupling may include a motor shaft collar provided on a motor shaft engaged by the motor, a lead screw collar provided on the lead screw, and bottom coupling splines provided on the motor shaft collar and meshing with companion top coupling splines on the lead screw collar. A shaft bearing block, within which is mounted a shaft bearing through which the lead screw extends, may be provided on the coupling support block. An assembly mount block or flange may be provided on the shaft bearing block or other element of the lift assembly to facilitate retrofitting the lift assembly to a structural mounting element on the CVD or other process tool. BRIEF DESCRIPTION OF THE PREFERRED EMBODIMENTS [0020] The invention will now be described, by way of example, with reference to the accompanying drawings, in which: [0021] [0021]FIG. 1 is a cross-sectional view of a typical conventional CVD (chemical vapor deposition) chamber suitable for implementation of the present invention; [0022] [0022]FIG. 2 is a schematic view of a conventional lift mechanism for a pedestal/heater assembly of the CVD chamber shown in FIG. 1; [0023] [0023]FIG. 3 is an exploded, perspective view of a pedestal/heater assembly lift mechanism of the present invention; [0024] [0024]FIG. 4 is a perspective view of the assembled lift mechanism of the present invention; [0025] [0025]FIG. 5 is a top view of the lift mechanism; [0026] [0026]FIG. 6 is a side view of the assembled lift mechanism, mounted on a process tool in implementation of the present invention; and [0027] [0027]FIG. 7 is a schematic view of the lift mechanism in implementation of the invention. DESCRIPTION OF THE PREFERRED EMBODIMENTS [0028] The present invention has particularly beneficial utility in the selective raising and lowering of a pedestal/heater assembly inside a CVD chamber used in the deposition of material layers on a semiconductor wafer substrate, and is particularly suitable for raising and lowering a pedestal/heater assembly inside a Centura DxZ chamber available from Applied Materials, Inc., of Santa Clara, Calif. However, the invention is not so limited in application, and while references may be made to such CVD chamber, the invention is more generally applicable to raising and lowering pedestal assemblies or substrate supports in chambers used for carrying out other semiconductor fabrication processes or other industrial applications. [0029] Referring to FIGS. 3-7, an illustrative embodiment of the lift assembly of the present invention is generally indicated by reference numeral 1 and includes a drive motor 13 that drivingly engages a motor shaft 14 , as shown in FIG. 6. A typically aluminum coupling support block 2 , which may have a base portion 3 which engages the upper surface of the drive motor 13 , includes a vertical intermediate portion 5 which extends from the base portion 3 and a top portion 4 which extends horizontally from the intermediate portion 5 , in generally parallel relationship to the base portion 3 . A coupling cradle 6 is provided in the base portion 3 and intermediate portion 5 , and communicates with a top opening 7 that extends through the top portion 4 . The coupling cradle 6 further communicates with a bottom opening (not shown) which extends downwardly through the base portion 3 and receives the motor shaft 14 . A coupling access gap 12 , the purpose of which will be hereinafter described, is defined between the base portion 3 and the top portion 4 . [0030] As shown in FIG. 6, a shaft coupling 9 , contained in the coupling cradle 6 of the coupling support block 2 , couples the motor shaft 14 with the bottom unthreaded portion 16 of a lead screw 15 having a threaded portion 17 with lead screw threads 17 a above the unthreaded portion 16 . The motor shaft 14 engages a bottom motor shaft collar 10 of the shaft coupling 9 , whereas the unthreaded lower end portion 16 of the lead screw 15 engages a top lead screw collar 11 of the shaft coupling 9 . The motor shaft collar 10 includes multiple drive splines 10 a which mesh with multiple companion driven splines 11 a on the lead screw collar 11 of the shaft coupling 9 . It is understood that the shaft coupling 9 may have alternative configurations known by those skilled in the art for coupling the drive collar 14 to the lead screw 15 . As shown in FIG. 7 and hereinafter further described, the threaded portion 17 of the lead screw 15 threadibly engages a pedestal/heater assembly 28 of a CVD or other processing chamber (not shown), in conventional fashion. [0031] A typically rectangular shaft bearing block 19 , which may be aluminum, is typically mounted on the upper surface of the top portion 4 of the coupling support block 2 , typically using multiple block mount bolts (not shown) which extend downwardly through respective mount bolt openings 21 in the shaft bearing block 19 and are threaded into respective bolt openings 8 in the top portion 4 . A central bearing opening 20 extends vertically through the shaft bearing block 19 . A cylindrical shaft bearing 18 , through which extends the unthreaded portion 16 of the lead screw 15 , is seated in the bearing opening 20 . A typically rectangular bearing retainer plate 24 is mounted on the upper surface of the shaft bearing block 19 , typically by extending multiple plate mount bolts (not shown) through respective mount bolt openings 25 provided in the bearing retainer plate 24 and threading the plate mount bolts into respective mount bolt openings 25 a in the shaft bearing block 19 , to retain the shaft bearing 18 in the bearing opening 20 . The lead screw 15 extends upwardly through a central plate opening 24 a provided in the bearing retainer plate 24 , as shown in FIG. 4. [0032] An assembly mount block 22 which may be aluminum may be welded or otherwise attached to the shaft bearing block 19 to facilitate mounting the lift assembly 1 to the CVD or other process tool (not shown). The assembly mount block 22 may include multiple mount bolt openings 23 in opposite ends thereof which receive respective mount bolts (not shown) that are threaded into a structural mounting element 27 (FIG. 6) of the process tool. [0033] Referring next to FIG. 7, in operation the lift assembly 1 is used to raise and lower a pedestal/heater assembly 28 along the Y-axis inside a processing chamber such as a CVD chamber (not shown), for such purposes as lifting a wafer (not shown) from wafer lift pins (not shown) onto the pedestal/heater assembly 28 and positioning the wafer into proximity with a plasma or gas in the processing chamber, as heretofore described with respect to FIGS. 1 and 2 in the background section. Accordingly, raising of the pedestal/heater assembly 28 is facilitated by clockwise or counterclockwise rotation of the lead screw 15 , depending on whether the lead screw 15 has right-handed or left-handed threads 17 a , by operation of the drive motor 13 as the lead screw threads 17 a threadibly engage the interior assembly threads (not shown) inside the pedestal/heater assembly 28 . In similar fashion, lowering of the pedestal/heater assembly 28 is facilitated by rotation of the lead screw 15 by operation of the drive motor 13 in the opposite direction. It will be appreciated by those skilled in the art that because the shaft coupling 9 directly couples the motor shaft 14 to the lead screw 15 , rotation is reliably transmitted to the lead screw 15 without the possibility of slippage occurring between the motor shaft 14 and the lead screw 15 at the coupling 9 . Therefore, precise vertical or Y-axis translation of the pedestal/heater assembly 28 within the process chamber can be accomplished throughout the CVD or other process, substantially enhancing process uniformity and quality. The shaft bearing 18 stabilizes the lead screw 15 in the vertical driving orientation during raising and lowering of the pedestal/heater assembly 28 . The shaft coupling 9 may be accessed for replacement or maintenance, as needed, through the coupling access gap 12 of the coupling support block 2 . [0034] While the preferred embodiments of the invention have been described above, it will be recognized and understood that various modifications can be made in the invention and the appended claims are intended to cover all such modifications which may fall within the spirit and scope of the invention.
A new and improved lift mechanism which is suitably adapted for raising and lowering a pedestal/heater assembly inside a processing chamber for semiconductor wafer substrates. The pedestal/heater assembly lift mechanism includes a drive motor which is directly coupled through a shaft coupling to a threaded lead screw for rotating the lead screw in the clockwise or counterclockwise direction. The lead screw threadibly engages the pedestal/heater assembly for selectively raising and lowering the pedestal/heater assembly inside the processing chamber.
RELATED APPLICATIONS [0001] This application is a Continuation-In-Part of U.S. application Ser. No. 10/664,072 filed on Sep. 26, 2003 claiming priority from Provisional Application Ser. No. 60/411,116 filed on Sep. 16, 2002 and is a Continuation-In-Part of U.S. application Ser. No. 10/187,460 filed on Jul. 1, 2002 claiming priority from Provisional Application Ser. No. 60/302,062 filed on Jun. 29, 2001 which claims priority from U.S. Pat. No. 6,806,228 which issued on Oct. 19, 2004 from application Ser. No. 09/896,834 filed on Jun. 29, 2001 claiming priority from Provisional application Ser. No. 60/214,963 filed on Jun. 29, 2000 which all of which are incorporated by reference herein. [0002] This application is part of a government project. The research leading to this invention was supported by a Grant Number 9876251 from the National Science Foundation and Air Force Office of Scientific Research. The United States Government retains certain rights in this invention. TECHNICAL FIELD OF THE INVENTION [0003] The invention relates to the field of providing a synthesis technique to grow bulk quantities of semiconductor nanowires, whiskers, and rods at temperatures as low as the eutectic temperatures of the low-melting metal selected which can range from as low as 30° to 800° C. BACKGROUND OF THE INVENTION Description of the Prior Art [0004] One-dimensional semiconductor fibers are useful for many applications ranging from probe microscopy tips to interconnections in nanoelectronics. By “one-dimensional” it is meant that the fibers have extremely small diameters, approaching 40 Ångstroms. The fibers may be termed “nanowires” or “nanowhiskers.” Several methods are known for synthesis of these fibers such as VLS (vapor-liquid-solid) mechanism-based synthesis of silicon and silicon oxide species, and variations of these techniques. In all of these techniques, the metal cluster size is used to control the size of resulting wires. [0005] In VLS growth, a liquid metal cluster or catalyst acts as the energetically favored site of absorption of gas-phase reactants. Solid materials are evaporated and the vapor is condensed on the substrate and under controlled temperature, pressure, and morphology conditions the solid material condenses to form nanostructures. It is possible to control the conditions and select catalysts which form condensation nuclei during the vapor phase deposition of the nanomaterials in order to facilitate one-dimensional growth so that the cluster supersaturates and the material grows in one dimension. The VLS mechanism has been used to grow silicon nanowires by catalytic decomposition of silane vapor on a gold metal surface. Variations of this mechanism have been used to produce other semiconductor fibers; however, the deposition rate is often small due to limitations of the process and at higher deposition rates the ability to form fibers may be lost. [0006] Other low melting substrates or materials which can be used in the form of a cluster on a suitable substrate to propagate fiber growth include germanium, silicon oxide, gold, bismuth, gallium, indium, titanium, lead, zinc, tin, aluminum, copper, nickel, platinum, iron, cobalt, silver, and their oxides including FeCo, NiFe, AuAg, cuNi, and Si, and their nitrides, sulfides, phosphides, and antimonides. [0007] These techniques using the VLS mechanism typically utilize metals such as gold and iron in the form of a cluster whose size determines the resulting nanowire size. SUMMARY OF THE INVENTION [0008] The technique described in this invention does not require the use metals such as gold and iron in the form of a cluster whose size determines the resulting nanowire size. [0009] The present invention provides a method of synthesizing semiconductor fibers by placement of a low melting material such as gallium or indium on a suitable substrate such as silicon, quartz, germanium or pyrolytic boron nitride. [0010] A process is provided to produce bulk quantities of nanowires in a variety of semiconductor materials. Thin films and droplets of low-melting metals such as gallium, indium, bismuth, and aluminum are used to dissolve and to produce nanowires. The dissolution of solutes can be achieved by using a solid source of solute and low-melting metal, or using a vapor phase source of solute and low-melting metal. The resulting nanowires range in size from 1 nanometer up to 1 micron in diameter and lengths ranging from 1 nanometer to several hundred nanometers or microns. This process does not require the use of metals such as gold and iron in the form of clusters whose size determines the resulting nanowire size. The instant process allows for a lower growth temperature, better control over size and size distribution, and better control over the composition and purity of the nanowire produced therefrom. [0011] The present invention provides a lower growth temperature, provides better control over the size and size distribution of the nanowires, and provides better control over the composition and purity of the nanowires produced from the novel process described in the instant invention. [0012] The novel process of the instant invention provides a technique which offers several advantages over conventional VLS techniques using silicon-transition metal eutectic for catalyzed growth. [0013] The very low temperatures required when using low melting metals such as gallium and indium, alone and/or in combination with their oxides and nitrides and/or other metals as the dissolution medium allows easier integration with other processing techniques and materials involved in electronics and opto-electronic device fabrication. Nanometer scale one-dimensional semiconductor structure such as nanowires and nanowhiskers are expected to be critically important in advanced mesoscopic electronic and optical device applications. [0014] In one embodiment of the invention, growth of silicon fibers was observed when silicon substrates covered with a thin film of gallium were exposed to mixture of nitrogen and hydrogen gas in a microwave-generated plasma. The resulting silicon wires ranged from a several microns to less than ten (10) nanometers in diameter. Results indicate that this technique is capable of producing oriented rods and whiskers with narrow diameter distributions. [0015] The growth mechanism in this method is hypothesized to be as follows: rapid dissolution of silicon solute in gallium melt, formation of multiple nuclei, nuclei surfacing out of the gallium melt, basal growth of nuclei in one dimension to result in nanowire and nanowhisker fibers. [0016] The high density of the fibers due to multiple nucleation and growth lends itself as a method for bulk production of nanowires and nanowhiskers. [0017] The process provides a means for synthesizing bulk amounts of semiconductor nanofibers. One procedure involves disposing a low-melting metal on a substrate for example by forming a film or drop thereon, placing the low-melting metal on a substrate in a low-pressure chamber; adding a gaseous reactant thereto; applying energy to raise the temperature in the low-pressure chamber to a point above the melting point of the low-melting metal forming a molten metal film; activating and decomposing a gas phase yielding growth precursors and exposing the molten metal film to the activated gas phase; and continuing the process forming multiple nanofibers of the desired length. [0018] Typical gaseous reactants include nitrogen, hydrogen, oxygen, silane, germane and monoatomic forms of same. [0019] The advantages of low-temperature synthesis are also useful for those semiconductors in which the substrate and the fibers differ in composition. In such case, both or all fibers components may be provided in the vapor phase. [0020] These and other objects of the present invention will be more fully understood from the following description of the invention. BRIEF DESCRIPTION OF THE DRAWINGS [0021] A better understanding of the present invention will be had upon reference to the following description in conjunction with the accompanying drawings in which like numerals refer to like parts throughout the several views and wherein: [0022] FIG. 1 shows a multitude of nanowires. [0023] FIG. 2 shows silicon nanofibers for short time scale growth (initial one hour). [0024] FIG. 3 shows a silicon nanoneedle after a growth experiment for 3 hours. [0025] FIG. 4 shows a web of fibers grown for a longer time, five hours. [0026] FIG. 5 shows a multitude of oriented silicon fibers. [0027] FIG. 6 is a schematic of the reaction chamber. [0028] FIG. 7 shows silicon nanowires grown using our Ga mediated VLS process. [0029] FIG. 8 shows silicon nanorods 150 nm thick, grown out of large gallium pool. [0030] FIG. 9 illustrates oriented growth of silicon nanowires ˜100 nm thick, using pools of gallium melt. [0031] FIG. 10 shows bulk quantities of silicon nanowires produced after a growth experiment for 5 hours. [0032] FIG. 11 shows spaghetti like wires grown out of a different gallium droplet on the same. substrate as processed in FIG. 10 . [0033] FIG. 12 shows bulk quantities of very straight silicon nanowires. [0034] FIG. 13 shows a higher magnification scanning electron microscope, (“SEM”), image of the wires grown out of a different droplet on the same substrate as in FIG. 12 . [0035] FIG. 14 demonstrates nucleation of multiple sub-micron and nano-scale, silicon wires from a single gallium droplet. [0036] FIG. 15 shows SEM image of multiple nanowires 50 nm thick growing out of a single large gallium droplet. [0037] FIG. 16 shows a low magnification Transmission Electron Microscope image of a web of silicon nanowires grown under the same conditions as the sample shown in FIG. 7 . [0038] FIG. 17 shows a typical Energy Dispersive Spectroscopy spectrum of a single nanowire confirming the nanowires to be composed of silicon which is covered with some surface native oxide. [0039] FIG. 18 shows a High Resolution Transmission Electron Microscopy (HRTEM) image of a 4 nm thick silicon nanowires. [0040] FIG. 19 shows a HRTEM image of a 4 nm thick silicon nanowires. [0041] FIG. 20 shows multiple gallium oxide rods growing out of a large gallium pool with growth conditions of 4 hour growth duration, 1000 W microwave power, 30 Torr pressure, 100 sccm of hydrogen, and 0.6 sccm of oxygen in the inlet stream; [0042] FIG. 21 shows highly faceted gallium oxide fibers on the same sample shown in FIG. 20 ; [0043] FIG. 22 shows gallium oxide sub-micron thick fibers in addition to the micron-scale rods in the same sample shown in FIG. 20 ; [0044] FIG. 23 shows a zoomed-out view of the quartz substrate wherein multiple fibers have been grown out of a large gallium pool; [0045] FIG. 24 shows gallium oxide nanowires about 100 nm thick from a different region on the same sample as shown in FIG. 20 . [0046] FIG. 25 is another illustration of multiple nucleation and fiber growth. [0047] FIG. 26 shows scanning electron microscopy images of gallium oxide platelets and crystals obtained in addition to the one-dimensional structures after a growth experiment under the same conditions as for sample in FIG. 20 at 10 micrometers. [0048] FIG. 27 shows another scanning electron microscopy images of gallium oxide platelets and crystals obtained in addition to the one-dimensional structures after a growth experiment under the same conditions as for sample in FIG. 20 at 10 micrometers. [0049] FIGS. 28 shows scanning electron microscopy images of gallium oxide platelets and crystals obtained in addition to the one-dimensional structures after a growth experiment under the same conditions as for sample in FIG. 20 at 30 micrometers. [0050] FIG. 29 shows scanning electron microscopy images of gallium oxide platelets and crystals obtained in addition to the one-dimensional structures after a growth experiment under the same conditions as for sample in FIG. 20 at 5 micrometers. [0051] FIG. 30 shows multiple carbon filaments growing out of a large gallium droplet. [0052] FIG. 31 shows a higher magnification image of approximately 50 nm thick nanofilaments. [0053] FIG. 32 shows a micrograph of a carbon nanofiber. [0054] FIG. 33 shows a micrograph of a carbon nanofiber. [0055] FIG. 34 shows a. SEM image showing sub-5 nm size nanowires growing out of Ga droplets with Ga droplets placed on germanium substrate. [0056] FIG. 35 shows a SEM image showing amorphous silicon nitride nanowires resulting from Ga with exposure to 10% silane. [0057] FIG. 36 shows a SEM image showing amorphous silicon oxide nanowires. [0058] FIG. 37 . SEM micrographs illustrating the growth of fine bismuth fibers out of gallium droplets. [0059] FIG. 38 . SEM micrographs of tapered bismuth whiskers. DESCRIPTION OF THE PREFERRED EMBODIMENT [0060] The present invention provides a novel synthesis route for growing one-dimensional structures of semiconductor materials in wire, whisker and rod shapes at temperatures as low as the eutectic temperatures of the low-melting metal selected, for example gallium has a melting temperature as low as 30° C. More particularly, gallium has a broad temperature range for the melt phase of from 30° C. to 2200° C. at 1 atm. Another low melting metal, indium has a melting temperature of 156.6° C., and a melt range of 156.6° C. to 2000° C. and is also useful as a dissolution medium. This concept also includes the use of other low-melting metals such as bismuth, aluminum and their combination with any other metals and or their oxides. [0061] The growth mechanism in this method is as follows: rapid dissolution of the solute, (for example silicon), in a dissolution media comprising a low melting metal melt (for example gallium), the formation of multiple nuclei, nuclei surfacing out of the metal (gallium) melt, and basal growth of nuclei in one dimension to result in nano fibers. [0062] The process can be also be described as a method of synthesizing bulk quantities of crystalline metal oxide nanowires from noncatalytic low melting metals by placing a noncatalytic low-melting metal on a substrate in a low pressure chamber, and simultaneously exposing the noncatalytic low melting metal to a plasma containing a selected gaseous reactant such as monoatomic oxygen and/or monoatomic hydrogen in a gas phase heated to a temperature above the melting point of the low-melting metal forming a molten low-melting metal on the substrate and exposing the molten low-melting metal to a sufficient amount of the gaseous reactant in the gas phase forming a metal oxide. Multiple nucleations are formed and grow noncatalytic low melting metal oxide nanostructures directly therefrom creating crystalline metal oxide nanowires devoid of any structural defects. [0063] A selected material in combination with a one or more selected low-melting metals are placed in a low pressure chamber at a vacuum from 1 mTorr to one atmosphere in an environment containing gaseous reactants containing the solutes (the desired fiber material) including hydrogen with or without halogens. It is contemplated that the gaseous reactants may include pure oxygen, a mixture or oxygen and other gases, oxygen-containing gas such as water vapor, hydrogen peroxide, or carbon and nitric oxides. [0064] The temperature of the solute substrate is raised above the melting point using heat or other means. The gas mixture is activated in the form of DC discharges, low frequency and high frequency AC discharges and by thermal cracking. The discharge may be a hot-cathode discharge, a glow discharge, a hollow-cathode discharge, a radio-frequency discharge, a magnetron discharge or sputtering, a microwave discharge, chemical vapor disposition (CVD), a ECR discharge, a laser-beam discharge, a hot filament discharge, an arch discharge or other means of thermal evaporation. The gaseous discharge typically leads to the formation of a plasma and the formation of different gaseous radicals. [0065] In one preferred embodiment, microwaves are utilized to activate the gas mixture, whereby the gaseous precursors form fibers of the desired length. With some metals, a temperature of about at least 30° C. is sufficient, and preferably from 200 to 300° C. is utilized for enhanced solubility and mobility within the melt. [0066] The metal may be applied either in a solid such as a film or droplet form or supplied via vapor phase using gas phase precursors and can be placed in the form of patterned droplets. Droplet patterns may include droplets in two-dimensional and three-dimensional channels for directed growth. The solutes (desired materials) could also be supplied using substrates. In that case, the substrate would dissolve into low melting metals and nanofibers of desired diameter and length could be synthesized. [0067] More particularly, the present invention provides a method of synthesizing semiconductor fibers by placement of gallium or indium metal or other low melting metals on a suitable substrate. The metal is placed in combination with the selected gallium or indium low-melting metal in a low pressure chamber at a vacuum from 1 mTorr to one atmosphere in an environment containing gaseous reactants which contain the solutes (the desired material) including hydrogen with or without halogens. The temperature of the substrate is raised above the melting point using heat or other means including microwave, hot-filaments, whereby the precursors form fibers of the desired length. When the low-melting metal is gallium, a temperature of about at least 30° C. is sufficient, and preferably near 300° C. for enhanced solubility and mobility within the melt. When the low-melting metal is indium, a temperature of about 200° C. is preferred for enhanced solubility and mobility of the melt. [0068] The low-melting gallium metal may be applied either in solid or droplet form or supplied via vapor phase using gas phase precursors such as tri-methyl gallium or the metal can be placed in the form of patterned droplets. The gallium droplet patterns may include droplets in two-dimensional and three-dimensional channels for directed growth. The solutes (desired materials) can also be supplied using substrates. The substrate gets dissolved into low melting metals and fibers of desired diameter and length are formed. [0069] Silicon fibers can be synthesized using either low-melting metals placed on a silicon substrate or using SiH4 or SiClxH4-x gas phase species or organometallic compounds containing silicon. [0070] Germanium fibers can be synthesized using either low-melting metals placed on germanium substrate or using GeH4 or GeClxH4-x gas phase species or organometallic compounds containing germanium. [0071] Similarly other semiconductors materials may be synthesized according to the methods of this invention. In each case, gallium or indium metal or other low-melting metals is used as the dissolution media. Where the solid substrate is not readily etched to provide a gaseous precursor, a vapor source can be added to the reactive atmosphere. In all cases, the gaseous reactants are diluted into inert gases such as nitrogen or argon or helium. [0072] To more explicitly teach the methods of this invention, the following detailed embodiments are provided for purposes of illustration only. Those skilled in the art may readily make substitutions and variations in substrates and reactants to synthesize other semiconductors on a low-melting metal catalyst. Such substitutions and variations are considered to be within the spirit and scope of this invention. EXAMPLE 1 Bulk Synthesis of Silicon Fibers [0073] FIG. 6 shows a schematic of a type of reactor. A silicon substrate (2 cm×2 cm) was prepared by cleaning with a 45% HF solution, thoroughly rinsing in acetone and ultra-sonication. Droplets of gallium metal at 70° C. were applied to form a film with a thickness of approximately 100 microns. The nitrogen flow rate was set to 100 sccm. The pressure in the reactor was set to 30 Torr. Microwaves at 2.45 Ghz were used to ionize the nitrogen gas which served as the carrier gas. The input microwave power was 1000 W. The experiments were done in an ASTeX model 5010 bell jar reactor chamber equipped with an ASTeX model 2115 1500 W microwave power generator. 0.5 sccm of hydrogen were introduced into the nitrogen plasma. The reaction was carried out for six hours. Graphite blocks were used as substrate stage. The quartz bell jar volume was approximately 2000 cc. [0074] After the growth experiments, the silicon substrate covered with an ashy mass was observed under a scanning electron microscope (SEM). FIGS. 1 through 16 show micrographs of silicon fibers of various thickness and length. [0075] FIG. 1 shows a group of nanowires. These fibers were grown with H2/N2 ratio of 0.005, pressure of 30 Torr and microwave power of 1000 W. [0076] FIG. 2 shows silicon nanofibers for short time scale growth (initial one hour). [0077] FIG. 3 shows a silicon nanoneedle. The H2/N2 ratio was kept at 0.008. Chamber pressure was 40 Torr and 800 W of microwave power was applied. [0078] FIG. 4 shows a web of fibers grown for a longer time of five hours. Due to the long growth duration, the grown wires were very long and intermingled. The length of the synthesized nanowires depends solely on the duration of the experiment. [0079] FIG. 5 shows a plurality of oriented silicon fibers. These fibers were grown with H2/N2 ratio of 0.0075, pressure of 50 Torr and 1000 W of microwave power. [0080] FIG. 6 shows a ASTeX model 5010 bell jar reactor chamber equipped with an ASTeX model 2115 1500 W microwave power generator FIG. 7 shows silicon nanowires (˜10 nm diameter) growing as a plurality of filaments after a growth experiment for 8 hours with a microwave power of 600 W, 30 torr pressure, and a total flow rate of 100 sccm of hydrogen. The micrograph was taken using a Hitachi S900 Field Emission Scanning Electron Microscope at an acceleration voltage of 2 kV and a magnification of ×60 K. [0081] FIG. 8 shows oriented silicon nanorods 150 nm in diameter, grown out of large gallium pool after a growth experiment for 5 hours with a microwave power of 900 W, 50 torr pressure, and a total flow rate of ˜100 sccm of hydrogen with inlet H2/N2 ratio of 0.0075. [0082] FIG. 9 illustrates oriented growth of silicon nanowires ˜100 nm in diameter, using large pools of gallium melt. These nanowires were grown for 5 hours with microwave power of 850 W, Pressure of 50 torr, and inlet H2/N2 ratio of 0.0075. [0083] FIG. 10 shows profuse quantities of silicon nanowires produced after a growth experiment for 5 hours with microwave power of 900 W, pressure of 50 torr, and a total flow rate of 100 sccm of hydrogen. The nanowires were imaged using a Hitachi 3200N scanning electron microscope at an acceleration voltage of 20 kV and a magnification of ×7 k. [0084] FIG. 11 shows a spaghetti like collection of wires grown out of a different gallium droplet on the same sample in FIG. 10 . [0085] FIG. 12 shows bulk quantities of very straight silicon nanofilaments grown for 6 hours with 1000 W microwave power, 50 torr pressure, and a total gas flow rate of about 100 sccm with inlet H2/N2 ratio of 0.0075. [0086] FIG. 13 shows a higher magnification Scanning Electron Microscope (SEM) image of the wires grown out of a different droplet on the same substrate as in FIG. 12 . [0087] FIG. 14 demonstrates the fact that multiple nanowires can nucleate and grow out of a large gallium pool in our technique, unlike in traditional VLS techniques, where one has to create nanometer sized catalyst particles to enable growth of a single nanowire from a single catalyst particle. Multiple sub-micron and nano-scale silicon wires are shown to grow out of a single large gallium droplet. These fibers were grown for 3 hours with 1000 W microwave power, 30 torr, and H2/N2 ratio of 0.0025. [0088] FIG. 15 shows SEM image of multiple nanowires 50 nm in diameter growing out of a single large gallium droplet. These fibers were grown for 7 hours with a microwave power of 950 W, pressure of 50 torr and H2/N2 ratio of 0.0095. [0089] FIG. 16 shows a web of silicon nanowires grown under the same conditions as the sample shown in FIG. 7 . These nanowires imaged using a EOL 2000 FX Transmission Electron Microscope at an acceleration voltage of 200 kV and a magnification of ×300 k. The elemental composition of the fibrous structures was determined using Energy Dispersive Spectroscopy (EDX), a feature in the JEOL 2000FX microscope. FIG. 17 shows a representative EDX spectrum of an individual nanowire shown in FIG. 16 . The nanowires are composed of silicon with some surface oxidation. The copper peak appeared due to the copper grid material. [0090] FIGS. 18 and 19 represent high resolution Transmission Electron Microscopy images of two different silicon nanowires about 4 nm in diameter. The fringes in these micrographs represent lattice planes in the nanowires. The lattice spacing was measured using the Digital Micrograph software, which matched the values for bulk silicon lattice spacings. Silicon nanowires were also synthesized using large gallium droplets exposed to a microwave plasma containing silane and hydrogen without the need for solid silicon substrate. Nanowires were synthesized using molten gallium droplets placed on quartz and pyrolytic boron nitride substrates. EXAMPLE 2 Bulk Synthesis of Gallium Oxide Fibers [0091] Gallium oxide fibers can be grown using the above plasma mediated technique. A quartz substrate (2 cm×2 cm) was prepared by ultra-sonication in isopropyl alcohol. Droplets of gallium metal at 70° C. were applied to form a film with a thickness of approximately 100 microns. The hydrogen flow rate was set to 100 sccm. The pressure in the reactor was set to 40 Torr. Microwaves at 2.45 Ghz were used to ionize the hydrogen gas. The input microwave power was 700 W. The experiments were done in an ASTeX model 5010 bell jar reactor chamber equipped with an ASTeX model 2115 1500 W microwave power generator. 0.6 sccm of oxygen were introduced into the hydrogen plasma. The reaction was carried out for four hours. Graphite blocks were used as substrate stage. After the growth experiments, the quartz substrate covered with a whitish mass was observed using a scanning electron microscope (SEM). FIGS. 20 through 25 show micrographs of gallium oxide fibers of various thickness and length. [0092] FIG. 20 shows multiple gallium oxide rods growing out of a large gallium pool. The fibers are very well faceted and were grown for 4 hours with 1000 W microwave power, 30 Torr pressure, 100 sccm of hydrogen, and 0.6 sccm of oxygen in the inlet stream. FIG. 21 shows highly faceted gallium oxide fibers on the same sample shown in FIG. 20 . The micrographs were taken using a LEO 1430 Scanning Electron Microscope at an acceleration voltage of 20 kV. FIG. 22 shows gallium oxide sub-micron thick fibers in addition to the micron-scale rods in the same sample mentioned above. FIG. 23 shows an overall zoomed out view of the quartz substrate, demonstrating the fact that multiple fibers can nucleate and grow out of a large gallium pool using our technique. FIG. 24 shows gallium oxide nanowires about 100 nm thick from a different region on the same sample as shown in FIG. 20 . FIG. 25 is another illustration of multiple nucleation and fiber growth. [0093] In addition to the one-dimensional structures, gallium oxide platelets of about 100-200 nm thick are shown in FIGS. 26 through 29 which show scanning electron microscopy images of gallium oxide platelets and crystals obtained in addition to the one-dimensional structures after a growth experiment under the same conditions as for sample in FIG. 20 . Growth of gallium oxide can also be achieved with a range of abovementioned process parameters and with different substrate materials. [0094] Gallium oxide fibers were also synthesized with gallium droplets spread on other substrates, such as pyrolytic boron nitride, alumina, and glassy carbon. In addition to different fractions of O 2 /H 2 being used, fractions of methane and nitrogen were also introduced into the plasma and synthesis of gallium oxide fibers was obtained. EXAMPLE 3 Synthesis of Carbon Nanofibers [0095] Carbon nanofibers were grown using the above plasma-mediated technique. A pyrolytic boron nitride substrate (˜1 cm×1 cm) was prepared by ultra-sonication in isopropyl alcohol. The substrate was covered with molten gallium droplets. The hydrogen flow rate was set to 100 sccm. The pressure in the reactor was set to 40 Torr. Microwaves at 2.45 Ghz were used to ionize the hydrogen gas. The input microwave power was 700 W. The experiments were done in an ASTeX model 5010 bell jar reactor chamber equipped with an ASTeX model 2115 1500 W microwave power generator in as shown in FIG. 6 . Two (2.0) sccm of methane were introduced into the hydrogen plasma. The reaction was carried out for four hours. Graphite blocks were used as substrate stage. After the growth experiments, the quartz substrate covered with a grey mass was observed using a scanning electron microscope (SEM). FIGS. 30 through 33 show micrographs of carbon nanofibers of various thickness and length with the following growth conditions: microwave power of 700 W, pressure of 40 torr, 4 hr duration, 100 sccm of hydrogen and 2 sccm of methane in the plasma. Again, these process parameters can be varied and synthesis of carbon nanowires obtained. FIG. 30 shows multiple carbon filaments growing out of a large gallium droplet. The fibers illustrated in FIG. 31 show a higher magnification image of approximately 50 nm thick nanofilaments. EXAMPLE 4 Synthesis of Germanium Fibers [0096] Germanium fibers can be grown using the above technique by using either germanium substrate or using germane in the vapor phase. Specific experiments were performed using Germanium substrate coated gallium using 10% hydrogen in nitrogen microwave plasma with 500 W power at 30 torr pressure. FIG. 34 shows an SEM image showing sub-5 nm size nanowires growing out of Ga droplets with Ga droplets placed on germanium substrate at 30 torr pressure, 500 W MW power, 10 sccm H2, 100 sccm nitrogen. EXAMPLE 5 Synthesis of Silicon Nitride Fibers and Whiskers [0097] Using a similar setup as that used for Example 1, the gallium droplet can be exposed to nitrogen, silane, hydrogen or halogen gas phase mixtures at pressures ranging from 100 mTorr to 1 atm. The excitation of gas phase could be done using microwaves or hot-filament or pure thermal means to achieve amorphous silicon nitride fibers and nanowires. FIG. 35 shows an SEM image showing amorphous silicon nitride nanowires resulting from Ga with exposure to 10% silane in nitrogen, microwave plasma at 700 W, and 30 torr pressure. EXAMPLE 6 Synthesis of Silicon Oxide Nanowires and Fibers [0098] Using a similar setup as that used for Example 1, the gallium film covered non-silicon substrates can be exposed to oxygen and silane gas phase using hot-filaments, microwave plasma or thermal means at 100 mTorr-1 atm range to achieve the synthesis of amorphous silica fibers. FIG. 36 shows an SEM image of amorphous silicon oxide nanowires resulting from Ga with exposure to 10% Silane, 10% oxygen in hydrogen, microwave plasma at 700 W, and 30 torr pressure. EXAMPLE 7 Synthesis of Bismuth Nanowires [0099] Using the synthesis method mentioned earlier, bismuth fibers were synthesized by simply heating a mixture of gallium and bismuth to temperatures greater than 600° C. In this setup a film of gallium is applied onto a substrate followed by sprinkling bismuth powder on top of the gallium film. The setup is then heated either in vacuum (100 mtorr) or in the presence of hydrogen at pressures of 40-100 torr for about 1 hr at temperatures greater than 600C. At these temperatures both bismuth and gallium are liquids and during this process, bismuth dissolves into gallium. Upon cooling the system to room temperature, during the cooling process the supersaturated bismuth nucleates out of the melt forming multiple nuclei on the gallium droplets leading to growth of bismuth fibers. FIG. 37 shows a SEM micrographs illustrating the growth of fine bismuth fibers out of gallium droplets. These were synthesized by heating and cooling the gallium-bismuth system. [0100] Using a variation in the above method, by exposing the gallium-bismuth system to hydrogen plasma, tapered bismuth whiskers were synthesized. The diameter of the whiskers are about 2-5 nm at the tip and about 100-300 nm at the base and the length of whiskers is about 10-20 microns as shown in FIG. 38 which shows a SEM micrograph of tapered bismuth whiskers. These morphologies were synthesized by exposing the gallium-bismuth system to microwave plasma. [0101] The foregoing detailed description is given primarily for clearness of understanding and no unnecessary limitations are to be understood therefrom, for modification will become obvious to those skilled in the art upon reading this disclosure and may be made upon departing from the spirit of the invention and scope of the appended claims. Accordingly, this invention is not intended to be limited by the specific exemplifications presented hereinabove. Rather, what is intended to be covered is within the spirit and scope of the appended claims.
A process is provided to produce bulk quantities of nanowires in a variety of semiconductor materials. Thin films and droplets of low-melting metals such as gallium, indium, bismuth, and aluminum are used to dissolve and to produce nanowires. The dissolution of solutes can be achieved by using a solid source of solute and low-melting metal, or using a vapor phase source of solute and low-melting metal. The resulting nanowires range in size from 1 nanometer up to 1 micron in diameter and lengths ranging from 1 nanometer to several hundred nanometers or microns. This process does not require the use of metals such as gold and iron in the form of clusters whose size determines the resulting nanowire size. In addition, the process allows for a lower growth temperature, better control over size and size distribution, and better control over the composition and purity of the nanowire produced therefrom.
The following is a divisional application of U.S. patent application Ser. No. 09/561,874, filed on May 1, 2000 now U.S. Pat. No. 6,453,529. BACKGROUND OF THE INVENTION 1. Field of the Invention The invention pertains to an apparatus and method for assembling a stretchable cover upon a rigidly backed foam cushion. 2. Description of the Related Art Cushioned and covered components are used in a, variety of products such as automobiles, furniture and building components wherein a plush, soft, attractive surface is desired. In such instances, it is common to use a relatively rigid backing of wood or paperboard in combination with an expanded foam affixed to the backing whereby the foam cushion will maintain a predetermined shape and configuration. When covering a backed foam cushion with a flexible cover, such as made of fabric, vinyl, leather or the like, it is necessary that the cover be dimensionally fabricated accurately so as to closely fit and encase the backed cushion to minimize the occurrence of wrinkles and unsightly ribs or waves in the cover. Obtaining a wrinkle-free covering of a backed cushion is difficult in that the cover must closely and firmly encase the backed cushion, and because of the close tolerances, difficulty is encountered in assembling the backed foam cushion and cover. Known apparatus for assembling backed foam cushions and covers have not been readily adaptable to high production assembly techniques, and considerable hand work is often required to make the cover acceptably fit and enclose the backed foam cushion. Such hand work is slow and expensive, and if care is not taken to properly position the cover on the backed foam cushion, wrinkles and ridges will appear which aesthetically detract from the finished product. OBJECTS OF THE INVENTION It is an object of the invention to provide a method and apparatus for assembling a rigidly backed foam cushion and a stretchable cover wherein the cover may be quickly and accurately placed upon the backed foam cushion in a tight fitting and wrinkle-free manner. Yet another object of the invention is to provide a method and apparatus for assembling backed foam cushions and stretchable covers wherein backed foam cushion assemblies of irregular lateral configuration can be quickly and accurately covered with a stretchable cover, and wherein the cover is stretched in accord with the configuration of the backed cushion assembly. Yet a further object of the invention is to provide a method and apparatus for assembling a rigidly backed foam cushion and stretchable cover wherein the degree of stretching of the cover that occurs during assembly can be varied in a predetermined manner by cams and cam followers, and in accord with the lateral configuration of the backed cushion. SUMMARY OF THE INVENTION In the practice of the invention, it is the purpose to assemble a rigidly backed foam cushion with a stretchable cover wherein, even though the lateral dimension of the cushion configuration may be variable, the stretching of the cover during assembly can be controlled to provide an optimum fit between the cover and backed foam cushion to eliminate wrinkles and ridges. The apparatus of the invention is preferably vertically oriented having an upper ram portion and an assembly portion located below the ram portion. A pair of carriages are mounted on the frame assembly portion in side-by-side relationship movable upon elongated guides or ways whereby the carriages may move toward or away from each other in a lateral direction. Each of the carriages supports a pair of spaced plate-like stanchions which are mounted at their lower end upon the associated carriage and extend upwardly toward the frame ram portion. Two stanchions are mounted upon each carriage and the upper ends of the stanchions are unsupported whereby the stanchions are cantilever supported in a vertical position. The stanchions mounted upon a common carriage are spaced from each other by a dimension slightly greater than the thickness of the backing and foam cushion assembly, and the stanchions of the two carriages are in lateral alignment whereby the four stanchions together define a “slot” of a thickness slightly greater than the backed cushion assembly. Each of the carriages includes a roller cam follower received within a cam slot formed in a cam plate mounted on the frame upon vertically disposed guides whereby the cam plate is capable of moving toward and away from the frame assembly portion. In the preferred arrangement, the cam plate is connected to the ram such that the cam plate will move with the ram toward and away from the frame assembly portion. As the cam slots in the cam plate will be of a configuration usually complementary to the lateral configuration of the backed cushion assembly, the engagement of the carriage cam followers in the cam plate cam slots will cause the carriages to move laterally as the ram is lowered toward the carriages and frame assembly portion. To further customize the stretching of the cover as the backed foam cushion is inserted therein, the outer edges of the stanchions, i.e. those stanchion edges laterally facing away from each other and the center of the frame and which engage the cover, may also be configured in accord with the shape of the foam cushion and cover to facilitate entry of the cushion into the cover and assure fitting of the cover to the cushion to produce a wrinkle-free product. The cover is turned inside out and placed over the top of the stanchions and as the cushion is pushed into the pocket defined by the cover by the ram, the cover folds inwardly over the top of the stanchions while being stretched laterally by the stanchions. Accordingly, the cover is automatically removed from the stanchions as it is stretched thereby and as the cushion assembly is received within the cover. At the end of the ram stroke, the cushion assembly will be completely encased within the cover, the cover will have now been removed from the stanchions, and the assembly between the cushion and its cover is now complete, and the cushion/cover assembly will be located between the carriage stanchions. The ram is now retracted away from the frame assembly portion. This movement causes an ejector head located between the stanchions to move upwardly with the ram as it retracts. The ejector head will engage the assembled cushion and cover and remove the same from between the stanchions as the ram retracts. This permits the operator to remove the assembled cushion and cover from the machine once the ram is fully retracted. Thereupon, a new cover may be inserted upon the stanchions and a backed foam cushion mounted upon the ram, and the assembly cycle repeated. The practice of the invention permits a backed foam cushion to be quickly and accurately encased within a stretchable cover in a wrinkle-free manner, and even though the cushion may have a variable lateral dimension, the automatic control of the stretching of the cover by the movable stanchions, whose position is determined by the cam followers and cam slots, permits an improved assembly procedure than that previously obtainable, and permits a higher quality finished product to be achieved. BRIEF DESCRIPTION OF THE DRAWINGS The aforementioned objects and advantages of the invention will be appreciated from the following description and accompanying drawings wherein: FIG. 1 is a front elevational view of a cushion and cover assembly machine in accord with the invention, a backed foam cushion being shown in dotted lines, and no cover is mounted upon the carriage stanchions, FIG. 2 is an elevational view of the assembly apparatus of FIG. 1 as taken from the right side thereof, FIG. 3 is a detailed perspective view of the frame assembly portion illustrating the carriages, cam plate and cam slots, a cushion being shown in position, and prior to a cover being mounted upon the carriage stanchions, FIG. 4 is an elevational view illustrating the cam plate as taken along Section 4 — 4 of FIG. 3, and FIG. 5 is a perspective view similar to FIG. 3 illustrating a partial assembly of the foam cushion and the cover, the cover being mounted upon the stanchions and partially received upon the cushion. DESCRIPTION OF THE PREFERRED EMBODIMENT The overall appearance of cushion assembly apparatus in accord with the invention is best shown in FIGS. 1 and 2. The apparatus constitutes a frame generally indicated at 10 upon which is mounted a ram portion 12 and an assembly portion 14 . In the drawings, the frame is shown in a vertical orientation, which is the usual manner of positioning the frame such that the ram portion 12 extends above the assembly portion 14 . However, it is to be appreciated that the invention could be practiced if the ram portion 12 and assembly portion 14 were horizontally related. The frame 10 includes four legs 16 upon which a table 18 is mounted which comprises the support for the assembly portion 14 . Extensions of the rear legs 16 constitute a support for the control box 20 in which the controls for the apparatus are located. A ram support column 22 arises from the table 18 having an upper end 23 upon which a ram cylinder 24 is mounted. The ram cylinder 24 is preferably air operated, is of the expansible chamber type, and includes a downwardly extending piston 26 terminating in a piston head or block 28 from which the cushion anchor 29 is attached. The column 22 includes a bracket 30 which constitutes the upper support for a pair of parallel cylindrical guides 32 which extend downwardly through the table 18 and are affixed at their lower end to the lower region of the frame 10 . The guides 32 are parallel to each other, and the direction of movement of the piston 26 , and a cam plate 34 , preferably formed of aluminum, is reciprocally mounted upon the guides 32 and adapted to be translated along the guides 32 with movement of the piston 26 by means of a tie rod 36 affixed to the cushion anchor 29 and the cam plate 34 . A pair of ways or guides 38 are mounted on the table 18 , FIG. 3, having a length at right angles to the cam plate guides 32 . The ways 38 are parallel to each other, and support carriages 40 and 42 wherein the carriages are linearly reciprocally mounted on the ways 38 in a manner later described. The carriages 40 and 42 are movable toward and away from each other in a linear manner on the ways 38 and in a direction lateral to the frame 10 and ram 24 . Each of the carriages 40 and 42 include a T-bracket 44 affixed thereto and extending above the associated carriage. The brackets 44 constitute the support for the cover stretching stanchions 46 . As will be appreciated from FIG. 3, identical stanchions 47 are mounted upon carriage 40 , while identical stanchions 49 are mounted upon carriage 42 . The stanchions 46 mounted on a common carriage are identical to each other, and the stanchions mounted on opposite carriages are mirror images of each other. Each stanchion includes a cantilevered top edge 48 and a radius 50 which blends into the stanchion outer edge 52 . The outer edges 52 of stanchions 47 are disposed in the lateral direction with respect to the height of the frame 10 opposite to the direction of the outer edges of stanchions 49 . The stanchions 46 are of a plate-like material, and may be formed of a rigid synthetic plastic material, and are affixed in a cantilevered manner to their associated carriage T-bracket 44 by fasteners 54 located adjacent the lower edges of the stanchions. The stanchions mounted upon a common carriage 40 or 42 are in a spaced relationship to each other as will be appreciated from FIG. 3 . For instance, the stanchions 47 mounted upon carriage 40 are spaced apart a distance adapted to receive the foam cushion assembly which is inserted between stanchions 47 . In a similar manner, stanchions 49 mounted upon carriage 42 are also spaced from each other as to receive the cushion assembly therebetween. Further, the void or spacings between stanchions mounted upon a common carriage are in alignment with the spacing between the stanchions of the other carriage wherein an elongated space or slot is defined by the stanchions 47 and 49 capable of receiving the backed cushion assembly. A cam roller 56 is mounted on each of the T-brackets 44 for the carriages 40 and 42 . The cam rollers 56 are received in one of the cam slots 58 , FIG. 4, defined in the cam plate 34 . As will be appreciated from FIG. 4, the cam slots 58 are obliquely related to each other, and as will be later appreciated, the configuration of the cam slots 58 is determined by the extent of stretching of the cushion cover desired during assembly. An ejector rod 60 , FIG. 5, extends upwardly through the table element 18 in alignment with the ram piston 26 . The ejector rod 60 , at its upper end, includes an ejector head 62 located between the stanchions 46 , FIG. 3, and the ejector rod 60 is affixed at its end 64 to the lower end of the cam plate 34 whereby the ejector rod 60 will raise and lower in conjunction with the movement of the cam plate 34 and the ram piston 26 . In operation, the ram 24 will be operated to raise the piston 26 its maximum degree. In such instance, the cam plate 34 will be raised to the maximum extent as will the ejector rod 60 . A foam cushion 66 having a rigid backing 68 is then affixed to the cushion anchor 29 mounted to the ram piston head 28 . As will be appreciated from the dotted lines in FIG. 1, the cushion 66 includes tapered lateral edges 67 , and upon mounting the cushion and backing plate assembly to the cushion anchor 29 , the cushion will be disposed above the stanchions 46 as shown in FIG. 3 . The cushion cover 70 which is in the form of a closed end tube or pocket, and is formed of a stretchable material such as fabric, vinyl, leather or the like, is turned inside out and placed over the upper edges 52 of the stanchions 46 in a manner that will be appreciated from FIG. 5 . Turning the cover 70 inside out forms an open upwardly extending pocket 72 in alignment with the lower edge of the cushion 66 , and the pocket 72 extends between stanchions 47 and 49 . The majority of the cover is then pulled down over the outside of the stanchions 47 and 49 and over outer edges 52 . Once the cover 70 is located on the stanchions 46 so that the pocket 72 is in alignment with the lower edge of the cushion 66 , the ram 24 is energized with compressed air to move the piston 26 downwardly toward the frame assembly portion 14 . As the cushion 66 moves downwardly, its lowermost end will enter the cover pocket 72 , and the cushion will enter the slot between stanchions 47 and 49 . As the cushion 66 is continued to be pushed between the stanchions 47 and 49 , the engagement of the lower end of the cushion 66 with the cover 70 will push the cushion into the cover pocket pulling the cover envelope over the stanchion top edges 48 as the cover encompasses that portion of the cushion located between stanchions 47 and 49 as shown in FIG. 5 . Simultaneously with the lowering of the cushion 66 , the stanchions 47 and 49 will be moving outwardly away from each other due to the lowering movement of the cam plate 34 and the force exerted on the carriages 40 and 42 by the cam rollers 56 due to the oblique configuration of the cam slots 58 . Thus, as the cushion 66 is lowering, the cover 70 will be laterally stretched by the stanchion outer edges 52 simultaneously with the cover being pulled upon the backing and cushion assembly. The degree of stretching of the cover 70 that occurs during assembly of the cover with the cushion is determined by the configuration of the cam slots 58 , and the configuration of the stanchions' outer edges 52 . The configuration of the cam slots 58 and the stanchion outer edges 52 will be determined by the lateral configuration of the backing and cushioning assembly being covered so that the cover will be properly stretched to permit a wrinkle-free assembly with the backing and cushion assembly. The ram 24 will continue to lower the cushion assembly 66 until all of the cover portion 74 has been pulled into the slot between stanchions 47 and 49 which will complete the covering of the cushion assembly 66 . After the cushion assembly 66 has been completely encased within the cover 70 , the ram 24 is retracted upwardly. This upward movement of the piston 26 simultaneously moves the ejector rod 60 upwardly causing the ejector head 62 to engage the lowermost portion of the covered cushion assembly lifting the cushion assembly from between stanchions 46 so that when the piston 26 is fully retracted, the covered cushion assembly may be removed from its cushion anchor 29 . The procedure may now be repeated to cover another cushion assembly as the carriages 40 and 42 will be moved to their closest position relative to each other, FIG. 3, and another inside out cover 70 may be placed over the stanchions 46 . As the lateral dimensions of the backing and cushion assembly may vary considerably between products, the ability of the cam plate 34 to define any desired configuration of cam slot 58 permits optimum cover stretching to be achieved depending on the lateral configuration of the backing and cushion assembly. Accordingly, the apparatus of the invention provides a flexibility and customizing of cushion and cover assembly not heretofore possible. It is appreciated that various modifications to the inventive concepts may be apparent to those skilled in the art without departing from the spirit and scope of the invention.
Apparatus and a method for assembling a stretchable cover upon a rigidly backed foam cushion wherein the cushion lateral dimensions vary along its length. The degree of stretching of the cover varies during cushion/cover assembly as controlled by cams and cam followers to permit wrinkle-free covering of backed foam cushions of irregular configuration.
CROSS-REFERENCE TO RELATED APPLICATIONS This application is a continuation of U.S. patent application Ser. No. 12/264,558 filed Nov. 4, 2008, now U.S. Pat. No. 7,870,705 which is a continuation of PCT/GB07/01687 filed May 4, 2007, which claims priority to United Kingdom Application No. 0608845.4 filed May 4, 2006. TECHNICAL FIELD This application relates to the production of band-driven packages and of band-drive components for such packages, particularly packages exemplified by patents such as EP 1140639. BACKGROUND Packages exemplified by EP 1140639 are characterised by a band that extends around a supporting structure, such as a planar divider, to slide around that structure in use. The divider is typically supported within a sleeve defining the overall size and shape of the package; the divider and the sleeve may be integral panels of a common folded blank. Tab members are attached to the band, one each side of the divider, such that moving one tab member out of the package slides the band around the divider. That movement of the band, in turn, drives the other tab member to move out of the package in an opposite direction. Conversely, movement of one tab member back into the package also, via the band, drives the other tab member back into the package. The band therefore acts as a drive belt that couples the tab members for opposing movement into and out of the package. One or both of the tab members can be trays or other structures such as blister packs adapted to support, and optionally to display, the contents of the package. It is also possible for one of the tab members simply to display information such as branding or instructions for use of the contents of the package. For example, a tab member can support an instruction leaflet which may fold out when that tab member is pulled out of the package to drive movement of the other tab, which other tab thereby carries the contents of the package out of the package in the opposite direction. The subject matter of EP 1140639 is incorporated into this specification by reference. SUMMARY There are challenges for producing band-driven packages or cartons at high speed. In this context, ‘high speed’ implies production at a rate in excess of about 100 packages per minute, per single-lane or single-head machine, although the invention is not limited to any particular production rate. For example, embodiments of the invention can be applied to a machine that runs at a rate of less than 100 packages per minute; conversely, embodiments of the invention could work at production rates as high as 150 to 250 packages per minute, per machine. These speeds are given merely by way of context and do not limit the invention. Thus, embodiments of the invention do not exclude machines or processes that may achieve production rates greater then 250 packages per minute, per machine. For example, embodiments of the invention encompass machines that may have more than one lane and more than one band-forming head: such parallel processing multiplies the speed of the machine. Machines capable of high-speed production are advantageous to suit high-volume applications such as packaging for pharmaceuticals. Pharmaceutical applications will be used to exemplify the invention in this specification, with blister packs for tablets or capsules serving as one or both of the tab members of the package. However, embodiments of the invention are not limited to packages for any particular application. Nor are such embodiments limited to the production of complete packages: certain aspects of the invention relate to the production of band-drive components that can be made into, or incorporated into, packages in subsequent manufacturing operations. Those subsequent operations may be performed at a different manufacturing facility following transport of the band-drive components from one location to the other. In mass production, there are difficult challenges in placing the band onto a blank or other supporting structure, while maintaining the close sliding fit that is essential to smooth running of the band when the package is in use. For lateral location, the band typically runs within the confines of cut-outs in the edges of the blank that define a relatively narrow neck portion of the blank. Bearing in mind the need for a close sliding fit of the band around the blank, this means that the overall width of the blank to the sides of the neck portion is greater than the length of the flattened band; consequently, it is not possible simply to slide an unbroken band over a flat blank. In an existing manufacturing process, a continuous, unbroken band is produced by cutting orthogonally across a parallel-sided tube of plastics film. The tube can be extruded in that form or, more practically, longitudinally welded from a sheet. The band is then held in a loop and a flat elongate cardboard blank is bent resiliently about its central longitudinal axis to reduce its width, whereupon the blank is inserted into the looped band while the blank is held in that narrow curved shape. When released, the blank regains its flat shape and hence its full width to support the band in a close sliding fit for smooth running around the blank. Whilst this existing manufacturing process works reasonably well for producing batches of band-driven packages, it does not lend itself to mechanized production and is usually performed by hand. This restricts production rates, involves high labour costs (or high transport costs to and from sources of inexpensive labour) and introduces quality-control challenges. According to one aspect, a method of making a band-driven package or a band-drive component for a package is provided. That method comprises feeding first and second flexible webs in a feed direction, one web being fed each side of a substrate such that the webs are in mutual face-to-face disposition ahead of and behind the substrate with respect to the feed direction. The webs are suitably identical strips of heat-weldable plastics material, and the substrate is suitably a flat panel such as a carton blank that can be folded to make the band-driven package including a sleeve around the band and tab members attached to the band. The method then comprehends joining the face-to-face webs at a first join ahead of the substrate and at a second join behind the substrate, the joins bounding web portions that together encircle the substrate between the joins. Joining may be effected by welding. Once joined, the joined web portions are divided from the remainder of the webs such that the joined web portions together define a band that encircles the substrate, to be slid around the substrate in use of the package. Preferably the webs run parallel to each other in the feed direction where the substrate lies between the webs, and the webs are strips fed from reels. For lateral location, the webs are advantageously aligned with a narrow neck portion of the substrate such that the band encircles the substrate at the neck portion. The band suitably slides in use around a leading edge of the substrate at the neck portion and a trailing edge of the substrate at the neck portion parallel to the leading edge, the edges being orthogonal to the feed direction of the webs. Elegantly, the web portions may be divided from the web along a join, preferably immediately after making the join. For example, where the joins are effected by welding, the web portions may be divided from the web by melting through the web after welding. To allow a join to be made as close as possible to an edge of the blank, the method of the invention contemplates pressing together the webs before joining. For example, a stripper bar may be advanced to press the webs together and then a welding head may be advanced into contact with the pressed-together webs to weld the webs together. To minimise waste and to ensure a close sliding fit of the band around the substrate, it is preferred that relative longitudinal movement takes place between the substrate and webs such that the substrate moves closer to the first join after the first join has been made. That relative longitudinal movement ends when the substrate bears against the first join. Relative longitudinal movement may be achieved in various ways. For example, the substrate may move more quickly in the feed direction than the webs. To that end, the substrate may be driven by a primary conveyor means and the webs may be driven by a secondary conveyor means moving at a lower speed than the primary conveyor means. Where the substrate is elongate, the primary conveyor means suitably supports one end of the substrate and the secondary conveyor means suitably supports the other end of the substrate. It is preferred that the second join is made after the first join, in which case relative movement between the substrate and the first join advantageously takes place after the first join is made and before the second join is made. For example, the second join may be made when the substrate bears against the first join, immediately behind the substrate. In mass-production, it is envisaged that a plurality of substrates will be fed successively between the webs, and that the webs will be joined in gaps between successive substrates of the plurality. Elegantly, the invention allows the second join behind one substrate also to serve as the first join ahead of the succeeding substrate. Once formed, the band is preferably advanced around the substrate to move the joins inboard of leading and trailing edges of the substrate. This positions the joins for the attachment of tab members over the joins, which reinforces the joins and prevents snagging of the joins upon edges of the substrate. Such snagging could otherwise interrupt the smooth running of the band around the substrate, and could introduce a risk of breakage. For example, the band may be advanced by relative movement between the substrate and band drive means in contact with the band. The band drive means may be the secondary conveyor means. Alternatively, the band drive means may comprise pinch rollers that contra-rotate on opposite sides of the band. Where a band is turned around a substrate to the extent that a weld or other join weld lies slightly inboard of one of the edges of the substrate, a tab member may then be glued to the band using adhesive applied over or on both sides of the weld. Applying adhesive over or on both sides of the weld has two advantages: firstly reinforcing the weld; and secondly ensuring that the weld cannot move to the extent of snagging on an edge of the substrate when the package is used. The provision for advancing the band around the substrate may be used independently of the first aspect of the invention, as part-finished components produced in accordance with the first aspect may be provided to a separate facility for further processing. Accordingly, from another aspect, a method of making a band-driven package is provided, the method comprising: providing a substrate encircled by a band, the band being defined by web portions joined to each other at least one join outboard of an edge of the substrate; and advancing the band around the substrate to move the join inboard of said edge of the substrate. Further embodiments comprise applying at least one tab member to the band, for example by adhesive attachment to the band. For reinforcement, the adhesive is suitably applied to overlay or straddle a join of the band. The adhesive may be applied to the tab member before application of the tab member and the adhesive to the band. Alternatively, the adhesive may be applied to the band before application of the tab member to the adhesive on the band. In another aspect, a machine for making a band-driven package or a band-drive component for a package is provided, the machine comprising: web feeders for feeding first and second flexible webs in a feed direction, one web being fed each side of a substrate such that the webs are in mutual face-to-face disposition ahead of and behind the substrate with respect to the feed direction; a web joiner for joining the face-to-face webs at a first join ahead of the substrate and at a second join behind the substrate, the joins bounding web portions that together encircle the substrate between the joins; and a web divider for dividing the joined web portions from the remainder of the webs such that the joined web portions together define a band that encircles the substrate, to be slid around the substrate in use of the package. For continuous production, the web joiner and/or the web divider preferably move with the webs during joining and separation. For example, the web joiner and/or the web divider preferably move with rotary motion, although box motion is also possible. A welding and cutting head may serve as both the web joiner and the web divider. In general, therefore, the web joiner and the web divider may be the same component. As aforesaid, the machine may further comprise a web presser such as a stripper bar associated with the web joiner for pressing together the webs before joining. That web presser is preferably movable relative to the web joiner but is also movable with the web joiner with respect to the webs. Where the web divider is separate from the web joiner, a web presser may be associated with the web divider. The machine preferably includes a tab member application station for applying at least one tab member to the band. There may be first and second tab member application stations, one station being downstream of the other with respect to a flow direction through the machine. Folding means are preferably included in the machine for folding the substrate. The folding means may comprise at least one plough folding guide, more preferably a plurality of plough folding guides arranged to perform successive folding operations on the substrate as the substrate moves through the machine. Such folding means may be disposed both upstream and downstream of a tab member application station, such that folding takes place both before and after the application of a tab member to the band. A second aspect of the invention involving advancing the band around the substrate may also be expressed as a machine for making a band-driven package, the machine comprising: means for receiving a substrate encircled by a band, the band being defined by web portions joined to each other at least one join outboard of an edge of the substrate; means for supporting the substrate while permitting the band to slide around the substrate; and drive means for advancing the band around the supported substrate to move the join inboard of said edge of the substrate. As aforesaid, the drive means suitably comprises a conveyor or pinch rollers for advancing the band around the substrate. Additional features and embodiments of the invention include: A method of making a band-driven package or a band-drive component for a package, the method comprising: feeding first and second flexible webs in a feed direction, one web being fed each side of a substrate such that the webs are in mutual face-to-face disposition ahead of and behind the substrate with respect to the feed direction; joining the face-to-face webs at a first join ahead of the substrate and at a second join behind the substrate, the joins bounding web portions that together encircle the substrate between the joins; and dividing the joined web portions from the remainder of the webs such that the joined web portions together define a band that encircles the substrate, to be slid around the substrate in use of the package. In one form, the webs run parallel to each other in the feed direction where the substrate is between the webs, and wherein the webs may be strips fed from reels. In one embodiment, the substrate is a flat panel or a carton blank. The panels of the carton blank subsequently folded around the band. The panels may define a sleeve of the package. In an embodiment, the band can slide in use around a leading edge of the substrate and a trailing edge of the substrate parallel to the leading edge, the edges being orthogonal to the feed direction of the webs. The method may also include an embodiment wherein the webs are aligned with a neck portion of the substrate such that the band encircles the substrate at the neck portion. In another embodiment, the web portions are divided from the web along a join. Alternatively, the web portions are divided from the web immediately after making a join. The joins may be effected by welding. In one aspect, the web portions are divided from the web by melting through the web after welding. In another embodiment, the webs are pressed together before joining. In one aspect, such joining may be accomplished by advancing a stripper bar to press the webs together and then advancing a welding head into contact with the pressed-together webs to weld the webs together. In yet another embodiment, relative longitudinal movement is caused between the substrate and webs such that the substrate moves closer to the first join after the first join has been made. The relative longitudinal movement between the substrate and the webs may end when the substrate bears against the first join. The substrate can move more quickly in the feed direction than the webs. In one aspect, the speed differential between the substrate and the webs is varied during the band-forming cycle. In yet another embodiment, the substrate is driven by a primary conveyor and the webs are driven by a secondary conveyor moving at a lower speed than the primary conveyor. When the substrate is elongate, the primary conveyor may support one end of the substrate and the secondary conveyor may support the other end of the substrate. In another embodiment, the second join is made after the first join. In one aspect, relative movement between the substrate and the first join takes place after the first join is made and before the second join is made. The second join may be made when the substrate bears against the first join. Alternatively, the second join may be made immediately behind the substrate. In yet another embodiment, a plurality of substrates are fed successively between the webs, and the webs are joined in gaps between successive substrates of the plurality. In one aspect, the second join behind one substrate is also the first join ahead of the succeeding substrate. In another embodiment, the band is advanced around the substrate to move the joins inboard of leading and trailing edges of the substrate. In one aspect, the band is advanced by relative movement between the substrate and band drive in contact with the band. The band drive may be the secondary conveyor. Alternatively, the band drive may comprise pinch rollers. In one aspect, the pinch rollers contra-rotate on opposite sides of the band. In another embodiment, the method may further comprise applying at least one tab member or insert to the band. In one aspect, the tab member or insert is adhesively attached to the band. The adhesive may be applied to overlay or straddle a join of the band. Alternatively, the adhesive is applied to the tab member or insert before application of the tab member or insert and the adhesive to the band. The adhesive may also be applied to the band before application of the tab member or insert to the adhesive on the band. The tab member or insert may be pressed against the band for a bond-forming period. Alternatively, the tab member or insert may be held against the band as the band and the substrate move in the feed direction. In another embodiment, a machine for making a band-driven package or a band-drive component for a package is provided and comprises web feeders for feeding first and second flexible webs in a feed direction, one web being fed each side of a substrate such that the webs are in mutual face-to-face disposition ahead of and behind the substrate with respect to the feed direction; a web joiner for joining the face-to-face webs at a first join ahead of the substrate and at a second join behind the substrate, the joins bounding web portions that together encircle the substrate between the joins; and a web divider for dividing the joined web portions from the remainder of the webs such that the joined web portions together define a band that encircles the substrate, to be slid around the substrate in use of the package. In one embodiment, the web joiner and/or the web divider move with the webs during joining and separation. In one aspect, the web joiner and/or the web divider move with a circular motion or in a box motion. The web joiner and the web divider may be the same component. In one aspect, a welding and cutting head serves as both the web joiner and the web divider. Alternatively, the web joiner may comprise opposed blades for crimping the face-to-face webs. The blades may be heated. In one aspect, the blades are carried by respective drums, one each side of the substrate and the webs. Each drum may carry a plurality of blades. Each blade may be mounted resiliently to its drum for radial movement with respect to an axis of rotation of the drum. The drums may be cooled. In another embodiment, the machine may include a web presser associated with the web joiner for pressing together the webs before joining. In one aspect, the web presser is movable relative to the web joiner. The web presser may also be movable with the web joiner with respect to the webs. In yet another embodiment, the substrate and the webs are driven by respective drives, the drives bring arranged to effect relative movement between the substrate and the webs such that the substrate moves closer to the first join after the first join has been made. When in use, the substrate drive may move more quickly in the feed direction than the web drive. The speed differential between the substrate and the webs may be varied during the band-forming cycle. In another aspect, the substrate drive may be a primary conveyor and the web drive may be a secondary conveyor moving at a lower speed than the primary conveyor. The primary conveyor may be spaced from and runs substantially parallel to the secondary conveyor. In another aspect, the web drive continues to engage the web after the band is formed so as to advance the band around the substrate. The machine may include pinch rollers for advancing the band around the substrate. The pinch rollers may contra-rotate on opposite sides of the band. In another embodiment, the machine further comprises an application station for applying at least one tab member or insert to the band. In one aspect, the application station attaches the tab member or insert to the band with adhesive. The application station may comprise means for applying adhesive to the tab member or insert before application of the tab member or insert and the adhesive to the band. Alternatively, the application station may comprise means for applying adhesive to the band before application of the tab member or insert to the adhesive on the band. In another aspect, the application station is adapted to press the tab member or insert against the band for a bond-forming period. The application station may comprises a carrier movable in the feed direction to hold the tab member or insert against the band as the band and the substrate move in the feed direction. The carrier may also be movable transverse to the feed direction, towards and away from the band and the substrate. In another aspect, the machine is arranged to attach the tab member to the band at the location of a join. The machine may comprise first and second tab member application stations, one station being downstream of the other with respect to a flow direction through the machine. In another embodiment, the machine further comprises folding means for folding the substrate. In one aspect, the folding means comprises at least one plough folding guide. The machine may also comprise a plurality of folding means arranged to perform successive folding operations on the substrate as the substrate moves through the machine. In one aspect, the folding means are disposed upstream and downstream of a tab member application station. In yet another embodiment, a method of making a band-driven package is provided and comprises providing a substrate encircled by a band, the band being defined by web portions joined to each other at least one join outboard of an edge of the substrate; and advancing the band around the substrate to move the join inboard of said edge of the substrate. In one aspect, the band is defined by web portions joined to each other at first and second joins mutually opposed about the substrate, outboard of mutually opposed edges of the substrate, and the band is advanced to move the joins inboard of said edges of the substrate. The band may be advanced by relative movement between the substrate and band drive means in contact with the band. In another aspect, the method further comprises applying at least one tab member to the band. The tab member may be attached to the band at the location of a join. Alternatively, the tab member may be attached to the band by adhesive extending along or straddling the join. In another aspect, the method includes folding the substrate around the band. In another embodiment, a machine for making a band-driven package is provided and comprises means for receiving a substrate encircled by a band, the band being defined by web portions joined to each other at least one join outboard of an edge of the substrate; means for supporting the substrate while permitting the band to slide around the substrate; and drive means for advancing the band around the supported substrate to move the join inboard of said edge of the substrate. In one aspect, the band is defined by web portions joined to each other at first and second joins mutually opposed about the substrate, outboard of mutually opposed edges of the substrate, and the drive means advances the band to move the joins inboard of said edges of the substrate. The drive means may comprise a conveyor. Alternatively, the drive means comprises pinch rollers for advancing the band around the substrate. The pinch rollers may contra-rotate on opposite sides of the band. In another embodiment, the machine may further comprise a tab member application station for applying at least one tab member to the band. In one aspect, the tab member application station comprises means for applying adhesive to the tab member before application of the tab member and the adhesive to the band. Alternatively, the tab member application station comprises means for applying adhesive to the band before application of the tab member to the adhesive on the band. The machine may be arranged to attach the tab member to the band at the location of a join. The machine may also include first and second tab member application stations, one station being downstream of the other with respect to a flow direction through the machine. In another embodiment, the machine may further comprise folding means for folding the substrate. The folding means may comprise at least one plough folding guide. Alternatively, the machine may comprise a plurality of folding means arranged to perform successive folding operations on the substrate as the substrate moves through the machine. In one aspect, folding means are disposed upstream and downstream of a tab member application station. In yet another embodiment, a method of making a band-driven package or a band-drive component for a package is provided and comprises feeding a substrate between flexible web portions with the substrate bearing against a boundary of the web portions ahead of the substrate in a feed direction; and joining the web portions at a join behind the substrate whereby the web portions form a band that encircles the substrate. In one aspect, the method includes feeding first and second flexible webs in the feed direction, one web being fed each side of the substrate such that the webs are in mutual face-to-face disposition ahead of and behind the substrate with respect to the feed direction; joining the face-to-face webs to define the boundary ahead of the substrate and the join behind the substrate, the boundary and the join bounding the web portions that together form the band that encircles the substrate; and dividing the web portions from the remainder of the webs at the join behind the substrate, whereby the band may be slid around the substrate in use of the package. Relative longitudinal movement may take place between the substrate and the web portions such that the substrate advances with respect to the web portions before the join is made behind the substrate. The method may further include a plurality of substrates which are fed successively, and the joins are made in gaps between successive substrates of the plurality. The join behind one substrate may also be the boundary ahead of the succeeding substrate. The web portions may be portions of the same web. In another embodiment, a machine for making a band-driven package or a band-drive component for a package is provided and comprises a substrate feeder for feeding a substrate between flexible web portions in a feed direction; and a web joiner for joining the web portions at a join behind the substrate whereby the web portions form a band that encircles the substrate. In one aspect, the machine includes web feeders for feeding first and second flexible webs in the feed direction, one web being fed each side of the substrate such that the webs are in mutual face-to-face disposition ahead of and behind the substrate with respect to the feed direction, wherein the web joiner is adapted to join the face-to-face webs at a boundary ahead of the substrate and at the join behind the substrate, the boundary and the join bounding the web portions; and a web divider for dividing the joined web portions from the remainder of the webs such that the joined web portions together define a band that encircles the substrate, to be slid around the substrate in use of the package. In one aspect, the web joiner and/or the web divider move with the webs during joining and separation. The web joiner and/or the web divider may move with a circular motion or in a box motion. The web joiner and the web divider may be the same component. The substrate and the webs may be driven by respective drives, the drives bring arranged to effect relative longitudinal movement between the substrate and the web portions such that the substrate advances with respect to the web portions before the join is made behind the substrate. BRIEF DESCRIPTION OF THE DRAWINGS In order that the invention may be readily understood, reference will now be made, by way of example, to the accompanying drawings in which: FIG. 1 is a flow diagram of the major process steps involved in making a band-driven package in accordance with the invention; FIG. 2 is a schematic plan view of a linear package-making machine illustrating the process steps of FIG. 1 in the context of processing undergone by successive blanks flowing through the machine; FIG. 3 is a schematic side view of the creation of a band around a blank to make a band-drive component, showing how the band is completed by welding and cutting through two overlaid strips of plastics material adjacent a trailing edge of the blank; FIGS. 4( a ) and 4 ( b ) are schematic plan views showing successive manufacturing steps, FIG. 4( a ) corresponding to FIG. 3 and FIG. 4( b ) showing the next manufacturing step, namely moving the next blank to bear against the weld made in FIGS. 3 and 4( a ); FIG. 5 is a schematic side view corresponding to FIG. 3 but showing a variant having a double-edged welding and cutting head that is currently not preferred; FIGS. 6( a ) and 6 ( b ) are schematic plan views corresponding to FIGS. 4( a ) and 4 ( b ) but showing how the double-edged cutting tool of FIG. 5 generates waste of plastics film material; FIGS. 7( a ) and 7 ( b ) are schematic side views showing how the band may be advanced around the blank to reposition the welds; FIGS. 8( a ) and 8 ( b ) are schematic side views showing an alternative solution to that of FIGS. 7( a ) and 7 ( b ); FIG. 9 is a schematic sectional side view showing the application of an adhesive strip over one weld of the band; FIG. 10 is a schematic sectional side view showing the attachment of a first tab member to the adhesive strip applied to the band in FIG. 9 ; FIG. 11 is a schematic sectional side view showing the blank folded about the first tab member to invert the band and expose the other weld of the band; FIG. 12 is a schematic sectional side view showing the application of an adhesive strip over the weld of the band exposed in FIG. 11 ; FIG. 13 is a schematic sectional side view showing the attachment of a second tab member to the adhesive strip applied to the band in FIG. 12 ; FIG. 14 is a schematic sectional side view corresponding to FIG. 13 but showing a panel of the blank folded over the second tab member to complete a sleeve of the package; FIG. 15 is a schematic sectional side view, to reduced scale, of the package completed in FIG. 14 , showing the package inverted and the first and second tab members extending from the sleeve in use; FIGS. 16( a ), 16 ( b ) and 16 ( c ) are schematic side views of a practical welding and cutting head arrangement including a dynamic stripper bar; FIG. 17 is a schematic plan view of a rotary machine for performing the process steps of FIG. 1 ; FIG. 18 is a side view of a practical embodiment of a machine for making a package in accordance with the invention; FIG. 19 is a plan view of the machine of FIG. 18 ; FIG. 20 is an enlarged detail perspective view of a supply station that supplies strips and blanks at an upstream end of the machine of FIGS. 18 and 19 , and a crimping station of the machine downstream of the supply station; FIG. 21 is an enlarged detail side view of a strip feed mechanism of the supply station of FIG. 20 ; FIG. 22 is an enlarged detail side view of the crimping station shown in FIG. 20 , downstream of the supply station; FIG. 23 is a perspective view of the crimping station corresponding to FIG. 22 ; FIG. 24 is a further enlarged detail perspective view of the crimping station shown in FIGS. 21 to 23 ; and FIG. 25 is an enlarged detail perspective view of contra-rotating rollers of the machine of FIGS. 18 and 19 , for advancing bands around their associated blanks. DETAILED DESCRIPTION Referring firstly to FIGS. 1 and 2 , the process steps of FIG. 1 are mirrored by the illustrations of FIG. 2 , starting from the introduction of carton blanks 10 at the top of each figure to the production of finished band-driven packages 12 at the bottom of each figure. For completeness, this specification will describe all of the process steps involved in making a package 12 in a continuous process in a single manufacturing facility, as summarized in FIGS. 1 and 2 . However, it is emphasised that the invention does not necessarily require all of these steps to be performed, either in the order shown or indeed, in some cases, at all. Nor does the invention exclude other process steps that have been omitted from FIGS. 1 and 2 for brevity and clarity: such operations may include printing or customizing the package 12 , or inserting an instruction booklet into the package 12 . The embodiment shown in FIGS. 18 to 25 shows one way of inserting an instruction booklet into a package. It is particularly envisaged that the process summarized in FIGS. 1 and 2 may be interrupted such that some operations are performed at a later time or at a different manufacturing facility, following storage or transport of part-processed blanks. In particular, after a band 20 has been applied to a blank 10 to make a band-drive component, the remainder of the blank 10 is folded and glued to create a band-driven package 12 including that band-drive component. However, those subsequent folding and gluing operations are not essential to the invention in its broad sense. Briefly, as shown in FIG. 1 , the process and machine 14 that will be described herein takes blanks 10 and then introduces strips of film 34 , 36 , one each side of a blank 10 to sandwich the blank 10 between the strips 34 , 36 . The provision and relative disposition of the strips 34 , 36 and the blank 10 will be described in more detail with reference to FIGS. 2 and 3 . The strips 34 , 36 are then welded together and cut to create a band 20 around the blank 10 , the band 20 including one weld immediately ahead of the blank 10 , i.e. downstream of the blank 10 and one weld immediately behind the blank 10 , i.e. upstream of the blank 10 . This will be described in detail with reference to FIGS. 4( a ) and 4 ( b ). Once the second weld and cut have been made to complete the band 20 , the band 20 is advanced around the blank 10 to reposition the welds inboard of leading and trailing edges of the blank 10 . Reference will be made to FIGS. 7( a ), 7 ( b ), 8 ( a ) and 8 ( b ) in this respect. This allows a first tab member such as a blister pack to be applied to one weld of the band 20 , as shown in FIG. 10 , whereupon the blank 10 is folded around the first tab member to invert the band 20 , as shown in FIG. 11 . This presents the other weld of the band 20 , whereupon a second tab member such as another blister pack may be applied to that other weld, as shown in FIG. 13 . When the second tab member has been put in position, final folds are made as shown in FIG. 14 to complete the package 12 , in which the blank 10 defines a sleeve around the tab members and also defines a divider that supports the band 20 to drive relative opposed movement of the tab members in use. Referring now specifically to FIG. 2 , a machine 14 embodying the invention processes cardboard blanks 10 that are generally oblong save for opposed cut-outs 16 in the long sides of the oblong, offset close to one end of the blank 10 . As acknowledged in the introduction, such cut-outs 16 are already known: they define a relatively narrow neck portion 18 of the blank 10 around which a band 20 runs. Cut-outs 16 are not essential to the present invention but they are preferred as the resulting neck portion 18 provides desirable lateral location for the band 20 in use. The blanks 10 shown in FIG. 2 each have two major faces 22 , 24 , one uppermost 22 being visible in this figure and one lowermost 25 being hidden underneath the blanks 10 . The blanks 10 also each have a leading edge 26 and a trailing edge 28 parallel to the leading edge 26 . The terms ‘leading edge’ and ‘trailing edge’ have regard to the flow direction of successive blanks 10 through the machine 14 , which direction is from top to bottom in FIG. 2 and from left to right in succeeding figures. In this embodiment, each of the leading and trailing edges 26 , 28 includes the base of a respective one of the cut-outs 16 . A succession of blanks 10 are presented to the machine 14 , the blanks being mutually spaced in transverse orientation such that their long sides including the cut-outs 16 are orthogonal to the flow direction. The blanks 10 may be supplied from interchangeable cartridges upstream of the machine of FIG. 2 , in which blanks 10 are stacked to be dispensed from the cartridges one by-one at regular intervals in the desired orientation. The blanks 10 preferably pass through the machine 14 in a generally horizontal plane with the lowermost face 24 of each blank 10 facing vertically down, although this orientation is not essential. The blanks 10 are carried through the machine 14 by a horizontal primary conveyor 30 that grips the full-width major portion of each blank 10 opposed to the offset neck portion 18 . A vacuum conveyor is preferred, although other conveyor means will be known to those skilled in the art and are not excluded from the invention. In the much-simplified schematic view of FIG. 2 , the blanks 10 are shown as being carried through the entire machine 14 by a single continuous primary conveyor. Whilst best practice in automation suggests that blanks 10 should not be released once they are under control, it will be evident to those skilled in the art that the blanks 10 may be passed from one conveyor means to another as they undergo the processes that will be described herein. Indeed, as mentioned above, the process summarized in FIGS. 1 and 2 may be interrupted, to be completed after an interval during which part-processed blanks 10 are stored or transported. It is also possible for supplementary location means to be provided at any stage, such as clamping means or pinch rollers to press the blanks against the primary conveyor 30 during the folding steps. Those folding steps could otherwise cause the blanks 10 to slip relative to the conveyor 30 , as vacuum belt location is relatively weak in shear under the moment loads imposed by folding. As the provision of supplementary location means such as pinch rollers will be routine to those skilled in the art, such means have been omitted from most of the drawings for clarity. However the embodiment shown in FIGS. 18 to 25 employs supplementary location means which are visible in the plan view of the machine shown in FIG. 19 . A secondary vacuum conveyor 32 runs parallel to and spaced from the primary conveyor 30 , running under the neck portions 18 of the blanks 10 whose major portions are supported and gripped by the primary conveyor 30 . The secondary conveyor 32 defines a drive surface in the same generally horizontal plane as that of the primary conveyor 30 , such that each blank 10 is supported in that generally horizontal plane as it travels through the machine 14 . With reference now also to FIG. 3 , two identical strips 34 , 36 of flexible plastics film are drawn from respective reels 38 , 40 and fed to a welding and cutting station 42 in the machine 14 . Specifically, an upper strip 34 is fed parallel to the flow direction, in a generally horizontal plane above the upper faces 22 of the blanks 10 and in alignment with the neck portions 18 of the blanks 10 . A lower strip 36 is fed in a parallel plane under the lower faces 24 of the blanks 10 between the blanks 10 and the secondary conveyor 32 . The secondary conveyor 32 therefore grips the lower strip 36 . The lower strip 36 is also aligned with the neck portions 18 of the blanks 10 and thus is in alignment with the upper strip 34 , with the neck portions 18 of the blanks 10 sandwiched between the strips 34 , 36 . Thus, only the upper strip 34 is visible in the top plan view of FIG. 2 as the lower strip 36 is completely hidden underneath. In practice, tension is maintained in the strips by tensioning means upstream of the welding and cutting station 42 . The tensioning means have been omitted from FIG. 3 of the drawings for clarity but an example is shown in the embodiment of FIGS. 18 to 25 , particularly in FIGS. 20 and 21 . The tensioning means may brake the reels 38 , 40 as they rotate or, preferably, the strips 34 , 36 are passed in zigzag fashion through tensioners before the strips 34 , 36 enter the welding and cutting station 42 . Such tensioners preferably also define a reserve of strip material whereby the reels 38 , 40 can be replaced without interrupting the preferably continuous operation of the machine 14 . Fly splicing of strip material is possible, albeit with rejection of some packages 12 during the changeover process. FIG. 3 shows a retractable welding and cutting head 44 at the welding and cutting station 42 . The head 44 reciprocates up and down in use, in this embodiment with a box motion as shown to suit continuous rather than intermittent movement of blanks 10 through the machine 14 . On its down stroke, the head 44 bears down upon the upper strip 34 closely behind or upstream of the trailing edge of the neck portion 18 of a blank 10 , and presses the upper strip 34 into contact with the lower strip 36 . FIG. 3 shows the lower strip 36 also raised against the upper strip 34 ; this may be achieved by a movable anvil (not shown in this figure) opposed to the head 44 , although this is not essential. The head 44 has a straight heated welding edge 46 that lies orthogonally with respect to the flow direction through the machine 14 and in parallel to the planes of the strips 34 , 36 as they pass through the welding and cutting station 42 . By way of example, the welding edge 46 of the head 44 has a land of 0.5 mm in width: this dimension is not critical but is currently preferred. It is also preferred, but not essential, that the welding edge 46 of the head 44 is of stainless steel. The edge 46 may be coated with PTFE to resist the accumulation of welding residues. A wide range of alternatives to PTFE will be apparent to the skilled reader. When the hot welding edge 46 of the head 44 presses the upper strip 34 into contact with the lower strip 36 , the upper strip 34 is firstly welded to the lower strip 36 at that location and then the welding edge 46 cuts through the welded-together strips 34 , 36 , which part under the tension in the strips 34 , 36 . This leaves an outwardly-protruding weld 48 between the joined strips 34 , 36 , parallel to the trailing edge of the blank 10 : this may be seen from the preceding weld downstream of the head 44 , to the right in FIG. 3 . Welding and cutting the strips 34 , 36 in this manner completes a band 20 around the blank 10 and frees the blank 10 from the strips 34 , 36 that remain attached to the reels 38 , 40 . The weld 48 also becomes the first weld 48 that will define a band 20 around the succeeding blank 10 , which blank is not shown in FIG. 3 but is shown in FIGS. 4( a ) and 4 ( b ) to be described below. Details of a welding and cutting head will be described later in relation to FIGS. 16( a ), 16 ( b ) and 16 ( c ) of the drawings and particularly in the practical embodiment shown in FIGS. 18 to 25 . Referring now to FIGS. 4( a ) and 4 ( b ), these show an advantageous refinement of preferred embodiments of the invention, in which relative movement takes place between blanks 10 and the surrounding strips 34 , 36 between one weld 48 and the next. As will be explained, this relative movement has two main purposes, the first of which is to ensure that the band 20 is a close sliding fit around the neck portion 18 of the blank 10 and the second of which is to reduce the number of welds 48 and to avoid waste of the strip material. FIG. 4( a ) shows the same situation as in FIG. 3 , save for the presence of a second, succeeding blank 10 b . Here, a band 20 around a first blank 10 a is completed by welding and cutting through the overlaid strips 34 , 36 along a cut line 50 situated closely behind or upstream of the trailing edge of the neck portion 18 of that blank 10 a . It will be noted that there is a substantial gap between that cut line 50 and the leading edge of the neck portion 18 of the second blank 10 b . That gap is largely due to the combined depth of the opposed cut-outs 16 that define the neck portions 18 of the blanks 10 a , 10 b. FIG. 4( b ) shows the next step, in which the second blank 10 b has been advanced relative to the strips 34 , 36 as the blanks 10 and the strips 34 , 36 advance together through the machine 14 , such that the leading edge of the neck portion 18 of the second blank 10 b lies adjacent to, and preferably bears against, the weld 48 made in FIG. 4( a ). A band 20 may then be completed around the second blank 10 b by welding and cutting along the cut line 50 as in FIG. 4( a ). Relative movement between the blanks 10 and the strips 34 , 36 may be achieved by running the primary conveyor 30 slightly faster than the secondary conveyor 32 , the blanks 10 moving at the speed of the primary conveyor 30 and the strips 34 , 36 moving at the speed of the secondary conveyor 32 . Such an arrangement is preferred in the machine 14 of FIG. 2 that relies upon continuous production, although other machines could achieve the necessary relative movement in different ways. The speed difference between the primary conveyor 30 and the secondary conveyor 32 may be varied in pulses, with the speed difference being increased to a maximum after each weld in a manner synchronised with the arrival of blanks 10 at the welding and cutting station 42 . The relative movement between the blanks 10 and the strips 34 , 36 between one weld 48 and the next ensures that the welds 48 are as close as possible to the leading and trailing edges 26 , 28 of the blank 10 so that the resulting band 20 is a close sliding fit around the neck portion 18 of the blank 10 . A further benefit is to reduce the number of welds and especially to avoid waste of the strip material. In this regard, the cut-outs 16 that define the neck portion 18 of the blank 10 present a challenge because without relative movement between the blanks 10 and the strips 34 , 36 , a weld that completes one band 20 cannot also serve as the first weld of the succeeding band 20 : instead, two welds would be necessary, and the strip material between those welds would be wasted. Whilst waste is best avoided for economic and environmental reasons, the main problem is how to handle the waste material in an automated process. If handling waste, the machine would inevitably become more complex and so more expensive and, potentially, less reliable. To illustrate the problem of waste, FIGS. 5 , 6 ( a ) and 6 ( b ) correspond to FIGS. 3 , 4 ( a ) and 4 ( b ) but show an alternative embodiment without relative movement between the blanks 10 and the strips 34 , 36 from one weld to the next. In this embodiment, a welding and cutting head 44 a has two parallel edges 46 a , 46 b spaced apart slightly less than the gap between the trailing edge 28 of the first blank 10 a and the leading edge 26 of the second blank 10 b . Two welds and cuts may be made simultaneously by the head 44 a along two parallel cut lines 50 a , 50 b , one 50 b completing a band 20 around the first blank 10 a and the other 50 a being the first weld 48 of a band 20 around the second blank 10 b . However, the result is a piece of waste strip material between the blanks 10 a , 10 b , which piece must be removed, handled and discarded. Returning to the embodiment of the invention shown in FIG. 2 , when a band 20 has been completed around the neck portion 18 of a blank 10 by a second weld 48 as described above, the blank 10 may then continue on the machine 14 for further processing or may be removed from the machine 14 for storage or transportation before further processing takes place. Whenever and wherever that further processing happens, the next processing step is to turn the band 20 around the blank 10 to the extent that the welds 48 lie slightly inboard of the leading and trailing edges 26 , 28 of the blank 10 . Tab members such as blister packs may then be attached to the band 20 using adhesive applied over the welds 48 , which reinforces the welds 48 and prevents the welds 48 snagging on the leading and trailing edges 26 , 28 of the blank 10 when the package 12 is used. In an automated process, it is necessary to determine the location of the welds 48 with respect to the leading and trailing edges 26 , 28 . It is therefore desirable that the welds 48 do not move until they are deliberately caused to do so, especially where the blanks 10 are removed from the machine 14 for storage or transport which may cause the band 20 to slip around the blank 10 . In this regard, the initial position of the welds 48 outboard of the leading and trailing edges 26 , 28 helps to lock the band 20 against angular movement around the neck portion 18 of the blank 10 , presenting resistance which needs to be overcome before the band 20 can slide freely. Thus, during storage and transport, the welds 48 are unlikely to slip from their initial outboard positions, especially when blanks 10 are stacked to sandwich the associated bands 20 between the blanks 10 of the stack. If the positions of the welds 48 were to slip from their initial outboard positions, not only would the location of the welds 48 be unknown but also, over time, the band material would be likely to take a set and crease where it bends acutely around the leading and trailing edges 26 , 28 of the blank 10 . Such a set could also interrupt smooth running of the band 20 around the blank 10 . For the purposes of this description, it is assumed that the blanks 10 continue on the machine 14 so that the band 20 can be turned around the blank 10 . Reference is therefore made to FIGS. 7( a ) and 7 ( b ) which show what happens downstream of the welding and cutting station 42 . FIG. 7( a ) shows a blank 10 encircled by a completed band 20 , the band 20 having welds 48 initially outboard of the leading and trailing edges 26 , 28 of the blank 10 . The band 20 remains in contact with the secondary conveyor 32 whereas the blank 10 remains driven by the primary conveyor 30 which moves slightly faster than the secondary conveyor 32 as aforesaid. In consequence, the band 20 tends to turn around the blank 10 . Once the initial resistance caused by the interaction between the welds 48 and the leading and trailing edges 26 , 28 has been overcome, the band 20 is driven around the blank 10 , clockwise in the view of FIG. 7( b ), to the extent that the welds 48 lie slightly inboard of the leading and trailing edges 26 , 28 as shown. The band 20 can then be disengaged from the secondary conveyor 32 , for example by locally releasing vacuum applied by the secondary conveyor 32 or upon reaching the end of the secondary conveyor 32 . Thus, in the preferred embodiment of the invention shown in FIGS. 3 , 4 ( a ), 4 ( b ), 7 ( a ) and 7 ( b ), the differential speeds of the primary and secondary conveyors 30 , 32 ensure a close sliding fit of the band 20 around a blank 10 , avoids waste of the plastics strip material, and optimally positions the welds 48 for further processing. FIGS. 8( a ) and 8 ( b ) show another way of advancing the band 20 around the blank 10 to reposition the welds 48 , in this case by counter-rotating rollers 52 that engage the band 20 above and below the blank 10 and index the angular position of the band 20 to the necessary extent. The embodiment shown in FIGS. 18 to 25 uses similar rollers, which are particularly illustrated in FIG. 25 . FIG. 9 shows an adhesive strip 54 applied to the band 20 over one of the welds 48 . The strip 54 is a double-sided label of pressure-sensitive adhesive for precise dimensional control and for ease of handling: the labels may be supplied on a transfer tape. A tab member 56 such as a blister pack may then be applied to the adhesive strip 54 as shown in FIG. 10 . It is also possible, and may be preferred, for adhesive 54 to be applied to the tab member 56 and for the tab member 56 , with the applied adhesive 54 , to be pressed onto the band 20 such that the adhesive overlies the weld 48 . Adhesive may alternatively be applied in gel or semi-solid form, for example by the application of a line of hot-melt adhesive or an array of dots of such adhesive. The embodiment shown in FIGS. 18 to 25 contemplates such a solution, for example parallel lines of adhesive dots applied parallel to each weld 48 with at least one line of dots being disposed to each side of the weld 48 . In this way, a tab member attached to the band 20 bridges and strengthens the weld 48 . Heat sealing and cyanoacrylate adhesives are possible alternatives. The blister pack 56 constituting the tab member in FIG. 10 comprises rows of blisters 58 containing capsules or tablets of medicines or vitamins (not shown), these contents being dispensed by being pressed through a foil-covered base of the blister pack 56 in well-known manner. The blister pack 56 also has moulded-in stiffening formations 58 b along its edge aligned with the adhesive strip. The stiffening formations 58 b help to prevent the blister pack 56 sagging when slid out of the package 12 , and can be vacuum-formed with the blisters 58 . The stiffening formations 58 b also serve as a spacer whereby a second blister pack 70 , serving as a second tab member, can be applied to the band 20 in the same orientation as the first blister pack 56 . This will be explained in more detail below with reference to FIG. 13 . However, the second tab member could alternatively be applied in an orientation that is opposite to that of the first tab member. Referring back to FIGS. 1 and 2 , the next process after the application of the first blister pack 56 is folding about that blister pack 56 to invert the band. Folding is achieved by a succession of static plough folding guides 60 , 62 , 64 , 66 that act upon the passing blanks 10 as they flow through the machine 14 , each guide being located successively inwardly to effect another fold. In essence, the plough folding guides 60 , 62 , 64 , 66 are ramps, preferably of hardened steel to resist the abrasiveness of the cardboard blanks 10 . FIG. 2 shows a simplified set of plough folding guides 60 , 62 , 64 , 66 to achieve four folds: more folds may be necessary in practice. Each blank 10 is preferably pre-creased or scored to ease folding, and overfolding or pinch rollers may be employed to create sharp folded corners. The plough folding guides 60 , 62 , 64 , 66 may be movable laterally to reconfigure the machine 14 for differently-sized blanks. The first plough folding guide 60 lifts an edge portion 68 of the blank 10 beside the first blister pack 56 . That edge portion 68 will become a side of the package 12 . The second plough folding guide 62 then lifts the neck portion 18 of the blank 10 together with the first blister pack 56 attached to the band 20 at that location, folding the blank 10 about the first blister pack 56 such that the first blister pack 56 is inverted and lies upon the adjacent panel of the blank 10 as shown in FIG. 11 . Inverting the first blister pack 56 as shown in FIG. 11 also inverts the band 20 and exposes the second weld 48 of the band 20 . A strip 54 of pressure-sensitive adhesive is applied over that weld 48 as shown in FIG. 12 and then a second tab member in the form of a second blister pack 70 is attached to the adhesive 54 as shown in FIG. 13 . For economies of scale, the second blister pack 70 is preferably identical to the first blister pack 56 . As mentioned above in relation to FIG. 10 , the stiffening formations 58 b of the second blister pack 70 also serve as a spacer whereby the second blister pack 70 can be applied to the band 20 in the same orientation as the first blister pack 56 , the spacer allowing for the depth of the blisters 58 . This arrangement is preferred because when the pack 12 is opened as shown in FIG. 15 , both blister packs 56 , 70 are then exposed in the same orientation. However it is also possible to create the spacer in other ways, for example with a strip of foam coated on opposite sides with pressure-sensitive adhesive. The package 12 is completed in FIG. 14 where another panel of the blank 10 is folded over the second blister pack 70 and glued down to the remainder of the folded blank 10 to create a sleeve 72 . The package 12 is then ready for use, as shown in FIG. 15 in which the package 2 has been inverted and one of the blister packs 56 has been pulled out of the sleeve 72 , driving the other blister pack 70 out of the sleeve 72 in the opposite direction by virtue of the band 20 connecting the blister packs 56 , 70 . FIGS. 16( a ), 16 ( b ) and 16 ( c ) show details of a possible welding and cutting head 44 . In proof-of-concept testing, it was found that merely pressing a single heated welding edge against aligned strips 34 , 36 may produce unreliable results. This is largely due to the difficulty of pressing together the strips 34 , 36 very close to the trailing edge 28 of a blank 10 . The embodiment shown in FIGS. 16( a ), 16 ( b ) and 16 ( c ) therefore employs a dynamic stripper bar 74 that is floatingly attached to the head 44 for relative vertical movement with respect to the head 44 . The stripper bar 74 is biased downwardly with respect to the head 44 by a spring 76 around a rod 78 that supports the stripper bar 74 for sliding vertical movement with respect to the head 44 . When the head 44 initially shown in FIG. 16( a ) moves downwardly during a downward stroke, the stripper bar 74 moves ahead of the heated edge 46 of the head 44 to trap the aligned strips 34 , 36 against an edge of an anvil 80 under the lower strip 36 , opposed to the head 44 . This state is shown in FIG. 16( b ). Continued downward movement of the head 44 compresses the spring 76 between the stripper bar 74 and the head 44 , allowing the heated edge 46 into welding and cutting contact with the strips 34 , 36 as shown in FIG. 16( c ). It will be noted that the heated edge 46 is received in a trough 82 of the anvil 80 and that contact between the head 44 and the anvil 80 is not necessary to weld or to cut through the strips 34 , 36 , which are supported above the trough 82 by virtue of their tension. It will also be noted that the heated edge 46 lies between the stripper bar 74 and the trailing edge 28 of the blank 10 , so that the weld 48 can be made as close to the blank 10 as possible. As FIG. 16( c ) shows, the head 44 with its associated stripper bar 74 moves with a box motion to suit continuous production in the machine 14 . The anvil 80 must reciprocate horizontally to remain in alignment with the heated edge 46 of the head 44 during a welding and cutting stroke. Referring next to FIG. 17 of the drawings, this shows that the invention may be embodied in a rotary machine 84 as well as the linear machine 14 illustrated in FIG. 2 . Rotary machines tend to be faster than linear machines but they are less flexible as they are more difficult to adjust for different packages. The flow is anti-clockwise in FIG. 17 . The first operation is introduction of the lower strip 36 . Moving anti-clockwise from there, this is followed by introduction of the blanks 10 , then by introduction of the upper strip 34 , followed by welding and cutting to form the bands 20 . The packages 12 are then assembled by applying adhesive strip labels 54 from transfer tape 86 , applying first blister packs 56 to one side of the bands 20 , applying further adhesive strip labels 54 , applying second blister packs 70 to the other side of the bands 20 , and finally outfeeding the packages 12 . Folding steps take place during the assembly operations but have been omitted from FIG. 17 for brevity. Referring finally to FIGS. 18 to 25 of the drawings, these drawings show a practical embodiment of a machine for making a package in accordance with the invention. Where appropriate, like numerals are used for like parts. FIGS. 18 and 19 show that the machine 88 comprises, in upstream to downstream order: a supply station 90 for supplying strips 34 , 36 and blanks 10 ; a crimping station 92 at which the strips 34 , 36 are brought together around the blanks 10 , joined and cut to form a band 20 around each blank 10 ; a reject station 94 for rejecting imperfect products of the crimping station 92 ; a preliminary folding station 96 at which initial folds or creases are made in each blank 10 ; a band-advancing station 98 for advancing each band 20 around its associated blank 10 to bring the welds 48 inboard of the leading and trailing edges of the blank 10 ; a booklet-applying station 100 for applying a booklet to the band 20 around each blank 10 ; a reject station 102 for rejecting imperfect products of the booklet-applying station 100 ; a secondary folding station 104 at which further folds are made in each blank 10 ; a first tab-applying station 106 for applying a first blister pack 56 , a pull or other tab member to the band 20 around each blank 10 ; a reject station 108 for rejecting imperfect products of the first tab-applying station 106 ; a second tab-applying station 110 for applying a second blister pack 70 , a pull or other tab member to the band 20 around each blank 10 ; a reject station 112 for rejecting imperfect products of the second tab-applying station 110 ; a finishing station 114 for finishing the package, for example by making final folds and applying batch indicia to the package; and a reject station 116 for rejecting imperfect products of the finishing station 114 . The supply station 90 shown in FIGS. 20 and 21 is at the upstream end of the machine 88 shown in FIGS. 18 and 19 . At the supply station 90 , strips 34 , 36 and blanks 10 are supplied from respective buffers and the strips 34 , 36 converge around the blanks 10 in between. The blanks 10 are supplied from cartridges (not shown) containing stacks of blanks. A friction feeder, for example as supplied by RonTech AG (trade mark), draws the blanks 10 from the cartridges and presents them to the machine 88 , the blanks 10 being mutually spaced in transverse orientation. A horizontal primary conveyor 30 grips the full-width major portion of each blank 10 . As before, a vacuum conveyor is preferred; the retaining force of the vacuum may be supplemented by fingers (not shown) upstanding from the belt of the conveyor 30 that embrace each blank 10 . At intervals, supplementary location means 118 cooperate with the primary conveyor 30 to prevent slippage of the blanks 10 with respect to the belt of the conveyor 30 during operations such as folding and placement of blister packs 56 , 70 . The supplementary location means 118 —in this example, supplementary belts opposed to the belt of the primary conveyor 30 —are visible in the plan view of the machine shown in FIG. 19 . As best understood with reference to the side view of FIG. 18 and the detail views of FIGS. 20 and 21 , two identical strips 34 , 36 of flexible plastics film are drawn from respective reels 38 , 40 and fed to the crimping station 92 of the machine 88 . One strip 34 is fed above the incoming blanks 10 and the other strip 36 is fed below, both in alignment with the neck portions 18 of the blanks 10 . Tension is maintained in the strips 34 , 36 by respective tensioners 120 upstream of the crimping station 92 . Each tensioner 120 passes a respective one of the strips 34 , 36 in zigzag fashion through a set of rollers 122 before the strip 34 , 36 enters the crimping station 92 . In FIG. 20 , the upper strip 34 is shown threaded through the rollers 122 of the upper tensioner 120 but the lower strip 36 visible in FIG. 18 has been omitted Comparison of the upper and lower tensioners 120 shows how in each case, two of the rollers 122 of each set are mounted on a swinging arm 124 for vertical movement relative to the other rollers 122 of the set, which are fixed to the structure 126 of the machine 88 . Controlled relative movement between the rollers 122 imparts controllable tension to the strips 34 , 36 . Immediately downstream of the tensioners 120 , opposed vacuum-belt secondary conveyors 32 draw the strips 34 , 36 from the tensioners 120 and into the crimping station 92 . The relative speeds of the primary and secondary conveyors 30 , 32 are varied dynamically to advance each blank 10 against the weld at the leading edge of the blank as explained previously. This can be achieved by momentarily accelerating the primary conveyor 30 or by momentarily decelerating the secondary conveyors 32 Moving on now to FIGS. 22 to 24 , these show that the crimping station 92 of the embodiment shown in FIGS. 18 to 25 takes a different approach to the design of the welding and cutting head. In this embodiment, opposed heated blades 128 are mounted on cooled contra-rotating drums 130 between which blanks 10 and strips 34 , 36 are fed. The drums 130 are mounted for rotation about parallel horizontal axes in brackets 132 attached to the structure 126 of the machine 88 and are cooled in conventional fashion by a refrigerant which enters the drums via a respective inlets 200 . In this example, each drum 130 carries two blades 128 , 180° apart. The rotation of the drums 130 is synchronised with the speed of the incoming blanks 10 and strips 34 , 36 so that the blades crimp the strips 34 , 36 between each blank 10 . The rotation of the drums 130 is also mutually synchronised so that the blades 128 of the opposed drums come together in pairs to crimp, weld and cut through the aligned strips 34 , 36 from above and below simultaneously. To permit the blades 128 to apply inward pressure on the strips 34 , 36 for long enough to achieve welding and cutting without interrupting the flow of blanks 10 through the machine 88 , the blades 128 are mounted resiliently to the drums. This permits inward radial movement of the blades 128 with respect to the drums 130 as the opposed blades 128 of each pair come together on each rotation of the drums 130 . Thus, the blades 128 of each pair can apply inward pressure on the strips 34 , 36 for longer than the instantaneous period that would otherwise be possible. The cooling of the drums ensures that there is no undesired melting or deformation of the strips 34 , 36 between the welds formed by the opposed blades 128 . FIG. 24 shows how the perforated secondary conveyors 32 feed the strips 34 , 36 between opposed guide plates 134 immediately upstream of where the blades 128 of the drums 130 come together. As the leading edge of each blank 10 is pressed against the adjacent weld before the next weld is made behind the blank 10 to create a band 20 , tension is maintained in the strips 34 , 36 up to the point where the band 20 of each blank 10 is created. In the reject station 94 for rejecting imperfect products of the crimping station 92 , vision sensors (not shown) determine whether a band 20 has been correctly formed around each blank 10 . If a band 20 has not been correctly formed, that blank 10 is rejected at the reject station 94 . Additionally, the machine 88 may be programmed to stop if a set number of consecutive bands 20 is not correctly formed so that a failure analysis can take place. Similar vision sensors systems are used to implement the remaining reject stations 102 , 108 , 112 , 116 of the machine 88 . If a band 20 has been correctly formed, the blank 10 is carried by the primary conveyor 30 through the preliminary folding station 96 at which initial folds or creases are made in each blank 10 . From there, the blank 10 passes through the band-advancing station 98 at which contra-rotating rollers 52 shown in FIG. 25 advance the band 20 around the blank 10 to bring the welds inboard of the leading and trailing edges of the blank 10 . The rollers 52 are driven by respective servos so that their speeds of rotation can be individually adjusted to advance the bands as desired. In the embodiment shown in FIGS. 18 to 25 , there is provision to apply a booklet and two tab members such as blisters packs 56 , 70 to the band 20 of each blank 10 . It is emphasised that a booklet is optional and that one or both of the blister packs 56 , 70 could be replaced by a pull member or other tab member. The booklet is applied first at the booklet-applying station 100 . The operation of the booklet-applying station 100 is similar to that of the first and second tab-applying stations 106 , 110 . The following description will therefore suffice for each station 100 , 106 , 110 . At each station, hot-melt glue is applied in dots to the band 20 of each blank by gluing apparatus as supplied by, for example, Robatech AG. Booklets or tab members are then applied to the glue under downward pressure maintained long enough for a sufficient bond to form before the blanks are released for downstream processing. As best shown in the plan view of the machine 88 in FIG. 19 , the booklets and tab members are fed in transversely by respective supply conveyors 136 disposed orthogonally to the primary conveyor 30 . Buffers 138 are shown at the upstream ends of the supply conveyors 136 associated with the first and second tab-applying stations 106 , 110 . Booklets and tab members are spaced along the respective supply conveyors 136 to arrive in synchronism with the flow of blanks 10 past the downstream ends of the supply conveyors 136 . At the downstream end of each supply conveyor 136 , a pick-and-place carousel 140 picks each booklet or tab member off its respective supply conveyor 136 , reorients the booklet or tab member to suit the blanks 10 , places the booklet or tab member upon the glue dots associated with each blank 10 and presses down the booklet or tab member for the necessary duration without interrupting the flow of blanks 10 . To do this, the pick-and-place carousel 140 follows the general principle disclosed in U.S. Pat. No. 6,578,614 to Loewenthal, Assignee Sigpack Systems AG. Each pick-and-place carousel 140 comprises a belt 142 that supports carriers 144 spaced to correspond to the pitch from blank to blank on the primary conveyor 30 . The belt 142 turns anti-clockwise about vertical-axis rollers on a triangular path in plan view. The triangular path comprises: a pick section 146 extending over and parallel to the associated supply conveyor 136 ; a place section 148 orthogonal to the pick section 146 and extending over and parallel to the primary conveyor 30 ; and a return section 150 being the hypotenuse of the triangular path. The carriers 144 are mounted for vertical movement with respect to the belt 142 . At the pick section, each carrier 144 is driven down with respect to the belt 142 to pick up a respective booklet or tab member. The carrier 144 is then raised to lift the booklet or tab member off the supply conveyor 136 . As the belt 142 turns the corner between the mutually-orthogonal pick and place sections 146 , 148 , the carrier 144 turns through 90° to reorient the booklet or tab member to match the orientation of the blanks 10 . At the upstream end of the place section 148 , the carrier 144 is again driven down with respect to the belt 142 to press the booklet or tab member against the waiting glue dots and to maintain that downward pressure for the length of the place section 148 . At the downstream end of the place section 148 , the carrier 144 is raised away from the booklet or tab member. The carrier 144 then returns along the return section 150 to start again at the pick section 146 . Cam surfaces (not shown) may be used to drive the upward and downward movements of the carriers 144 with respect to the belt 142 on the pick and place sections 146 , 148 of the path. The blanks are suitably of cardboard although other materials such as plastics are possible. The invention has been tested to proof-of-concept stage with cardboard blanks of 0.38 mm thickness and a density of approximately 290 g/m 2 . A stack of 1500 of such blanks would be 570 mm high and would permit five minutes of machine running at 300 packages per minute—a rate somewhat faster than a single-lane, single-head machine of the invention would be expected to operate. The plastics film used in the strips is suitably polypropylene film as sold under the trade mark Treofan GND. Alternatively, polyethylene film may be used, although this is more prone to stretching. Proof-of-concept testing has been performed with Treofan GND film of 30 μm thickness, with a tension of 38 grams and with a welding and cutting tool temperature of 250±5 Celsius and a stainless steel welding edge with a land of 0.5 mm, this effecting welding and cutting in 0.15 seconds. Treofan GND film of 25 μm thickness has also been tested successfully. In these tests, the width of the strips was 46 mm and the cut length between successive welds was 88 mm. Reels of Treofan GND of 30 μm thickness are available with a length of 1175 metres. At 300 packs per minute, the time between reel changes would be approximately 45 minutes. Larger reels of Treofan GND are available, allowing correspondingly longer times between reel changes. Many variations are possible without departing from the inventive concept. For instance, the way in which the blank is folded in the foregoing description is merely for illustration and can readily be varied in practice: folding means other than plough folding guides will be known to those familiar with the packaging field. Both the blanks and the film may be of different materials or of different thicknesses or compositions. The welding edge of the welding and cutting head may be replaced by a hot wire, which may be of PTFE-coated stainless steel. An advantage of a wire is that the wire can be advanced to present a fresh welding surface from time to time. The wire may be recirculated after passing through a scraper to remove any welding residues that may have adhered to the wire. It is possible for the welding edge of the head simply to weld the strips but not to cut through them, such that the strips can subsequently be cut or broken along the weld. Other variants are possible in which joining of the strips is effected by a laser, by adhesive, by ultrasound or under fusing pressure. Similarly, cutting can be achieved by means other than a hot edge, such as a sharp blade or a laser. Whilst the head is shown with one stripper bar in FIGS. 16( a ) to 16 ( c ), it is possible for more than one stripper bar to be used, for example one stripper bar to each side of the head. The use of two blister packs as tab members is merely an illustrative option. There may be only one blister pack, with the other tab member simply being a pull-out tab that drives the blister pack out of the sleeve in the opposite direction. That pull-out tab may, nevertheless, bear marketing material or product information, and may comprise or consist of a fold-out leaflet that may be attached in broadly the same manner as the second blister pack described above. It is possible for more than one band to be applied to a single blank or other substrate. It is also possible for the blank to be folded to produce a carton and for the tab members to be attached subsequently to the band through the open ends of the carton sleeve. In view of these and other variants of the invention, reference should be made to the appended claims rather than to the foregoing specific description to determine the scope of the invention.
Methods are disclosed for making a band-driven package or a band-drive component for a package, and machines for performing the methods. One method comprises feeding first and second flexible webs in a feed direction, one web being fed each side of a substrate such that the webs are in mutual face-to-face disposition ahead of and behind the substrate with respect to the feed direction; joining the face-to-face webs at a first join ahead of the substrate and at a second join behind the substrate, the joins bounding web portions that together encircle the substrate between the joins; and dividing the joined web portions from the remainder of the webs such that the joined web portions together define a band that encircles the substrate, to be slid around the substrate in use of the package.
FIELD OF THE INVENTION The present invention is directed to a process and apparatus for regeneration of pickling acids by pyrolysis of the spent pickling acid liquor solution. More particularly, the invention is directed to a process and apparatus for removing fine metal oxides and other particles from the exhaust gas stream from the pyrolysis of spent pickling acids. BACKGROUND OF THE INVENTION Pickling acids, such as hydrochloric acid and nitric acid, are used in the treatment of various metals. It is common practice to regenerate the acid solutions for reuse in the pickling process. Pickling acids of hydrochloric acid produce numerous metal chlorides in the spent acid liquor. Many metal chlorides can be thermally converted into metal oxides and hydrogen chloride. The metal oxides then can be separated from the gaseous hydrogen chloride. The hydrogen chloride can be recovered as hydrochloric acid for regenerating the pickling acids. Various processes are known for regenerating pickling acids. One process for regenerating pickling acids utilizes a roasting technique wherein the spent pickling acid solution from pickling iron or steel is sprayed into a furnace. The hot combustion gases in the furnace vaporize the spent pickling acid and oxidize the metal compounds to form metal oxide particles and hydrogen chloride. Other methods introduce the spent pickling acid into a fluidized bed. The pickling acid can be introduced directly into the fluidized bed. Alternatively, the metal chloride can be separated from the acid solution and then introduced to the fluidized bed as a slurry. One example of a fluidized bed process is disclosed in U.S. Pat. No. 4,049,788. Fluidized bed and spray roasting processes are generally effective in the regeneration of pickling acids. However, these processes have some disadvantages that are difficult to overcome. For example, fluidized bed and spray roasting processes produce large amounts of fine particles in the gas stream which is very difficult to remove. The exhaust gas stream usually contains large amounts of fine particles of the metal oxides that are discharged to the atmosphere. Efforts to limit the amount of fine particles of metal oxides discharged into the atmosphere have met with limited success. Various processes have been proposed for removing the fine particles from the gas stream. For example, cyclone separators and venturi washing devices have been used with some success. However, the exhaust gas still contains unacceptable amounts of fine particles. Accordingly, a continuing need exists in the industry for improved processes for regenerating pickling acids. SUMMARY OF THE INVENTION The present invention is directed to a process and apparatus for regenerating spent pickling acids. More particularly, the invention relates to a process and apparatus for removing very fine metal oxide particles and other particulates from the exhaust gas stream produced in the pyrolysis of spent pickling acids. Accordingly, a primary object of the invention is to provide a process and apparatus for recovering fine metal oxide particles and other particulates from an exhaust gas stream. A further object of the invention is to provide a process and apparatus for reducing the dust and fine particulate emissions in the exhaust gas stream from a spray roasting or fluidized bed pyrolysis chamber in the regeneration of spent pickling acids. Another object of the invention is to provide a pickling acid regeneration process where a fine spray of water is introduced into the exhaust gas stream and then passing the exhaust gas through a droplet separator. A still further object of the invention is to provide a process and apparatus for spraying water droplets into an exhaust gas stream containing fine metal oxide particles where the particles adhere to the water droplets whereby micron and submicron size particles can be removed with the droplets. Another object of the invention is to provide a process and apparatus for producing water droplets having a diameter of about 0.01 mm or less in the exhaust gas stream produced in the regeneration of pickling acids. A further object of the invention is to provide a process and apparatus for removing fine metal oxide particles in an exhaust gas stream by introducing a fine mist of water droplets into the exhaust gas stream and retaining the water droplets in the exhaust gas stream for at least 0.5 second, and preferably for at least 1 second. The objects of the invention are basically attained by providing a process of removing oxide particles from exhaust gas produced during the regeneration of pickling acid liquors, said process comprising the steps of spraying a mist of water droplets into an exhaust gas stream obtained from the pyrolysis of pickling acid liquors, wherein said exhaust gas stream contains vaporized acids, water vapor and metal oxide particles, wherein said water droplets are retained in said exhaust gas stream for sufficient time to adhere to said metal oxide particles, and subsequently passing said exhaust gas stream and water droplets to a separator for separating said water droplets and metal oxide particles, and discharging said exhaust gas. The objects of the invention are further attained by providing a process for the regeneration of spent pickling acids and recovery of metal oxide particles comprising the steps of feeding spent pickling acids to a pyrolysis reactor and pyrolyzing said acids to produce an exhaust gas stream containing metal oxide particles and acid vapors, passing said exhaust gas stream through a first separator to remove a portion of said metal oxide particles, withdrawing said exhaust gas from said first separator and introducing a mist of water droplets into said exhaust gas stream, wherein said water mist is retained in said exhaust gas stream for sufficient time to adhere to a micron and submicron size particles of said metal oxide particles, and subsequently passing said exhaust gas through a second separator and separating said droplets and metal oxide particles, recovering said acid vapors and discharging said exhaust gas. The objects of the invention are also attained by providing an apparatus for the regeneration of pickling acids and for recovering metal oxide particles, said apparatus comprising: a pyrolysis chamber having a spent pickling acid inlet, an inlet for introducing a fuel to said pyrolysis chamber to pyrolyze said pickling acid and producing an exhaust gas stream containing acid vapors and metal oxide particles; a venturi washer for receiving said exhaust gas stream and for solubilizing said acid vapors and recovering a portion of said metal oxide particles and said solubilized acids; a column separator for receiving said exhaust gas stream and for further separating solubilized acids; a conduit having first end coupled to said column separator; a droplet separator coupled to a second end of said conduit; and a spray nozzle in said conduit for spraying a water mist into said conduit for adhering to the metal oxide particles, whereby said metal oxide particles are removed from said exhaust gas stream in said droplet separator. These and other objects, advantages and salient features of the invention will become apparent from the annexed drawings and detailed description of the invention. BRIEF DESCRIPTION OF THE DRAWINGS Referring to the drawings which form a part of this disclosure in which: FIG. 1 is a schematic diagram of a pickling acid regeneration plant using a spray roasting pyrolysis furnace; FIG. 2 is a schematic diagram of a pickling acid regeneration plant in an embodiment of the invention; and FIG. 3 is a partial enlarged schematic diagram of the pickling acid regeneration plant of FIG. 2. DETAILED DESCRIPTION OF THE INVENTION The present invention is directed to a process and apparatus for regenerating pickling acids by the pyrolysis of spent pickling acid liquor and recovering the fine oxide particles and other particulates from the exhaust gas stream. More particularly, the invention relates to a process which introduces a fine mist of water droplets into the exhaust gas stream to remove the fine metal oxide particles produced during pyrolysis of an acid liquor in the regeneration of pickling acids. The process and apparatus are suitable for large scale commercial operations in the regeneration of pickling acid liquors. Referring to FIG. 1, a regeneration plant 10 is illustrated for regenerating spent pickling acids from a metal treatment process. The pickling acids are typically hydrochloric acid, nitric acid and mixtures thereof. Regeneration plant 10 is particularly suitable for the regeneration of hydrochloric acid liquor used for pickling iron and/or steel. The waste pickling liquor from a metal treatment process contains metal salts, such as metal chlorides and metal nitrates, and other impurities from the pickling process. As shown in FIG. 1, the waste pickling liquor is introduced through pipe 12 to a storage tank or vessel 14. A discharge pipe 16 having a control valve 18 draws the waste pickling liquor from storage tank 14. Pipe 16 divides into a first pipe 20 and second pipe 22. First pipe 20 extends to a pyrolysis reactor 24. In the embodiment illustrated in FIG. 1, pyrolysis reactor 24 is a spray-roasting reactor having a spray nozzle 26 for spraying the pickle liquor into a combustion zone 28. In further embodiments, the reactor can be a fluidized bed reactor as known in the art. A fuel and air mixture is fed through line 30 into the combustion zone 28. The fuel and air mixture burn in the pyrolysis and combustion zone 28 at sufficient temperature to burn and oxidize the waste acid. During the pyrolysis process the metal salts in the waste acid oxidize to produce metal oxide particles while vaporizing the acid compounds, such as hydrogen chloride. The metal oxides produced by the pyrolysis have varying particle sizes depending on the conditions of the pyrolysis process and the reactor. The heavier metal oxide particles and other particulates produced during the pyrolysis of the pickling liquor fall downwardly to the lower portion 32 of pyrolysis reactor 24 where the particles are discharged through a rotary vane feeder 34. The discharged particles are then conveyed through a pipe 36 to a storage tank 38. Air is introduced to pipe 36 as indicated by arrow 37 to convey the particles to storage tank 38. Storage tank 38 separates the metal oxide particles from the conveying air stream and discharges the particles through a pipe 40 to a vessel 42 for disposal or further processing. The gas portion is discharged from tank 38 through a pipe 39 for discharge to the atmosphere. The exhaust gas stream from the pyrolysis of the waste pickling acid is withdrawn from pyrolysis reactor 24 through a pipe 44 and directed to a cyclone separator 46. Cyclone separator 46 has a conventional structure and operation as known in the art. The exhaust gas stream carries the small metal oxide particles that do not separate in reactor 24 as well as gaseous materials from the pyrolysis of the pickling liquor. The cyclone separator 46 removes a portion of the metal oxide particles and other solid materials entrained in the exhaust gas stream, and returns the separated particles through line 48 to reactor 24. The exhaust gas stream carrying small metal oxide particles exit cyclone separator 46 through a pipe 50 to a venturi washer 52. Venturi washer 52 has a structure and operation of conventional venturi washers as known in the art. As shown in FIG. 1, a concentrate of spent pickling acid from storage tank 14 is supplied to venturi washer 52 through line 22. Venturi washer 52 further removes some of the small metal oxide particles entrained in the exhaust gas stream which were not removed in the cyclone separator 46. The exhaust gas stream and pickling liquor mixture is carried through a pipe 54 back to storage tank 14 where the liquid portions combine. The exhaust gas stream exists storage tank 14 through a pipe 56 to a column separator 58 which can be a packed column. The exhaust gas stream from storage tank 14 carries the fine metal oxide particles, entrained liquids and vapors. Regenerated pickling liquor is introduced to the upper portion 60 of column 58 through a spray nozzle 62. The spray of regenerated acids absorbs some of the acid vapors to recover the acid compounds. The regenerated acid liquor is separated from the gas stream in column 58 and withdrawn through a pipe 64 where the regenerated pickling acid liquor is returned to the pickling process. The exhaust gas stream from column 58 is carried through a pipe 66 to a heat exchanger 68 where the gas stream is cooled. A portion of acid vapors are condensed as regenerated pickling liquor in heat exchanger 68 and carried to a storage vessel 70. Fresh water is added to storage vessel 70 through line 72 to adjust the concentration of the pickling liquor as desired. A pipe 74 withdraws a portion of the regenerated pickling acid liquor from storage vessel 70 and carries the liquor to spray nozzle 62 in column 58 to separate vapors and particles. The exhaust gas stream exists heat exchanger 68 through pipe 76 carrying entrained vapors and droplets of various liquids and fine particles of metal oxides and other particulates that are not removed in the cyclone separator 46 and the venturi washer 52. The exhaust gas stream is carried through pipe 76 to a droplet separator 78. In the embodiment illustrated, the drop separator 78 is a packed column where the liquid droplets are separated from the gas stream and flow to a bottom portion 80. A portion of the regenerated pickling acid liquor is carried from bottom portion 80 to storage vessel 70 through a pipe 82. A portion of the regenerated pickling acid liquor in bottom portion 80 is withdrawn through pipe 84 and carried to a spray nozzle 86 in droplet separator 78. Spray nozzle 86 introduces a mist into droplet separator 78 to assist in condensing vapors in the regeneration of the waste pickling acid. A source of fresh water is also introduced to the upper portion 88 of drop separator 78 through pipe 89 to produce a fresh water spray in separator 78 to further recover the regenerated acid. The exhaust gas stream is then discharged through a pipe 90 where the gas is discharged to the atmosphere. The exhaust gas stream discharged from the drop separator 78 is substantially free of acids and acid by-products but still contains large amounts of fine metal oxide particles as well as other particulates. The pyrolysis of the waste pickling acids produces a portion of metal oxide particles that are not removed in the cyclone separator or venturi washer. These fine metal oxide particles which are not easily removed have a particle size of less than about 1 micron to a few microns which are discharged to the atmosphere with the exhaust gas stream. Referring to FIGS. 2 and 3, a waste pickling acid regeneration plant 10' is shown which is similar to the plant 10 of FIG. 1 except for addition of a water spray nozzle 92 in the system. Accordingly, identical components of the patent are identified by the same reference number with the addition of a prime. As shown in FIGS. 2 and 3, a fresh water supply is supplied through pipe 94 to spray nozzle 92 which is positioned in pipe 66' downstream of separation column 68' and upstream of droplet separator 78'. Spray nozzle 92 produces a mist of water in the exhaust gas stream. Preferably, the nozzle 92 is positioned upstream of heat exchanger 68'. Nozzle 92 is also connected to a pipe 96 supplying pressurized air to atomize the water spray. The amount of air introduced to the nozzle 92 can vary depending on the nozzle structure and the amount of air desired to be added to the exhaust gas stream since the air will lower the concentration of particulates in the exhaust gas stream. In preferred embodiments, nozzle 92 produces an atomized water mist where the mist has water droplets with an average diameter of about 0.01 mm. The water droplets are introduced into the exhaust gas stream passing through pipe 66' in an amount sufficient to adhere to and agglomerate a substantial portion of the entrained metal oxide particles. In preferred embodiments, the nozzle 92 is positioned in the flow path of pipe 66' and pipe 66' has a length sufficient to retain the water droplets in the exhaust gas stream for at least 0.5 second and more preferably, for at least 1 second. The flow rate of the exhaust gas stream is selected according to the dimension of the pipe 66' to retain the water droplets in suspension for about 0.5 to about 1 second. For example, a retention time of about 0.5 to about 1 second for the water droplets can be easily attained by providing a 10 m pipe 66' and an exhaust gas stream flow rate of about 10 m/sec. Nozzle 92 is preferably a two component nozzle capable of producing water droplets of about 0.01 mm in diameter or less. In further embodiments, nozzle 92 can be an atomizing spray nozzle or nebulizer as known in the art for producing water droplets having a diameter of 0.01 mm. The water droplets having a diameter of 0.01 mm or less are able to combine with particles of 1 micron or less whereby the micron and submicron size particles attach to and agglomerate with the water droplets. The water spray of droplets measuring 0.01 mm is able to remove particles of less than 1 micron (less than 0.001 mm). In this manner, the micron and submicron size particles adhered to the water droplets are removed from the gas stream in the separator 78'. The volume of water introduced to the exhaust gas stream can vary depending on the particulate concentration and particle size in the gas stream. For example, water can be sprayed into the gas stream at a rate of about 200 liters to about 400 liters per 25,000 Nm 3 of exhaust air and generally at a volume of about 300 liters per 25,000 Nm 3 of exhaust air. In preferred embodiments, water is introduced into the exhaust gas stream in an amount whereby the exhaust gas stream discharged from the droplet separator has a particulate level of about 50 mg/Nm 3 or less. In the embodiment illustrated, droplet separator 78' is column separator as known in the art for dust collection systems. Preferably, the column is a packed bed separator containing a bed of gravel or other solid material. Alternatively, the column separator can be packed with an alkaline material such as lime. In further embodiments, a venturi washer or scrubber can be used instead of a column separator. In each embodiment the droplet separator is positioned downstream of the fresh water spray 92. As in the embodiment illustrated, the water spray introduced in pipe 66' and carried along the length of the pipe directly to the heat exchanger 68' and then directly to the droplet separator 78'. In further embodiments the heat exchanger can be omitted whereby the water spray in the exhaust gas stream is carried directly to the droplet separator. The plant assembly 10 shown in FIGS. 2 and 3 is typically capable of reducing the amount of entrained particulates in the exhaust gas stream from the regeneration of pickling acids to a level of less than about 50 mg/Nm 3 . In further embodiments, the exhaust gas stream from the metal oxide storage tank 38' is fed through a pipe 98 to the pipe 66' upstream of the spray nozzle 92. In this manner, all or a portion of the exhaust gas stream can be treated by the fresh water spray in pipe 66' further to remove micron and submicron size particles by the water spray. The following non-limiting examples demonstrate preferred embodiments of the invention. EXAMPLES Several comparative tests were conducted in a pickling acid regeneration plant substantially as shown in FIGS. 1-3. The waste pickling liquor concentrate from the storage tank was fed to spray roasting reactor 24 at a rate of about 6 m 3 /hr. About 9 m 3 /hr of the pickling liquor from the storage tank was fed to the venturi washer 52. The volume of excess air introduced to the exhaust stream was a varied process parameter. The exhaust gas stream produced was about 25,000 Nm 3 /hr depending on the volume of excess air introduced to the exhaust gas stream. The particulates in the exhaust gas stream discharged from the droplet separator without spraying water into the pipe 84 is shown in Table 1. Fresh water was then sprayed into pipe 66' through the nozzle 92 at a rate of about 300 l/hr to produce water droplets having an average diameter of about 0.01 mm. The water droplets were retained in the exhaust gas stream for at least 0.5 second. The quantity of particulates in the exhaust gas stream discharged from the drop separator are also recorded in Table 1. TABLE 1______________________________________ Dust in mg/Nm.sup.3 Dust in mg/Nm.sup.3 without Spray with WaterTest No. Excess Air λ Water Spray______________________________________1 1.45 72.52 1.45 41.23 1.65 35.84 1.65 21.85 1.60 47.36 1.60 27.5______________________________________ The test results demonstrate that the water spray into the exhaust gas stream upstream of the droplet separator reduces the particulates an average of about 40% compared to the exhaust gas stream where the water spray is not fed into the exhaust gas stream. In addition, data demonstrates that the water spray having droplets of about 0.01 mm are able to remove submicron size particles that were not able to be removed in the cyclone separator or the vennturi washer. While several embodiments have been shown to illustrate the invention, it will be understood by those skilled in the art that various modifications and changes can be made therein without departing from the scope of the invention as defined in the appended claims.
A process is disclosed for removing the very fine oxide particles produced during regeneration of used pickling acids by pyrolysis. The process includes the step of spraying water into the gaseous stream to produce a mist of fine droplets and thereafter passing the gaseous stream through a liquid separator. The invention is also directed to a plant for carrying out the process where the plant includes a nozzle to spray water droplets into the gaseous stream before the drop separator.
The present invention relates to an enzyme which has not been previously described and which catalyzes reactions of the following type: ##STR1## Particularly good conversions are obtained with R 1 =(CH 3 ) 2 CH--and R 2 =H--, as well as with R 1 =CH 3 --and R 2 =H--(=2-acetylamino acrylic acid). Nakamichi et al. (Appl. Microbiol. Biotechnol. 19, pp. 100-105, 1984, and Appl. Biochem. Biotechnol. 11, pp. 367 -376, 1985) as well as Nishida at al. (Enzyme Microb. Technol. 9, pp. 479-483, 1987) describe the occurrence of acylases which hydrolyze 2-acetylamino cinnamic acid (=N-acetyl-2,3-didehydrophenylalanine) to phenyl pyruvate and acetic acid in bacterial cells of the species Bacillus sphaericus and Alcalignes faecalis. Nothing was published about the substrate specificity of these enzymes. Hummel et al. (Appl. Microbiol. Biotechnol. 25, pp. 175-185, 1987) isolated a 2-acetylamino cinnamic acid acylase from a strain of the genus Brevibacterium. However, this enzyme is not capable of splitting other N-acetyl-2,3-didehydroamino acids. The N-acetyl-2,3-didehydroleucine acylase of the invention is characterized by the following qualities: 1) Reactivity: It splits off the acetyl group from N-acetyl-2,3-didehydroleucine, at which time acetic acid and, after consecutive chemical reactions, 12-keto-4-methyl valeric acid and ammonia arise as end products; 2) Substrate specificity: It hydrolyzes both various N-acetyl-2,3-didehydroamino acids such as N-acetyl-2,3-didehydrovaline, N-acetyl-2,3-didehydroisoleucine, 2-acetylamino cinnamic acid and 2-acetylamino acrylic acid as well as amino acid amides such as D- and L-tryptophane amide, D- and L-leucine amide and L-methionine amide but not 2,3-saturated N-acetylamino carboxylic acids such as N-acetyl leucine or N-acetyl valine; 3) Optimum pH: The optimum pH is 9.3±1; 4) pH stability: It exhibits good stability in a pH range between 9 and 10; 5) Optimum temperature: The optimum temperature is 55° C. at a pH of 9; 6) Temperature resistance: At 50° C., 90% residual activity can still be demonstrated after 30 minutes incubation; 7) Influences of inhibitors and activators: Inhibitors of serine proteases, especially phenylmethane sulfonylfluoride (0.001 mM), exert an inhibitory action, glycine accelerates the substrate splitting as a function of the concentration; 8) Molecular weight: The molecular weight is approximately 60,000; 9) Subunits: The molecule consists of only one unit; 10) K M -value: The K M -value for the substrate N-acetyl-2,3-didehydroleucine is 4.5 mM (30° C., 0.1 M glycine buffer, pH 9). The N-acetyl-2,3-didehydroleucine acylase of the invention can be recovered by means of a zoogloea strain which was deposited on Dec. 1, 1987 in the German Collection of Microorganisms in Gottingen under number DSM 4306. The following qualities show that the microorganism belongs to the species Zoogloea ramigera: It grows in slightly curved, Gram-negative rods. The cells can be moved by a polar flagellum and do not form spores. Growth occurs without nitrate strictly aerobically. No acid is formed from glucose. Catalase and oxidase reaction as well as nitrate reduction are positive, urea splitting positive, gelatin and casein decomposition positive, starch breakdown negative, denitrification negative (unusual for the genus Zoogloea). The strain contains the ubiquinone Q 9. The microorganism can be preserved as lyophilized culture. Working cultures are maintained on oblique agar tubes (N-acetyl-2,3-didehydroleucine medium). In order to recover the N-acetyl-2,3-didehydroleucine acylase of the invention, Zoogloea ramigera DSM 4306 is cultivated in an aqueous nutrient medium containing a source for carbon and nitrogen and mineral salts at an initial pH between 7.5 and 9 aerobically at 25° to 38° C., then N-acetyl-2,3-didehydroleucine is added to the nutrient solution as inductor and the mixture is cultivated further aerobically at pH 6.5 and at 28° to 38° C., the cell mass separated and the enzyme isolated from the cells. The enzyme can be recovered in larger amounts e.g. by cultivating Zoogloea ramigera in a known manner in a bioreactor of the desired size, e.g. with a working volume of 5 liters. The following is important for a successful culture: A good aerating (obligatorily aerobic organism); The presence of nutrients, e.g. in complex form as yeast extract; A gradual subsequent introduction of the nutrients; For growth, a pH between 7.5 and 9; For the enzyme production, a pH of 6.5; For the enzyme production, the presence of N-acetyl-2,3-didehydroleucine (5 to 9 g/l). The enzyme can be recovered after digestion of the cells by a combination of known methods of enzyme purification. The enzyme can be used as a component of a coupled enzyme system with an L-leucine dehydrogenase for the enzymatic conversion of N-acetyl-2,3-didehydroleucine via the intermediary stage 2-imino-4-methyl-valeric acid or the corresponding keto acid to L-leucine. In addition, the enzyme can be used for the preparation of D- or L-tryptophyl glycine from D- or L-tryptophane amide and glycine, of D- or L-tryptophyl-D-methionine from D- or L-tryptophane amide and D-methionine as well as of L-tryptophyl-D-cysteine from L-tryptophane amide and D-cysteine. DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS The invention is explained in more detail in the following examples. The following abbreviated formulas are used: N-acetyldehydro- for N-acetyl-2,3-didehydro-, ADL for N-acetyl-2,3-didehydroleucine, ADI for N-acetyl-2,3-didehydroisoleucine and ADV for N-acetyl-2,3-didehydrovaline. EXAMPLE 1 Search for N-acetyldehydroleucine acylase producers 63 soil, water and sewage plant specimens were suspended and diluted with a mineral salt solution and 20 ml liquid medium (enrichment medium) were inoculated with 0.02-0.2 ml of these batches. The mineral salt solution exhibited the following composition: ______________________________________K.sub.2 HPO.sub.4 3.7 gKH.sub.2 PO.sub.4 1.5 gMgSO.sub.4.7 H.sub.2 O 0.2 gCaCl.sub.2.2 H.sub.2 O 2.0 mgZnSO.sub.4.7 H.sub.2 O 0.4 mgFeCl.sub.3.6 H.sub.2 O 0.2 mgDeionized water 1.0 lpH 7.2The enrichment medium contained:N-acetyldehydroamino acid 3.0 gTrace-element solution 3.0 mlYeast extract 0.2 gMineral salt solution (see above) 1.0 lpH 7.2______________________________________ The leucine, isoleucine and valine derivatives were used as N-acetyldehydroamino acids. Prior to the inoculation, the medium was introduced into 100 ml Erlenmeyer flasks and sterilized by autoclaving. After cooling, 0.02 ml vitamin solution, sterilized by filtration, was added to each flask (composition of the vitamin solution according to H. G. Schlegel, "Allgemeine Mikrobiologie", Thieme Verlag, 1981, p. 169). The cultures were incubated aerobically at 28° C. in a rotary agitator at 110 rpms for 6-10 days. Densely over grown batches with an optical density at 660 nm of at least 0.7 were diluted in sterile phosphate buffer (20 mM, pH 7) in a conventional manner and plated out onto selective nutrient media with the following composition: ______________________________________Agar 2.0 gYeast extract 0.1 gEnrichment medium (see above) of the 1.0 lparticular culturepH 7.2______________________________________ The medium was autoclaved without vitamin solution and the latter not added until after the cooling of the agar before pouring out into sterile Petri dishes in the amount indicated above. Reference plates of each enrichment culture were also inoculated in parallel as described, which plates exhibited the same composition as the selective nutrient media but contained no N-acetyldehydroamino acids. The inoculated plates were incubated 3 to 10 days at 28° C. A colony was removed from each of the colony types which could be found only on the selective nutrient media but not on the reference plates and was placed in a conventional manner on the selective agar in pure culture (dilution smears, microscopy). Strains which appeared homogeneous were then multiplied in 100 ml liquid medium (500 ml Erlenmeyer flask) at 27° C. on a rotary agitator machine at 110 rpms. The culture medium had the following composition: ______________________________________Yeast extract 0.5 gPeptone 0.5 gEnrichment medium (see above) of 1.0 lthe organism to be testedpH 7.2______________________________________ After 48-60 hours, the contents of the agitator flask were centrifuged (20 min., 8,000 g in a refrigerated centrifuge) and the sedimented cells were suspended in 0.05 M potassium phosphate buffer, pH 7 (4 ml buffer per 1 g moist bacterial mass). The microorganisms in this suspension must be digested in a customary manner (e.g. agitation with fine glass beads, ultrasound treatment, French press). For this purpose, the suspensions were compounded with glass beads (0.1 to 0.2 mm diameter) using 2 g glass beads per 1 ml cellular suspension and then mixing the mixture in a test tube for 10 minutes with a laboratory agitator (type Reax 2000, Heidolph company). Most of the organisms were able to be well digested with this method. Indissoluble cellular components and the glass beads were centrifuged off (13,000 rpms, Biofuge A, Heraeus company) and the supernatant used as enzyme source (raw extract). The batches for the activity test contained: ______________________________________0.2 M N-acetyldehydroamino acid 0.05 mlraw extract 0.075 ml0.05M tris/HCl buffer, pH 7.2 0.375 ml.______________________________________ All raw extracts were tested at least with N-acetyldehydroleucine and N-acetyldehydroisoleucine but, in most cases, also with N-acetyldehydrovaline. Incubation time and raw-extract dilution were measured in such a manner that the linearity range of the following color test for the demonstration of the reaction product (0.26 mM keto acid) was not exceeded. The enzymatic reaction was stopped in the batches incubated at 30° C. by adding 0.25 ml color reagent (1 g/l 2,4-dinitrophenyl hydrazine in 2 N HCl). After further incubation at 30° C. for 10 minutes with N-acetyldehydroleucine as substrate or 25 minutes with the isoleucine or valine derivative, 1.5 ml 2,5 N NaOH was added. The keto acid released by the enzymatic hydrolysis forms, together with the 2,4-dinitrophenyl hydrazine, a Schiff's base colored red in the alkaline whose absorption was measured in a spectral photometer at 442 nm against a batch without N-acetyldehydroamino acid (=enzyme blank). A blank reading was deducted from these extinction values which blank reading is obtained if the extinction value of a batch only with buffer (=buffer blank) is deducted from that of a batch with buffer and N-acetyldehydroamino acid but without raw extract (=substrate blank). The concentration of the product produced was determined with a calibrated reference for the corresponding keto acid. The enzyme activity is indicated in international units. One unit (U) corresponds to an amount of 1 μmole released keto acid per minute. As table 1 shows, the strain Zoogloea ramigera ABI 1 (DSM 4306) exhibits the highest activity in the above-described test procedure and was therefore used for the production of the enzyme. TABLE 1______________________________________Production of N-acetyldehydroamino acid acylase bymeans of the 7 most active microorganisms in thescreeningSubstrate:ADL ADI ADV Specific Vol. Specific Vol. Specific Vol. Activity Yield Activity Yield Activity YieldStrain (mU/mg) (U/l) (mU/mg) (U/l) (mU/mg) (U/l)______________________________________ABI 1 147 11.5 19 1.41 48 3.72ABI 3 103 11.0 15 1.62 28 2.98ABI 5 100 9.1 8 1.21 29 2.64SZI 2 77 4.1 13 0.70 27 1.47SZI 4 109 7.1 12 0.81 33 2.14SZI 5 69 4.2 15 0.90 35 2.12SZI 7 129 10.9 15 1.49 30 2.94______________________________________ EXAMPLE 2 Production of N-acetyldehydroleucine acylase a) Acylase fermentation with strain ABI 1 on a 5 1 scale A bioreactor with 5 liter working volume was used which was equipped with a device for the automatic regulation of the pH and foam inhibition. The medium contained: ______________________________________Yeast extract 35.0 gMineral salt solution (cf. example 1) 5.0 lpH 8.3______________________________________ After sterilization, the medium was inoculated with 500 ml of a preculture which had been cultivated for 60 hours in portions of 150 ml of the same medium in 500 ml Erlenmeyer flasks. The incubation took place at 30° C. and 100 rpms on a rotary agitator. The conditions during the growth phase of the fermentation were: ______________________________________pH 8.3Temperature: 35.0° C.Concentration of dissolved oxygen 80%(% saturation)Maximum agitator speed 300 rpms______________________________________ Specimens were taken at different times and the cell growth followed by means of turbidimetric measurement (measurement of the optical density) at 660 nm. 300 ml of a concentrated yeast extract solution sterilized by autoclaving (100 g/l in mineral salt solution as described in Example 1) were added after 10, 21 and after 24 hours each to the fermenter contents under aseptic conditions. After approximately 25 to 30 hours incubation, when no further increase of the bacterial mass could be detected, 3.5 liters of the medium were filtered off by means of a sterilely coupled cross-current microfiltration system (type Sartocon II, 0.6 m 2 polyolefin membrane, pore diameter 0.2 μm, company Sartorius). The fermenter contents were passed through the filtration module by means of a hose pump at 185 liters per hour so that a pressure difference of 0.8-0.9 bar was produced between the module inlet and the module outlet. The bacterial cells and the non-separated liquid medium were returned into the fermenter. The hose connections between the fermenter and the filtration unit, including the pump hose, had previously been sterilized by autoclaving and the filtration system had previously been sterilized by means of water vapor flowing through it (1.2 bars superpressure, 30 minutes). The concentrated biomass in the reactor was washed by diafiltration with 2 liters of sterile mineral salt solution (cf. example 1) and then the fermenter was filled with induction medium. The induction medium was composed as follows: ______________________________________N-acetyldehydroleucine 25 g/lYeast extract 35 g/lMineral salt solution 4.5 lpH 6.5______________________________________ The conditions for enzyme induction were: ______________________________________pH 6.5Temperature 31.5° C.Concentration of the dissolved 80%oxygen (% saturation)Maximum agitator speed 300 rpms______________________________________ Specimens were taken at different times and the maximum attainable enzyme content and the best harvesting time determined after turbidimetric measurement (optical density at 660 nM) and a test for acylase activity. The acylase tests were prepared with 0.02 M N-acetyldehydroleucine in 0.1 M glycine/sodium hydroxide buffer, pH 10, and carried out as described in Example 1. It was found that the acylase is formed only during the 2nd fermentation phase and the enzyme activity reaches its maximum value approximately 24 hours after the addition of the induction medium. b) Recovery of the raw extract The moist bacterial mass (133 g) was suspended in 50 mM glycine buffer, pH 11, so that the concentration of the cellular suspension was 40 % (final volume 333 ml). The cell contents were released in the cooled suspension (approximately 4°0 C.) by means of a mechanical cellular digestion in a glass bead mill (Bachofen--Dyno-Mill, type KLD). The grinding container, comprising 680 ml, was filled with glass beads 0.3 mm in size, so that a bulk volume of 578 ml resulted (85%). The digestion was carried out at an agitator-shaft speed of 3000 rpms while the cooling jacket of the grinding container as well as the agitator shaft bearing were cooled during running with ethylene glycol solution of -20° C. in order to largely avoid a heating of the product. After 4 minutes digestion time, a degree of disintegration of over 90% was achieved. The glass beads were separated by means of 2 minutes centrifugation at 3000 g, washed twice by mixing them each time with 192 ml glycine buffer and were centrifuged off again. The supernatants of the centrifugation steps were combined and the greater part of the cell fragments separated by means of 30 minutes centrifugation at 12000 g in a refrigerated centrifuge. It was found that the raw extract, compounded to 50% (w/v) with glycerin, could be stored at -20° C. for months without loss of activity. EXAMPLE 3 Growth of Zoogloea ramigera ABI 1 a) Growth at various start pH'es The pH was varied from 6.5--10 in stages of 0.5 units in a medium consisting of mineral salt solution (cf. Example 1) and 7 g/l yeast extract. Specimens were taken at different times from the cultures (30 ml in 100 ml Erlenmeyer flasks) incubated on a rotary agitator machine at 110 rpms at 30° C. in which specimens the bacterial mass was determined by measuring the optical density at 660 nm. After 43 hours of growth, the highest cell density was reached in a pH range between 8 and 8.5 b) Growth at different yeast extract concentrations The growth of strain ABI 1 was followed in agitated cultures (as described under a)) with a medium of mineral salt solution (cf. Example 1) and 7-25 g/l yeast extract with an initial pH of 8.25 by measuring the optical density at 660 nm. It was found that the rate of microorganism growth is retarded when the yeast extract concentration is 15 g/l or more. EXAMPLE 4 Induction of N-acetyldehydroleucine acylase a) Changing of the inductor Different substances were added in a concentration of 3 g/l as inductors into agitated cultures with a medium of mineral salt solution (cf. Example 1) with 0.5 g/l yeast extract and 0.5 g/l peptone at pH 7. The technology for agitated cultures is described in Example 1 a). As can be seen from Table 2, N-acetyldehydroleucine exhibits the highest induction capacity. N-acetylamino acids or 2-acetylamino cinnamic acid are only slightly active. TABLE 2______________________________________Acylase activity after 60 hours of incubation as afunction of the inductorInductor U/mg Inductor U/mg______________________________________AD-leucine 0.222 Ac-L-leucine 0.018AD-isoleucine 0.172 Ac-D,L-tryptophan 0.018AD-valine 0.121 2-Acetylamino- 0.016Ac-L-isoleucine 0.039 cinnamic acidAc-D,L-valine 0.031 Ac-D,L-tyrosine 0.011Ac-D,L-phenyl- 0.031 Control 0.015alanine______________________________________ AD: Nacetyldehydro- AC: Nacetyl- b) Variation of the starting pH The pH was varied from 5.5-9 in stages of 0.5 units in the mineral salt medium with 3 g/l N-acetyldehydroleucine and 2 g/l yeast extract. The enzyme production reaches its optimum at pH 6.5 and drops sharply as the pH increases, as is shown in Table 3. TABLE 3______________________________________Relationship between the acylase formation bymeans of strain ABI 1 and the pHpH U/mg U/l______________________________________5.5 * *6.0 * *6.5 0.202 14.67.0 0.136 13.37.5 0.115 10.88.0 0.075 7.68.5 0.069 5.39.0 0.064 5.3______________________________________ c) Varying the concentration of N-acetyldehydroleucine Zoogloea ABI 1 was cultivated in a mineral salt medium with start pH 8 and 7 g/l yeast extract at 30° C. with a rotary agitator machine. After 48 hours, the cells were centrifuged for 10 minutes at 8000 g, resuspended in the above-mentioned medium with different concentrations of N-acetyldehydroleucine and a pH of 6.5 and incubated for a further 16 hours in a rotary agitator. Table 4 shows that no further increase of the enzyme production can be detected above 5 g/l N-acetyldehydroleucine. TABLE 4______________________________________Acylase activity as a function of theN-acetyldehydroleucine concentration in a two-stage agitated cultureN-acetyldehydroleucine Acylase production(g/l) U/mg U/l______________________________________control 0.015 53 0.140 415 0.189 707 0.190 659 0.204 72______________________________________ EXAMPLE 5 Purification of the Acylase a) Precipitation of nucleic acids with polyethylene imine A raw extract (666 ml) was obtained by means of fermentation, cellular digestion in a glass bead mill and centrifugation. The extract was cooled in an ice bath and combined with 35 ml of a 10% polyethylene imine solution (rel. molecular mass 30-40·10 3 ) with a pH of 11 and incubated 5 minutes at 0° C. The precipitated nucleic acids, as well as cell fragments not yet separated out, were sedimentated by means of 30 minutes centrifugation in a refrigerated centrifuge at 18000 g. It was possible to increse the yield by 18.6 % and the enrichment factor by 206 % by means of the nucleic acid precipitation in the subsequent ammonium sulfate fractionation. b) Protein precipitation with ammonium sulfate The supernatant (690 ml) was compounded with 460 ml of a saturated ammonium sulfate solution (761 g/l) whose pH had been adjusted by the addition of solid sodium hydroxide to 9 and was agitated 30 minutes in an ice bath. The precipitated protein was sedimentated 30 minutes at 15000 g in a refrigerated centrifuge and dissolved in 200 ml 50 mM glycine buffer with a pH of 11. c) Salting-out chromatography on sepharose CL-4B The concentrated dissolved protein precipitate was treated by adding ammonium sulfate solution until a conductivity of 80-90 mS/cm had been achieved, which corresponds at pH 11 to an ammonium sulfate concentration of approximately 25% saturation. Precipitated protein was centrifuged off at 15000 g (30 minutes). 125 ml of the supernatant (250 ml in toto) were applied onto a sepharose CL-4B column (2.6-22.6) which had been equilibrated with 25% ammonium sulfate (pH 11). The elution took place by establishing a gradient (500 ml) decreasing from 26 to 0%. The eluate was trapped in fractions of 5 ml. The acylase desorbed at 17-19% ammonium sulfate saturation from the chromatography gel. The active fractions (25 ml) were combined and diluted with saturated ammonium sulfate solution (pH 9) to the double volume. After 30 minutes incubation in an ice bath, the precipitated protein was centrifuged off and taken up in 2 ml 50 mM glycine buffer with pH 11. The concentrated acylase preparation was compounded to 43.5% (w/v) with glycerin and stored at -20° C. Since only 10-17% of the applied protein bonds to the column in the chromatographic process described here, it is possible to work up large amounts of protein with a relatively small column. d) Analytical fast protein liquid chromatography (FPLC) on Mono-Q 0.255 ml of the concentrated acylase preparation compounded with glycerin was diluted with 1.13% (v/v) Triton X-100 in 50 mM glycine buffer (pH 11) to 2 ml and applied onto the Mono-Q column (1 ml), which had been equilibrated with the above-mentioned glycine buffer supplemented with 0.2% Triton X-100. Elution was carried out with a Na 2 SO 4 gradient (40 ml) rising from 0 to 0.15 M while the acylase was washed at 0.047-0.050 M Na 2 SO 4 from the column. After the active fractions had been combined, the purified enzyme was partially compounded with 43.5% (w/v) glycerin for storage at -20° C. and partially glycerinated to 25% (w/v) for preservation at 4°-8° C. in a refrigerator. No activity loss could be recorded under the latter conditions after 76 days. Table 5 shows the results of the purification. TABLE 5______________________________________Purification scheme for N-acetyldehydroleucineacylaseSpecimen/ Total Specificpurification Enzyme Yield Activity Enrichmentstep (U) (%) (U/mg) Factor______________________________________Raw extract 1963 100 0.348 1Nucleic acid 1810 92 0.376 1.08precipitation(0.9% PEI)Ammonium sulfate 1505 77 1.27 3.65precipitation (0-40%)Salting-out 785 40 12.0 34.5chromatogr.(26-0% ammoniumsulfate)Concentrating 785 40 13.3 38.2(precipitation with60% ammoniumsulfate)FPLC-Mono-Q 557 28 74-109 213-313(0-0.15 M sodiumsulfate in 0.2%Triton X-100)______________________________________ PEI: Polyethylene imine, rel. molecular mass: 30 - 40 · 10.sup.3 EXAMPLE 6 Effect of pH on the reaction rate The reaction rate of the hydrolytic splitting of acetic acid from the compound N-acetyldehydroleucine in the presence of the ADL acylase was determined as a function of the pH in the reaction mixture. The test batch was composed as follows: ______________________________________200 mM N-acetyldehydroleucine in 20 mM tris/ 0.05 mlphosphoric acid buffer (pH 9)1.0 U/ml acylase 0.01 ml0.1 M buffer 0.44 ml______________________________________ Before the reaction batches were mixed together, different pH values in the range of 5 to 7.5 in the potassium phosphate buffer, 7.5 to 9 in the tris buffer and 7 to 12 in the glycine buffer were adjusted by means of the addition of sodium hydroxide and phosphoric acid. The pH values present under test conditions were measured in reference batches without enzyme. After 10 minutes reaction time at 30° C., the enzyme activities were determined by means of colorimetric measuring of the keto acid concentration (cf. Example 1). The optimum for the reaction rate in the glycine buffer is in a pH range between 8.6 and 10.1, in the glycine-free buffers between 7.7 and 9.1. EXAMPLE 7 Optimum reaction temperature Test batches with 20 mM N-acetyldehydroleucine in 0.1 M glycine buffer (pH 9) were pretempered 5 minutes at temperatures between 10° and 70° C. and then the enzyme reaction was started by means of the addition of acylase. At temperatures of 10° to 40° C., the batches contained 0.0666 U/ml enzyme, at 40° to 70° C. 0.02 U/ml. After 2 minutes, the enzyme reactions were stopped by means of the addition of ice-cooled analytical reagent, the test tubes cooled down in an ice bath and, after 15 minutes incubation at 30° C., alkalized as is customary for color development (cf. Example 1). The maximum reaction rate is reached at 55° C. and is greater by a factor of 3.1 than at the standard temperature of 30° C. EXAMPLE 8 Stability of the N-acetyldehydroleucine acylase a) pH stability The pH stability of the ADL acylase was investigated in the pH range of 7 to 12. Enzyme purified on Mono-Q was diluted 20-fold with various buffers of differing pH values and stored for 3 weeks at 25° C. Specimens were taken at various times and their enzyme activities were tested under standard conditions. The following buffers were used for the different values: ______________________________________0.1 M potassium phosphate pH 7.0, 7.50.1 M tris/phosphoric acid pH 7.5, 8.0, 8.5, 9.00.1 M glycine pH 9.0, 9.5, 10.0, 10.5, 11.0, 11.5, 12.0______________________________________ The mixing together of the batches, their storage and the taking of specimens took place under sterile conditions. The standard conditions for the enzyme test were: 20 mM N-Acetyldehydroleucine Acylase in a limiting amount so that the linearity range of the subsequent color test (cf. Example 1) was not exceeded 0.1 M glycine buffer pH 9 As is apparent in Table 6, approximately 30-40% of the original acylase activity was still detectable in the pH range of 9-10.5 after 3 weeks. TABLE 6______________________________________pH stability of the A-acetyldehydroleucine acylaseBuffer Residual activity (%) afterpH 1 day 3 days 1 week 2 weeks 3 weeks______________________________________Potassiumphosphate(0.1 M)7.0 78 16 0 0 07.5 83 39 0 0 0Tris/phos-phoric acid(0.1 M)7.5 61 37 0 0 08.0 60 44 7 0 08.5 63 62 37 13 99.0 92 80 56 25 27Glycine(0.1 M)9.0 97 91 64 35 309.5 89 103 68 35 3710.0 102 99 68 34 4310.5 98 95 57 28 2511.0 86 86 54 15 711.5 71 69 35 5 212.0 4 3 0 0 0______________________________________ b) Temperature resistance of the acylase The acylase was incubated 30 minutes at temperatures of 10° to 70° C. and then the activity test was carried out under standard conditions (cf. Example 8a)). After 30 minutes at 50° C., 89% of the initial activity can still be demonstrated, at higher temperatures the acylase is rapidly deactivated. c) Stability of the acylase in the presence of different anions The influence of different anions on the stability of ADL acylase was investigated. Raw extract which had been compounded with glycerin to 43.5% (w/v) was diluted 1 : 10 with 0.5 molar solutions of various sodium salts in 50 mM glycine (pH 11) and stored at room temperature (20° to 25° C.). Specimens were taken from time-to-time and their enzymatic activity measured under standard conditions, as described in Example 8a). It was found that the stability of the acylase increases with the charge and the size of the anion (cf. Table 7). TABLE 7______________________________________Stability of N-acetyldehydroleucine acylase in thepresence of various sodium saltsSodium salt % Residual activity after(0.5 M) 3 days 6 days 21 days______________________________________Phosphate 96 105 27Sulfate 89 94 15Acetate 86 81 6Formate 76 63 2Chloride 35 0 0______________________________________ EXAMPLE 11 Influences of inhibitors and activators a) Influence of chelating agents, metal cations and enzyme inhibitors The influence of various additive substances on the reaction rate of the splitting of N-acetyldehydroleucine was measured under standard conditions, as described in Example 8a). It can be seen from Table 8 that only inhibitors of serine hydrolases sharply inhibit the acylase, especially phenylmethane sulfonyl fluoride still in micromolar concentration. TABLE 8______________________________________Influence of additive substances on theN-acetyldehydroleucine acylase activity % Residual activityInhibitor 1 mM 10 mM 100 mM______________________________________Complexing agents:EDTA * 95 *Citrate 99 84 *Bipyridine 91 70 *Phenanthroline 94 52 *NaN.sub.3 105 103 *Bivalent Cations:CaCl.sub.2 * 93 *CuSO.sub.4 87 83 *CoCl.sub.2 91 85 *MgCl.sub.2 95 88 *MnCl.sub.2 99 87 *ZnCl.sub.2 95 82 *Reducing agents:MeSH 93 99 81Glutathione 95 95 *reducedDithiothreitol 103 58 *SH Group reagents:pCMB 104 98 *pOHMB 93 91 *iodine acetamide 104 74 *iodine acetate 76 49 *HgCl.sub.2 84 70 *KCN 105 * *Inhibitors of PLP enzymes:Cycloserine 111 105 *Semicarbazide 113 93 *Inhibitors of serine hydrolasesNeostigmine 102 82 0pABA**.sup.) * 89 54PMSF 0.0001 mM: 0.01 mM: 10 0 *______________________________________ *defined above as "not determined, as growth too **.sup.) pABA to 200 mM: 0% residual activity MeSH: mercaptoethanol pABA: paraaminobenzamidine pCMB: parahydroxy mercury benzoate PLP: pyridoxal phosphate pOHMB: parahydroxy mercuric benzoate PLP: Pyridoxyl phosphate PMSF: phenylmethane sulfonyl fluoride b) Influence of glycine on the reaction rate The reaction of glycine in 0 to 1.2 molar concentration dissolved in 0.1 M tris/HCl buffer was determined under standard conditions (example 8a). Glycine accelerates the splitting of N-acetyldehydroleucine by the ADL acylase a maximum of 3.3 times. The glycine concentration which is necessary for one-half the maximum reaction acceleration is 90 mM (calculation according to T. Ingami and T. Murachi, J. Biol. Chem. 238 (5), pp. 1905-1907, 1963). EXAMPLE 12 Determination of the molecular weight and of the number of subunits The molecular weight of the native enzyme was determined by means of gel filtration on sephacryl S-200 HR. The column (1.6×69.6 cm), coupled to a FPLC system, was operated with a flowthrough rate of 1 ml/minute and 0.1 ml of the enzyme which was glycerinated and purified by means of salting-out chromatography served as specimen after a twofold dilution. The following served as reference proteins: Cytochrom C (horse), myoglobin (whale), myoglobin (horse), aldolase (rabbit muscle), carboanhydrase and bovine serum albumin. The molecular weight of the acylase is 65,000±5000. A molecular weight of 55,000±4000 was determined in gel electrophoresis in the presence of sodium dodecylsulfate (SDS) for the denatured enzyme. Accordingly, the acylase consists of a polypeptide chain of an unusual length. The following were used for the calibration curve: α 2 -macroglobulin (equine plasma), phosphorylase b (rabbit muscle), glutamate dehydrogenase (bovine liver), lactate dehydrogenase (swine muscle) and trypsin inhibitor (soy bean). EXAMPLE 13 Substrate specificity of N-acetyldehydroleucine acylase a) Dependency of the acylase activity on the concentration of various N-acetyldehydroamino acids The activity of the acylase was determined under standard conditions (cf. Example 8a)) with various N-acetyldehydroamino acids in concentrations of 0.1 to 300 mM. A second series of measurements with N-acetyldehydroleucine in the above-indicated concentration range was placed in 0.1 M tris/phosphoric acid buffer. The K M value for ADL is 4.5 mM in glycine buffer. The kinetic data for the splitting of the acetyldehydroamino acids is collated in Table 9. TABLE 9______________________________________Kinetic data of N-acetyldehydroleucine acylase K.sub.M K.sub.ISubstrate Buffer % V.sub.max (mM) (mM)______________________________________ADL glycine, 0.1 M 100 4.53 418ADI glycine, 0.1 M 14 5.77 140ADV glycine, 0.1 M 23 20.9 732ACA glycine, 0.1 M 46 2.62 942ADA glycine, 0.1 M 90 6.68 714ADL tris-phosphoric 62 7.01 2564 acid 0.1 M______________________________________ Reaction conditions: 0.1 M glycine, pH 9, 30° C. Adaptation to the equation v = V.sub.max. · S/(K.sub.M + S + S.sup.2 /K.sub.I) (W. Cleland, 1963, Methods in Enzymology 63, pp. 103-138). ADL: acetyldehydroleucine ADI: acetyldehydroisoleucine ADV: acetyldehydrovaline ACA: acetylamino cinnamic acid ADA: acetyldehydroalanine (= Nacetylamino acrylic acid). b) Hydrolysis of other compounds by N-acetyldehydroleucine acylase A qualitative check was performed for 81 compounds to see whether they are accepted as substrate by the acylase. The test batches contained: 50 mM test substrate 0.343 U/ml acylase 0.1 M tris/HCl buffer pH 9 After 16 and 40 hours incubation at 25° C., specimens were taken from the batches, most of which specimens were analyzed in comparison to substrate standards by means of thin-layer chromatography (70% (v/v) ethanol as mobile solvent). The detection took place with ninhydrin spray reagent. A few specimens were tested in addition or alternatively with the amino acid analyzer. The test batches for the hydrolysis of hydantoins had the following composition: 25 mM substrate or buffer 1 U/ml acylase 50 mM tris/phosphoric acid buffer pH 9 total volume 100 μl Isopropylhydantoin, hydantoic acid and dihydrouracil were used as substrates. After 11.5 hours at 30° C., 175 μl 12% (w/v) trichloroacetic acid and 25 μl analytical reagent (10 % (w/v) p-aminobenzaldehyde in 6 N HCl) were added, precipitated protein centrifuged off in an Eppendorf table centrifuge and the absorption in the supernatants measured at 450 nm. In order to determine the enzymatic hydrolysis rate of 4-nitrophenylacetate, the rate of increase in extinction of the liberated 4-nitrophenol (402 nm) was measured for the following batch: 20 mM 4-nitrophenylacetate 0.2 U/ml acylase 0.1 M potassium phosphate buffer pH 7 25° C. In order to take the chemical hydrolysis into account, the extinction increase rate in a batch without acylase was deducted from the value determined in this manner. The enzyme activity was calculated using a straight calibration line with 4-nitrophenylacetate. The amino acids produced from the amino acid amides by means of the action of acylase were detected quantitatively with the amino acid analyzer. For the determination of the relative activities, the hydrolysis rate with N-acetyldehydroleucine under comparable reaction conditions was equated with 100%. Only tryptophane amide is split with a reaction rate on the order like that achieved with N-acetyldehydroamino acids (cf. Table 10). TABLE 10______________________________________Substrate specificity of N-acetyldehydroleucineacylaseSubstrate rel. activity (%)______________________________________ADL 100.0L-tryptophane amide 20.4L-leucine amide 6.80D-leucine amide 3.82L-methionine amide 4.69L-alanine amide 0.35D-alanine amide 0.464-nitrophenylacetate 1.1N-acetyl glycine 0L-tryptophyl glycine 0L-leucyl glycine 0L-alanyl glycine 0Glycyl-L-leucine 0L-alanyl-L-leucine 0N-acetyl-L-alanyl-L-valine 0L-alanyl-L-phenylalanine amide 0N-acetyl-D,L-leucine 0N-acetyl-L-phenylalanine 0N-benzoyl-D,L-leucine 0N-methoxycarbonyl-D,L-leucine 0N-methoxycarbonyl-D,L-valine 0N-methyl-D,L-leucine 0N-methyl-L-glutamic acid 0N-carbamoyl-L-valine 0N-carbamoyl-L-phenylalanine 0Isopropy hydantoin 0Dihydrouracil 0______________________________________ EXAMPLE 14 Continuous preparation of L-leucine N-acetyldehydroleucine can be converted enzymatically to L-leucine by reductively aminating the intermediate 2-keto isocaproate stereospecifically by means of coupling the acylase with an L-leucine dehydrogenase. The regeneration of the coenzyme oxidized in the dehydrogenation reaction took place in the presence of formate by means of a formate dehydrogenase. The reaction was carried out continuously in an enzyme membrane reactor. The latter contained, at the start of the experiment: 6.63 U/ml Acylase (prepared with 75 U/ml from the salting-out chromatography) 11.2 U/ml L-leucine dehydrogenase (Bacillus cereus) 8.4 U/ml formate dehydrogenase (Candida boidinii) 0.6 mM PEG 20000-NADH (prepared according to German Patent DE-PS 2,818,414) 900 mM ammonium formate (pH 9). The reactor contents (10 ml), tempered to 25° C., were pumped by a hose pump in a circuit via an ultrafiltration membrane (type YM5, company Amicon, exclusion limit 5000 Daltons). The low-molecular substances can be continuously removed by this means, whereas the enzymes and the coenzyme, which is increased in molecular weight, are retained in the reaction solution. The volume of the ultrafiltered product solution, approximately 9 ml/hour, was continuously replaced with substrate solution. The average dwell time was accordingly 1.1 hours. The substrate solution contained 50 mM N-acetyldehydroleucine in the first 53 operating hours and 75 mM in the following 57 hours, dissolved in each instance in 900 mM ammonium formate with pH 9. The product solution was collected in fractions and its L-leucine concentration determined by means of an enzymatic test with L-leucine dehydrogenase according to the end-point method. The test batches contained: 10% (v/v) specimen or standard with a maximum of 2 mM L-leucine 3.4 mM NAD + 4.8 U/ml L-leucine dehydrogenase 80 mM glycine buffer, pH 10.7 The extinction (340 nm) was measured in the batches prior to the start of the reaction, by means of the addition of enzyme, as well as after 90 minutes incubation at room temperature, and an L-leucine concentration determined from the difference using a calibration curve. Table 11 shows that it is possible to convert N-acetyldehydroleucine to L-leucine in a continuous manner with a high yield. TABLE 11______________________________________Continuous preparation of L-leucine fromN-acetyldehydroleucine N-acetyldehydro- Yield ofTime interval leucine L-leucine*) Conversion(h) (mM) (%) (%)______________________________________0-53 50 90 9153-110 75 84 86______________________________________ *)average values in time EXAMPLE 15 Preparation of L-tryptophyl glycine from L-tryptophane amide and glycine a) pH optimum of the L-tryptophyl glycine syntheses It is possible to prepare L-tryptophyl glycine (O-Trp-Gly) from L-tryptophane amide (L-Trp-NH 2 ) and glycine by the action of ADL acylase. L-tryptophane (L-Trp) and ammonia are produced as byproducts. Different pH values between 7 and 11 were adjusted in batches consisting of 50 mM L-Trp-NH 2 200 mM glycine 2.6 U/ml ADL acylase 100 mM buffer at pH 7-9 by means of the addition of sodium hydroxide solution and phosphoric acid. Potassium phosphate was used as buffer substance at pH 7 and tris/phosphoric acid at pH 8 and 9. After 18 hours incubation at 25° C., the composition of the specimens was investigated in comparison to standard solutions by means of thin-layer chromatography. The mobile solvents used were glacial acetic acid/butanol/water in a volumetric ration of 2/8/2 as well as methylethylketone/pyridine/water/glacial acetic acid in a ratio of 70/15/15/2. The detection took place in the case of the first-named mobile solvent via UV quenching of fluorescence at 254 nm as well as with the ninhydrin spray reagent and in the case of the latter mobile solvent only with the ninhydrin method. The concentrations of L-Trp and L-Trp-Gly were determined using standards on an amino acid analyzer. As can be seen from Table 12, the optimum of the synthesis reaction is at pH 10. At this pH, 94% of the L-Trp-NH 2 added is split and 64% thereof converted to the dipeptide (64% selectivity). TABLE 12______________________________________Influence of the pH on the synthesis ofL-tryptophyl glycine Dipeptide yield Selectivity ConversionpH (%) (%) (%)______________________________________7 10 10 1008 25 25 1009 44 45 989.5 53 57 9210 60 64 9410.5 53 63 8411 45 62 73______________________________________ b) Influence of the L-Trp-NH 2 concentration on the L-Ltp-Gly syntheses The L-Trp-NH 2 concentration of 24.4 to 400 mM was varied in batches for the synthesis of L-Trp-Gly from L-Trp-NH 2 and glycine in the presence of ADL acylase (purified via salting-out chromatography). Glycine was added in a 400-488 molar excess to the L-Trp-NH 2 and the acylase concentration raised in proportion to that of the L-Trp-NH 2 (3.6 U/ml per 50 mM L-Trp-NH 2 ). Incubation and evaluation took place as described in a). In the range from 24 to 182 mM, over 50% of the L-Trp-NH 2 is converted to the dipeptide (cf. Table 13). TABLE 13______________________________________Synthesis of L-Trp-Gly as a function of theL-Trp-NH.sub.2 concentrationL-Trp-NH.sub.2 Yield Selectivity Conversion(mM) (%) (%) (%)______________________________________24.4 51 72 7147.6 59 66 8995.2 59 59 100140 55 56 97182 51 54 94298 36 56 63400 12 53 22______________________________________ c) Influence of polar substances in the reaction mixture on L-Trp-Gly synthesis Batches for L-Trp-Gly synthesis from L-Trp-NH 2 (50 mM) and glycine (500 mM, pH 10) in the presence of ADL acylase were compounded with various ionic and uncharged polar compounds in a high concentration and incubated 19 hours at 25° C. The acylase was partially purified by means of salting-out chromatography at 2.6 U/ml and used as pure enzyme after chromatography on Mono-Q at 1.5 U/ml. Thereafter, the content of tryptophane compounds in the reaction mixtures was determined as described in a). Table 14 shows that at 50% (w/v) glycerin, a selectivity which is greater by 12% points is achieved with the same yield as in the reference batch. TABLE 14______________________________________Influence of ionic and uncharged polar substanceson the L-Trp-Gly synthesis Concen- Select- Conver- tration ivity Yield sionSubstance (% w/v) (%) (%) (%)______________________________________Partially purifiedAcylase:Control -- 67 64 96Potassium 5.0 59 59 100phosphatePotassium 10.0 48 48 100phosphateAmmonium 12.5.sup.a) 65 65 100sulfateAmmonium 25.0.sup.a) 63 68 89sulfateEthanol 10.0.sup.b) 66 66 100" 20.0.sup.b) 72 64 89Glycerin 25.0 71 71 100" 50.0 79 65 83Pure acylase:Control -- 73 62 85glycerine 25 78 56 72" 50 83 54 66______________________________________ .sup.a) % saturation .sup.b) % v/v EXAMPLE 16 Preparation of L-Trp-dipeptides from L-Trp-NH 2 and D- and L-amino acids An investigation was made as to whether D- and L-amino acids can also function instead of glycine as amino components for the dipeptide synthesis form L-Trp-NH 2 in the presence of ADL acylase. The D- and L-amino acids were used close to their solubility limit or at a maximum of 0.5 M in tests with the following composition: 100-500 mM D- or L- amino acid 50 mM L-Trp-NH 2 2.6 U/ml acylase (purified by means of salting-out chromatography) pH 10. The batches were incubated for 19 hours at 25° C. and then tested with an amino acid analyzer and by thin-layer chromatography for their content of ninhydrin-positive compounds (mobile solvent methylethylketone/pyridine/water/glacial acetic acid in a ratio of 70/15/15/2. Amino acids as well as the dipeptides L-Trp-Gly, L-Trp-D,L-alanine and L-Trp-D,Lphenylalanine were identified in comparison to standard solutions and quantified. Additional peaks in the remaining analyzer chromatograms were also interpreted analogously as dipeptides and their concentration estimated using the average specific peak area of above-named standard solutions (+10% standard deviation). As is apparent from Table 15, approximately 40% and 15% of the L-Trp-NH 2 is converted to the corresponding dipeptide with the amino acids D-methionine and D-cysteine. TABLE 15______________________________________D- and L-amino acids as amino components forthe synthesis of tryptophyl dipeptides Type Conver- Select- ofAmino Conc. Yield ion ivity calcu-components (mM) (%) (%) (%) lation______________________________________Glycine 500 66 100 66 *D-methionine 300 39 99 40 **D-leucine 150 23 100 23 **L-methionine 300 22 95 22 **D-alanine 500 22 87 26 *D-cysteine 500 15 85 17 **L-alanine 500 12 99 12 *D-valine 500 12 91 13 **D-phenylalanine 150 11 59 18 *D-serine 500 10 100 10 **L-cysteine 500 3 94 3 **L-leucine 150 0 100 0 --L-valine 500 0 93 0 --L-phenylalanine 150 0 100 0 --D-tryptophane 100 0 100 0 --L-tryptophane 100 0 100 0 --D-histidine 150 0 83 0 --L-histidine 150 0 91 0 --D-proline 500 0 100 0 --L-proline 500 0 100 0 --L-lysine 500 0 100 0 --L-arginine 150 0 100 0 --L-glutamic acid 500 0 77 0 --______________________________________ *Using it's own calibration standard **average standard from calibrations with 4 tryptophyl dipeptides (see above) EXAMPLE 17 Enantioselectivity of the tryptophyl dipeptide synthesis with N-acetyldehydroleucine acylase The stereospecificity of ADL acylase in the synthesis of dipeptides was investigated as regards the carboxyl component Trp-NH 2 and the amino component methionine. The batches described in the following were incubated 25 hours at 25° C. 50 mM L- or D,L-Trp-NH 2 300 mM D- or L-methionine or 500 mM glycine 2.6 U/ml acylase pH 10 The concentrations of amino acid and of dipeptide were determined as described in Example 16. The acylase prefers the L enantiomer of Trp-NH2 as carboxyl component and the D form of methionine as amino component (cf. Table 16). TABLE 16__________________________________________________________________________Dipeptide synthesis as a function of thestereoconfiguration of the starting materialsTrp-NH.sub.2 Amino-Stereo component/ t.sub.r Yield Conv. SelectivityConfig. Conc. (mM) Dipeptide min (%) (%) (%)__________________________________________________________________________L Gly/500 L-Trp-Gly 49.77 65 100 65D,L Gly/500 D,L-Trp-Gly 49.79 60 100 60L L-Met/300 L-Trp-L-Met 49.31 25 97 26L D-Met/300 L-Trp-D-Met 49.92 50 100 50D,L L-Met/300 L-Trp-L-Met 49.29 15 84 19D,L D-Met/300 L-Trp-D-Met 49.87 44 .sup. 93*) .sup. 48*) D-Trp-D-Met 49.33 39 .sup. 93*) .sup. 42*) Average 42 93 45__________________________________________________________________________ *)assuming the same conversion of both antipodes of the TrpNH.sub.2 Gly: glycine Met: methionine t.sub.r = retention time
A novel N-Acetyl-2,3-didehydroaminoacid-acylase is obtained by cultivating Zoogloea ramigera DSM 4306. The new enzyme can be used in a coupled enzyme system with an L-Leucinedehydrogenase for the enzymatic conversion of N-Acetyl-2,3-didehydroleucine to L-Leucine, D- or L-tryptophylglycine to D- or L- tryptophaneamide and glycine, as well as other tryptophanedipeptides to tryptophaneamides and free amino acids.
BACKGROUND OF THE INVENTION A conventional spindle means used in a machine center is shown in FIG. 1, which includes: a spindle A disposed in a spindle sleeve B having a plurality of helical or annular cooling grooves C sealed in a sleeve holder D1 of a Z-axis machine frame D, a feed port D2 formed in an outer portion of the sleeve holder D1 for supplying cooling medium or liquid into the grooves C surrounding the sleeve B for cooling down the heat caused by running friction of primary bearing A1 and secondary bearing A2, and a discharge port D3 formed in the other side of the sleeve holder D1 for discharging the heat-exchanged cooling medium. A stream of cooling oil mist or gas may also be fed through the port D2, the grooves C and a gas-feeding hole B, radially formed in the sleeve B for cooling the bearing A1, A2 and then directed through gas discharge hole B2 of sleeve B and through the remaining coils C to be outwardly discharged from port D3 to prevent the thermal expansion caused by frictional heat of rotating bearings during cutting operation of which the thermal expansion may deviate the centering of a tool E or may influence the cutting precision, causing a cutting error of unexpected depth. At the end of spindle A, there is provided with pulley or gear set F for a speed-variating transmission driven by the motor G. A tool holding rod H is slidably held in the hollow spindle A for holding the tool E which is clamped by or released from a chuck E1 operatively controlled by an actuator J provided at an end portion of the spindle A. In order to enhance the rigidity or strength of the sleeve B for supporting the rotational spindle A, the cooling grooves C can not be made so deeply recessed in the outside wall of the sleeve B and should also be further sealed by the holder D1 to thereby greatly reduce the cooling effect of the grooves C since they are unable to approach the bearings A1, A2 so near. Meanwhile, after performing heat-exchange in the grooves C the temperature of the cooling fluid may be raised to reduce its cooling effect when fed into the bearing environment. The frictional heat caused by the cutting operational load and the rolling operation of the bearings will not be eliminated or disspated to thereby cause a linear thermal expansion of the spindle A at an increasing length gradient of 1.3 micro meter per 100 mm length of a steel spindle per degree of temperature (Centigrade) raise. For accelerating the processing time and for a fine processing surface of a work piece, the spindle may be rotated at a very high speed such as about ten-thousand revolutions per minute to easily increase temperature to cause linear thermal expansion which will effect a precision processing job. The side-protruded transmission system to be driven by the motor G provided aside the spindle A may cause a sidewardly thrusting or pulling force to thereby possibly bend or twist the spindle A to influence the processing precision. The bearings effected by the single-side thrusting force may be deformed to also influence the precision of the cutting operation. Another conventional spindle means is shown in FIG. 1A including a plurality of cutting tools T held on a carriage or disk to be engaged with the spindle A positioned above the tool disk. In order to prevent a contact or impact of the spindle head with the tool T, the spindle sleeve B should be formed as a cone shape tapered downwardly and the spindle head should be prolonged its length to thereby cause a longer linear thermal expansion by frictional heat caused during the running of the spindle means to greatly influence a processing precision. The present inventor has found the drawbacks of the conventional spindle means of a machine center, and invented the present improved spindle means of a machine center having increased cooling effect and having a direct straight driving operation by a servo-controlled motor for preventing twisting of the spindle. SUMMARY OF THE INVENTION The object of the present invention is to provide a machine center having a spindle held in a spindle sleeve directly straightly driven by a servo-controlled motor aligned with the spindle axis for preventing any bending or twisting caused to the rotating spindle during cutting operation and having a plurality of longitudinal cooling passages parallelly longitudinally formed in the spindle sleeve proximate to an upper and a lower bearings disposed around the spindle for enhancing the cooling effect of the running spindle, and having a direct application of cooling oil mist or gas stream into the bearing systems of the spindle to greatly minimize any frictional heat or temperature raise caused from the running spindle to prevent a thermal expansion influencing the processing precision of the cutting operation BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a sectional drawing showing a prior art of a conventional spindle means of a machine center. FIG. 1a shows a prior art of another conventional spindle means of a machine center. FIG. 2 is a sectional drawing of the present invention. FIG. 3 is a cross sectional drawing of the present invention when viewed from 3--3 direction of FIG. 2. FIG. 4 is a cross sectional drawing viewed from 4--4 direction of FIG. 2. FIG. 5 is a cross sectional drawing as viewed from 5--5 direction of FIG. 2. FIG. 6 is an illustration showing a releasing operation of a tool holding means of the present invention. DETAILED DESCRIPTION As shown in FIGS. 2-4, the present invention comprises: a spindle 1, a spindle sleeve 2, a tool holding means 3, a transmission coupling means 4, a driving motor which may be a servo-controlled motor 5, and a tool-holding control means 6. The spindle 1 includes: a shoulder portion 11 formed on a lower end portion of the spindle 1, a primary bearing set 12 embedded in a sleeve hole 20 of the sleeve 2 and disposed around a lower portion of the spindle 1 adjacent to the shoulder portion 11, a secondary bearing set 13 embedded in the sleeve hole 20 of the sleeve 2 and disposed around an upper portion of the spindle 1, a lower bearing cover 21 formed on a bottom portion of the sleeve 2 adjacent to the shoulder portion 11 of the spindle 1 for sealing a lower portion of the primary bearing set 12, a lower retainer ring 121 disposed around the spindle 1 sealing an upper portion of the primary bearing set 12 secured by a lower nut 122, an upper retainer ring 131 disposed around the spindle 1 for sealing an upper central portion of the secondary bearing set 13 by an upper nut 132, an upper bearing cover 22 formed on an upper portion of the spindle sleeve 2 adjacent to the upper retainer ring 131 for sealing an upper outer portion of the secondary bearing set 13, a lower spacer ring 123 inserted in the primary bearing set 12 having at least a vertical oil hole 123a communicated with a radial hole 124 and an inside annular groove 125 formed in the lower spacer ring 123, and an upper spacer ring 133 inserted in the secondary bearing set 13. The spindle 1 is formed with a recessed cone hole 14 in a lower portion of the spindle 1 for operatively engaging a cone-head portion E2 of a tool chuck E1; and formed with a lower cylindrical hole 15 in a lower portion of the spindle 1 positioned above and communicated with the cone hole 14, a rod hole 151 positioned above and communicated with the lower cylindrical hole 15, and an upper cylindrical hole 152 formed through a central portion of the spindle 1 communicated with the rod hole 151. The lower cylindrical hole 15 is provided for slidably holding a tool connector 31 of the tool holding means 3 therein and the rod hole 151 is provided for reciprocatively holding a tool holding rod 32. A plurality of disk-shaped springs 33 are resiliently superimposed within the upper cylindrical hole 152 disposed around the rod 32. The spindle 1 is formed with a plurality of radial gas holes 141 communicating the cone hole 14 and the annular grooves 125, radial hole 124 and vertical hole 123a formed in the spacer ring 123 for directing cooling oil gas or mist for purging through an aperture between the cone-head portion E2 and spindle 1 for cooling the cone hole 14 and for forming a dust-proof shield for changing tool chuck E1 in the cone hole 14. An upper portion of the spindle 1 is protruded upwardly from the secondary bearing 13 to be connected with the transmission coupling means 4. The spindle sleeve 2 rotatably holding the spindle 1 includes: a lower flange 23 formed on a lower portion of the sleeve 2 adjacent to the shoulder portion 11 of the spindle 1 and secured to a Z-axis machine frame M of the cutting machine by bolts, a plurality of longitudinal cooling passages 24 longitudinally formed through the sleeve 2 parallel to a longitudinal axis 100 of the tool holding rod 32 and the spindle 1, a first perforated plug sealed in a first longitudinal cooling passage near a feeding port 243 formed on a side portion of the spindle sleeve 2 having a small orifice 24a with a small inside diameter formed in the first perforated plug for delivering a high-pressure inlet cooling fluid in the first longitudinal cooling passage, and a second perforated plug sealed in a second longitudinal cooling passage distal from the feeding port 243 having a large orifice 24b formed in the second perforated plug with a large inside diameter larger than that of the small orifice 24a of the first perforated plug for delivering a low-pressure inlet cooling fluid in the second longitudinal cooling passage for an even flow rate when delivering the cooling liquid through the longitudinal passages 24, a lower annular-ring groove 241 annularly formed in the lower flange 23 communicated with the feeding port 243, an upper annular ring groove 242 annularly formed in an upper end portion of the sleeve 2 communicated with a discharge port 244 from which the cooling liquid after being heat exchanged may be cooled down, filtered and re-circulated through the feeding port 243, at least a radial cooling passage 25 radially formed in a middle portion of the sleeve 2 from a middle feeding port 251 for communicating a central sleeve hole 20 which is formed in the sleeve 2 and disposed around the spindle 1 for delivering cooling gas through a notch 126 formed in a lower nut 122 of the primary bearing set 12 for cooling the primary and the secondary bearing sets 12, 13. A stream of the cooling oil gas or mist is separated into a lower stream and an upper stream; the lower stream passing through the primary bearing set 12, a lower aperture formed between the shoulder portion 11 and the lower bearing cover 21, a lower annular-ring groove comprised of a lower inner semi-circle groove 16 recessed in the shoulder portion 11 of the spindle 1 and a lower outer semi-circle groove 211 recessed in the lower bearing cover 21, and a lower discharge tube 212 formed in the lower bearing cover for centrifugally thrusting the lower cooling oil gas outwardly through the lower discharge tube 212; and the upper stream flowing through the secondary bearing set 13, an upper aperture between the upper retainer ring 131 and the upper bearing cover 22, an upper annular-ring groove comprised of an upper inner semi-circle groove 134 recessed in the upper retainer ring 131 and an upper outer semi-circle groove 221 recessed in the upper bearing cover 22, and an upper discharge tube 222 formed in the upper bearing cover 22 for centrifugally thrusting the upper cooling oil gas outwardly through the upper discharge tube 222. The oil discharge from the two tubes 212, 222 may be recovered, filtered and recirculated. The tool holding means 3 incudes: a tool connector 31 generally cylindrical shaped having a lower opening enlarged downwardly for receiving a pulling head portion E3 of the tool chuck E1, a plurality of radial cone holes 311 radially formed in a cylindrical wall of the tool connector 31 each radial cone hole 311 tapered inwardly about a radial cone axis generally perpendicular to the longitudinal axis 100 of the spindle 1, a plurality of balls 34 each ball 34 normally resting in the radial cone hole 311 and a recessed bore hole 153 enlarged from the lower cylindrical hole 15, and operatively protruded inwardly beyond the cylindrical wall of the tool connector 31 for clamping the pulling head portion E3 of the tool chuck E1 when upwardly moving the tool connector 31 to allow a tapered shoulder portion 154 tapered downwardly outwardly in the lower portion of the spindle to urge the balls inwardly in the radial cone holes 311 for engaging the pulling head portion E3 of the tool chuck E1, a packing ring 312 jacketed on the tool connector 31 slidably sealing the lower cylindrical hole 15 in the spindle 1, and a tool holding rod 32 secured with the tool connector 31 and protruding upwardly to be secured with the tool-holding control means 6. The transmission coupling means 4 includes: a fastener set 41, an extension collar 42, a transmission cylinder 43, a transmission disk 44, and a cross-key disk 45. The fastener 41 includes an upper fastening member 411 having a central cylindrical hole 410 slidably engageably with the spindle 1, an upper outer conical surface 413 tapered downwardly to be engageable with an upper cone hole 421 formed in an upper portion of the extension collar 42, an upper fastener flange 414, a plurality of bolt holes 415 and an axial slot 416 formed through the fastening member 411 adapted to be fastened by the extension collar 42 at cone hole 421; and a lower fastening member 412 having a central cylindrical hole 410 slidably engageable with the spindle 1, a lower outer conical surface 413a tapered upwardly to be engageable with a lower cone hole 421a formed in a lower portion of the extension collar 42, a lower fastener flange 414 having bolt holes 415 formed therein and axial slot 416 formed in the lower fastening member 412, both upper and lower fastening members 411, 412 being firmly secured on the extension collar 42 by inserting a plurality of bolts through a plurality of bolt holes 422 formed in the extension collar 42 and in the fastener flanges 414. The transmission cylinder 43 includes a lower cylinder portion secured with an annular transmission flange 423 circumferentially radially formed on the extension collar 42, a plurality of vertical slots 431 formed in an upper portion of the cylinder 43 to correspondingly form a plurality of vertical teeth 432 as shown in FIG. 5 each tooth adjacent to each neighbouring slot 431 for slidably engaging a tool-popping disk 61 of the tool-holding control means 6, and an upper cylinder portion of the transmission cylinder 43 secured with the transmission disk 44. The cross-key disk 45 includes a lower diametrical key 451 formed on a bottom portion of the cross-key disk 45 engageable with a key groove 441 formed in the transmission disk 44, and an upper diametrical key 452 projectively crossing with the lower key 451 and engageable with a driving key groove 52 of a driving disk 51 secured with the motor 5. The tool-holding control means 6 includes: a tool-popping disk 61, a tool-holding controller 62, and a single-way driver 63. The tool-popping disk 61 includes a central sleeve portion 611 having an inside hole in the sleeve portion 611 engageably secured with an upper end portion of the tool holding rod 32 and an outer cylindrical wall slidably engageable with the upper cylindrical hole 152 of the spindle 1 and normally urged by the plurality of disk-shaped springs 33 held in the upper cylindrical hole 152 for pulling the tool connector 31 upwardly for urging the balls 34 inwardly for clamping the pulling head portion E3 of tool E against the tapered shoulder portion 154 formed in between the enlarged bore hole 153 and the lower cylindrical hole 15 in the spindle for engaging the cone-head portion E2 of tool E with the cone hole 14, a plurality of arcuate slots 612 formed in the tool-popping disk 61 each arcuate slot engageable with each vertical tooth 432 of the transmission cylinder 43 for a reciprocative sliding movement with each other as shown in FIG. 5, and an annular extension 613 circumferentially formed under an outer periphery of the tool-popping disk 61 for shielding a whistling noise caused from the vertical slots 431 formed in the transmission disk 43 when rotated. The tool-holding controller 62 includes: a supporting calliper arm 621 operatively retained on a stopper Z1 mounted on the Z-axis machine frame and a tool-releasing calliper arm 622 hinged at a pivotal member 623 slidably held on a bracket 623a fixed on the Z-axis machine frame, a bifurcated member 625 secured with the tool-dismantling calliper arm 622 depressibly retaining the tool-popping disk 61, and an annular ring member 624 rotatably engageable with annular transmission flange 423 for rotatably supporting the transmission flange 423. The single-way driver 63 includes: an upper shaft 631 protruding from one end of the driver 63 having a shaft pin 631a slidably engageable with a pin slot 622a formed in the tool-releasing calliper arm 622 for pivotally connecting the tool-releasing calliper arm 622, and a lower shaft 631a protruding from the other end of the driver 63 for pivotally connecting the supporting calliper arm 621, and a driving mechanism 632 operatively extending and retracting the upper shaft 631 which may be selected from a solenoid having a restoring spring normally extending the upper shaft 631 upwardly and electromagnetically retracting the upper shaft 631 downwardly when powered, and selected from a bidirectional motor (not shown) electrically controlled for extending or retracting the upper shaft 631. Upon a retraction of the upper shaft 631 to retract the two calliper arms 622, 621, the tool-popping disk 61 will be depressed downwardly by the bifurcated member 625 to lower the rod 32 to release the balls 34 from clamping the pulling head portion E3 of the tool E to disengage the cone portion E2 of the rod E from the cone hole 14 of the spindle 1 for changing tool. When using the present invention, the cooling liquid may be directed into the plurality of longitudinal cooling passages 24 for cooling the sleeve 2, the bearing 12, 13, the spindle 1 and the tool holding means 3, an oil gas or mist stream may also be fed through the radial cooling passage 25 of which the oil gas stream will be led through the central hole 20 in the sleeve 2, the lower bearing set 12, the oil holes 123a, 124, 125 in spacer 123, the radial gas hole 141 into the bore hole 153 in the lower cylindrical hole 15 to discharge through an aperture between the cone hole 14 and the cone portion E2 of the tool chuck E1, and also through the upper bearing set 13, thereby causing a thoroughly heat exchange operation for removing operational and frictional heat caused during the machine running for preventing a linear thermal expansion of the tool E and for ensuring a precision cutting operation by this invention. Since the longitudinal cooling passages 24 are made longitudinally in the sleeve 2, which can be made to approximate the bearings 12, 13 and spindle 1 as much as possible without greatly reducing a strength or influencing a rigidity of the machine, thereby being superior to a conventional cutting machine. When mounting a tool E by an automatic tool changer or an automatic tool control machanism (not shown) onto the spindle 1 of the present invention, the single-way driver 63 is actuated to extend the upper shaft 631 and the disk-shaped springs 33 may resiliently urge the central sleeve portion 611 of the tool-popping disk 61 upwardly to raise the tool holding rod 32 and the tool connector 31 to poke the balls 34 for coupling the pulling head portion E3 of the tool chuck E1 with the tool holding means 3 and upon a driving of the servo-controlled motor 5 due to the coupling of the driving disk 51 of the motor with the cross-key disk 45 and the transmission disk 44 secured with the transmission cylinder 43 which is engaged with the disk 61 and secured with the collar 42 and the spindle 1, the spindle 1, the rod 32 and the tool E will be simultaneously rotated about the common longitudinal axis 100 for a direct axial driving by the motor 5 to thereby prevent any side thrusting force, twisting or bending moment of the spindle 1 and tool for ensuring a precision tool operation. The oil gas or mist sprayed through the aperture between the cone portion E2 of the tool chuck E1 and the cone hole 14 of the spindle may serve as a dust-proof shield for preventing contamination on the tool and the machine. The present invention may also be used in any turning machine, lathe, milling machine, etc. Even the embodiment as shown in the figure is vertically erected, it may also be modified to a horizontal machine by those skilled in the art.
A spindle of a machine center is held in a spindle sleeve directly straightly driven by a servo-controlled motor aligned with the spindle axis for preventing any bending or twisting caused to the rotating spindle during cutting operation and having a plurality of longitudinal cooling passages parallelly longitudinally formed in the spindle sleeve proximate to an upper and a lower bearings disposed around the spindle for enhancing the cooling effect of the running spindle, and having a direct application of cooling oil mist or gas stream into the bearing systems of the spindle to greatly minimize any frictional heat or temperature raise caused from the running spindle to prevent a thermal expansion influencing the processing precision of the cutting operation.
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention concerns a method of characterizing an electrode binder. It further encompasses the binder selected by this method and the electrodes containing it. 2. Description of the Prior Art A plasticized (non-sintered) electrode comprises a support serving as a current collector coated with a paste containing the powdered active material and a binder, to which a conductive material may be added. The function of the binder is to assure the cohesion of the grains of active material to each other and to the support of the electrode prior to assembly of the storage battery and during its operation. The binder must have sufficient chemical stability vis a vis the components of the cell; the adhesive properties of some binders deteriorate upon cycling. The binder must also be capable of accommodating dimensional variations of the electrode during cycling throughout its service life. Another function of the binder is to maintain the electrical contact between the grains of active material and to favor ion exchange with the electrolyte. The electrochemically active surface area of an electrode depends on the surface area wetted by the electrolyte. If the electrode is insufficiently wetted the active surface area is reduced, which leads to an increase in the local current density and a lower charged capacity. To promote wetting of the electrode by the aqueous electrolyte the binder must have a hydrophilic character. The surface area accessible to the electrolyte depends on how the grains of active material are coated and bound by the polymer. The polymer film must have discontinuities enabling electron exchange. At present, choosing an appropriate binder is based on an electrochemical evaluation under real conditions of use. The low concentration of binder used in the fabrication of an electrode necessitates long-term tests to show up the differences in performance between electrodes including the various binders to be evaluated. Under these conditions, optimizing the composition of the electrodes is a slow and random process, which considerably limits the possibilities of improving the batteries. An aim of the present invention is to propose a method of selecting a binder to be used in the composition of an electrode and conferring high performance on it throughout its period of use. Another aim of the invention is to propose a fast and easy method of choosing an electrode binder. SUMMARY OF THE INVENTION The present invention consists of a method of characterizing a polymer binder for cell electrodes in contact with an electrolyte including a current collector and a paste containing an electrochemically active material and said binder, comprising the following steps: a spreading coefficient of said binder on said active material is calculated from a measured angle of contact between standard liquids and said active material and said binder, respectively, an interaction energy I EL of said binder with said electrolyte is calculated from a measured angle of contact between said electrolyte and said binder, and said binder is selected such that said spreading coefficient is less than zero and said interaction energy is at least 60 mJ/m 2 . The spreading coefficient λ of the binder defined as follows: λ=.sub.γAM -.sub.γbinder -.sub.γAM/binder in which .sub.γAM and .sub.γbinder are the surface energies of the active material and the binder, respectively, and .sub.γAM/binder is the interface energy between the active material and the binder. The surface energy is measured by the sessile drop method (G. KRUSS: "Manuel d'utilisation du goniometre"), that is to say by measuring the angle of contact of a drop of a reference liquid, the surface tension of which is known, deposited on the surface of the active material or of a film of binder. The quality of the measurement of the contact angle Θ AM or Θ binder is principally dependent on the following parameters: a solid, clean and smooth surface, and reference liquids of the highest possible purity. The surface energy .sub.γAM of the active material satisfies the following equation: ##EQU1## where γ Lw , AM is the dispersive component corresponding to Van der Waals interactions and γ DA , AM =2√γ + , AM ·γ - , AM is the component corresponding to the acid-base interaction (electron donor/acceptor). The dispersive component γ Lw , AM is measured using the method described by F. M. FOWKES (Ind. Eng. Chem., 56, 1964, 12-14). The reference liquid used is an apolar liquid such as α-bromonaphthalene, diiodomethane, tricresyl phosphate, dioxolane or an alkane. In this case, γ DA , AM =0 and γ AM =γ Lw , AM where γ Lw , AM is a function of the angle of contact Θ AM of the apolar liquid with the active material. The non-dispersive component is measured using reference liquids which are polar liquids for example water or ethyleneglycol, the acidic and basic characteristics of which are known (R. S. GOUD and C. S. VAN OSS in "Modern approaches to wettability--Theory and applications", M. E. SCHRADER AND G. I. LOEB, Ed. Plenum Press, N.Y., 1992). For each liquid, an acidic component γ + , AM and a basic component γ - , AM are calculated from the measured angle of contact of the polar liquid with the active material. The surface energy γbinder of the binder satisfies the following equation: ##EQU2## where γ Lw , binder is the dispersive component and γ DA , binder =2√γ + , binder ·γ - , binder is the component corresponding to the acid-base interaction. These two components are determined for the binder in the same way as described previously for the active material. Finally, the interface energy γ AM/binder is calculated from the surface energies γ AM and γ binder using the equation: ##EQU3## The interaction energy I EL is defined as follows (N. K. ADAME: "Physics on a chemistry of surfaces", 3rd Ed., Oxford University Press, London, 1941): I.sub.EL =.sub.γEL (1+cos Θ.sub.EL) where γ EL is the surface tension of the electrolyte and Θ EL is the angle of contact between a drop of electrolyte and the binder film. The binder is chosen on the basis of a combination of two criteria relating to its surface properties. According to the first criterion, the spreading coefficient λ must be negative (λ<0). In this case the binder forms a discontinuous film enabling electron exchange. If λ is positive (λ≧0), the binder forms a continuous film which coats and isolates the active material. In accordance with the second criterion, the interaction energy I EL must be at least equal to 60 mJ/m 2 (I EL ≧60 mJ/m 2 ). If I EL is high, the binder wets the electrode more effectively and promotes ion conduction. The advantage of the present invention is that it allows immediate selection of polymers that can be used as electrode binders without cycling the cells, which is a long and costly method. The present invention further consists in an electrode for an alkaline electrolyte cell including a current collector and a paste containing an electrochemically active material and a binder having a spreading coefficient λ less than zero and an interaction energy I EL of at least 60 mJ/m 2 . Said binder is preferably chosen from a copolymer of maleic anhydride and styrene and a copolymer of maleic anhydride and a vinyl ether. Other features and advantages of the present invention will emerge from the following examples which are, of course, given by way of non-limiting illustration, and from the accompanying drawing. BRIEF DESCRIPTION OF THE DRAWING FIG. 1 shows the discharged capacity Q of an electrode in a test cell, expressed in milliampere-hours per gram of hydridable alloy, as a function of the number N of cycles effected. FIG. 2 shows the discharged capacity C of a sealed storage battery, expressed in milliampere-hours, as a function of the number N of cycles effected. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS EXAMPLE 1 The method of the present invention was used to characterize a polymer binder which comprised a hydrolyzed copolymer of ethylene and vinyl acetate (EVAH). The surface energy calculations were based on measured contact angles as previously described. The reference liquids used were diiodomethane as the apolar liquid and water and ethyleneglycol as the polar liquids. These measurements gave the following results: λ=+0.1 mJ/m.sup.2 I.sub.EL =80 mJ/m.sup.2 EVAH does not meet the first criterion of the present invention. An electrode I was prepared including as the electrochemically active material a hydridable alloy of type AB n in which A was a "MISCHMETAL" and B was nickel partially substituted by Mn, Al and/or Co. The alloy was suspended in an aqueous solution comprising 0.3% by weight of hydroxypropylmethylcellulose (HPMC) and 0.3% by weight of carbon powder. The EVAH polymer binder was added to this suspension in a proportion of 5% by weight of dry matter. The paste obtained, containing 94.4% by weight of active material, was coated onto a nickel sponge conductive support and then dried and rolled to adjust the thickness and the porosity of the electrode. EXAMPLE 2 The method of the present invention was used to characterize a polymer binder consisting of poly(2-ethylhexyl acrylate (PAEH) in the manner described in example 1. These measurements gave the following results: λ=+4 mJ/m.sup.2 I.sub.EL =45 mJ/m.sup.2 PAEH does not meet either criterion of the present invention. An electrode II was prepared including as the electrochemically active material a hydridable alloy of type AB n as described in example 1, except that the PAEH polymer binder was added to the suspension in a proportion of 5% by weight of dry matter. EXAMPLE 3 The method of the present invention was used to characterize a polymer binder consisting of polytetrafluorethylene (PTFE) in the manner described in example 1. These measurements gave the following results: λ=12 mJ/m.sup.2 I.sub.EL =49 mJ/m.sup.2 PTFE does not meet either criterion of the present invention. An electrode III was prepared including as the electrochemically active material a hydridable alloy of type AB n in the manner described in example 1, except that the PTFE polymer binder was added to the suspension in a proportion of 5% by weight of dry material. The PTFE fibrillated very quickly under the conditions of production of the electrode. It formed a discontinuous network, as is usually observed if the spreading coefficient λ of the polymer is negative. EXAMPLE 4 The method of the present invention was used to characterize a polymer binder consisting of a copolymer of styrene and butadiene (SBR) grafted with carboxyl groups, sold by BASF under product reference "LD 417", in the manner described in example 1. These measurements gave the following results: λ=-1 mJ/m.sup.2 I.sub.EL =66 mJ/m.sup.2 The carboxylated SBR meets both criteria of the present invention. An electrode IV was prepared including as the electrochemically active material a hydridable alloy of type AB n in the manner described in example 1, except that the carboxylated SBR polymer binder was added to the suspension in a proportion of 5% by weight of dry material. EXAMPLE 5 The method of the present invention was used to characterize a polymer binder comprising a copolymer of styrene and maleic anhydride (SMA) in the manner described in example 1. These measurements gave the following results: λ=-15 mJ/m.sup.2 I.sub.EL =93 mJ/m.sup.2 SMA meets both criteria of the present invention. An electrode V was prepared including as the electrochemically active material a hydridable alloy of type AB n in the manner described in example 1, except that the SMA polymer binder was added in a proportion of 5% by weight of dry material. EXAMPLE 6 An electrochemical evaluation of electrodes I through V was carried out. The cycling was conducted in non-sealed storage batteries having a nominal capacity of 3 Ah with electrodes I through V as the negative electrode and nickel hydroxyde electrodes of a type known in itself as the positive electrode. The electrolyte was an 8.7 N alkaline solution, the main constituent of which was potassium hydroxide KOH. The test was carried out at a temperature of 22° C. under the following conditions: cycles 1-4 charging at 0.2I c for 7.5 hours, discharging at 0,2I c to 1 volt, cycle 5 charging at 0,2I c for 7.5 hours, discharging at I c to 0.8 volt, where I c represents the current needed to charge to 100% the theoretical capacity of the storage battery in one hour. The discharged capacity D 4 in cycle 4 and the discharged capacity D 5 in cycle 5 were measured, expressed in milliampere-hours per gram of hydridable alloy, and the loss of capacity Δ 4/5 for fast charging/discharging was calculated as a percentage between the fourth and fifth cycles. Table 1 below summarizes the results obtained: TABLE 1______________________________________binder EVAH PAEH PTFE SBR SMA______________________________________λ (mJ/m.sup.2) +0.1 +4 +12 -1 -15I.sub.EL (mJ/m.sup.2) 80 45 49 66 93 ↓ ↓ ↓ ↓ ↓electrode I II III IV VD.sub.4 (mAh/g) 265 273 294 289 303D.sub.5 (mAh/g) 221 191 259 251 283Δ.sub.4/5 (%) 20 30 12 13 7______________________________________ Note that the initial capacities D 4 are higher and that the capacity losses Δ 4/5 for fast charging/discharging are lower for binders IV and V, which have a negative spreading coefficient λ, compared to binders I and II, the spreading coefficient λ of which is positive. The PTFE behaves like a binder with a negative spreading coefficient λ. EXAMPLE 7 An electrode VII was prepared including as the electrochemically active material a hydridable alloy of type AB n where A was a "MISCHMETAL" and B was nickel partially substituted by Mn, Al and/or Co. The alloy was suspended in an aqueous solution containing 0.3% by weight of carbon powder 0.3% by weight of hydroxypropylmethylcellulose (HPMC). The PTFE polymer binder was added to the suspension in a proportion of 1.5% by weight of dry matter. The paste obtained, containing 98.1% by weight of active material, was coated onto a nickel sponge conductive support and then dried and rolled to adjust the thickness and the porosity of the electrode. EXAMPLE 8 An electrode VIII was prepared including as the electrochemically active material a hydridable alloy of type AB n where A was a "MISCHMETAL" and B was nickel partially substituted by Mn, Al and/or Co. The alloy was taken up into suspension in an aqueous solution containing 0.3% by weight of carbon powder and 0.3% by weight of hydroxypropylmethylcellulose (HPMC). The SBR polymer binder grafted by carboxyl groups, sold by BASF under the product reference "LD 417" was added to the suspension in a proportion of 0.3% by weight of dry material. The paste obtained, containing 99.1% by weight of active material, was coated onto a nickel foam conductive support and then dried and rolled to adjust the thickness and the porosity of the electrode. EXAMPLE 9 An electrochemical evaluation of electrodes VII and VIII was carried out. The cycling was performed in a test cell in which the negative electrode VII or VIII was positioned facing a nickel counter-electrode of a type known in itself, from which it was separated by a nonwoven polypropylene separator. The cell contained an electrolyte consisting of an 8.7 N alkaline solution in which the principal constituent was potassium hydroxyde KOH. The test was conducted at a temperature of 22° C. under the following conditions: charging at I c for 1.05 hour, then discharging at I c for 0.8 hour. The discharged capacity Q expressed in milliamperes-hours per gram of hydridable alloy was measured. FIG. 1 shows the capacity Q as a function of the number of cycles. After 150 cycles, the performance of the electrode VII (curve 11) containing PTFE, the interaction energy I EL of which was below 60 mJ/m 2 , was inferior to that of electrode VIII (curve 12), containing carboxylated SBR, the interaction energy I EL of which was greater than 60 mJ/m 2 . Sealed storage batteries having a nominal capacity of 120 Ah, negative electrodes in the form of electrodes VII and VIII and positive electrodes consisting of nickel hydroxyde electrodes of a type known in itself were then cycled. The electrolyte was an 8.7 N alkaline solution, the main constituent of which was potassium hydroxyde KOH. The test was conducted at a temperature of 22° C. under the following conditions: charging at 0.33I c for 3 hours, then at 0.1I c for 2 hours, discharging at I c for 0.7 hours. The capacity C discharged by the accumulator expressed in milliampere-hours was measured. FIG. 2 shows the capacity C as a function of the number of cycles for the storage battery containing the electrode VII (curve 21) and the storage battery containing the electrode VIII (curve 22). Note that the capacity of the electrode VII containing PTFE decreased faster than that of the electrode VIII containing carboxylated SBR. This confirms the results observed previously. Finally, the gases contained in the two sealed storage batteries, respectively including the electrodes VII and VIII, like those described previously but having nominal capacity of 10.8 Ah, were analyzed. These storage batteries were cycled at a temperature of 22° C. under the following conditions: charging at 0.1I c for 7.5 hours, discharging at 0.2I c to 1 volt. When the pressure had stabilized, the gases were sampled and analyzed, and the following results were obtained: TABLE 2______________________________________electrode VII VIII______________________________________stabilized pressure (bars) 0.78 0.46O.sub.2 50% 70%H.sub.2 50% 30%______________________________________ Far less hydrogen was evolved for electrode VIII, containing the SBR grafted with carboxylic groups, than for electrode VII, containing PTFE, indicating that electrode VIII was wetted more effectively by the electrolyte.
In a method of characterizing a polymer binder for cell electrodes in contact with an electrolyte and including a current collector and a paste containing an electrochemically active material and said binder, a spreading coefficient of the binder on the active material is calculated from the measured angle of contact between standard liquids and the active material and the binder, respectively. An interaction energy of the binder with the electrolyte is calculated from the measured angle of contact between the electrolyte and the binder. The binder is selected such that the spreading coefficient is less than zero and the interaction energy is at least 60 mJ/m 2.
[0001] This continuation application claims the benefit of, incorporates by reference, and priority from U.S. patent application Ser. No. 12/315,249, filed Dec. 1, 2008; U.S. Provisional Patent Application Ser. No. 61/128,719, filed May 23, 2008, and U.S. patent application Ser. No. 12/286,441, filed Sep. 30, 2008. FIELD OF THE INVENTION [0002] A system for identifying and locating fluid pathways through a fluid carrying device and, more particularly, a system comprising schematics and locating marks or indicia associated with a fluid carrying device having a multiplicity of separate channels therethrough, including schematics for identifying the location of specific lines, ports, and buttons associated with specific fluid sources and channels through the fluid carrying device. BACKGROUND [0003] Dispensing systems, such as beverage dispensing systems, typically include a multiplicity of fluid sources, for example, cylinders containing a variety of different pressurized syrups, pressurized soda, and water under pressure. [0004] Dispensing systems typically engage the multiplicity of pressurized fluid containers to carry the pressurized fluid through a flow control assembly, a manifold, with a multiplicity of lines carrying fluid from the manifold to a bar gun assembly. The bar gun assembly has a multiplicity of buttons for controlling a multiplicity of valves therethrough, for dispensing a beverage into a container. The beverage typically is comprised of syrup mixed with soda, water mixed with another beverage, or just soda or just water alone. Typically dispensing systems are known in the art. [0005] It is seen that fluid dispensing systems typically provide for a line from each of the multiplicity of pressurized fluid bearing containers to a flow control and manifold assembly. The multiplicity of separate and distinct fluids is maintained in separate and distinct channels through the manifold and manifold and flow control assembly, and separate and distinct fluid bearing lines that connect the manifold and flow control assembly to the bar gun handle. Moreover, the separate fluids are maintained in separate channels within the handle of the bar gun assembly, which separate channels have separate valves, controlled by separate buttons, associated therewith. At the nozzle end of the handle, separate or mixed fluids are dispensed into a container. OBJECTS OF THE INVENTION [0006] It is an object of the present invention to provide information relating to channel, line, port, and button location and pattern, such information associated with structural elements of a dispensing system so as to assist in operating or troubleshooting when using, maintaining or repairing elements of the system. SUMMARY OF THE INVENTION [0007] A beverage dispensing system is disclosed, typical of prior art beverage dispensing systems, in that it is designed to dispense fluids, such as syrup and/or soda and water, from a bar gun. The bar gun is connected by a multiplicity of lines to a manifold and flow control assembly. The manifold and flow control assembly, in turn, receives a number of different fluids, typically syrup, water and soda, under pressure from a number of different pressurized containers. Applicants' novel system includes schematics, typically in the form of adhesive labels, applied to the dispensing system, typically on the manifold and flow control assembly, which schematics illustrate the button arrangement on the handle, and the inlet port layout on the handle, and relate the same, using words, symbols or a combination, to indicia identified ports on the manifold and flow control assembly. [0008] A fluid dispensing system has a bar gun assembly that includes a handle with a multiplicity of inlet ports arranged generally in a plane and having an inlet port layout, and a multiplicity of buttons arranged in a second plane and having a button layout. The fluid dispensing system also has a manifold and flow control assembly having a multiplicity of separate fluid channels therethrough, the manifold and flow control assembly having a flow control assembly and a manifold assembly. The flow control assembly also has a multiplicity of inlet ports for receiving fluids from a multiplicity of fluid sources. The manifold assembly has inlet ports for receiving fluid from the flow control assembly. Separate channels connect the inlet ports of the flow control assembly to the inlet ports of the manifold assembly. [0009] A multiplicity of lines is provided for connecting the inlet ports of the manifold to the inlet ports of the bar gun assembly. Indicia on the manifold and fluid dispensing assembly locate and distinguish the multiplicity of separate fluid channels therein from one another. An inlet port schematic on the manifold and fluid dispensing assembly illustrates where each fluid line associated with each fluid channel and port connects to the handle. A button layout schematic illustrates where each button associated with the control of each fluid associated with each line and each fluid channel is located, for example, with respect to the rest of the buttons. [0010] Applicants also provide for a system for identifying fluids moving separately from one another via multiple paths through a fluid carrying device. The fluid carrying device includes a flow control assembly having multiple inlet ports, including at least a first and second inlet port, for receiving at least a first and second fluid from a first and second fluid source. The flow control assembly may be typical of the prior art, having a multiplicity of outlet ports, including at least a first and a second outlet port, with the first and second outlet ports of the flow control assembly in fluid communication with the first and second inlet ports of the flow control assembly, respectively. The flow control assembly further includes a multiplicity of flow control valves, including at least a first and a second flow control valve for controlling the flow of the first and second fluid between the first and second inlet ports and the first and second outlet ports, respectively. A manifold assembly is provided having a multiplicity of inlet ports, including at least a first and second inlet port, the manifold assembly being adapted to removably engage the flow control assembly such that the multiplicity of inlet ports and the multiplicity of outlet ports of the flow control assembly sealingly and releasably engage. The first and second inlet ports of the manifold assembly align with the first and second outlet ports of the flow control assembly, the manifold assembly also has a manifold cover. [0011] A multiplicity of fluid lines engage the inlet ports of the manifold assembly. The multiplicity of fluid lines include at least a first and a second fluid line, the multiplicity of fluid lines engage the manifold assembly such that each of the manifold inlet ports, including the first and second inlet ports of the manifold engages each of the inlet ports, including first and second fluid lines, in a fluid sealing manner. [0012] A bar gun assembly is adapted to receive the multiplicity of fluid lines in fluid sealing relation. The bar gun assembly has a handle with a body including a heel portion, the heel portion having a multiplicity of inlet ports arranged in a bar gun inlet port layout. The multiplicity of inlet ports engage the multiplicity of fluid lines. The multiplicity of bar gun assembly inlet ports, include at least a first and a second inlet port, engage the first and second fluid lines. The handle includes a multiplicity of fluid channels therethrough, the multiplicity of channels including at least a first and a second fluid channel. The first and second fluid channels engage the first and second inlet ports. [0013] The bar gun assembly further typically includes a button assembly for operating a multiplicity of valves, the button assembly including a multiplicity of buttons arranged in a button layout. The multiplicity of buttons include at least a first and a second button to engage a first and a second valve of the multiplicity of valves. The bar gun assembly further includes a nozzle for receiving fluids from the multiplicity of fluid channels, including the first and second fluid channel, and for mixing at least the first and the second fluids. [0014] Generally, the flow control assembly typically includes a flow control valve identifying member associated with each of the multiplicity of flow control valves. The manifold or fluid control assembly typically includes a manifold inlet port mark or indicia associated with the location of each of the multiplicity of inlet ports. The manifold typically includes a first schematic representation, the first schematic representation representing the bar gun inlet port layout. The manifold typically also includes a second schematic representation, the second schematic representation representing the button layout of the bar gun. The schematics may be on the cover of the manifold. BRIEF DESCRIPTION OF THE DRAWINGS [0015] FIG. 1 is a top elevational view of the manifold and flow control assembly. [0016] FIG. 2 is a top elevational view of the manifold of the manifold and flow control assembly with the top cover removed therefrom to illustrate the manner in which the multiplicity of inlet ports of the manifold engage a multiplicity of separate fluid bearing lines. [0017] FIG. 3 is an elevational view of the end of the bar gun assembly and illustrates an inlet port assembly and a button assembly on a bar gun assembly. [0018] FIG. 4 is a top elevational view of the bar gun assembly illustrating a button assembly thereon. [0019] FIG. 5 is a top front perspective view of the manifold and flow control assembly illustrating elements of Applicants' system as they engage the manifold and flow control assembly. [0020] FIG. 6 is a schematic of Applicants' system which represents the location of the various ports associated with the various fluid lines from the manifold and flow control assembly positionally with the one port with respect to the other ports as well as informationally illustrating which inlet ports are associated with which buttons of the button assembly and which buttons of the button assembly with which elements of the manifold and flow control assembly. [0021] FIG. 7 illustrates another schematic, this associated with the layout of the buttons of the button assembly, including buttons location vis-à-vis other buttons of the button layout and button identification indicia to illustrate which buttons operate which valves associated with which inlet ports and which ports of the manifold flow control assembly. [0022] FIGS. 8 , 9 , and 10 illustrate a manifold and flow control assembly in perspective views illustrating various locations for placement of one or more of the schematics, labels and other information representing at least a part of Applicants' device and system. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS [0023] Applicants' system for identifying fluid pathways through a fluid carrying device includes and is applied to a manifold and flow control assembly 12 that is engaged to a bar gun assembly 14 through a multiplicity of fluid lines 16 , typically enclosed within a sheath 21 . [0024] Manifold and flow control assemblies are known in the art as are bar gun assemblies and fluid lines for connecting the manifold and flow control assemblies to the bar gun assembly. Thus, a dispensing system typically comprises a multiplicity of fluid sources (not shown) typically pressurized. These sources are engaged through lines in a manner known in the art to manifold and flow control assemblies, such as that illustrated in FIG. 1 . Manifold and flow control assemblies are designed to receive the separate lines from the fluid sources and to engage the same, through a manifold to a multiplicity of separate fluid lines 16 and on to the bar gun assembly 14 . [0025] The separate fluids are maintained through separate channels in the manifold and flow control assembly and the bar gun assembly until dispensed from the nozzle of the bar gun assembly through the operation of buttons on the bar gun assembly in a manner known in the art. [0026] Turning now to FIGS. 1-5 , it is seen that the manifold and flow control assembly 12 is comprised of a manifold assembly 15 and a flow control assembly 17 . Flow control assembly 17 is typically comprised of a multiplicity of inlet ports, a multiplicity of outlet ports, and a multiplicity of flow control valves for controlling the flow rate (mechanically, by automatic adjustment or other ways) of the pressurized fluid to the manifold assembly. The manifold assembly 15 may releasably engage the flow control assembly 17 typically includes a multiplicity of inlet ports which are adapted to fluidly seal with the multiplicity of fluid lines 16 . [0027] An inlet port assembly 18 on the flow control assembly is defined by a multiplicity of inlet ports, here ten, designated 18 a - 18 j , each of which inlet port is adapted, in manners known in the art, to engage a separate line from a separate pressurized fluid source. The flow control assembly may also contain an outlet port assembly 20 defined by a multiplicity of individual outlet ports, here ten, designated 20 a - 20 j . Between each of the individual inlet ports and each of the individual outlet ports, a flow control valve assembly 22 is provided with the multiplicity of individual flow control valves, here designated 22 a - 22 j. [0028] Pressurized fluid provided at each of the individual ports 18 a - 18 j is controlled by an individual valves 22 a - 22 j in a variety of ways known in the art and provides fluid flow control at each of the multiplicity of individual outlet ports 20 a - 20 j. [0029] The manifold 15 includes an inlet port assembly 24 comprised of individual inlet ports, here ten, designated 24 a - 24 j . Inlet port assembly 24 removably engages outlet port assembly 20 in ways known in the art. A multiplicity of lines, here ten, designated 16 a - 16 j is provided for connecting to the multiplicity of inlet ports 24 a - 24 j to carry the separate fluid flow controlled fluids to an inlet port assembly 28 typically on the heel of bar gun assembly 14 . Inlet port assembly 28 is comprised of an arrangement of inlet ports, here ten, designated 28 a - 28 j , for engaging the appropriate line of the multiplicity of lines 16 a - 16 j. [0030] Button assembly 30 is provided comprised of a multiplicity of separate buttons, here ten, designated 30 a - 30 j . In ways known in the art, the buttons control valves, the valves associated with channels of fluid flow through the bar gun. Here, there is a button associated with a valve and a valve associated with each of the different fluid channels. Buttons 30 a - 30 j correspond to the separate and distinct fluids flowing through the various channels defined by the ports, the lines, and the walls of the fluid flow device. [0031] Flow control valve identifying members 32 a - 32 j will have a letter, symbol, number, word or a combination thereof. The words, such as soda, water, Coke, Sprite, etc., may identify a liquid flowing through the valve by its commonly understood term. A letter may also, such as O for orange, L for lime. A unique symbol, such as S2, S6 or M, may designate a liquid whose nature and identity would be discovered through the identification of the cylinder associated with each valve or an index key. That is to say, flow control valve identifying members 32 a - 32 j may be specific and have a literal message that will identify the nature of the fluids flowing through or general, which would typically require knowledge from the source of the liquid as an indexed key to determine the nature of the liquid being handled by that particular valve. [0032] Indicia locating separate and distinct channels, here adjacent inlet ports on the manifold assembly or ports on the flow control assembly, are placed close to or adjacent to the individual ports thereby identifying and distinguishing their position. Collectively, port identification indicia is referenced 34 and may include an adhesive label. The individual fluids will be identified by the indicia carrying a numeral, letter, a combination of the same or a word, which word may actually identify the nature of the fluid flowing through. In the illustrated embodiment, there are up to ten fluids capable of being handled by the dispensing system. The port locating indicia are identified as 34 a - 34 j (see FIGS. 1 and 5 ). Soda and/or water are typically carried through dispensing systems and indicia 34 e and 34 f carry the terms “soda” and “water” to identify the ports associated therewith, by placement of those words, here on the manifold, in the illustrated embodiment, directly adjacent the ports that carry these fluids. In the illustrated embodiment, indicia 34 a , 34 b , 34 c , 34 d , 34 g , 34 h , 34 i , and 34 j all contain general alphanumeric symbols, here S1-S8, to identify the location of the port and to distinguish the fluid flowing therethrough from the other fluids. Some, all or no ports may have generalized such symbols. Some, all or no ports may have specific terms—Coke, Sprite, soda, water, orange, grape, etc. [0033] Fluid lines 16 a - 16 j are connected to ports of the manifold and engage the inlet ports of the bar gun. Each line will carry, typically at or near one or both ends, indicia identical to that found on the associated port locating indicia 34 a - 34 j . These indicia may be referred to as fluid line marks, indicia or markers. [0034] Element 36 is a schematic, such as a label with a set of inscriptions or inscriptions applied directly to a workpiece, the schematic reflecting the bar gun assembly inlet port layout. Inlet port schematic 36 typically identifies, by location, vis-à-vis one another, the proper placement of the lines connecting the ports on the manifold assembly to the inlet ports of the bar gun, that is, lines 16 a - 16 j . That is to say, inlet port schematic 36 will represent the pattern defined by the multiplicity of ports and will further represent the proper placement of the line that is associated with a particular individual fluid carried in the line to the inlet ports. The schematic typically approximates the size of the inlet port layout or arrangement, but is not necessarily (but may be) to an approximately 1 to 1 scale. Moreover, further information 36 k may be provided on schematic 36 to indicate that it represents structure located at the “end of the handle” and further such information may designate the pattern orientation, such as “up,” as seen in FIG. 6 . Inlet port schematic 36 typically provides information (S1-S8, soda and water, for example) to show where to place an individual line such that an inlet port of the manifold is engaged to that particular port by reflecting, here through the use of circles, a shape similar to each port and such shape spaced from adjacent ports to reflect the layout of the actual physical inlet port assembly 24 . Further information 36 k may include the orientation and or location of the layout with respect to the handle, here by the further information provided “up” and “end of handle.” [0035] It will be further understood that the various different channels through the bar gun assembly are supplied by the multiple inlet ports and are associated with valves controlled by the buttons of the button assembly in a manner or manners known in the art. A further schematic representation of the bar gun assembly button layout is provided, which bar gun assembly button layout schematic 38 will illustrate with multiplicity of indicia 38 a - 38 j , graphical representations of the buttons and their positions vis-à-vis one another. Indicia 38 a - 38 j also carry the indicia found on schematic inlet port layout 36 as in 36 a - 36 j which also corresponds also to the indicia 34 a - 34 j . Thus, indicia on the manifold and flow control assembly, here 34 a - 34 j locates channels or ports with lines, here 16 a - 16 i , which lines connect the inlet ports, which ports engage channels operated by buttons and use the same indicia as schematics 36 and 38 . This provides diagnostic, maintenance and service information to the user so he may easily distinguish the channel/button from inlet at the manifold and flow control assembly 12 and through the button assembly. [0036] In short, the dispensing system identifies the location of structural elements in the manifold and flow control system with symbols and/or words adjacent thereto. These symbols or words are repeated at least on a schematic representing the inlet port layout of the bar gun and a schematic representing the button layout. Typically the symbols same or words identifying ports/channels will be placed at both ends of the fluid lines. Further, fluid identifying information may be placed on or near the flow control valves. Thus, by the position of a button among a button layout, a user can trace or find the associated line, inlet port, and flow control valve and manifold port. Further, by indicia on the buttons one may identify the fluid associated therewith. [0037] While the schematics are typically found on the manifold cover, one or more may be placed in addition to or in this location. One or more schematics may be placed on or attached to other elements of the dispensing system. One or both schematics may be placed on the bar gun handle or the sheath carrying the fluid lines, for example. The schematics (one or both) may be remote to the dispensing system, as on a website or in a manufacturer's catalog or manual. Schematics may be represented by graphics on a label applied by using an adhesive or any other suitable manner. Schematics may be applied by silk screen or other similar ways directly to one or more elements of the dispensing system. The port identifying indicia may be on the flow control assembly, such as on caps 27 (see FIG. 5 ), clips 29 , or a separate member mounted, for example, just above the clips, but visible when the flow control assembly and the manifold are engaged. [0038] The flow control valve of the flow control assembly 17 may include a multiplicity of flow control valve members, here ten, designated 32 a - 32 j , each member associated with each valve 22 a - 22 j , so as to be close to the valve or on the valve so it is immediately apparent that the member and the indicia thereon identifies, with the symbol or word or otherwise, unique from the other members, a fluid flowing therethrough that may be a different fluid from the other valves. For example, it is seen in FIG. 4 that the following flow control members and buttons carry the following symbols: [0000] Valve Symbol on Button/ Port/Line/Button Members Flow Control Fluid Type Designation 32a O or Orange Orange syrup S7 32b G or Grape Grape S5 32c Sprite or L Sprite S1 32d Tonic or T Tonic S3 32e Soda Soda Soda 32f Water Water Water 32g Quinine or Q Quinine S4 32h Coke Coke S2 32i Diet Diet Coke S6 32j Root Beer or M Root Beer S8 [0039] Applicants correlate on schematics specific parts (on manifold and flow control assembly), inlet ports (on handle) to the buttons. Indicia may correlate in any way in which will allow the user to recognize which button/port/line/valve will carry the same fluid. For example, if the system is intended to handle six different fluids, indicia might be simply 1-6 for the six buttons; 1-6 for the six ports of the manifold and flow control device. The actual buttons when viewed on the handle may look like this: [0000] (handle nose) ◯ ◯ ◯ ◯ ◯ ◯ (handle heel) [0040] The schematics might look like this for this button layout: [0000] 1 2 3 4 5 6 [0041] The schematics might look like this for the inlet port: [0000] UP 1 2 3 4 5 6 End of Handle [0042] The port identification indicia (placed adjacent ports) might look like this: [0000] 1 2 3 4 5 6 [0043] Lines connecting the numbered ports would carry the proper number. The valve on the flow control may carry a 1-6 designation or the term describing the actual fluids; Coke, Diet Coke, Root Beer, Sprite, water and juice, example. Because the flow control valve is aligned with the numbered ports, it will be apparent, if “Coke” is aligned with port numbered “1”, what fluid is being carried with ports/line/buttons carrying the number “1”. [0044] Typically, however, there are certain recognized words in industry-conventional words, like soda, water, Coke, Pepsi, Sprite, etc. Some buttons will typically reflect these words, but when a first customer is ordering a dispensing system, they will often desire different button arrangements for different syrups, than a second or third customer. In fact, Applicants provide over 200 different buttons, for example, buttons carrying single letters (sometimes against different color backgrounds), two letter combinations (again, sometimes against different color backgrounds), full words (Coke, Diet, Cherry, Slice, tea, etc.), contractions or abbreviations (“rbeer,” “straw,” “bmary,” “mtdew”) or just colored buttons with no words or symbols. As one can imagine, tens of thousands of combinations are possible, even for a three button system (200 3 ). Applicant, however, has reduced the multiplicity of combinations needed for schematics by using common schematic designations—for example S1-S8, soda and water for a ten button combination. Thus, Applicants needs only stock S1-S8 marked lines (and a “water” as well as a “soda”), a single port label, a single button schematic, and a single inlet port layout schematic. This is regardless of which ten button combination (with soda and water being two chosen buttons) is chosen by the customer. Once the customer chooses the buttons, they are arranged on the handle during assembly of the bar gun, the schematics are put in place and, when the system is assembled, the customer refers to the bar gun buttons for connecting the proper lines from the handle and from the different fluid sources to the different manifold and flow control assembly ports. [0045] Thus, Applicants have reduced tens of thousands of possible schematic designations, to just a few by designating specific button locations in an array, to common ports, lines and valves. [0046] FIGS. 8 , 9 , and 10 illustrate a manifold and flow control assembly in perspective views illustrating various locations for placement of one or more of the schematics, labels and other information representing at least a part of Applicants' device and system. It is seen, for example, with respect to FIGS. 8 , 9 , and 10 that port identification indicia may be located on the flow control assembly 17 , again adjacent the separate and distinct ports 34 a - 34 j , collectively representing port identification indicia 34 . That is to say, FIG. 8 illustrates port identification indicia 34 on the cover of the manifold assembly 15 adjacent the ports thereof. FIGS. 9 and 10 illustrate the use of port identification indicia 34 on the flow control assembly side of the unit again placed so as to associate a symbol or word with a specific port. These figures also show the placement of one or more schematics on the sheath—either end or between the ends of the sheath. These figures also show that one or more of the schematics may be used both by placement in one or more places on the dispensing system, and off of the dispensing system. For example, the button layout schematic typically with its symbols that differ, at least in part from the symbols on the actual buttons, may be placed at least in one or more of the following locations on the dispensing assembly: bar gun sheath, manifold or flow control assembly. Likewise, the schematic that illustrates using symbols, typically at least some of which are different than the symbols on the buttons, may be placed anywhere on the assembly. One schematic may even be placed on one or more elements of a dispensing system than the other. Further, one or more schematic may be on the dispensing system and, in addition, carried in a manual, website, catalog or the like associated with the particular system. [0047] Note the position of the buttons alone will, when looking at the button layout schematic, tell the user what ports and lines are associated with that button location. Thus, if there is a problem or a flavor needs to be changed, the user knows the lines and the ports (at both bar gun end and manifold end) that require attention. That is to say, button position correlates to inlet ports, manifold ports, lines and also typically flow control valves. [0048] In the initial setup of the dispensing system, a customer may order a button arrangement such as that illustrated in FIG. 4 . With the identification system set forth herein, the dispensing system may be assembled properly, the customer knowing which fluid source to attach to which inlet port on the flow control assembly, and which lines to attach to the handle inlet ports. [0049] The embodiment illustrated shows ten channels/lines/ports and buttons, associated with up to ten different fluid sources. Indicia is located positionally on or adjacent one or more of the following elements: bar gun inlet ports, bar gun buttons, ports of the manifold and flow control assembly and fluid lines. At least one, and typically two, schematics are provided, typically removed from the layout represented: button layout and inlet port layout. However, the same identification and location system may be applied to any dispensing system having two or more buttons, and two or more separate fluid bearing sources. Further, while a ten button system is illustrated, it may be used with less than ten fluid sources, in ways known in the art. The term alphanumeric symbol as used herein may be one or more letters, one or more numbers or a combination thereof. [0050] In a preferred embodiment, the schematics are placed on the manifold and flow control assembly such that when the manifold and flow control assembly is mounted to a support surface (on or near a bar, for example), the schematics are visible to the user without the need for disassembling any parts of the dispensing system. [0051] In a preferred embodiment, a method of using Applicants' system is disclosed. For example, a customer purchasing a dispensing system may designate a bar gun button layout choosing from a first multiplicity of button designations or provide button designations himself. The dispensing system manufacturer will then take that physical button layout, for example, a ten button arrangement as set forth in FIG. 4 , and replicate it on a schematic as, for example, as set forth in FIG. 7 , which schematic uses all, some or none of the indicia appearing upon the individual buttons. What indicia does appear on the button schematic layout will typically be repeated at least in the inlet port layout and typically on the lines and ports on the manifold and flow control assembly. [0052] In a preferred embodiment, designations common to the two schematics and the ports are used, which common designations represent a number of different possible indicia on the buttons. [0053] Although the invention has been described in connection with the preferred embodiment, it is not intended to limit the invention's particular form set forth, but on the contrary, it is intended to cover such alterations, modifications, and equivalences that may be included in the spirit and scope of the invention as defined by the appended claims.
A beverage dispensing system is disclosed, typical of prior art beverage dispensing systems, in that it is designed to dispense fluids, such as syrup and/or soda and water, from a bar gun. The bar gun is connected by a multiplicity of lines to a manifold and flow control assembly. The manifold and flow control assembly, in turn, receives a number of different fluids, typically syrup, water and soda, under pressure from a number of different pressurized containers. Applicants' novel system includes schematics, typically in the form of adhesive labels, applied to the dispensing system, typically on the manifold and flow control assembly, which schematics illustrate the button arrangement on the handle, and the inlet port layout on the handle, and relate the same, using words, symbols or a combination, to indicia identified ports on the manifold and flow control assembly.
This application is a reissue of application Ser. No. 636,547 filed December 1, 1975 Pat. No. 4,063,060. .Iaddend. BACKGROUND OF THE INVENTION The present invention relates generally to methods of making motor mounting systems arrangements that are particularly adapted for interconnecting a motor directly with a blower wheel and blower housing in a manner that provides improved isolation of torsional vibrations and yet also unfailingly provides stringent control of axial and tilting motor movements without excessively amplifying vibrations associated with such movements. In direct drive blower applications (for example those designed for furnaces and in room air conditioning applications), many different motor vibration isolation schemes have been used in an effort to reduce the noise caused by vibrations transmitted from the motor to the blower housing and any associated connected duct work; or to a support in an air conditioner. Predominant single phase induction motor torsional pulsations or vibrations having a frequency that is equal to or to a multiple of twice the line frequency (for example 120 Hz for 60 Hz power supplies and 100 Hz for 50 Hz power supplies) are usually the source of the most objectionable noise in both of the above-mentioned applications and an effective but inexpensive noise isolation scheme for this vibration mode and frequencies is very much needed. .Iadd.As described in W. J. Morrill U.S. Patent 2,928,961 (which issued Mar. 15, 1960), in the paragraph bridging columns 4 and 5 of the patent; another type of objectionable vibration that may be of concern is a low frequency vibration due to rotating mechanical unbalances. The fundamental or forcing frequency of these vibrations is the speed or frequency of rotation of the mechanical unbalance expressed in cycles or cycles per second (i.e., hertz) as opposed to revolutions per minute. Thus, in the words of the Morrill patent, a rotating mechanical unbalance would have a fundamental frequency of vibration of 13.3 cycles (hertz) when the motor shaft speed is 800 rpm (800 rpm=13-166 cps×60 sec./min). As recognized by Morrill in the last paragraph in column 1 of his patent, persons skilled in the art have known, long prior to the present invention, of the difficulties associated with designing motor mounting systems having sufficient mechanical rigidity to droop and mechanical unbalance variations. .Iaddend. Blower wheels supported within blower housings typically are dimensioned and positioned so that relatively close running tolerances are maintained between each wheel and housing in the interest of maximizing blower efficiency. In direct drive applications, a motor is suspended from the blower housing scroll and the motor shaft in turn supports and drives the blower wheel within the housing. This type of direct drive arrangement is very desirable because of its relative simplicity and economy as compared to other arrangements (e.g., those that require separated components such as belts, pulleys, separate blower wheel bearing systems and supports, etc.). However, with prior direct drive arrangements, it has been necessary to use complex and expensive mounting arms and related parts in order to generally satisfy the requisites of good torsional vibration isolation and acceptable control of other motor movement. It has long been known that motor vibrations or pulsations may be amplified during transmission to a blower housing, depending on the frequency of vibration and resonant frequency of the mounting system (or parts thereof). Thus, the resonant frequency of each part of such system should be considered in designing a mounting arrangement. However, direct drive blower motors also must be supported with sufficient stiffness or rigidity to prevent sagging or .[.dropping.]. .Iadd.drooping .Iaddend.of the motor and to prevent blower assembly damage from "shipping shock" tests or during actual shipping and handling. One primary problem exists because design efforts directed to minimizing the transmission of torsional mode vibrations may well increase the transmission of (or chance of amplification of) axial and tilting mode vibrations and may even excessively reduce the structural integrity of a given arrangement vis-a-vis shipping shock. Generally speaking, it would be preferable to completely isolate axial mode and tilting mode motor vibrations from a blower housing in direct drive applications. However, the need to rigidly support the motor and blower wheel, and thus maintain a predetermined running clearance between blower parts, has not permitted the use of connections between the motor and blower housing that are sufficiently "soft" to provide such complete isolation. Typical mobile home furnace blowers utilize motors rated at approximately 373 watts (0.50 hp) or more and having a mass of 5.9 kg (13 pounds) or more. On the other hand, even heavier and more powerful motors often are used in typical residences, offices, and shop areas that utilize air moving blowers. The larger mass of such motors requires even more rigid mounting members for avoidance of tilting problems and shipping shock damage than would be the case with motors of smaller mass such as those used, for example, for window fan applications (typically these motors are rated at 75 watts or less and have a mass of 2.2 kg or less). Generally speaking, the larger the mass and power of the motor, the more difficult it is to resolve the above-mentioned problems; and solutions applicable to small motor applications are not always applicable to arrangements involving larger motors. For example, many applicances that incorporate blower mounted motors are subjected to mechanical tests that simulate "shipping shock"--i.e., conditions that might occur during handling and shipping of such appliances. These conditions could be bouncing onto a truck loading dock, rough railway transit, etc. The actual form of the tests may vary for different appliance manufacturers and for different types of appliances. However, one commonly used test procedure is spelled out in a test sequence specification of the "National Safe Transit Committee" (sponsored and coordinated by the Porcelain Enamel Institute, Inc.) for packaged products of one hundred pounds or more. This sequence involves vertically vibrating the packaged product for at least 1 hour at a frequency such that the product will momentarily leave the vibrating table or platform during the vibration cycle; and then permitting movement of the packaged product along an inclined plane until a face or edge of the package impacts against a backstop. This impact test may be carried out with a "Conbur Incline" testing device or other equipment producing equivalent results and a specified shock recorder. Of course, other tests may take place with an appliance unpackaged. In any event, however, after the selected test or test sequence, the appliance itself (e.g., a furnace) is inspected for damage, and such inspection usually involves close scrutiny of any electrical motors to determine that the shafts thereof and mountings therefor have not been deleteriously affected. Direct drive blower motors often are mounted so that the interface between the mounting means and the blower housing is located along or adjacent to a curved inlet or eye of the blower housing, such curved portion of the housing generally being less flexible and less apt to act as a sounding board for motor induced vibrations, and also being better able to withstand shipping shock that might tend to tear the motor from the housing. It thus would be desirable that any improved arrangements be such that attachment to a blower would be along the curved inlet thereof. In the past, one approach for mounting motors directly to blowers has involved the use of lugs that were fixed (for example by bolts or by welding) to a motor frame. In some applications utilizing this approach, the lugs were fixed (for example by bolting or welding) directly to a blower housing or scroll without grommets; and in other grommets have been used. In still other blower applications, such lugs have been interconnected with the motor by means of a strap or band. The general objectives of the mounting arrangements used heretofore have been to provide sufficient mounting rigidity to avoid excessive tilting and axial movement of the motor during operation and to withstand shipping shock, while also attempting to minimize the transmission of vibrations (particularly torsional mode vibrations) to the housing through the motor mounting members. Unfortunately, improvement of a given design for one of these characteristics frequently will have a negative affect on the other characteristics. In addition, it has sometimes been necessary to provide "internal packaging" for arrangements that are good noise suppressors. For example, temporary supplemental supports or pads may be provided in furnace blowers for transit purposes. These supports or pads then are discarded prior to putting the furnace (or other appliance) in operation. Thus, engineering compromises must be made even with the complex known mounting arrangements. A single member lug arm approach has long been recognized as a preferable form of direct drive motor mount (from a cost standpoint), but such approach simply has not been satisfactory in practice for direct drive blower applications vis-a-vis good torsional mode vibration isolation in combination with good mounting rigidity. For this reason, among others, it has been necessary to use relatively complex mounting arrangements for those applications where maximum isolation of torsional mode noise was to be provided as well as sufficient structural strength to meet shipping shock tests. For example, one prior arrangement has required the use of costly resilient hubs or cushion ring isolators along with a multitude of other different parts that have been assembled together to provide a costly and complex mounting arm assembly. One or two member lug mounts have also been devised that have been used with ultra-soft or ultra-resilient blower mounting pads or grommets. This particular type of approach, however, can create or aggravate still other problems such as those associated with: motor sag .Iadd.or droop.Iaddend.; reduced tilting mode resonant frequency with the result that such frequencies would fall into an amplification range; shipping and handling damage; and overcompression of the pads or grommets (due to the weight of the motor-blower wheel) accompanied with effective stiffening of such pads or grommets. Although a number of different design and performance criteria have been discussed hereinabove as illustrative of the complexity of the factors that must be satisfied with direct drive motor mounting arramgements, it will be understood that numerous other considerations may further confound the search for a desirable solution to the direct-mounted motor problems mentiond hereinabove. One of these, for example, is the possibility that a given motor mounting arrangement might have to support a motor with its shaft vertical, horizontal, or at some specified angle therebetween in different applications. Single member types of mounting arms or members for axial air flow fans have been shown in prior literature. For example, Anderson patent No. 1,781,155 shows a motor that is supported by three substantially flat and straight supporting arms, the shaft of which supports a propeller type axial flow fan. Propeller or disc type fan mounting arrangements somewhat similar to Anderson's are also shown in Seyfried patent No. 1,873,343 and Goettl patent No. 2,615,620. In Seyfried, leather, canvas, spring steel, and brass arms are mentioned; and in Goettl, curved arms having arcuate motor embracing portions are illustrated. Although it is desirable to utilize one piece mounting arms for direct drive blower motors, competitive economics would favor the permanent attachment of such arms to a motor shell during manufacture of the motor. However, for designs having very long arms, increased packaging costs and shipping costs due to increased package volume can offset the cost savings associated with single arm construction. Furthermore, while lengthy arms of the type shown by Seyfried, Anderson, etc. may be made from a choice of different materials (as described, for example, by Seyfried) and have satisfactory strength and torsional vibration transmissibility characteristics; prior attempts to utilize flat single member supports for direct drive blower motors have resulted in mounting arrangements having either unsatisfactory strength characteristics or unsatisfactory torsional vibration transmissibilities. To be more explicit; it can be assumed that the arms of Goettl, Seyfried, or Anderson (referred to hereinabove) would have sufficient strength to resist failure in either a tensile mode or buckling mode when supporting a propeller fan motor of given mass during a particular test. However, if those arms were shortened to permit mounting of the same motor in a blower housing inlet, even though the arms would still be sufficiently strong to not tear or buckle, the torsional mode vibration transmissibility of such arms would be objectionably increased. For example, an arm shortened from an effective radial extent of about 7.21 inches to an effective radial extent of about 2.2 inches would have a substantially greater transmissibility via-a-vis 120 hz torsional mode vibrations. On the other hand, if the shortened arms were then further modified by being reduced in thickness and axial width in order to obtain a low transmissibility for torsional vibrations, their resistance to buckling would be reduced about 69%, and their resistance to failure due to tensile stresses would be reduced about 88%. Accordingly, it would be desirable to provide new and improved methods of making motor mounting systems and arrangements that include relatively short single member mounting arms, motors incorporating the same that are low cost in terms of total material and total labor involved therewith, and yet wherein the resulting systems and arrangements are at least satisfactory if not improved in terms of noise isolation and structural reliability. It would also be desirable to provide methods of making such arrangements that could be easily adapted for use with motors having different housing configurations or that are to be mounted with different shaft orientations. Accordingly, it is a general object of the present invention to provide new and improved methods of making motor mounting arrangements and systems whereby the abovementioned and other problems may be solved. It is a more particular object of the present invention to provide new and improved methods of making motor mounting arrangements, and systems .[.of.]. that have good resistance to shipping shock damage even without supplemental or internal packaging, a high degree of rigidity vis-a-vis axial and tilting mode vibraions, and low transmissibility for torsional mode vibrations. It is a further object of the present invention to provide new and improved methods of making the above-mentioned arrangements and systems, that may be utilized to solve the above-mentioned and other problems, and that may be quickly and easily fastened to a blower or other type of housing. SUMMARY OF THE INVENTION In carrying out the above and other objects of the invention, in one preferred form thereof, I provide methods of making new and improved motor mounting systems and arrangements which include single member lugs specifically designed so that the torsional mode resonant or natural frequency is less than twice the frequency of the motor power supply divided by the square root of two (√2). Illustrated mounting arrangements are very "soft" (i.e., they have a low spring constant) with respect to torsional mode vibrations, are "stiff" with respect to axial and tilting mode vibrations, structurally reliable during shipping shock tests, and yet are readily deflectable torsionally for easy assembly with a blower housing. In specific forms illustrated herein, preferred arrangements include lugs that are flexible in the torsional direction but strong and stiff in the axial and radial directions, thereby to prevent sag or tilt of a direct driven blower wheel and to successfully withstand shipping shock tests. In more preferred forms that are illustrated herein, arrangements resulting from practice of the invention include flexible members that are particularly adapted for pivotal mounting on a blower housing, i.e., that are particularly adapted to undergo at least limited oscillatory movement about the longitudinal axis of a fastener which attaches a mounting portion of such members to a blower housing. In these forms, short but strong mounting members are provided that also have low torsional mode vibration transmissibilities because of the flexibility of or "springiness" of such members, and also because such vibrations are utilized to oscillate the members about their pivotal mountings. Mounting systems illustrated herein include flat mounting arms that have low torsional spring constants: and yet have sufficient strength to withstand shipping and handling loads for motor and blower assemblies, and to permit all angle motor mounting. These arms have a unitary motor mounting pad and unitary housing mounting means which are a pad in one form and a tube in another. The spring constants of the mounting members for axial, radial and tilting vibration modes are selected so that the characteristic vibration transmissibility ratios for these modes are each close to unity. However, the characteristic torsional mode vibration transmissibility is substantially less than unity. In particularly preferred arrangements, high strength martensitic sheet steel is utilized to form the mounting members. In carrying out one method that exemplifies one aspect of the invention, lug members are formed from the selected material and then one end of these members is trapped between oppositely facing surfaces of fastening members to provide additional strength. In some forms, the motor shell constitutes one fastening member and a holding plate or pad is another fastening member. With these forms, it is preferred to capture the lug (e.g., with projections on one member that extend along cut-outs in the lug) against the motor shell and then projection weld the projections to the other member. This method protects the martensitic material from being softened and weakened during the welding process. This method also provides a very strong fastening scheme that meets the rigors of shipping and handling as well as the rigors caused by prolonged vibration. The free end of the lug is specifically configured to prevent deformation and tearing at the base of the pad, and the lugs (even when fastened to a motor) are extremely easy to mount to a blower housing simply be deflecting the mounting arms (when necessary) with finger pressure so as to align holes in the mounting arms with previously provided holes in the blower housing. In accordance with another form of practicing the invention, I trap the motor end of mounting arms between two pieces of steel that, when welded together, form a mounting block having a strap accommodating slot therein. I then tie or strap the assembled blocks and members to a motor shell. Important advantages are obtained by utilizing trapping means when assembling mounting arms to motor shells or motor shell embracing ligatures. For example, and in addition to preventing welding damage as referred to above, the trapping means may be utilized to reinforce a relatively weak and small motor mounting tab. By this means, mounting arm dimensions may be minimized to further reduce the torsional mode vibration transmissibilities thereof, even though the mounting tab for such an arm would likely be torn from the motor during shipping tests if it were to be riveted, bolted, or welded directly to the motor shell. Another important advantage of following yet another inventive procedure pursuant to another aspect of the present invention resides in reduced total assembly time and assembly procedure complexities. When carrying out this procedure, I support the shell and at least one reinforcing member, with a mounting arm tab sandwiched therebetween, at a welding station. Projections then are welded to the reinforcing member and/or shell to permanently assemble the shell, mounting arm, and reinforcing member. The shell, mounting arm, and any other parts assembled therewith then are treated (e.g., by phosphatizing and then painting) for appearance and corrosion or rust prevention purposes. Subsequently, a rotatable member is assembled with the shell of a stator and supported within the shell to form a complete motor. Generally the same procedures mentioned above may be followed when riveting or bolting a mounting arm to the shell, with the rivets or threaded fasteners (in lieu of welded projections) trapping the mounting arm tab between the motor shell and reinforcing means. The subject matter which I regard as my invention is set forth in the appended claims. The invention itself, however, together with further objects and advantages thereof may be better understood by referring to the following more detailed description taken in conjunction with the drawings. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a somewhat schematic representation, with parts removed and parts broken away, of a motor blower assembly that may be made by practicing some of the features of my invention; FIG. 2 is a view taken along the line 2--2 in FIG. 1, it being noted that arrows are used in FIGS. 1 and 2 to generally correspond with different vibrational modes; FIG. 3 is a plot of general transmissibility curves, with transmissibility plotted versus the ratio of forcing frequency to natural frequency for different damping factors; this figure being useful in explaining some of the benefits associated with utilization of the present invention; FIG. 4 is a perspective view of the motor blower assembly of FIGS. 1 and 2; FIG. 5 is an exploded perspective of parts of the structure shown in FIG. 4; FIG. 6 is a side elevation of one mounting arm utilized in the arrangement of FIG. 3 and also shown attached to the motor of FIG. 2; FIG. 7 is a view taken along line 7--7 in FIG. 6; FIG. 8 is a view taken along line 8--8 in FIG. 6; FIG. 9 is an exploded perspective view of portions of the structure shown in FIG. 3; FIGS. 10 and 11 are sequential views that are useful in explaining the practice of one method of the invention in conjunction with connecting a mounting arm motor pad or tab with a motor shell; FIG. 12 is an enlarged view, with parts removed and parts in section, showing the mounting interface of the mounting arrangement of the motor and blower housing of FIG. 4; FIG. 13 generally corresponds to FIG. 9 but illustrates a modified motor-to-housing connection approach; FIG. 14 illustrates a somewhat modified mounting arrangement; FIG. 15 is an enlarged view, with parts removed and parts in section, of a portion of the structure shown in FIG. 14; FIG. 16 is a view taken along the lines 16--16 in FIG. 15; FIG. 17 is a side view of another arm useful in the practice of the invention; FIG. 18 is a front view of the structure illustrated in FIG. 17; FIG. 19 is an exploded perspective view of the mounting members illustrated in FIGS. 17 and 18; FIG. 20 is an elevation of another arm useful in carrying out of of the invention; FIG. 21 is a view along lines 21--21 in FIG. 20; FIG. 22 is a view along lines 22--22 in FIG. 20; FIG. 23 is a schematic representation of vibratory movement that is useful when describing what are believed to be vibratory movements of structures assembled according to one form; FIG. 24 is a view somewhat similar to FIG. 20, and is useful when describing what are believed to be vibratory movements of structures assembled in yet another manner; FIGS. 25 and 26 are perspective views of a motor, and blower mounted motor respectively according to one current but prior art approach; and FIGS. 27 and 28 are perspective views of a motor, and blower mounted motor respectively, according to another current but prior art approach. DESCRIPTION OF THE PREFERRED EMBODIMENTS In FIGS. 1 and 2, there is illustrated a motor mounting system that includes a combination of a blower housing 36, a blower wheel 37 coupled in direct drive relationship with the shaft 38 of a single phase induction motor 39, and three torsionally flexible mounting arms 41, 42, 43. It will be appreciated that the motor is directly mounted to the blower housing 36 along the curved scroll 44 which defines an air inlet 46 at one side of the housing, the housing also having a second air inlet 47 co-axial with inlet 46 and the rotational axis 48 of motor 39. Running clearances 49, 51 are provided between the blower wheel 37 and housing 36, and these clearances must be maintained during operation. The amount of clearance may vary from one blower assembly to another, but generally is kept as small as manufacturing tolerances (and a given mounting arrangement ) will permit in order to minimize blower losses and thus maximize blower efficiencies. Vibrations are inherently generated during operation of motor 39. These vibrations have different modes, and four different vibrational modes have been denoted by arrows in FIGS. 1 and 2. With more specific reference to FIG. 2, the motor 37 tends to undergo an axial mode of vibration and thus tends to oscillate in the direction of the arrow 52. In addition, motor 37 tends to vibrate radially as indicated by the arrow 53, and undergo tilting vibratory movement as represented by the arrow 54. For purposes of the present discussion, the tilting mode of vibration of motor 37 may be considered to be a rocking type of movement about the point 56. It will be understood, however, that radial and tilting mode vibrations may occur in planes other than the vertical plane as represented in FIG. 2. With reference now to FIG. 1, arrow 58 represents the vibratory direction of movement of motor 39 due to torsional mode vibrations of motor 39 about its rotational axis 48 during operation thereof. Since motor 39 is mounted directly to the blower housing, it will be appreciated that all of the various modes of vibration of the motor may be transmitted directly to the housing 36. The housing 36, in turn, (and particularly the face 59) may then act as a sounding board and may amplify the vibrational sounds and noises transmitted thereto by the motor--depending on the transmissibility of the mounting arrangement for the different vibrational modes. Moreover, these sounds may be transmitted directly through duct work connected to housing 36 or by the air mass being moved by the blower wheel 37. Prior attempts (of which I am aware) at isolating motor induced vibrations from a blower housing have been directed at minimizing a plurality of the four different vibrational modes represented in FIGS. 1 and 2. However, it has long been known that some of the most objectionable noise transmitted to a blower housing are those vibrations associated with torsional mode vibrations. I have determined that good results can be obtained by minimizing the torsional mode resonant frequency so as minimize the torsional mode transmissibility, and by concurrently increasing the resonant frequencies for modes of vibration other than torsional in order to establish transmissibilities for those modes as close to unity as is practical. Preferred forms of physical embodiments of the present invention discussed hereinbelow have been devised with this approach in mind. With reference to FIG. 2, it will be appreciated that whatever changes are made in the mounting arrangement there shown, the running tolerances represented at 49 and 51 must be observed in order to avoid mechanical interference between the blower wheel and blower housing during operation. Unfortunately, some prior .[.effects.]. .Iadd.efforts .Iaddend.directed at minimizing tilting, axial, and radial vibration modes have permitted the motor to sag or droop and thus have reduced, if not eliminated, those clearances. During shipping tests, the motor 31 will tend to move in at least the directions indicated by the arrows 52, 53, and 54, depending upon how the package is being tested. These forces are related to the mass of the motor 39 and will either tend to buckle the radially extending mounting members 41-43, or tend to cause failure in a tensile mode (for example by tearing one or more of these members from the blower housing or motor, by stretching one or more of them, or by actually fracturing due to tensile stresses). Three curves 61, 62, and 63 are shown in FIG. 3. These curves are referred to as general transmissibility curves and have been included herein for purposes of discussion. These curves will be familiar to persons skilled in the art but, for those less skilled, a more thorough understanding may be attained by referring to standard vibration analysis reference works. One such reference is a book entitled "Fundamentals of Vibration Analysis" by N. O. Myklestad, published by the McGraw-Hill Book Company in 1956, and assigned Library of Congress catalog number 55-11932. Considering only curve 61 for the moment, FIG. 3 represents the relationship between the transmissibility (defined as the ratio of the amplitude of the transmitted force to the driving force) of a given vibrating system to a ratio "r" which is defined as the ratio of the forcing frequency to the natural frequency of the system. If a system were to have an infinitely great natural or resonant frequency, "r" would approach zero, and the transmissibility of such system would be one, so the amplitude of forces transmitted by the system would be the same as the amplitude of the driving or exciting vibratory force. On the other hand, if the natural frequency of the system were an extremely small fraction of the forcing or driving frequency, the transmissibility would approach zero. The knee in the curve 61 in the vicinity of r = 1 is related to the amount of damping in the system and the curves 61, 62, and 63 are each drawn for a different damping factor (this term is defined in the above referenced Myklestad book). More specifically, curve 61 is for a system where the damping factor is equal to 0.4; curve 62 is plotted for a damping factor of 0.2; and curve 63 is plotted for a damping factor of 0.1. In preferred physical embodiments of the present invention, motor supporting arrangements are designed so that the transmissibility of motor induced torsional mode vibrations to the blower housing is less than one and so that the ratio r is greater than √2.0. On the other hand, these embodiments are designed so that the ratio r will be 0.3 or less for all vibrational modes other than torsional. Therefore, the transmissibility of the mounting arrangement with regard to axial mode, radial mode, and tilting mode vibrations will be close to unity. More specifically, preferred systems are devised to have natural frequencies in the axial, radial, and tilting modes that are at least 3 to 4 times greater than an expected fundamental forcing frequency .[.of 100 or 120 hertz.]. so that the ratio r of forcing frequency to natural frequency for the component mounting arms for these modes will be no more than about 0.3 but preferably even less. Turning now to FIGS. 4 and 5, the spatial and geometric proportions and relationships of the blower housing 36, motor 39, and mounting arms 41-43 will be described in more detail. It will be noted that in the preferred forms illustrated in FIGS. 4 and 5, the motor ends 64-66 of mounting arms 41-43 are tightly fixed to the housing or shell 60 of the motor 39 to prevent being torn from the motor during rough shipping or handling (or tests simulating the same). The blower ends 71-73 of the arms 41-43 are fastened to the blower scroll 44 by means of self-tapping threaded fasteners 76-78. It will be noted, however, that other types of fastening elements may be used. As will be understood, a pair of motor leads 67, 68 are provided which, when connected across a source of excitation voltage will cause operation of the motor, it being noted that additional leads will be provided for multi-speed operation. Moreover, a grounding lead 69 is connected to the conductive housing of the motor and may be connected to the blower housing itself or any other suitable grounded structure. The fasteners 76-78 (see FIG. 4) are each tightened down against a grommet (such as the grommet 79) carried in an aperture in the blower end of each mounting arm. Although the fastener is drawn down against the grommet so as to hold the motor 39 rigidly in place with respect to the movement in the tilting, axial, or radial modes; the blower ends or blower mounting pads 71 of the arms 41-43 are held only loosely to the blower scroll 44 with respect to torsional mode movements. It will be noted that each blower mounting pad 71 is offset relative to the major, radially extending portion 81-83 of each mounting arm 41-43. Thus, the fastener accommodating aperture formed in the free or blower ends of the mounting arms is offset and each arm is capable of oscillating or pivoting about its fastener. Therefore, the fasteners 78 serve the purpose of holding the motor to the blower housing but also serve as pivot pins for the mounting arms. Reference is now made to FIG. 23 which clearly reveals, in phantom, the oscillatory movement of mounting arm 41 in response to torsional mode vibrations of motor 39 when it is mounted to the scroll 44 in the manner described hereinabove. It will be noted that the intermediate portion 81 of mounting arm 41 is free to flex or bend in the manner of a leaf spring. This flexing is further enhanced by the freedom of the pad 71 to undergo pivotal movement relative to the mounting axis 86. With reference now to FIGS. 9 and 12, one means by which pivotal movement of the illustrated mounting arms may be encouraged will be described. FIG. 9 reveals stiff spacing means in the form of a steel eyelet or sleeve 87 which prevents gripping the mounting arm 41 so tightly with grommet 79 that arm 41 wll not be free to pivot about the axis 86 relative to the blower housing. FIG. 12 shows that one portion of the grommet 79 cushions the pad 71 and prevents it from making direct metal to metal contact with the housing. Metal to metal contact between the pad 71 and either the eyelet portions 88 or 91, 87 or screw 77 also is prevented by another portion of the same grommet. Eyelet 87 includes a flange or shoulder 88 which conveniently provides a bearing surface for the head 89 of screw 77 (or a washer positioned thereunder when desired). With the arrangement illustrated in FIG. 12, the fastener 77 may be drawn down very tightly so that tubular portion 91 of eyelet 87 bears against scroll 44, and the motor thus is supported in a desired position without droop or sag. Moreover, with the arrangement illustrated in FIG. 12, the natural frequencies of the entire mounting system--vis-a-vis radial, tilting, and axial mode vibrations--will be very high with the result that a transmissibility approaching unity for each of these modes will be provided, this being one of the above stated objectives of preferred forms of structures made by practicing the invention. The axial length of the tubular portion 91 of the eyelet is selected in conjunction with the height of the grommet 79 so that the grommet 79 is not too tightly compressed in gripping relation with the blower pad 71 even though screw 77 is drawn against the eyelet 87. Thus, mounting arm 41 (as well as mounting arms 42 and 43 in FIG. 1) is able to oscillate about axis 86 during operation. Substantially improved results are obtained when mounting arrangements are made pursuant to FIGS. 4-12 of the drawings herein. While the combination of a leaf spring type single element mounting arm which is pivotal at its free end is important for obtaining the most desirable results, other structural criteria must also be provided for in order to provide an operative structure. Test results have shown that, for one arrangement substantially as shown in FIGS. 9 and 12, the natural frequency of such arrangement for torsional mode vibrations of 120 Hz was only about 26.6 Hz, which is quite desirable. On the other hand, when the grommet 79 was omitted for the same arrangement, and pad 77 was bolted tightly to the blower housing as illustrated in FIG. 13, the torsional mode natural frequency of the system for a forcing frequency of 120 Hz was about 33 Hz; and the motion of arm 41 was then (it is believed) as illustrated in FIG. 24. Although the vibration isolation characteristics of the FIG. 13 arrangement were not as good as those of the FIG. 12 arrangement, the performance of a FIG. 13 type would still be sufficient for many applications presently being served by more complex and expensive prior art arrangements (e.g., by those of the type shown in FIGS. 25 and 26 herein). For small effective radial lengths (i.e., where the effective radial dimension L in FIG. 6 was 2.2 inches), mounting arrangements using mounting members configured exactly as shown in FIGS. 6-8 have failed during testing. More specifically conventional cold rolled steel and conventional spring steels simply have not had suitable physical characteristics. However, short arms (i.e., arms with a length L of about 3.5 inches [8.9 cm]) or less can be made to perform satisfactorily when they are fabricated from martensitic steel. Martensitic steel, as will be understood, is steel that has been specially processed to transform the microstructure of the material to martensite from, for example, austenite. This type of steel typically will have a tensile strength of from about 130,000 psi to at least about 220,000 psi. It has now been determined that such material having a tensile strength of about 140,000 psi or more is well suited for use in practicing the present invention. More expensive alloy steels and stainless steels may also be used, provided they have a martensitic microstructure, but the use of such materials would represent a greater expense as compared to low carbon, alloy free, martensitic cold rolled steel. This more economical material is commercially available and may be purchased, for example, from Inland Steel Co. Another source of relatively inexpensive martensitic steel is the Athenia Steel Division, Division of the National-Standard Co. of Clifton, N.J. Review of FIG. 9 will quickly reveal that a better approach is to stamp a mounting arm blank and form (i.e., "bend") the ends thereof to establish the motor mounting tab and housing mounting means. Since low carbon steels (e.g., 0.25% or less carbon) generally are more easily formed than higher carbon (e.g., 0.50% or more carbon) steels, it is preferred to use a relatively low carbon steel such as that manufactured by Inland Steel Co. and marketed under the name "MartInsite" steel by that company. If the arms 41-43 were proportionately larger so that the length "L" (see FIG. 6) were much longer (e.g., 10 inches), conventional cold rolled steel could almost certainly be used satisfactorily, but it is emphasized that many of the novel approaches described herein are addressed to those problem applications where short mounting arms must be used (e.g. where "L" is about 4 inches or less). Even when martensitic steel is utilized for lugs 41-43, other steps must be taken in order to ensure that the mounting arrangement is sufficiently strong (even though only marginally so in some cases) to meet the rigors of shipping tests. In order to provide the desired low torsional mode resonant frequencies that are needed, the arms 41-43 are formed of very thin material (e.g., about 0.035 of an inch or 0.9 mm); and the satisfactory attachment of such material to the shell of motor 39 is difficult to accomplish. For example, direct welding of motor holding means such as pad 65 to motor shell 102 would be convenient and inexpensive. However, the heat associated with welding can cause an undesirable transformation of the martensitic microstructure of arm 41. This type of change would be accompanied by a reduction in strength, and failure of arm 41 in the region of bend 156 or at the weld locations would occur. Thus, practical alternatives would be to utilize a structural adhesive, such as epoxy, to adhere pad 65 to shell 102, but care must be used to select an adhesive of sufficient strength to withstand all tests contemplated; and the adhesive must be hardenable in a conveniently short period of time at temperatures that are not so high that the abovementioned martensitic microstructure is adversely affected. Another approach would be to use large headed bolts or screws (or conventional bolts with washers to increase the bearing area thereof) which would pass through holes in tab 65 and thread into bosses formed in shell 102 (similar to boss 119 in FIGS. 9 and 12), or into nuts. While this approach should be satisfactory, it would not be as economical as the preferred approach now to be described in conjunction with FIGS. 9-11. Initially, a mounting arm such as the arm 41 is positioned adjacent to the outer periphery of the shell 102. Thereafter, and while the mounting arm is held in a desired position relative to the shell, a reinforcing strap or plate 96 having a pair of projections 97, 98 thereon is positioned over the motor mounting pad. Locating means (shown as apertures 101 in FIGS. 9-11) are defined by the motor mounting tab 65; and the projections 97, 98 co-operate with such locating means to permanently hold the mounting arm 41 in a fixed location on the shell 102. When the shell is about 0.050 inch thick, and tab 65 is about 0.035 of an inch thick, the plate 98 preferably is about 0.090 inch thick. This thickness of strap 96 prevents it from subsequently bending or buckling and also provides a mass that co-operates with the mass of shell 102 to provide heat sink means or heat transfer means that (it is believed) prevent adverse heat build-up and microstructure changes in the tab 65. The preferred sequential process steps include positioning a mounting arm (e.g., arm 41) adjacent to a motor shell, positioning a reinforcing plate adjacent to the mounting arm, and positioning projection means so that the projection means interfit with locating means defined by the tab 65 of the mounting arm. Thereafter, a welding electrode is relatively positioned adjacent to one side of the motor shell 102 and a second welding electrode is positioned adjacent to the reinforcing plate; and current is passed through the welding plate, projections, and the interface between the projections and the shell while the parts being welded are urged together so as to accomplish a weld along such interface (as best illustrated at 103, 104 in FIG. 11), and heat is transferred to the heat sink means to prevent substantial degradation of the microstructure of the tab 65. While round apertures 101 have been shown, it will be appreciated that notches rather than holes could be provided along the edges 104, 106 of the motor mounting pad 65. Other alternative arrangements of locating means will readily suggest themselves to persons skilled in the art and, accordingly, the forms illustrated herein should be considered for purposes of exemplification rather than limitation. My investigations have revealed that mounting arrangements retaining the suitable properties and characteristics mentioned above may also be provided even though parts thereof are not permanently fixed to the motor shell itself. For example, the arrangements shown in FIGS. 14-16 reveal that the invention may also be embodied in arrangements wherein a reinforcing plate 107 (including projections) that is substantially identical to the plate 96 may be welded to a notched backing or support plate 103, with the motor end or pad 112 of the mounting arm 108 permanently trapped therebetween. The mounting arm 108 is virtually identical to the mounting arm 41 described hereinabove and therefore further details thereof are not described herein. It is noted, however, that plate 103 and plate 107 constitute heat sink means for the FIG. 15 embodiment; and that projections on plate 107 (or plate 103) tend to concentrate and localize welding heat in the same manner as projections 97, 98 of FIG. 10. The band 109 is, as shown in FIG. 14, clamped about a motor 111. In a preferred mode of assembly, the plate 107 is positioned so that projection means thereon trap locating means in the mounting pad 112 against plate 103. Thereafter, one electrode is positioned above the plate 107 and another below the plate 103 whereupon the projections are welded to the other plate to permanently trap arm 112 and define a ligature accommodating notch or aperture 113. The ligature (such as strap 109) is then threaded through such notch, and thereafter fastened about a motor. Turning now to FIGS. 17-19, another structural embodiment will be described. In the structure there shown, a mounting arm 126 is provided with a motor pad 127 which has locating means 128, 129 (again in the form of apertures) that are used in conjunction with fastening the mounting arm to a motor or other structure. Rather than utilizing a flat offset blower pad, the blower end of the arm 126 is rolled into a tubular shape and welded upon itself at 132. Thereafter, a spacer sleeve 133, two washers 134, 136, and rubber or other resilient material grommets 137, 138 are assembled therewith. Thereafter, a bolt, screw, or other suitable fastener is inserted through the center of the spacer sleeve to fasten the mounting arm to a blower housing. With the arrangement just described, the blower end of arm 126 is free to pivot about such fastener even though it is not offset in the manner described hereinabove in connection with FIG. 20. It will be noted that welding (at 132) of the martensitic material utilized for the arm 126 has just been indicated. Even though welding may alter the desirable martensitic characteristics of that portion of the arm 126 in the vicinity of the weld, the mounting arm still seems suitable for use because (it is believed) any changes in martensitic microstructure are probably localized near the location of weld 132 and this region of arm 126 is not subjected to as great a stress as that portion closer to tab 127. In FIGS. 20-22 three different elevations of a torsionally flexible mounting arm 161 have been shown. The arm 161 includes a blower end tab 162 and motor tab 163 with projection accommodating apertures 164, 166 therein. The tab 162 also has a hole 167 therein which can be used to accommodate a rubber grommet like the grommet 79 (of FIG. 12). Three or more arms 161 may be used in lieu of arms 41-43 and these shorter arms 161 are of particular benefit for double shaft motor applications (such as room air conditioners) where the arm 161 would be fastened at the extreme end of a shell and mount the motor to a compartment wall rather than the eye of a blower. Prior to the present invention, many attempts have been made to provide direct mounted motors that would have suitable vibration transmissibility characteristics. Even though many efforts have been made in this direction, and much patented literature is available illustrating such efforts, two arrangements with which I am familiar that have most closely approached the desired characteristics are illustrated as prior art in FIGS. 25-28. FIGS. 27 and 28 illustrate a rather complex mounting structure which is assembled from a plurality of parts and fastened to a motor 174 by means of resilient end rings or hubs 175 that are carried by the motor end frames 176. The bracket assembly 177 then is mounted to a blower housing 178 by means of a number of bolts 179, all as illustrated in FIG. 28. The performance of structures illustrated in FIGS. 27, 28 has been adopted by many persons in the industry as a standard of reference for good vibration isolation systems, and many in the industry have utilized the arrangement shown in FIG. 28. However, this approach is expensive, and in this regard it will be noted that a number of different arms 180, 181, 182 must be fabricated and then assembled with rings 175. In addition, a considerable amount of time and labor is involved in actually assembling this supporting structure 177 with the motor 174. A somewhat less expensive approach is illustrated in FIGS. 25 and 26 wherein a wire type cage 183 is fabricated and then clamped with a ligature means 184 to the outer periphery 185 of a motor 186. Relatively large resilient grommets or cushions 187 are then used to trap the ends of arm portions of the wire cage, and screws 189 are used to hold the entire structure on a blower housing 190. Surprisingly, arrangements made according to the present invention yield performance characteristics and overall noise transmission qualities that generally are as good, if not better in at least one respect for each given design, than the best state of the prior art direct drive motor mounting arrangement of which I am aware--including those of FIGS. 25-28. In addition to having surprisingly good performance, arrangements made according to the present invention can now be made at substantially less cost than the prior suitable arrangements. Accordingly, substantial benefits can result from use of the present invention. Accordingly, while I have now shown and described preferred and alternate forms of mounting arrangements, and methods of making the same (as well as components thereof); the disclosure contained herein should be construed as being exemplary, and the invention itself should be limited only by the scope of the claims that are appended hereto and that form part of my disclosure.
Disclosed subject matter includes flexible motor mounting members particularly adapted for vibration induced flexing movement combined with oscillatory movement about a pivot axis at a point of attachment on a blower housing or other support. Short but strong mounting members are provided that have low torsional mode vibration transmissibilities. Leaf spring mounting arms have low torsional spring constants and yet have sufficient strength to withstand shipping and handling loads for motor.Iadd.blower.Iaddend.assemblies and to permit all angle motor mounting. The mounting member spring constants for axial, radial and tilting vibration modes are selected in specific forms so that the characteristic vibration transmissibility ratios for these modes are each close to unity. However, the characteristic torsional mode vibration transmissibility is substantially less than unity. In particularly preferred embodiments of the invention, sheet steel having a martensitic grain structure is utilized to form the mounting members. Bends in this material have a radius of at least seven times the thickness of the material. One method includes forming lugs or mounting members from the selected material and then trapping one end of these members between oppositely facing surfaces of fastening members. In some forms, the motor shell constitutes one fastening member and a holding plate is another fastening member.Iadd.,.Iaddend.and it is preferred to capture a motor pad portion of the lug against the motor shell and projection weld the projections from the plate to the motor shell. The free end of the lug is specifically configured to prevent deformation and tearing, and the lugs are extremely easy to mount to a blower housing imply by deflecting the mounting arms (when necessary) with finger pressure so as to align holes in the mounting arms with previously provided holes in the blower housing. In another form, the motor end of mounting lugs are trapped between two pieces of steel that are welded together to form a mounting block having a strap accommodating slot therein.
U.S. GOVERNMENT RIGHTS [0001] This invention was made with United States Government support under Grant Nos. 1RO1 HL59337, HL10026 and GM57601-01, awarded by the national Institute of Health. The United States Government has certain rights in the invention. BACKGROUND OF THE INVENTION [0002] Nitric oxide (NO) has relatively recently been recognized as a biological messenger that reacts with a variety of sulfhydryl-containing molecules and enzymes to produce S-nitrosylated compounds. Since NO has a short half-life under physiological conditions, it generally exists in biological systems as adducts of amino acids, peptides, and proteins (“NO equivalents”). These NO equivalents are usually biologically active in that they behave as NO donors, and thereby possess unique pharmacological properties. The various targets for nitrosylation include serum albumin, tissue-type plasminogen activator, transcriptional activators, glyceraldehyde-3-phosphate dehydrogenase, human immunodeficiency virus protease, hemoglobin, and protein-phosphotyrosine phosphatase. [0003] Nitrosylation can alter protein conformation, leading to the activation or inactivation of enzymes or receptor proteins. Like phosphorylation, the nitrosylation reaction behaves like a “chemical switch” that allows cells to transmit stimuli from the plasma membrane to the nucleus in a highly regulated manner. However, the functions and processes of nitrosylation are difficult to deconvolute, due to the high number of closely-related kinases, and due to the lack of currently available technology to easily and accurately measure the extent or presence of protein nitrosylation. [0004] Sulfhydryl groups (—SH, also referred to as “thiol”) are among the most reactive groups found in protein molecules. S-nitrosoproteins, S-nitrosothiols, and protein S-nitrosylation reactions are terms that refer to compounds with linkages through the thiol (—SH) group. These types of compounds play important roles in cell signaling processes such as neurotransmission, anion channel regulation, host defense and gene regulation. The chemical modification of the —SH group in proteins thus has important regulatory implications and can be used as a tool in the discovery of novel therapeutics. [0005] Chemical modification of thiol groups occurs physiologically via oxidation reactions yielding either mixed disulfides or S-nitrosylated compounds. Alternatively, modification can occur through persulfide and trisulfide bond formation. The “S-nitrosylation” of proteins refers to the transfer of nitric oxide (NO) to sulfhydryl groups on proteins. [0006] By way of example, some cysteine proteases such as caspase-3 and cathepsin K have been demonstrated to be inhibited by NO donors. (See Wang, Peng et al., Inhibition of Papain by S - Nitrosothiols , J. of Biol. Chem., 275, 2000 pp 20467-20473). Cysteine proteases play important roles in various biological processes. Elevated proteolytic activity of cysteine proteases is associated with many disease conditions, such as muscular dystrophy, inflammation, and rheumatoid arthritis. The active sites of cysteine proteases contain a cysteine sulfhydryl group which is highly sensitive to oxidation. [0007] Compounds such as S-nitrosoglutathione (GSNO) are relevant biological molecules involved in nitrosylation reactions under physiological conditions. These compounds are also known to fluoresce, which would theoretically make their detection facile in samples derived from biological systems. However, identification of S-nitrosoproteins and measurement of their concentration following certain cellular events has proven to be extraordinarily cumbersome, thus extremely limiting its potential utility. [0008] In light of the significant physiological implications of NO levels, it would be useful to have a diagnostic technique that can readily detect levels of NO or NO equivalents, such as S-nitrosothiols and other nitrosylated NO equivalents, to determine whether levels are normal for normal physiological conditions, or whether a patient has an existing or predisposition towards a pathophysiological condition. There is a particular need for procedures that are affordable and manageable, yet sensitive enough to detect levels of NO, or NO-adducts such as S-nitrosothiols. (See Beckman, J. S. et al., Methods in Nitric Oxide Research , Feelisch and Stainler, Wiley, Chichester, U.K., 1996; U.S. Pat. No. 5,891,735 to Stamler). [0009] Representative of prior art approaches to monitoring of nitrosylation, U.S. Pat. No. 5,459,076 to Stamler et al. (incorporated herein by reference) describes a detection method that requires pretreatment with mercurous ion and a protein-precipitating agent. The samples are then monitored by chemiluminescence. This method involves cumbersome pretreatment procedures with a toxic mercury compound and, thus, presents considerable difficulties in application. It would be useful to have a simple procedure with minimal manipulation and without the use of additional chemicals. [0010] The present invention is directed to a practical electrophoresis-based separation and identification system for cellular nitrosoproteins. The detection system meets a recognized need in the art for monitoring of NO in normal states and in disease states, provides a method for identifying and quantifying NO in normal and in disease states, and would facilitate the understanding of these processes for the development of better therapeutic drug species. SUMMARY OF THE INVENTION [0011] The present invention is directed towards a method for detecting the presence of nitrosylated species in biological samples. In a preferred embodiment, the biological samples are comprised of amino acid-based species. Preferably, the nitrosylated species are adducts between NO and amino acids, peptides, or proteins. The atoms forming the adducts with NO include carbon, nitrogen, sulfur, and oxygen. Preferably, the adduct is between NO and sulfur groups. More preferably, the adduct is a nitrosylated protein. Still more preferably, the protein is a nitrosothiol, or an “S-nitrosoprotein.” Still more preferably, the nitrosylated protein is S-nitrosoalbumin. [0012] In an embodiment of the invention, the method for detecting the presence of nitrosylated species in a biological sample comprises the steps of contacting the biological sample with developing reagents, exposing the sample to excitation radiation, and detecting the resultant emitted fluorescence. [0013] In a preferred embodiment, the developing reagents comprise a fluorescence-developing agent and a molecular species bearing a reactive moiety capable of transnitrosylation. Preferably, the reactive moiety is a thiol bearing group. More preferably, the molecular species capable of transnitrosylation is cysteine. [0014] In yet another embodiment, the developing reagents comprise a saturated solution of copper (I) chloride. In still another embodiment, the fluorescent agent is 4,5-diaminofluoroscein. In a preferred embodiment, the developing reagents are added to the biological sample simultaneously. Alternatively, one or more of the developing reagents are added to the biological sample sequentially. Alternatively or additionally, the method of detecting nitrosylated species involves heating or incubating the biological sample to which the fluorescent-developing agent has been added in the presence of ascorbate and carboxyPTIO. [0015] In another embodiment, the method for detecting nitrosylated species in a biological sample is also capable of quantifying the amount of nitrosylated species in the sample. [0016] In the present invention, the wavelength of the excitation radiation is about 488 nm. The preferred fluorescent emission is monitored at a wavelength of about 530 nm. [0017] In still another embodiment, the biological sample comprises a mixture of proteins derived from eukaryotic cells. Preferably, the mixture of proteins is derived from mammalian cells in the absence of metal chelators. [0018] In another embodiment, the method comprises the additional step of transferring the nitrosylated species to a solid support material capable of binding prior to contacting the sample with the developing reagents. The preferred solid supports include nitrocellulose, polyamides, and other synthetic membranes capable of binding amino acid-based species. [0019] The present invention further provides a method of detecting the presence of nitrosylated species in a biological sample comprising one or more amino acid-based species, which involves separating the amino acid-based species in the sample, contacting each of these species with developing reagents, exposing the species to excitation radiation, and detecting the emitted fluorescence. [0020] The nitrosylated species in the separated sample comprise an adduct between NO and an amino acid-based species. The adduct is formed between NO and an atom on the amino acid-based species, including carbon, nitrogen, oxygen and sulfur. The preferred adduct is through a sulfur atom. More preferably, the preferred adduct is between NO and a sulfur atom on a protein. Still more preferably, the nitrosylated protein is S-nitrosoalbumin. [0021] In a preferred embodiment, the developing reagents comprise a fluorescence-developing agent and a molecular species bearing a reactive moiety capable of transnitrosylation. Preferably, the reactive moiety on the molecular species is a thiol group. More preferably, the molecular species is cysteine. [0022] In another embodiment, the developing reagents include a saturated solution of copper (I) chloride. More preferably, the fluorescence-developing agent includes 4,5-diaminofluoroscein (DAF-2). Preferably, the developing reagents are added to the biological sample simultaneously. Alternatively, one or more of the developing reagents are added to the biological sample sequentially. [0023] In another embodiment, the method is capable of quantifying the amount of each separated nitrosylated species in the biological sample. [0024] The method further comprises the additional step of incubating the biological sample and the developing reagent to elevated temperature in the presence of ascorbate and carboxyPTIO. Preferably, the sample is heated to around 37° C. [0025] Preferably, the wavelength of the excitation radiation is about 488 nm. Also, the fluorescent emission is monitored at about 530 nm. [0026] Preferably, the mixture of proteins that are separated prior to detection and quantification are derived from eukaryotic cells. More preferably, the mixture of proteins are derived from mammalian cells in the absence of metal chelators prior to separation and prior to detection and quantification. The separation can be achieved by commonly used methods that rely on the characteristic physical properties of the molecules, such as the charge, size, molecular weights, polarity, etc. Preferred methods of separation include isoelectric focusing, agarose gel electrophoresis, polyacrylamide gel electrophoresis, HPLC, and preparative chromatography. Most preferred is the method of separation using gel electrophoresis. [0027] The invention provides the additional step of determining the chemical identity of the individual nitrosylated species in the biological sample. [0028] Another aspect of the invention is the capability of providing a kit for detecting nitrosylated species comprising a fluorescence-developing agent and a molecular species bearing a reactive moiety capable of nitrosylation, and optionally containing a saturated solution of copper (I) chloride. Preferably, the kit contains 4,5-diaminofluoroscein (DAF-2). Also, preferably, the reactive moiety capable of transnitrosylation is cysteine. [0029] Preferably, the kit provides the capability of detecting the nitrosylated proteins and identifying the nitrosylated proteins in a sample. Also, preferably, the kit provides the capability of quantifying the amount of nitrosylated species in a biological sample using the kit. BRIEF DESCRIPTION OF THE FIGURES [0030] [0030]FIG. 1 shows the fluorescence from 8 nM to 1000 nM of S-nitrosoalbumin (SNOBSA) compared to that of the same concentration of native albumin; [0031] [0031]FIG. 2 shows the fluorescence from 8 nM to 1000 nM of S-nitrosoglutathione (GSNO) compared with that of the same concentration of glutathione; and [0032] [0032]FIG. 3 shows the fluorescence of cytoplasmic (Cyto) and mitochondrial (Mito) proteins obtained from undifferentiated (O) or differentiated (D) neuroblastoma cells expressing wild-type (WT) or mutant (G41D) superoxide dismutase. The arrows indicate proteins with increased fluorescence in the mitochondrial fraction of cells expressing G41D. DETAILED DESCRIPTION OF THE INVENTION [0033] As used herein, “nitrosoprotein” and similar terms encompass any protein that has an —NO group linked through a thiol group, oxygen, carbon, or nitrogen group. S-nitrosoproteins, S-nitrosothiols, and protein S-nitrosylation reactions are terms that refer to compounds with linkage through the thiol (—SH) group. These types of compounds play important roles in cell signaling processes such as neurotransmission, anion channel regulation, host defense and gene regulation. [0034] Although a burgeoning number of articles describe a role for S-nitrosoproteins and protein S-nitrosylation reactions in cell signaling processes such as neurotransmission, anion channel regulation, host defense and gene regulation, the detection of nitrosoproteins has been met with limited success. An important implication is in the FAS induced denitrosylation of Capase-3, which allows lymphocyte apoptosis to proceed. (See Mannick J B, et al. Fas-induced capsase denitrosylation. Science 1999, 284: 65.) A method for determining alterations in S-nitrosoprotein concentration following cell signaling events such as the FAS-ligand binding would provide a mechanism for monitoring the progression of apoptosis. The present invention addresses a need for a method for identifying and quantifying levels of S-nitrosoproteins, and other NO equivalents, that would be useful in monitoring the levels of NO in normal and in disease states, for facilitating diagnoses, and in developing more selective drugs for the treatment of such disease states. [0035] The present invention, in one embodiment, is directed towards an improved, practical electrophoresis-based separation and identification method for cellular S-nitrosoproteins that allows for the identification of S-nitrosoproteins in general, in addition to the quantification of alterations in S-nitrosoprotein concentration following cell signaling events. The preferable method of detection produces a signal that is directly proportional to the concentration of the S-nitrosoprotein. [0036] Isolated S-nitrosoproteins (in the absence of catabolic enzymes) are generally quite stable. Protein thiol adducts of NO have relatively long half-lives under physiologic conditions as compared to free NO, thus making detection of nitrosothiol adducts possible. [0037] For the present invention, the source for nitrosoprotein mixtures are typically derived from eukaryotic cells. Though S-nitrosoproteins may be present in virtually all cells, the cell extracts can be prepared from a specific cell type or tissue of a mammalian species, such as human neuroblastoma cells. In accordance with a preferred embodiment of the present invention, the complex mixture of proteins is prepared from mammalian cells in the absence of metal chelators. [0038] The present invention is directed to a method of detecting S-nitrosoproteins in a biological sample containing a mixture of proteins based on the transnitrosylation of nitric oxide to a thiol bearing protein or other macromolecule. Fluorescent output from the reaction of 4,5-diaminofluorescein (DAF-2) and nitric oxide is indicative of their presence. By measuring the fluorescence from the reaction, a quantitative measurement of S-nitrosoproteins can also be obtained. [0039] DAF-2 has been previously used only to measure nitric oxide produced by nitric oxide synthase in situ. In accordance with the present invention, DAF-2 is used for an altogether different purpose: that of identifying nitric oxide evolved from endogenous S-nitrosoproteins. The detection method in this invention is based on correlating the concentration of the S-nitrosoproteins to the amount of cumulative light output from the product of nitric oxide and DAF-2 following excitation. The nitric oxide (NO) is likely generated from transnitrosylation of NO + from the nitrosoproteins to cysteine, followed by homolytic breakdown of S-nitrosocysteine to NO and/or from direct reaction of NO + with DAF-2. [0040] The use of S-nitrosocysteine-copper NO evolution as a measurement technique for S-nitrosothiols has been recently reported, (see Fang K, et al., Reductive Assays for S - nitrosothiols: Implications for measurements in biological systems . Biochem Biophys Res Commun 1998;252:535-540) however, this technique has not previously been used in conjunction with fluorescence detection or with gel electrophoresis. No previous technique has been successful in identifying S-nitrosoproteins by gel electrophoresis. The present invention addresses the need in the art for a practical system of identifying S-nitrosoproteins by gel electrophoresis. Moreover, the present invention offers the additional capabilities of detecting S-nitrosoproteins on membranes following Western blotting, on a PAGE gel, by Western blot using ultraviolet irradiation before or after reaction with DAF-2, and in solution following protein isolation. In the case of solutions, a fluorescence detection system for solutions, as opposed to an inverted microscopy setup, is required. [0041] The method of detecting nitrosoproteins thus comprises the steps of contacting the biological sample of mixed proteins with a developing reagent, exposing the sample to an excitation light source and detecting the emitted fluorescence. In accordance with one embodiment, the developing agent is comprised of 4,5-diaminofluorescein (DAF-2) and L-cysteine (or any other suitable molecule that bears a thiol group capable of a transnitrosylation reaction) in a saturated solution of CuCl. More particularly, the developing reagent comprises 100 mM L-cysteine in a saturated solution of CuCl (pH 6) to which about 2.5 to about 10 μM DAF-2 is added immediately before the developing reagent is placed in contact with the biological sample. Transnitrosylation to cysteine and the reaction with copper augment the sensitivity of S-nitrosoproteins to detection by DAF-2. In this embodiment, the amount of S-nitrosoproteins present in the sample is determined based on the intensity of the detected fluorescence relative to a standard curve generated from known concentrations of S-nitrosoproteins. [0042] Alternatively, the method in the present invention involves incubating the proteins at 37° C. with 10-100 μM DAF-2 in a saturated copper solution containing ascorbate and carboxyPTIO (1-100 nM), or exposed to UV light after incubation with DAF-2. The mixture of proteins can then be separated by native polyacrylamide gel electrophoresis. The gel is then exposed to a fluorescent light source at an excitation wave length of 488 nm and scanned on a fluorimager at an emission wave length of around 530 nm. The scanned gel or nitrocellulose is observed for bands of fluorescence from the reaction of N 2 O 3 and DAF-2. In this embodiment, the amount of S-nitrosoproteins present in the sample is determined based on the intensity of the detected fluorescence relative to a standard curve generated from known concentrations of S-nitrosoproteins. [0043] The proteins of the biological sample can be separated based on their charge, molecular weight, size, and/or pH using standard techniques known to those skilled in the art, before the biological sample is contacted with the detection reagent. For example, the proteins can be separated using chromatographic techniques (such as HPLC) or by polyacrylamide gel electrophoresis. In one embodiment, the complex mixture of proteins is separated on a native gel, and the proteins are transferred to a nitrocellulose or other synthetic membrane that is capable of binding proteins before the proteins are contacted with the detection reagent. Transferring the proteins to a solid matrix may enhance the signal generated when the separated proteins are subsequently contacted with the developing reagent and exposed to an excitation light source. [0044] The developing reagent of the present invention produces a detectable fluorescent signal in the presence of a nitrosoprotein. The developing reagent can be added directly to the mixture containing the proteins, or the proteins can first be separated based on their physical properties and optionally fractionated before contact with the developing reagent. [0045] When the proteins have been separated by agarose, isoelectric focusing or polyacrylamide gel electrophoresis, the surface of the gel (or the surface of the membrane if the proteins were subsequently transferred from the gel to a solid matrix, such as nitrocellulose) is exposed to a thin layer of developing reagent that includes 100 mM L-cysteine in a saturated solution of CuCl, pH 6. DAF-2 (2.5-10 μM) is then added immediately before contact with the gel. This gel is placed over an emission wavelength filter (at about 515 nm). The gel or nitrocellulose is then exposed to a fluorescent light source (excitation wave length 490 nm) and scanned by a fluorimeter at an emission wave length 515 nM or photographed with a camera. [0046] The scanned gel or nitrocellulose is observed for bands of fluorescence. Additionally or alternatively, the film in a camera is developed to measure cumulative light output from the reaction of NO and DAF-2. [0047] In accordance with one embodiment, the nitrosoproteins identified in the gels (based on the emitted fluorescence) can be further characterized by cutting out or otherwise physically isolating the relevant protein bands. One skilled in the art will appreciate the technique of preparative chromatography and other similar methods that allow for the isolation of individual proteins from a mixture separated by chromatographic techniques. The individual proteins can then be further analyzed by techniques known in the art, such as microsequencing or by addition of monoclonal antibodies. [0048] This invention represents an improvement on several currently available prior art techniques. First, in the prior art, DAF-2 has been used only to measure nitric oxide produced by nitric oxide synthase in situ. Here, however, DAF-2 is employed in a new and direct way which allows identification of nitric oxide evolved from endogenous nitrosylated proteins. The reaction with copper in the presence of PTIO increases the sensitivity to detection by DAF-2. The technique of the present invention is thus more sensitive and capable of detecting micromolar concentrations, and much simpler than the prior art techniques. [0049] Additionally, this invention is capable of the detection of nitrosylated proteins in solution following protein isolation procedures like chromatography. A fluorescence detection system for solutions, as opposed to an inverted microscopy setup (used for analysis of S-nitrosylated proteins on gels) would be required. The invention also allows for the isolation of individual proteins by cutting or otherwise removing fluorescent bands from gels and sequencing and identifying the nitrosylated proteins in cell lysates as shown in FIG. 3. The invention may also be used with 2-dimensional gels for proteomic analysis. [0050] Two specific improvements over the prior art of this technique include the increased sensitivity for identifying very low concentrations of endogenous nitrosylated proteins and improved signal-to-noise ratio on the gels. Increasing the sensitivity and improving the signal-to-noise ratio requires variations in the volumes and concentrations of DAF-2 solution, UV light administration, buffer compositions, and timing of application. For instance, the assay sensitivity will be increased if buffers are developed in which DAF-2 fluorescent intensity is maximized. Additionally, or alternatively, the resolution in measuring nitrosylated proteins from other “background” proteins can achieved by varying gel compositions, thickness, electrophoresis voltages, and buffers. For instance, the stability of S-nitrosoproteins is enhanced when run on isoelectric focusing gels as opposed to polyacrylamide gels. Finally, it is anticipated that additional known fluorescent probes such as dihydrorhodamine-derivatives can be used in the context of the present invention to increase the specificity and sensitivity of the claimed method. EXAMPLE 1 [0051] Various concentrations of S-nitrosylated albumin or unmodified albumin were incubated with 3 μM DAF-2 for 15 minutes at 37° C. An equal volume of 100 μM CuCl, 1 μM ascorbate and 100 nM PTIO was then added to each sample and the sample was incubated for another 15 minutes at 37° C. The samples were then loaded onto a 12% nondenaturing, nonreducing gel and run for 30 minutes at 30 volts. The gel was placed on a Molecular Dynamics STORM 860 fluorescent Scanner and exposed to an excitation wavelength of 480 nM. The scanner detects all emissions greater than 520 nM. The scanned gel in the figure had been incubated in the presence of CuCl/cys for 1 hour and 20 minutes at the time of scanning. [0052] [0052]FIG. 1 shows fluorescence from 100 μm S-nitrosoalbumin (Lanes 1 and 2) compared with that of the same concentration of native albumin (Lane 3). Of note, native albumin is endogenously S-nitrosylated to a limited extent.
The present invention describes a novel, simplified method for detecting and monitoring whether the presence of nitrosylated proteins, such as S-nitrosoproteins, in a biological sample using fluorescence detection. The present invention further describes a method which can both quantify and identify the nature of nitrosylated proteins, which method is useful for monitoring both normal and disease states, in the development and screening of potential therapeutic drug species.
BACKGROUND OF THE INVENTION 1. Field of the Invention This invention relates to oxy-fuel torches which are used for cutting ferrous metals. These cutting torches operate with a fuel gas and oxygen. Acetylene is a commonly used fuel gas; however, other types of fuel gas are also used, including, for example, natural gas, propane, hydrogen and MAPP gas. This invention also relates to oxy-fuel torches which can be removably connected to various attachments for welding, cutting, brazing or heating. These multi-purpose oxy-fuel torches also operate with a fuel gas and oxygen as previously described. 2. Description of Prior Art Flashback is a potentially dangerous situation which can occur in oxy-fuel cutting torches and multi-purpose torches such as those described above. When a flashback occurs, it may cause damage to the equipment. In some instances, personal injury also results to the operator and/or those in proximity to the equipment. The present invention does not eliminate the occurrence of flashbacks. The purpose of the present invention is to reduce the possibility that a flashback will migrate from the torch upstream to other components in a typical oxy-fuel cutting system. Those skilled in this art are familiar with the components in a typical oxy-fuel cutting system. The torch is releasably connected to an oxygen hose and a fuel gas hose. It is common in the industry for the oxygen hose to be colored green and the fuel gas hose to be colored red. These two hoses are typically assembled together to form a unitized hose bundle which is more convenient for the operator to manipulate in the work place. The oxygen hose connects to a gas regulator which is in fluid communication with a cylinder shutoff valve mounted on the oxygen cylinder. Oxygen cylinders are also typically colored green. Industrial oxygen cylinders are typically rated for pressures of up to 3,000 pounds per square inch ("PSI"). The regulator is used to reduce the outlet pressure, going from the cylinder and into the oxygen hose which varies, depending on the size of the cutting tip. Generally speaking, the oxygen pressure at the outlet of the regulator may range between 20 and 65 pounds per square inch gauge ("PSIG"). Higher outlet pressures are used with larger sized cutting tips. Lower outlet pressures are used with lower sized cutting tips. The appropriate outlet pressure is best determined by reference to a tip chart which lists optimum pressures and flow rates for each different size tip. The oxygen connectors on the hose and regulator are a special size thread which is specified by the Compressed Gas Association ("CGA"). The fuel gas hose connects to a regulator which is in fluid communication with the cylinder shutoff valve on the fuel gas cylinder. Acetylene is a commonly used fuel gas for cutting torches, as described above. A typical acetylene cylinder is formed with an interior porous mass which is saturated with liquid acetone. Acetylene gas is absorbed by the liquid acetone to facilitate safe storage. When the cylinder shutoff valve is opened, the acetylene gas vaporizes and migrates to the top of the acetylene cylinder where it passes through the cylinder shut-off valve and the regulator into the fuel gas hose which feeds the torch. Industrial acetylene cylinders are typically rated for pressures of 400 PSIG at 105° F. Outlet pressures of acetylene at the regulator vary, depending on the size of cutting tip in use and typically range between 1 and 15 PSIG. Larger cutting tips require higher pressures and smaller cutting tips require lower pressures. Again, the operator should make reference to a tip chart to determine optimal outlet pressures and flow rates. The fuel gas connectors on the hose and regulator are special size left-hand threads which are specified by the CGA to avoid confusion. Flashback occurs when the flamefront migrates from outside the tip to the inside of the torch, which causes the torch to become very hot and, if allowed to burn, may even cause it to melt. The flamefront can also migrate upstream back into one or both hoses, causing them to burn or rupture. In some extreme situations, the flamefront may migrate further upstream into a regulator and/or a gas cylinder causing them to explode. Various types of safety devices have been developed for use in typical oxy-fuel cutting systems. For example, U.S. Pat. No. 4,286,620 assigned to Victor Equipment Company, the assignee of the present invention, discloses a combination torch and check valve assembly, which is incorporated herein by reference. In this patent, which issued on Sep. 1, 1981, the check valves are internal to the torch and are designed to reduce the possibility of reverse flow of gases from the torch into the hose. U.S. Pat. No. 4,409,002 discloses a utility torch having a head mixer, which patent is incorporated herein by reference. This patent is also assigned to Victor Equipment Company. The integral head mixer disclosed in this patent, which issued on Oct. 1, 1983, is also designed to reduce the possibility of a flashback migrating from the torch upstream to other components in a typical oxy-fuel cutting system. Other devices known generally in the trade as "flashback arrestors" have been developed to reduce the possibility of migration of a flashback from the torch. A variety of these devices are disclosed by advertising brochures attached to the Information Disclosure Statement filed concurrently herewith. These flashback arrestors are sold in pairs as after-market accessories. Victor Equipment Company also sells, as an accessory item, a pair of flashback arrestors which can be connected between the torch and the hose in the oxygen flow path and the fuel gas flow path. Alternatively, the flashback arrestors can be connected between the hose and the regulator. The flashback arrestor manufactured by Victor Equipment is marketed under the tradename FLAMEBUSTER. It includes a porous, powdered metal sintered arrestor and check valve which is designed to reduce the possibility of a flashback from migrating upstream of the sintered component. The porous flashback arrestor has numerous tortuous pathways through which a flamefront must pass in order to migrate further upstream into other components in an oxy-fuel cutting system. In most circumstances, a flamefront will be quenched as it attempts to move through the tortuous pathways in the porous metal flashback arrestor. Victor Equipment also manufactures another accessory item which is marketed under the trademark FLAMEBUSTER PLUS. This accessory item includes a pair of flashback arrestors and check valves with quick hose connectors manufactured in a cartridge-like format. One cartridge is for oxygen and the other cartridge is for fuel gas. Numerous other competitors manufacture accessory units which include a flashback arrestor and check valves as described in the Information Disclosure Statement. These accessory items can be readily purchased at welding supply stores across the nation. Porous metal flashback arrestors, including the present invention, are not foolproof devices and do not guarantee that the flamefront will be quenched in all circumstances. For example, if the porous metal flashback arrestor is overheated, it may not quench the flamefront. If the flashback arrestor has been exposed to numerous prior flashbacks, the tortuous pathways may be eroded, thereby reducing the quenching capability. The after-market flashback arrestors sold by Victor Equipment and others are not universally used in the trade. A pair of after-market flashback arrestors typically costs $40 to $60 (1992 dollars). The additional cost deters some individuals from buying these after-market flashback arrestors and adding them to their oxy-fuel cutting systems. Some individuals are simply not safety conscious and do not see a need for these accessory items. If a pair of flashback arrestors have been installed on the job, they may sometimes be clogged with debris and be taken out of the system by the operator. Existing flashback arrestors sold as after-market items do not use the parts-in-place principle, nor can they be repaired. If they are clogged or otherwise malfunction, they must be replaced. Some of these after-market accessory items are rather heavy and cumbersome and, if attached to the torch, may adversely affect the balance thereof which is irritating to the operator. Because these after-market accessories are readily removable, the operator may take them off of the torch and install them between the hose and the regulators. Although this affords protection to the regulator and cylinders, it leaves the hose vulnerable to flashback. Those skilled in the art will recognize that cutting torches are reconditioned on an "as needed" basis. In a typical fabrication plant, an operator will go to a tool crib and be issued a torch for a specific project. At the conclusion of that project, the torch will be returned to the tool crib. This sort of heavy industrial use eventually renders the torch unsuitable for further cutting. The tip may be clogged or other malfunctions may occur such as damage to various components of the torch. The tool crib foreman will have a large number of cutting torches in supply, depending on the size of the plant. When a torch ceases to function properly, it will be set aside until a suitable quantity of malfunctioning torches have been accumulated. These malfunctioning torches will then be sent to a welding supply shop or to a reconditioning shop which will recondition the torches and bring them back to operational specifications. Torches manufactured by Victor Equipment Company are reconditioned many times during their useful life span, which may be as long as ten or twenty years. It may be necessary during the useful life of these torches to replace the flashback arrestor, if any, on one or more occasions, depending on the nature of use to which the torch is exposed. Existing flashback arrestors are not subject to repair. If they are to be replaced, a new pair must be purchased. This added expense deters replacement of flashback arrestors in existing systems during reconditioning. The present invention includes a torch which has a pair of replaceable flashback assemblies which are formed as an integral part of the torch handle. These flashback assemblies are easy to replace and cost less than a pair of after-market flashback arrestors. This invention uses the parts-in-place principle (PIP) as an additional safety feature. If a flashback assembly is removed, the torch handle can no longer be connected to the hose. Removal of one or both of the flashback assemblies therefore renders the torch inoperative. The present invention does not prevent the occurrence of a flashback. Rather, the invention is designed to reduce the possibility that a flashback will migrate upstream from the torch handle into the hose, regulators or gas cylinders. The invention is intended to provide an added measure of safety. The invention is not foolproof and, under certain circumstance, it will not prevent migration of a flashback upstream from the torch handle into the hose or elsewhere. SUMMARY OF THE INVENTION The present invention includes a torch with a pair of replaceable flashback arrestors and check valves. Each flashback arrestor and check valve is formed into a flashback assembly. A portion of the first flashback assembly is positioned in the torch handle and is in fluid communication with the oxygen hose. A portion of the second flashback assembly is positioned in the torch handle and is in fluid communication with the fuel gas hose. Each flashback assembly can be easily replaced. The replaceable flashback assembly includes three primary components as follows: (a) a flashback arrestor which is a porous metal sintered part which is designed, in most instances, to quench a flamefront passing therethrough; (b) a retainer which supports the porous metal flashback arrestor; and (c) a check valve subassembly to reduce the possibility of reverse flow of gas from the torch into the hose. The invention utilizes the PIP principle so that the torch cannot function if either flashback assembly has been removed from the torch. Each check valve subassembly is open during normal operation of the torch, allowing gas to flow from the hose through the check valve subassembly through the torch to the cutting tip. If a reverse flow situation develops, each check valve is designed to close, in most instances, to reduce the possibility of reverse flow of gas from the torch handle into the hose. BRIEF DESCRIPTION OF THE DRAWINGS So that the manner in which the above recited features, advantages and objects of the present invention are attained and can be understood in detail, more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings. It is noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments. FIG. 1 is a side elevation view of an oxy-fuel cutting torch. FIG. 2 is a top plan view of the oxy-fuel cutting torch shown in FIG. 1. FIG. 3 is a partial section view of the oxy-fuel cutting torch shown in FIG. 1. FIG. 4 is top view of the oxy-fuel cutting torch of FIG. 1 along the line 4--4. FIG. 5 is an end view of the oxy-fuel cutting torch of Fig. 1 along the line 5--5. FIG. 6 is an enlarged section view of the cutting oxygen valve shown in FIG. 3. FIG. 7 is an enlarged section view of the fuel valve shown in FIG. 3. FIG. 8 is an enlarged section view of the head along the line 8--8 of FIG. 1. FIG. 9 is an enlarged section view of the mixer shown in FIG. 3. FIG. 10 is an enlarged perspective view of the spiral shown in FIG. 3. FIG. 11 is an enlarged partial section view of the tubes leading to the head of the torch along the line 11--11 of FIG. 1. FIG. 12 is an enlarged partial section view of the cutting tip and the head of the torch along the line 12--12 of FIG. 10. FIG. 13 is an enlarged exploded perspective view of the flashback assembly, including its three primary components, i.e., the check valve subassembly, the retainer and the porous metal flashback arrestor. FIG. 14 is an enlarged section view of the flashback assembly. FIG. 15 is an end view of the flashback assembly along the line 15--15 of FIG. 13. FIG. 16 is a section view of the retainer along the line 16--16 of FIG. 13. FIG. 17 is a section view of the porous metal flashback arrestor along the line 17--17 of FIG. 13. FIG. 18 is an end view of the guide which is a component in the check valve subassembly. FIG. 19 is an enlarged section view of the check valve assembly shown in FIG. 14. FIG. 20 is a top elevation view of a multi-purpose torch handle to which various types of attachments can be connected for cutting, welding, soldering, brazing or heating. FIG. 23 is a rear end view of the torch handle along the line 23--23 of FIG. 20. FIG. 24 is a front end view of the torch handle along the line 24--24 of FIG. 20. FIG. 21 is a partial section view of the multi-purpose torch handle shown in FIG. 20 with the oxygen valve and the fuel valve rotated slightly along the line 21--21 of FIG. 23 to clarify the flow passages in the drawing. FIG. 22 is an enlarged view of the removable cartridge with internal flashback assembly shown in FIG. 21. FIG. 25 is an alternative embodiment of the flashback assembly. DESCRIPTION OF THE PREFERRED EMBODIMENT Referring to FIG. 1, a cutting torch is generally identified by the numeral 1. The body 2 includes an oxygen hose connector 4 which removably connects to an oxygen hose 5, and a fuel gas hose connector 6 which removably connects to the fuel gas hose 7. Mounted in the body 2 is a fuel valve assembly generally identified by the numeral 8, an oxygen valve assembly generally identified by the numeral 10 and a cutting oxygen valve assembly generally identified by the numeral 12. The lever 14 operates the cutting oxygen valve assembly 12. As shown in this drawing, the lever 14 is depressed which fully opens the cutting oxygen valve assembly 12. When the lever 14 is in the elevated position as shown in phantom in this drawing, the cutting oxygen valve assembly 12 is closed. Three tubes enter the body 2 and pass through the barrel 24. A first tube 18, which will be identified as the preheat fuel tube, is in fluid communication with fuel passageways in the body 2, the fuel gas hose connector 6 and the gas hose 7. As second tube 20, which will be identified as the preheat oxygen tube, is in fluid communication with oxygen passageways in the body 2, the oxygen hose connector 4 and the oxygen hose 5. A third tube 22, which will be identified as the cutting oxygen tube, is likewise in fluid communication with oxygen passageways in the body 2, the oxygen hose connector 4 and the oxygen hose 5. The elongate barrel 24 engages the body 2 on one end and a collar 26 on the other end. The barrel 24 provides a convenient place for the operator to grip the cutting torch 1 and to manipulate the lever 14 for the cutting oxygen valve assembly 12. For purposes of claim interpretation, the term "torch handle" when used in connection with a cutting torch encompasses those components within the bracket identified by the numeral 3. The three tubes, 18, 20 and 22, are welded or silver soldered to the head 28 which is threaded to receive a nut 30 which releasably secures the cutting tip 32 to the head 28. Those skilled in the art will recognize that the cutting tip 32 comes in various sizes for cutting different thicknesses of metal. For example, Victor Equipment manufactures numerous different sizes of tips for oxy-acetylene cutting systems. A size 000 tip can be used for cutting metal up to 1/8 inch thick. The outlet pressure for a Victor 000 tip at the oxygen regulator should be between 20 and 25 PSIG and should flow approximately 20 to 25 standard cubic feet per hour ("SCFH"). Using acetylene, the fuel gas regulator should be adjusted to approximately 3 to 5 PSIG and should flow approximately 3 to 5 SCFH. These flow rates and pressure ranges vary with the size of the tip. A size 8 tip manufactured by Victor Equipment is used for cutting metal up to 12 inches in thickness. When using a size 8 tip, the oxygen regulator should be set at approximately 45 to 55 PSIG and should flow approximately 900 to 1000 SCFH. Using acetylene, the fuel gas regulator should be adjusted to approximately 10 to 15 PSIG at the outlet and should flow approximately 48 to 62 SCFH. Outlet pressures and flow rates may vary, depending on torch type and tip size. These pressures and flow rates are provided merely as an example and not as a limitation on the present invention. Now referring to FIG. 2, the cutting torch 1 is shown in a top plan view. The three tubes, 18, 20, 22, extend from the torch handle 3 to the head 28. The cutting oxygen valve assembly 12 fits into the top of the body 2 and the oxygen valve assembly 10 extends from the side of the torch body 2, as better seen in this drawing. Now referring to FIGS. 3, 4 and 5, the oxygen hose 5 threadably connects to the oxygen hose connector 4 supplying the cutting torch 1 with a supply of oxygen. The fuel gas hose 7 threadably connects to the fuel gas connector 6 supplying the cutting torch 1 with a supply of fuel gas, such as acetylene. The three tubes 18, 20 and 22 have been shortened to show an enlarged view of the torch handle 3 and the head 28. An oxygen passageway 54 is formed in the body 2, which passageway enlarges to define the first chamber 34 sized and dimensioned to removably receive a portion of the oxygen flashback assembly 36. The oxygen flashback assembly 36 includes three primary components as follows: a porous metal flashback arrestor 42, a retainer 44 and a check valve subassembly 46. A fuel passageway 56 is formed in the body 2, which passageway enlarges to define a second chamber 38 sized and dimensioned to removably receive a portion of the fuel flashback assembly 40. The fuel flashback assembly 40 likewise contains three primary components as follows: a porous metal flashback arrestor 48, a retainer 50 and a check valve subassembly 52. The oxygen cutting valve assembly 12 is in fluid communication with the oxygen passageway 54. A fuel gas passageway 56 is formed in the body 2 allowing fluid communication from the second chamber 38 to the preheat fuel tube 18. The fuel valve assembly 8 is positioned in the passageway 56 to control the flow of fuel from the second chamber 38 into the preheat fuel tube 18. A mixer assembly 60 is captured between the head 28 and the preheat fuel tube 18. The mixer assembly 60 includes a spiral 62 and a mixer 64. The mixer assembly 60 in conjunction with the head was designed to reduce the possibility of migration of flashback from the cutting torch 1 upstream into other system components such as the hose, as explained in detail in U.S. Pat. No. 4,409,002. A cutting oxygen passageway 66 is shown in phantom in the head 28 in FIG. 3. One end of the cutting oxygen passageway 66 is in fluid communication with the cutting oxygen tube 22 and the other end of the passageway is in fluid communication with the cutting oxygen inlet 68 of the tip 32. A mixed gas passageway 70 is likewise formed in the head 28. The passageway 70 transports a mixture of fuel gas and oxygen from the head 28 to the first gas down tube 179 and the second gas down tube 181, thereafter to the annular ring 183 and to the cutting tip 32. The fuel valve assembly 8, the oxygen valve assembly 10 and the cutting oxygen valve assembly 12 are shown in FIG. 5. The retainer 44 of the oxygen flashback assembly 36 threadably engages the body 2. The oxygen hose connector 4 extends from and is a part of the retainer 44, in the preferred embodiment. Alternative designs which would be equivalent to this invention could form the oxygen hose connector 4 and the retainer 44 from two separate parts. The oxygen hose connector 4 protrudes outside of the body 2 to threadably engage the oxygen hose 5, not shown in this drawing. The oxygen check valve subassembly 46 is pressed to fit inside the oxygen hose connector 4. The check valve subassembly 46 includes a body 74 with an oxygen inlet bore 76 passing therethrough. The oxygen inlet bore 76 is in fluid communication with the oxygen hose 5. During normal operation of the cutting torch 1, the oxygen check valve subassembly is in the open position allowing oxygen to flow from the oxygen hose 5 into the handle 3 via the oxygen inlet bore 76, through the oxygen check valve subassembly 46 and through the oxygen flashback assembly 36. During certain circumstances, oxygen may have a propensity to flow in the opposite direction or a mixture of oxygen and fuel gas may have a propensity to flow in the opposite direction. The oxygen check valve subassembly 46 is designed to reduce the possibility of reverse flow by closing in some situations. The spring 304 in the oxygen check valve subassembly has a spring force of 0.015 lbs. and should close the oxygen check valve subassembly when the inlet pressure in the oxygen inlet bore 76 falls to 0 PSI. However, the oxygen check valve subassembly 46 is not designed to stop a flamefront from passing through the subassembly 46. The fuel check valve subassembly 52 is designed to close at the same pressures as the oxygen check valve subassembly 46. The retainer 50 of the fuel flash arrestor assembly 40 threadably engages the body 2. The fuel hose connector 6 extends from and is a part of the retainer 50 in the preferred embodiment. Alternative designs which would be equivalent to this invention could form the fuel hose connector 6 and the retainer 50 from two separate parts. The fuel hose connector 6 protrudes outward from the body 2 to threadably engage the fuel hose 7, not shown in this drawing. The second check valve subassembly 52 is pressed to fit inside the fuel hose connector 6. The fuel check valve subassembly 52 includes a body 80 and a fuel gas inlet bore 82 therethrough. During normal operation of the cutting torch 1, the fuel gas check valve subassembly 52 is in the open position allowing fuel gas to flow from the fuel gas hose 7 into the handle 3 via the fuel gas inlet bore 82, through the fuel gas check valve subassembly 52 and through the fuel gas flashback assembly 40. The oxygen passageway 54 is in fluid communication with the port 122 which receives the cutting oxygen valve assembly 12. A preheat oxygen passageway 91 is in fluid communication with the port 122 and another port 92 which receives the preheat oxygen valve assembly 10. Another oxygen passageway 93 is in fluid communication with the port 92 and the preheat oxygen tube 20. When the preheat oxygen valve assembly 10 is open, oxygen flows from the oxygen hose 5 through the oxygen flashback assembly 36, through the passage 54, through the port 122, through the passageway 91 and through the port 92, through the passageway 93 into the preheat oxygen tube 20 and into the head 28. The purpose of the preheat oxygen valve assembly 10 is to control the flow of oxygen from the handle 3 to the head 28. The preheat oxygen valve assembly 10 is shown in the open position in FIG. 4. To close the valve assembly 10, the operator turns the knob 94 which is pressed to fit on the threaded valve stem 95. The threaded stem 95 engages threads formed in the port 92 causing the valve element 96 to engage the valve seat 97 formed in the bottom of the port 92. The seat 97 seals against the valve element 96 stopping the flow of oxygen from passage 91 to passage 93. A packing gland 98 surrounds the valve stem 95 and is held in place by a packing nut 99 to prevent oxygen from escaping from the port 92. FIG. 6 is an enlarged section view of the cutting oxygen valve assembly generally identified by the numeral 12. A cap 120 threadably engages a port 122 formed in the body 2. The port 122 is in fluid communication with the oxygen passageway 54 which allows oxygen to flow from the oxygen chamber 34 to the cutting oxygen tube 22. Oxygen moves from the oxygen chamber 34 through the port 122 and the passageway 54 as shown by the flow arrows in the drawings. An elongate movable valve element 124 is held in place in the port 122 by the cap 120. A first end 123 of the elongate movable valve element 124 is engaged by the lever 14 to move the valve element 124 up and down. A seal member 125 is formed on the second end 127 of the elongate movable valve element 124 to engage the circular seat 126 formed in the body 2. The seat 126 is a circular lip that surrounds the flow passage 54. A bushing 128 surrounds the movable valve element 124 and is held in place by the cap 120. An O-ring 130 is positioned about the movable valve element 124 beneath the bushing 128 to provide a fluid-tight seal as the movable valve element 124 strokes up and down. A washer 132 is positioned inside the cap 120 to hold the spring 134 in place against the cap 120. On the opposite end of the spring is a spring follower 136 which engages the movable valve element 124. As shown in this figure, the oxygen cutting valve assembly 12 is in the open position which requires the operator's hand to depress the lever 14 which compresses the spring 134, thus disengaging the valve element 125 from the seat 136. When the lever 14 is released by the operator, the force of the spring 134 against the spring follower 136 and the washer 132 causes the movable valve element to stroke downward and to engage the seat 126, thus stopping the flow of oxygen from the oxygen chamber 34 to the passageway 54 into the cutting oxygen tube 22. The lever 14 is pivot mounted so that it can move up and down as shown in phantom in FIG. 1. A pedestal 121, shown in phantom in FIG. 3, extends outwardly from the body 2 to support the lever 14. A pin 119 passes through a hole in the lever 14 and a hole in the pedestal 121 allowing the lever 14 to rock up and down as controlled by the operator. Another passageway, not shown in the drawing, connects the oxygen chamber 34 with the oxygen control valve assembly 10 and the preheat oxygen tube 20. FIG. 7 is an enlarged section view of the fuel valve assembly generally identified by the numeral 8. A fuel gas passageway 56 is formed in the body 2 allowing fluid communication between the fuel chamber 38 and the preheat fuel tube 18. A threaded port 140 is formed in the body 2 in fluid communication with the fuel gas passageway 56. An elongate threaded valve stem 142 threadably engages the port 140. A valve element 144 is formed on the first end 145 of the elongate valve stem 142 and a handle 146 is pressed to fit on the second end 147 of the elongate valve stem 142. A seat 148 is formed in the passageway 56 to sealingly engage the valve element 144. The seat 148 encircles the passageway 56. A circular elastomeric packing gland 150 encircles the valve stem 142 and is held in place by a nut 152 which threadably engages a threaded neck 154 formed on the body 2. The packing gland 150 provides a seal between the port 140 and the movable valve stem 142 thus preventing escape of fuel gas from the passageway 56. The fuel valve assembly 8 and the preheat oxygen valve assembly 10 contain the same structural components; however, they are positioned in different locations in the body 2 and they control the flow of different gases through the torch 1. FIG. 8 is a partial section view of the head 28 along the line 8--8 of FIG. 1. The preheat oxygen tube 20 and the preheat fuel tube 18 are brazed or soldered into the head 28. An oxygen passageway 180 is in fluid communication with the preheat oxygen tube 20. The oxygen passageway 180 transports oxygen into the mixed gas passageway 70. A first mixed gas down tube 179 and a second mixed gas down tube 181 transport mixed gas from the mixed gas passageway 70 to an annular ring 183 formed in the head 28, which ring then feeds the tip 32 with mixed gas. Captured between the preheat fuel tube 18 and the head 28 is a mixer assembly 60. The mixer assembly 60 includes the mixer 64 and the spiral 62. A plurality of orifices 182, 184 and 186, are formed in one end of the mixer 60. Fuel gas passes around the spiral 62 and through the fuel orifices 182, 184 and 186. Oxygen is likewise being supplied to the mixed gas passageway 70 through the oxygen passageway 180. The fuel gas from the preheat fuel gas tube 18 and the oxygen from the preheat oxygen gas tube 20 are mixed in the passageway 70 and are delivered to the cutting tip 32 via the mixed gas passageway 70, as discussed above. The cutting oxygen passageway 66 is formed in the center of the head 28 and is in fluid communication with the cutting oxygen tube 22. FIG. 9 is an enlarged section view of the mixer 64. The fuel orifices 182, 184 and 186 are formed on one end of the mixer. A central longitudinal bore 188 passes through the mixer allowing fluid communication between the orifices 182, 184 and 186 on one end and the preheat fuel tube 18 on the other end. The spiral 62 shown in FIG. 10 fits inside of the longitudinal bore 188 and is held in place by one or more crimps 190 and 192. The purpose of the mixer assembly 60 and passageways in the head 28 are to reduce the possibility of migration of flashback from the torch 1 upstream to other components in the system as explained in detail in U.S. Pat. No. 4,409,002 which will not be repeated herein for the sake of brevity. The fuel gas and oxygen in other types of torches are often mixed in places besides the head. For example, many torches of European manufacture mix the fuel gas and oxygen in the tip. Other torches mix the fuel gas and oxygen in the tubes running from the handle to the head. Some torches mix the fuel gas and oxygen in the handle. Applicants believe that the present invention can be used with all of the different torches described above. FIG. 11 is a partial section view of the tubes 18, 20 and 22 as they enter the head 28. The oxygen tube 18 enters the head 28 at the lower left hand portion thereof. Immediately to the right is the fuel tube 20. Positioned at the top of the head 28 is the oxygen cutting tube 22. Those skilled in the art will recognize that other types of cutting torches have only two tubes between the body and the head. FIG. 12 is a section view of the cutting tip 32. Cutting oxygen moves through the passageway 66 in the head 28 into the cutting oxygen inlet 68 and through a central bore 210 in the tip 32. A mixture of oxygen and fuel gas enters the tip 32 from the annular ring 183 and moves down the tip through the passageways 212 and 214. Those skilled in the art will recognize that tips come in many different sizes and configurations. This tip is described as an example only. This invention is compatible with any tip, regardless of configuration or size. FIG. 13 is an exploded enlarged view of the oxygen flashback assembly 36 which consists of three primary components: a porous metal flashback arrestor 42, the retainer 44 and the check valve subassembly 46. The fuel flashback assembly 40 is construed identically to the oxygen flashback assembly 36 as shown in this figure; however the respective flashback assemblies are located in different chambers in the body of the torch and fuel flashback assembly contains left-hand threads. FIG. 14 is a section view of the oxygen flashback assembly 36 shown in FIG. 13. Again, the three primary components are the porous metal flashback arrestor 42, the retainer 44 and the oxygen check valve subassembly 46. The porous metal flashback arrestor 42 is manufactured from powdered stainless steel and is sintered to form an elongated cup-like structure as shown in the drawing. The porous metal flashback arrestor 42 is permeable to gas and forms tortuous pathways therethrough as indicated by the curved flow arrows in the drawing. The purpose of the porous flashback arrestor 42 is to reduce the possibility that a flamefront will pass through the arrestor 42 and migrate upstream of the torch into other components in the system. Those skilled in the art will recognize that the porous metal flashback arrestor 42 can be manufactured in a number of different shapes which are within the scope of this invention. For example, a solid disc or rod may be suitable in equivalent designs. A seamless tube, flat sheet, cone shaped member or annular band may be suitable in other equivalent designs. The shape of the porous metal flashback arrestor is not the important feature. The ability of the arrestor to quench, in most circumstances, a flamefront during a flashback is the key design criteria. In one embodiment which Applicants have successfully tested, the flashback arrestor 42 will stop particles 6 microns or larger. The sintered arrestor 42 used by applicants is an off-the-shelf item, purchased from Mott Metallurgical Corporation of Farmington, Conn. It has a bubble test of approximately 12 inches of water. The wall thickness of the arrestor 46 is approximately 0.100". Other sizes and types of arrestors are suitable for this invention, depending on required flow rates and other factors known to those skilled in the art. The retainer 44 includes a hexagonal central bore 230 which allows gas to pass through the retainer as shown by the flow arrows in the drawing. The hexagonal bore 230 facilitates easy installation and removal of the retainer 44 and the arrestor 42 from the body 2 with an allen wrench. A shoulder 232 is formed adjacent the neck 234 of the retainer 44. The porous metal flashback arrestor 42 is sized and dimensioned to abut the shoulder 232 and is pressed to fit on the neck 234. This press fit forms a gas-tight seal between the retainer 44 and the open end 235 of the porous flashback arrestor 42. The check valve subassembly 36 is pressed to fit in the bore 243 of the hose connector 4. This press fit forms a gas-tight seal between the retainer 44 and the check valve subassembly 46. The oxygen hose connector 4 is threadably formed on one end of the retainer opposite the neck 234. In the preferred embodiment, the oxygen hose connector 4 and the neck 234 are formed from and are a part of the retainer 44. Those skilled in the art will recognize that this design could easily be manufactured from two, three or more parts which are equivalent and within the scope of this invention. A circumferential flange 238 is formed near the center of the retainer 44 adjacent an O-ring groove 240 which receives the O-ring 242. A plurality of threads 244 encircle the outer diameter of the retainer 44 and threadably engage the body 2 holding the oxygen flashback assembly 36 in place. The purpose of the O-ring 242 and the circumferential flange 238 is to provide a gas-tight seal to prevent escape of oxygen from the body. As previously mentioned, the fuel flashback assembly 40 contains the identical elements previously described in the oxygen flashback assembly 36 except the left-hand threads. FIG. 15 is an end view of the oxygen flashback assembly 46 along the line 15--15 of FIG. 14. In the center of this figure is the oxygen inlet bore 76 which allows oxygen to pass from the oxygen hose 5 through the check valve subassembly 46, the retainer 44, the porous metal flashback arrestor 42 and into the torch body 2. Surrounding the oxygen inlet bore 76 is the body 74 of the check valve subassembly 46. The oxygen inlet bore 76 is threaded to facilitate easy installation and removal of the oxygen check valve subassembly 46. To remove the oxygen check valve subassembly 46, a bolt or other compatible threaded device is threaded clockwise into the oxygen inlet bore 76. A sharp pull removes the oxygen check valve subassembly 46 from the retainer 44. Next, an allen wrench is inserted in the hexagonal bore 230 and rotated counterclockwise to unscrew the flashback assembly 36 from the body 2. To install a new flashback assembly 36, the above process is reversed. To remove the fuel check valve subassembly 52, a bolt or other compatible threaded device is threaded clockwise into the inlet bore. A sharp pull removes the fuel check valve subassembly 52 from the retainer. Next an allen wrench is inserted in the hexagonal bore and rotated clockwise to unscrew the fuel flashback assembly 40. To install a new fuel flashback assembly 40, the above process is reversed. The oxygen hose connector 4 is a threaded member as indicated by the phantom line in the drawing. The hexagonal bore 230 enlarges to a circular bore 243 on the inside of the oxygen hose connector 4. The bore 243 is sized and dimensioned to receive the check valve subassembly 46 in a pressed fit. FIG. 16 is a section view of the retainer 44 along the line 16--16 of FIG. 14. In the center of this drawing is the hexagonal central bore 230 which passes through the retainer 44. The dots in the center of this hexagonal drawing indicate the porous metal flashback arrestor 42 which can be seen through the hexagonal bore 230. The threads 244 encircle the retainer 44 to threadably engage the body 2. FIG. 17 is a section view of the porous metal flashback arrestor 42 along the line 17--17 of FIG. 14. This drawing is intended to be a diagrammatic representation of the pore configuration of the porous metal flashback arrestor 42 and is not an exact pictorial representation of the physical embodiment. As previously discussed, the arrestor 42 is formed from powdered metal which is sintered into a cap-like structure. Stainless steel is used in the preferred embodiment; however, other metals may also be suitable for this application and are within the scope of this invention. Gas can pass from the interior 246 to the exterior 248 of the powdered metal flashback arrestor 42 through numerous tortuous pathways as indicated by the curved flow arrows in the drawing during normal operation of the torch. In the event of a flashback, the flamefront will attempt to pass from the exterior 248 through the tortuous pathways to the interior 246 of the flashback arrestor 42 as indicated by the large flamefront arrow 250 in the drawing. The porous metal flashback arrestor 42 is designed to quench the flamefront as it passes through the arrestor 42, in most situations, and reduce the possibility that the flashback will migrate from the torch 1 upstream into the hose or other components in the system. As previously mentioned, the porous metal flashback arrestor 42 does not prevent a flashback from occurring. In some circumstances, the flamefront may pass through the flashback arrestor 42 and migrate upstream to other components in the system. FIG. 18 is a front view of the guide 280 which is a part of the check valve subassembly 46. In the preferred embodiment, the guide 280 is an injection molded part formed from a thermoplastic such as high density polyethylene. The guide 280 includes a first finger 282, a second finger 284, a third finger 286 and a fourth finger 288. These fingers extend from a base 290 to a circular connector 294 which engages a plurality of barbs 296 and 298 formed about the body 74 of the check valve subassembly 46. A bore 292 passes through the base 290. A first peripheral vent 306 is formed between the first finger 282 and the second finger 284. A second peripheral vent 308 is formed between the fingers 284 and 286. A third peripheral vent 310 is formed between the third finger 286 and the fourth finger 288. A fourth peripheral vent 312 is formed between the fourth finger 288 and the first finger 282. The first peripheral vent 306, the second peripheral vent 308, the third peripheral vent 310 and the fourth peripheral vent 312 are all in fluid communication with the oxygen inlet bore 76 of the body 74 of retainer 44. The oxygen check valve assembly 46 is open during normal operation of the torch and gas passes from the oxygen hose 5 through the oxygen inlet bore 76 and out the peripheral vents 306, 308, 310 and 312 into hexagonal passageway 230 of the retainer 44. Gas then flows through the tortuous pathways in the porous metal flashback arrestor 42 into the oxygen chamber 34 of the body 2. FIG. 19 is an enlarged section view of the oxygen check valve subassembly 46. Structurally, it is identical to the fuel check valve subassembly 52. A body 74 defines an oxygen inlet bore 76 which is threaded to facilitate easy installation and removal of the subassembly 46 from the assembly 36. A recessed channel 311 is formed on a first end of the body 74 and a flange 309 is formed on the opposite end. Barbs 296 and 298 are disposed about the exterior of the body 74 between the flange 309 and the recessed channel 311. The barbs 296 and 298 are sized and dimensioned to engage the circular connector 294 of the guide 280 and hold the guide 280 in position relative to the body 74. The flange 309 is sized and dimensioned to seal against a shoulder 241 in the bore 243 of the hose connector 4. A movable valve element 300 is positioned adjacent a seat 302. A spring 304 is positioned between the base 290 of the guide 280 and the movable valve element 300. The movable valve element 300 is a disc shaped structure which is guided into engagement with the seat 302 by fingers 282, 284, 286 and 288. During normal operation of the torch, the check valve subassemblies 46 and 52 are in the open position as shown in FIG. 18. Operation of an Oxy-acetylene Cutting Torch The operation of the oxy-acetylene cutting torch 1 will be well known to one skilled in the art. First, the fuel gas valve assembly 8 is opened and the acetylene is lit with a striker positioned near the tip 32 of the torch. The initial flame is orange and produces black smoke. Next, the oxygen valve assembly 10 is opened to neutralize the flame which becomes light blue and the black smoke ceases. The cutting oxygen valve assembly 12 is then placed in full open and the flame may then be further adjusted with the oxygen valve assembly 10. After the flame has been fully neutralized, the cutting oxygen valve assembly 12 is closed. The preheat blue flame is placed next to a ferrous piece of metal until it glows and forms a molten pool. The cutting oxygen valve assembly 12 is then placed in full open which oxidizes the metal and makes the cut. FIG. 20 is an elevation view of a multi-purpose torch handle 350 generally identified by the numeral 350. This torch handle includes a cone end 352 which can threadably receive a variety of different accessories including, but not limited to, a cutting assembly for cutting ferrous metal and a welding assembly which can be used for welding, brazing, and/or heating. A first elongate cartridge 356 includes, inter alia, an oxygen valve assembly 358 and a housing 355 which defines an interior chamber 357 which receives a portion of the first removable oxygen flashback assembly 372. The oxygen hose connector 360 threadably engages the oxygen hose 320. A threaded oxygen hose connector 360 extends from and forms a part of the oxygen flashback assembly 372. A threaded neck 362 extends from and forms a part of the housing 355. The neck 302 engages the body 354. Inside of the housing 355 is a gas passageway 406 which allows gas to flow from the oxygen hose connector 360 through the interior chamber 357 past the oxygen valve assembly 358 and through the neck 362 into the body 354 of the torch handle 350. The second elongate cartridge 364 includes inter alia, a fuel valve assembly 366 and a housing 367 which defines an interior chamber 365 which receives a portion of the second removable fuel flashback assembly 366. The fuel gas hose connector 368 threadably engages the fuel gas hose 322. A threaded fuel gas hose connector 368 extends from and forms a part of the fuel gas flashback assembly 366. A threaded neck 370 extends from and forms a part of the housing 367. The threaded neck 370 threadably engages the body 354. The second elongate cartridge 364 defines a fuel gas passageway 418 that allows gas to pass from the fuel gas hose connector 368 through the chamber 365 past the fuel gas valve assembly 366 and through the neck 370 into the body 354 of the torch handle 350. FIG. 21 is a section view of the torch handle 350 shown in FIG. 20 with the valve assemblies 358 and 366 rotated slightly to better show the flow passages for the gas. The first cartridge 356 defines an oxygen chamber 357 which is sized and dimensioned to receive a portion of the oxygen flashback assembly 372 which includes three primary components as follows: a porous metal flashback arrestor 374, a retainer 376 and a check valve subassembly 378. The oxygen flashback assembly 372 is identical to the flashback assembly 36 shown in FIG. 3 except that it is used in a different type of torch handle. The second cartridge 364 defines a fuel chamber 365 which is sized and dimensioned to receive a portion of the fuel flashback assembly 366 which includes three primary components as follows: a porous metal flashback arrestor 382, a retainer 384 and a check valve subassembly 386. Likewise, the fuel flashback assembly 366 is identical to the fuel flashback assembly 40 shown in FIG. 3 except that it is used in a different type of torch handle. The body 354 includes a first port 450 which threadably receives the neck 362 of the first cartridge 356. An oxygen passageway 452 is formed in the body 354 and is in fluid communication with the oxygen passageway 406 of the first housing 355. The oxygen passageway 452 connects to an elongate oxygen tube 454 in the torch handle 350. The oxygen tube 454 connects to an oxygen outlet port 456 which defines a seat 457. The seat 457 seals against an O-ring, on an attachment, not shown in the drawing, which attachment threadably engages the cone end 352. A fuel passageway 460 is formed in the body 354 and is in fluid communication with the fuel passageway 418 of the housing 364. A barrel 500 extends from the body 354 to the cone end 352. The elongate barrel 500 surrounds the elongate oxygen tube 454 and defines an annular fuel passageway 466. The cone end 352 defines a fuel gas outlet port 480 and a seat 502. The fuel passageway 460 in the body 454 is in fluid communication with the annular fuel passageway 466 and the fuel outlet port 480. The seat 502 seals against a second O-ring on an attachment, not shown in the drawing, which attachment threadably engages the cone end 352. The flow passage for oxygen is as follows: the oxygen hose 320 connects with the oxygen hose connector 360. Oxygen flows from the hose through the elongate oxygen inlet bore 390 of the check valve subassembly 378. During normal operation of the torch, the check valve subassembly 378 is open, allowing oxygen to pass into the hexagonal bore 404 and through the tortuous pathways in the porous metal flashback arrestor 374 and into the oxygen chamber 357. The oxygen then flows through the passageway 406 past the oxygen valve assembly 358 out of the neck 362 into the passageway 452 of the body 454. The oxygen then flows through the oxygen tube 454 to the oxygen outlet port 456 which engages various types of accessory attachments, such as a cutting attachment. The flow path for the fuel gas is as follows: the fuel gas hose 322 connects to the fuel hose connector 368. The fuel gas passes from the hose through the fuel gas inlet bore 387 in the check valve assembly 386. During normal operation of the torch, the check valve subassembly 386 is open and the fuel gas passes through the hexagonal bore 416 through the tortuous pathways in the porous metal flashback arrestor 382 into the fuel gas chamber 365 of the housing 367. The gas continues to flow through the passageway 418 past the fuel valve assembly 366 out of the neck 370 into the internal passageway 460 of the body 354. The fuel gas then flows through the annular area 466 to the fuel gas outlet port 480 which engages various types of accessories, such as a cutting attachment. FIG. 22 is an enlarged section view of the first removable elongate cartridge 356. Again, the oxygen flashback assembly 372 is configured identically to the oxygen flashback assembly 36 shown in FIG. 13 except that it is positioned in a different type of torch handle. The check valve subassembly 378 includes a body 388 which defines an oxygen inlet bore 390 passing therethrough. The oxygen inlet bore 390 is in fluid communication with the oxygen hose 320. The check valve subassembly 378 is pressed to fit inside of an enlarged bore 392 which is formed on the interior of the oxygen hose connector 360. A flange 394 extends from the exterior of the body 388. This flange 394 forms a gas-tight seal with the enlarged bore 392 of the hose connector 360. Oxygen passes from the oxygen hose 320 into the oxygen inlet bore 390 of the check valve subassembly 378. During normal operation of the torch, the valve element 396 does not engage the seat 398 because the force of the gas pressure being exerted against the valve element 396 is greater than the amount of force exerted in the opposite direction by the spring 400. Like the other check valve subassemblies described herein, the subassembly 378 is designed to close when oxygen hose pressure drops to 0 PSI. During normal operation of the torch, the gas then passes through the peripheral vents as indicated by the curved flow arrows in the drawing. The gas then flows through the hexagonal bore 404 and works its way through the tortuous pathways in the porous metal flashback arrestor 374 into the oxygen chamber 357 as indicated by the flow arrows in the drawing. The oxygen then passes through an internal passageway 406 formed in the first cartridge 356 past the oxygen valve assembly 358 and into the neck 362 of the first housing assembly 356. The oxygen then enters other passageways in the body 354 of the handle 350 as described above. An elongate valve stem 410 defines a movable valve element 412 on one end and a knurl 413 on the other end. The knurl 413 receives a handle 414. A valve seat 416 encircles the passageway 406 of the first cartridge 356. The threaded valve stem 410 threadably engages a port 418 formed in a portion of the first housing 355. A packing gland 420 surrounds the elongated valve stem 410 and is held in place by a packing nut 422. The oxygen valve assembly 358 and the fuel gas valve assembly 366 are configured in an identical manner to the oxygen valve assembly 10 and the fuel gas valve assembly 8, respectively, as described herein. FIG. 23 is an end view of the torch handle 350 along the line 23--23 of FIG. 20. The oxygen valve assembly 358 is positioned towards the top of the drawing and the fuel gas valve assembly 366 is positioned towards the bottom of the drawing. Intermediate of these two valves is the body 354. The housing 355 enlarges to form a rim 369 on the end opposite from the neck 362. The circumferential lip 382 of the retainer 376 abuts a recess in the rim 369. An O-ring 381 is captured between the lip 382 and housing 355 forming a gas-tight seal to prevent oxygen from escaping from the oxygen chamber 357. The oxygen hose connector 360 extends beyond the housing 355. The body 394 of the check valve subassembly 378 fits in the bore 392 inside the oxygen hose connector 360. In the center of the body 394 is an oxygen inlet bore 390 which is in fluid communication with the oxygen hose. The second housing 367 enlarges to define a rim 353. The retainer 384 enlarges to define a circumferential lip 385 which abuts a recess in the rim 353. The check valve subassembly 379 includes a body 386 which defines a fuel gas inlet bore 387 in fluid communication with the fuel gas hose. The check valve subassembly 379 is pressed to fit inside the bore 393 inside the fuel hose connector 368. FIG. 24 is a front view of the torch handle 350 along the lines 24--24 of FIG. 20. At the top right portion of the drawing is the oxygen valve assembly 358 which is mounted on the housing 355, not seen in this view. The neck 362 of the housing 355 threadably engages the body 354. In the lower right portion of the drawing, the fuel valve assembly 366 threadably engages the housing 367, not seen in this view. The neck 370 threadably engages the body 354. A barrel 500 extends from the body 354 to the outlet end 352. In the center of FIG. 24 is the oxygen outlet port 456. Immediately adjacent the oxygen tube is the seat 457. Adjacent the circular seat 457 is a fuel gas outlet port 480, for the fuel gas. A seat 502 surrounds the opening for the fuel gas. On the exterior of the outlet end 352 is a plurality of threads 504. FIG. 25 is an alternative embodiment which can be used as either an oxygen flashback assembly or a fuel flashback assembly in either the cutting torch shown in FIG. 1 or the multi-purpose torch handle shown in FIG. 20. The flashback assembly 600 includes three primary components as follows: a retainer 602, a flashback arrestor 604 and a check valve subassembly 606. A hose connector 608 is formed on [one end] and a neck 610 is formed on the other end of the retainer. The porous metal flashback arrestor 604 is manufactured from powdered metal and is sintered to form an elongated cup-like structure. The flashback arrestor 604 is permeable to gas and forms tortuous pathways therethrough as indicated by the curved flow arrows in the drawing. The purpose of this flashback arrestor 604 is the same as those discussed herein, namely to reduce the possibility that a flamefront will pass through the arrestor 42 and migrate upstream of the torch into other components in the system the flashback arrestor can be manufactured in a number of different shapes as discussed herein which are within the scope of this invention. The retainer includes a hexagonal central bore 612 which allows gas to pass through the retainer 602 as shown by the flow arrows in the drawing. The hexagonal bore 612 facilitates easy installation and removal of the flashback assembly 600 with an allen wrench from the body of a cutting torch or multi-purpose handle. A shoulder 614 is formed adjacent the neck 610 of the retainer 602. The porous metal flashback arrestor 604 is sized and dimensioned to abut the shoulder 614 and is pressed to fit on the neck 610. This press fit forms a gas-tight seal between the retainer 602 and the open end 616 of the porous flashback arrestor 604. The check valve subassembly 606 is pressed to fit or can be threaded in the bore 618 of the retainer 602. The check valve subassembly 606 forms a gas-tight seal with the bore 618. A circumferential flange 619 is formed near the center of the retainer 602 adjacent an O-ring groove 620 which receives O-ring 622. A plurality of threads 624 encircles the outer diameter of the retainer 602 and threadably engages the body of the cutting torch or the housing of a multi-purpose handle holding the flashback assembly 600 in place. The purpose of the O-ring 622 and the circumferential flange 619 is to provide a gas-tight seal to prevent either the escape of oxygen or fuel gas from the body or housing. The check valve subassembly 606 includes a resilient moveable valve element 630, a spring 632 and an outlet port 634. The valve element 630 engages a seat 634 formed in the bore 600. In this figure, the check valve subassembly is shown in the open position. Gas passes from a hose, not shown in this figure, through the hexagonal bore 612, past the seat 634 and the valve element 630, through the outlet port 634 and through the flashback arrestor 604. When there is no gas pressure in the hexagonal bore 612, the spring 632 causes the moveable valve element 630 to move into engagement with the seat 636 to reduce the possibility of reverse gas flow from the torch or multi-purpose handle into the hose. While the foregoing is directed to the preferred embodiment of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims which follow.
In a torch which uses oxygen and a fuel gas, a first flashback assembly and a second flashback assembly are formed as an integral part of the torch handle. Each flashback assembly includes three primary components as follows: a porous metal flashback arrestor, a retainer and a check valve subassembly. In the preferred embodiment, the porous metal flashback arrestor is mounted on one end of the retainer and the check valve subassembly is held in the other end. In an alternative embodiment, the check valve subassembly is positioned on the interior of the porous metal flashback arrestor. Each flashback assembly can be easily removed and replaced during periodic reconditioning of the torch. The flashback assemblies utilize a parts-in-place principle which precludes operation of the torch unless both flashback assemblies are installed in the torch handle. The porous metal flashback arrestor is designed to reduce the possibility of migration of a flashback upstream from the torch. The check valve subassemblies are designed to reduce the possibility of reverse flow of gas upstream from the torch. This invention does not prevent flashback from occurring; however, it does reduce the possibility of a flashback migrating from the torch into the hose or other components in a typical oxy-fuel cutting, heating, soldering, brazing or welding system.
STATEMENT OF GOVERNMENT INTEREST The invention described herein may be manufactured and used by or for the Government for governmental purposes without the payment of any royalty thereon. BACKGROUND OF THE INVENTION This invention relates to a coupling-decoupling apparatus and, more particularly, to a reusable mechanical apparatus for coupling a first body and a second body, and for automatically decoupling, by non-explosive means, the coupled first and second bodies while they are under a tension load. Coupling and decoupling (i.e., uncoupling) apparatuses are well known. Equally well known is the fact that is is conventional to decouple two coupled bodies, that are under a tension load, by using explosive means. The two obvious and major disadvantages of known prior art decouplers are: the decoupler is not reusable (i.e., it is blown to bits by the explosive); and, the explosives used, and the resulting flying exploded bits of decoupler, are per se inherently dangerous. My inventive non-explosive, reusable coupler-decoupler (hereinafter referred to as my "mechanical decoupler") obviates these long-standing disadvantages of the prior art; and, thereby, significantly advances the state-of-the-art. SUMMARY OF THE INVENTION This invention pertains to a mechanical decoupler. An object of this invention is to teach the structure of a preferred embodiment of the inventive mechanical decoupler. Another object of this invention is to permit the decoupling of two coupled bodies, under a tension load, without the use of any explosives. Still another object of this invention is to provide a reusable mechanical coupler. These objects, and other related objects, of this invention will become readily apparent after a consideration of the description of the invention, together with reference to the contents of the drawing. DESCRIPTION OF THE DRAWING The drawing is a side elevation view, partially schematic, partially pictorial, partially in cross section, partially fragmented, and in simplified form, of a preferred embodiment of my inventive mechanical decoupler. DESCRIPTION OF THE PREFERRED EMBODIMENT With reference to the drawing, shown therein in simplified form and principally in cross section is a side elevation view of a preferred embodiment 10 of my inventive decoupler. To better orient and assist the reader the directional designations "fore" (i.e., forward), "aft" (i,e, rearward), "up" and "down" are shown, together with their respective arrows. As shown in the drawing, the preferred embodiment 10 comprises: a load-carrying (i.e., load-bearing) component 20, connected to the second body 100 to be coupled and decoupled with the load-carrying component 20 having an opening 21 therein and therethrough; means (generally designated 30), connected to the second body 200 to be coupled to and be decoupled from first body 100, for passing through the opening 21 in the load-carrying component 20, with the means 30 including a shaft (hereinafter referred to as a "probe") 31 that is dimensioned and is configurated to enter into and pass through the opening 21 in the load-carrying component 20; a release plate 40 having an opening 41 therein and therethrough, with the release plate 40 disposed so that the opening 41 surrounds the probe 31, and with the release plate 40 abutting the load-carrying component 20; means (generally designated 50) for transmitting and carrying a tension load from the probe 31 to the release plate 40 and, thereby, to the load-carrying component 20; means (generally designated 60) for releasably engaging and holding the probe 31, with this means 60 pivotally connected to the first body 100; and, an interface element 70 interposed between the means 60 for releasably holding the probe 31 and the means 50 for transmitting and carrying the tension load (from the probe 31 to the release plate 40 and to the load-carrying component 20). More specifically, and as can be seen in the drawing: The probe 31 has a first end 32 and a second end 33, with the first end 32 having a plurality of peripheral notches, grooves, or the like (preferably two of them), such as representative ones 34 and 35, and with the second end 33 connected to the second body 200. The means 60 for releasably engaging and holding the probe 31 also includes: a lever-arm like element (generally designated 61) having a first end 62 and a second end 63, with the first end 62 pivotally connected by suitable means, such as pivot 64, to the first body 100; means 65 for biasing the second end 63 of the lever-arm like element 61, with such means 65 preferably including or consisting of a spring, such as 66; and, means (generally designated 67) for releasably securing the biasing means 65, with such means 67 preferably including a taut cable 68 having a first end 68A and a second end 68B, with the second end 68B connected (by suitable means, such as the nut-and-bolt assembly shown) to the lever-arm like element 61, preferably at the second end 63 thereof, and with the first end 68A of the taut cable 68 connected to the first body 100 and remotely and selectively disconnectable from the first body 100 by suitable conventional means, generally designated 69. The means 50 for transmitting and carrying a tension load from the probe 31 to the release plate 40 and, thereby, to the load-carrying component 20 includes a plurality (preferably two) of wedge-shaped elements, such as representative ones 51 and 52, with each of the wedge-shaped elements having an internal surface (such as 51A for element 51 and 52A for element 52) with a plurality (preferably two) of protrusions (such as 53A and 53B for surface 51A of element 51, and 54A and 54B for surface 52A of element 52) projecting therefrom that are complementary to and are mating with the peripheral notches 34 and 35 in the first end 32 of probe 31 (e.g., protrusions 53A and 54A are complementary to and mate with, peripheral notch 34). It is to be noted that each of the wedge-shaped elements, such as 51 and 52, also have respective external surfaces 55 and 56, with each of these external surfaces having a plurality (preferably two) of notches (such as representative ones 57A and 57B for surface 55, and 58A and 58B for surface 56). The release plate 40 has an aft surface 42 and a rearwardly inclined fore (i.e., front) surface 43, with the fore surface 43 abutting with the wedge-shaped elements 51 and 52 of the tension load transmitting and carrying means 50, and with the aft surface 42 abutting the load-carrying component 20. It is here to be noted that the first end 62 of the lever arm-like element 61 of the means 60 for releasably engaging and holding the probe 31 houses, and is connected to, the interface element 70. That interface element 70 preferably is annular-shaped, has an internal surface 71 with a plurality (preferably two) of protrusions, such as representative ones 72 and 73, that are complimentary, respectively, to the notches 57A and 58A (for protrusion 72) and notches 57B and 58B (for protrusion 73) on the external surfaces 55 and 56 of wedge-shaped elements 51 and 52. Additionally, the interface element 70 is slidably movable in an aft direction at (and with) a selective aft movement of the lever arm-like element 61. MANNER OF OPERATION OF THE PREFERRED EMBODIMENT Assuming that the first body (the forwardly disposed body) 100 and the second body (the rearwardly disposed body) 200 are coupled as shown in the drawing and are under a tension load (e.g., the first body 100 is pulling the second body 200, or second body 200 is pushing first body 100), then the manner of operation (i.e., the non-explosive, automatic decoupling) of my inventive preferred embodiment 10 can be ascertained very easily by a person of ordinary skill in the art from the foregoing description, together with reference to the contents of the drawing. For others, it is sufficient to say in explanation that, while the bodies 100 and 200 are coupled as shown and are under a tension load, the first end 68A of the taut cable 68 is released remotely by the use of means 69, thereby slackening cable 68. This causes spring 65 to move lever arm-like element 61 aft (i.e., to the reader's right), so that the element 61 pivots around pivot 64. The further and farther aft pivotal moment of element 61 causes the interface element 70 to move aft (i.e., to the reader's right), so that the protrusions 72 and 73 are in alignment with the complimentary notches 57A and 58A, and 57B and 58B, of wedge-shaped elements 51 and 52. Simultaneously, the tension load is removed or released by suitable means, thereby causing the wedge-shaped elements 51 and 52 to move out of peripheral notches 34 and 35 of probe 31 and to ride up on and along the rearwardly inclined fore surface 43 of the release plate 40, and thereby releasing probe 31 and decoupling bodies 100 and 200. CONCLUSION It is abundantly clear from all of the foregoing, and from the contents of the drawing, that the sated desired objects, and other objects (such as low cost of manufacture, relative structural simplicity, compactness, and light weight), of my invention have been attained. It is to be noted that, although there have been described the fundamental and unique features of my invention as applied to a particular preferred embodiment, various other embodiments, adaptations, substitutions, additions, omissions, and the like, may occur to, and can be made by, those of ordinary skill in the art, without departing from the spirit of my invention.
An apparatus for automatically decoupling two bodies that are coupled and are under a tension load. Unlike the prior art, the decoupling is actuated and accomplished entirely mechanically, and without the use of any explosives; and, the apparatus is reusable.
FIELD OF THE INVENTION This invention relates to reagents and instruments used to measure the quantity of analytes in biological samples by the reaction of the analytes with reagents to produce a detectable response. BACKGROUND OF THE INVENTION Depositing Liquids on Reagent-Containing Substrates Many instruments have been developed to measure the quantity of analytes in biological samples, for example urine, blood, salvia, or extracts of mucus or tissue. Typically, a sample liquid is applied to a surface containing reagents that react with the analyte. The reagents produce a detectable response that is measured and related to the amount of the analyte. The surface usually will be either hydrophilic or hydrophobic in nature, e.g. filter paper compared to polystyrene. Some devices use combinations of surfaces, such as urinalysis strip tests that use hydrophilic filter paper pads on top of a hydrophobic polystyrene handle. In the typical test, a strip containing unreacted reagents is dipped, i.e. fully immersed in a liquid sample, and the reaction between the analyte in the sample and the reagents is measured, usually by optical methods. The unreacted reagents themselves may be water soluble or insoluble. They are deposited or immobilized and dried in a porous substrate. The substrate is attached or placed onto a supporting surface. Additionally, a liquid with or without reagents can be used during an assay. The liquid reagents can be applied to the surfaces of substrates already containing dried reagents, before, after or during the reaction with the analyte, typically being added after a sample has been applied. The volume of samples and reagents should be as small as possible for obvious reasons relating to cost and convenience. What is less obvious is that it is often difficult to obtain a uniform and accurate response when applying small amounts of liquid reagents or biological samples to surfaces containing reagents. The response of the analyte with reagents is reduced when the reaction area is smaller and when less analyte is present. The substrate can be used to amplify the reaction response. Thin films, e.g. membranes, can be immobilized with affinity reagents to allow capturing and concentration of reactants in read zones. Directing flow of liquids in a desired direction, e.g. laterally rather than vertically, can increase efficiency by increasing the number of fluidic exchanges between the liquid sample or reagent and the reaction zone. Each exchange allows further reaction of the analyte to occur, thereby amplifying the signal. Modification of the surface of the substrate allows reagents to be isolated in the reaction zone. Further, the nature of the surface itself can be used to increase the reactivity of the analyte, for example by increasing solubilization of reagents or to favor reactions with reagents on the surface. Most biological samples and liquid reagents will have a significant water content and thus will be compatible with hydrophilic substrates and incompatible with hydrophobic surfaces. The sample and reagent liquids when dispensed spread rapidly across hydrophilic substrates and are repelled by hydrophobic substrates. The contact between the dispensed liquid and the reagents on the surface is made by direct dispensing onto the reacted or partially reacted area. However, when substrates are relatively hydrophobic, the dispensed liquid will form beads on the surface of the substrate that attempt to minimize their contact with the surface and therefore they do not spread uniformly over the reagent. Another difficulty associated with dispensing liquids is that the dried reagents may be either water soluble or water insoluble in nature. The insoluble dry reagents may not be readily accessible to the liquid samples, or soluble reagents may be dissolved and move with the liquid on the substrate. The reagents ideally should contact the sample uniformly, since the measurable response of the reagents to the sample, e.g. color development, should be uniform in order to obtain an accurate reading of the quantity of the analyte in the sample. Another problem related to obtaining good contact between a dispensed liquid and a reagent on a surface is related to the physical nature of the samples. They vary in their physical properties such as surface tension, viscosity, total solids content, particle size and adhesion. Therefore, they are not easily deposited in consistent volumes uniformly over the reagent-covered substrate. Also, as the amount of the liquid sample is reduced, it becomes increasingly difficult to apply a consistent amount of a sample having varying properties to the reagents. In contrast, ink jet printing and the like rely on liquids developed for such uses and having consistent physical properties. Deposition of droplets of liquid is a familiar operation. Examples include the ink jet-printer, either piezoelectric or bubble actuated, which forms print from the controlled deposition of multiple small droplets of about 2 to 300 μm diameter (typically 50 μm) containing from a few femtoliters to tens of nanoliters. Other methods of depositing small droplets have been proposed, which generally employ piezoelectric principles to create droplets, although they differ from typical ink jet printers. Examples are found in U.S. Pat. Nos. 5,063,396; 5,518,179; 6,394,363; and 6,656,432. Deposition of larger droplets (3-100 μL) through a syringe type pipette is known to be reproducible in diagnostic systems. Such pipettes produce single droplet diameters of about 2 to 6 mm. A commercial example of such pipette systems is the CLINITEK ALTAS® urinalysis analyzer. The droplet size can be greater or less than the nozzle size depending on the nozzle shape, pump type and pressures applied. The problems discussed above are particularly observed when a liquid sample is dispensed as droplets onto a reagent-containing pad. It has been found that the interactions of the pad's surface and the reagents were creating inaccurate responses when the sample was added as a droplet, rather than completely covering the reagent pad by immersing the reagent pad (dipping it) into the sample liquid. Large droplets on the order of 3 to 100 μL do not transfer into the reagent when the substrate is too hydrophobic and form a bubble on the surface. They overwhelm the reagent with excess fluid if the surface is hydrophilic. Smaller droplets, of a few femtoliters to tens of nanoliters, can also be a problem when deposited on a substrate that is too hydrophobic as they lack the volume to completely cover the surface area and will randomly aggregate in non-uniform patterns. Small drops also allow open spaces for migration of water-soluble reagents. These tiny droplets are also prone to evaporation of liquids and to formation of aerosols, which are considered to be biohazardous if comprised of urine or blood specimens. Thus, if a liquid is to be deposited as droplets on test pads, rather than dipping the pads in the sample, improvements have been needed. After contact between dispensed liquids and reagents is complete, the results may be read using one of several methods. Optical methods are commonly used, which rely on spectroscopic images to produce responses. Results must be reproducible to be useful. Optical measurements are affected by the reagent area viewed and by the time allowed for the dispensed liquids and reagents to react. Formation of non-uniform areas within the field of view and changes in the amount of reaction time cause increased errors. For example, a measurement made of a sample or reagent which has spread non-uniformly across the substrate gives a different result each time it is read. In co-pending U.S. patent application Ser. No. 11/135,928, published as U.S. 2006/0263902 A1, commonly assigned with this application, the inventors reported their methods of depositing biological fluids and reagents as fine droplets onto reagent-carrying substrates. They demonstrated that the reagent-carrying substrates behaved differently, depending on the water solubility of the reagents and the surface energy of the substrate, that is, whether the reagent-carrying substrates were hydrophilic or hydrophobic. Depositing large droplets, e.g. 1.7-20.4 μL, was shown to provide less accurate results than when small droplets of about 50 pL to 1 μL were deposited on reagent-carrying surfaces. The inventors also found that small droplets were absorbed by the hydrophobic substrates, while large droplets were not readily absorbed. Water soluble reagents were shown to dissolve and move with a liquid as it spreads on a reagent-carrying surface. The inventors found that the non-uniform reagent response which such movement caused could be moderated by depositing small droplets. Depositing of small droplets was done either by nozzles having many small openings or by single nozzles, which could be moved relative to the reagent-carrying substrate, or vice versa, to cover the desired area. The reaction of liquid samples with reagents on the substrate could be read as an average of the area covered by the sample or preferably by scanning the reaction area one spot at a time and averaging the results. During further development of the methods described in published application 2006/0263902 A1, some problems were discovered, which resulted in the improved methods to be described below. It has been found that, even after the problems just discussed have been overcome by proper design of the dispensing device, measuring the amount of an analyte in a biological sample may not give the repeatability that one would like. Small sample volumes produce reduced response from the reactions and diluting the sample with liquid reagents magnifies the problem. The present inventors have found that such problems can be overcome, making significant improvements in the accuracy and repeatability of results. In particular, it was found that improved results could be obtained by dispensing droplets in timed amounts and patterns. SUMMARY OF THE INVENTION The invention, in one aspect, is an improved method of assaying for the amount of an analyte contained in a biological fluid. The method comprises dispensing of two or more fractions of a sample of a biological fluid in droplets having diameters in the range of 0.05 to 1 mm onto or adjacent to a reagent containing porous substrate. The sample fractions are dispensed during predetermined periods of time, separated by periods when no dispensing occurs. Such a procedure allows reactions between the biological fluid and the reagents to occur, to permit dispensing of other liquids, or to allow reading of the results of reactions which have occurred. Alternatively, a biological fluid may be dispensed onto a substrate, followed by reagents dispensed in small droplets for predetermined periods of time, separated by periods when no dispensing occurs. DESCRIPTION OF THE PREFERRED EMBODIMENTS Definitions The following terms used herein are defined as follows: “Spectroscopic image” refers to a detailed view of the optical response of a reagent-containing area to a biological sample deposited on the reagent-containing area, for example using a change in color, reflectance, transmission or absorbance or others such as Raman, fluorescence, chemiluminescence, phosphorescence, or electrochemical independence spectroscopy which enables examination of sub-units of the entire reagent-containing area. The image can be multi-dimensional with position (i.e. x-y) being added to the optical response. “Hydrophilic” surfaces are those that have a less than 90° contact angle between the surface and a drop of water placed thereon. “Hydrophobic” surfaces are those that have a 90° or larger contact angle between the surface and a drop of water placed thereon. “Figure of Merit (FOM)” is a calculated measure of performance in which the mean difference between results obtained from samples with and without an analyte present is divided by the square root of the sum of the squares of standard deviations, the results comparing samples without the analyte and samples containing the lowest analyte concentration that can be detected. “Coefficient of Variation (CV)” is a measure of the dispersion of a probability distribution, calculated as the ratio of the standard deviation of a set of data divided by the mean of the data. Interaction of Liquids with Porous Substrate The present invention provides improved control of reactions occurring on the surface and within porous substrates (“pads”), which contain dried reagents. The reactions result from the interaction between a sample liquid and a reagent-containing pad. When a liquid sample containing an unknown amount of an analyte contacts a reagent-containing pad, the liquid must dissolve the reagent so that the reaction with the analyte can occur, which produces a detectable result e.g. a distinctive optical signal such as color, which is detected by spectrographic means. The speed at which the reaction occurs and the extent to which the result is detectable is affected by a number of factors. Such factors include the accessibility of the reagent, its solubility in the liquid, and the relative amounts of the reagent and the liquid in the region in which the liquid is placed. For example, a single drop of liquid may be effective if it is confined to a small area so that it can dissolve the necessary amount of reagent and achieve a detectable result. However, if the drop spreads over a large area the reagent may not be efficiently dissolved and the reaction may not produce a detectable result. Thus, uniform and adequate deposition of liquids on a porous pad is important if consistent and accurate results are to be obtained. Likewise, the characteristics of the pad, e.g. its hydrophobicity/hydrophilicity, its porosity and capillarity, and its thickness are also factors which determine the assay's results. In practice, one skilled in the art finds that the physical characteristics of the pad itself, the reagents, and the sample liquid all must be considered in designing a useful assay system. In the present invention, the timed application of sample liquids, and other liquids if used, provide improved control of the interaction of the liquids with the reagent-containing pad to provide increased accuracy and uniformity of results. Depositing Liquid Samples In many assays, reagents are placed in porous substrates or “pads” and the substrates in strip form are dipped into the biological fluid being tested. Although such assays are useful, they are not necessarily as accurate or repeatable as desired. It was previously shown that depositing large sample droplets (i.e. 17 μL to 20.4 μL) was not as satisfactory as dipping strips in liquid. However, small droplets (i.e. 50 pL to 1 μL) provided superior results in an array of biological assays. Two types of dispensing nozzles have been previously described. In the first, a single nozzle is used to dispense a sequence of single droplets onto the reagent-containing substrate. Either the nozzle or the substrate would be moved to provide uniform coverage in the desired area. The second type of nozzle used a plate drilled with a series of holes so that multiple sequences of droplets could be dispensed at one time. In either type, the smallest droplet size was considered to about 50 pL, which would be associated with hole diameters of about 45-50 μm. The nozzles could be operated by pressure from various sources. Using piezo actuators was one preferred method of dispensing the small droplets, which can dispense droplets at rates up to 150,000 drops per second. Dispensing Problems Despite the improved performance of assays in which samples of biological fluids were dispensed in small droplets, it was found that the reaction between the sample liquid and the reagents on the pad often produced a less intense result, when compared with dipping of the pad in the sample. This could be overcome by depositing greater amounts of the sample, but that required longer dispensing time and used a greater area. Since the objective of this method was to obtain better results while using less reagents in shorter times, an improved method was sought. In addition, supplying a biological fluid as a series of small droplets was found to affect the reaction kinetics in some types of assays. That is, access of the liquid to the reagents was adversely affected, so that the period of time after dispensing the sample before the results could be read was increased. It was found that dispensing small sample droplets in groups, separated by intervals when no droplets were dispensed, increased the development of an optical response, e.g. color, resulting from the reactions of the analyte in the sample liquid and the reagents in the porous substrate. This method is shown in the following example. EXAMPLE 1 An assay for hemoglobin was carried out using a reagent capable of measuring peroxidatively active substances, as described in U.S. Pat. No. 5,318,894, deposited on cellulose filter paper as the substrate. A sample of urine or phosphate buffer containing 0.045 mg/dL hemoglobin was deposited from a capillary piezo dispenser in sets of 500 100 pL droplets at a rate of 85,000 drops per second and separated by short intervals of 0.75 to 3 sec. The color developed was measured by a CCD camera, was read by appropriate software and is reported as reflectance (R). Lower values of reflectance indicate greater color development. The results are requested in the following table. Ave color No. of Interval @660 nm droplets Sets (sec) (R) Std. Dev. (R) % CV 2000 1 0 0.66 0.02 3.6 500 4 0.75 0.48 0.02 4.4 500 4 3 0.44 0.04 7.8 One can conclude that dispensing the same number of sample droplets, but in a series of sets of droplets and separated by short intervals with no dispensing, provides increased color development, i.e. lower R values, and therefore more accurate results. This method is particularly useful where the analyte concentration is low and must be amplified by the detection method. In this case, the indicator or signal generating reagents are water-insoluble tetramethylbenzidine and the analyte is detected in mM amounts after catalytic reaction with other ingredients. Also, it was generally found that using a multi-hole piezo dispenser gave better results than using a single nozzle dispenser. When a sample liquid is dispensed in a series of droplet sets, it becomes possible to make readings of the reagent-analyte reaction during the intervals when dispensing has paused. Doing so provides an opportunity to optimize the readings. As shown in the following example, added readings can improve the accuracy of the results, particularly when the amount of the analyte in the sample fluid is low. EXAMPLE 2 An assay for protein in phosphate buffer or urine was carried out using the reagent described in U.S. Pat. No. 5,424,215 and deposited on a glass, cellulose, polymer substrate. A sample of phosphate or urine containing from 0-1000 mg/dL albumin as the protein was deposited from a capillary piezo dispenser in sets of 500 droplets of 100 pL at a rate of 60,000 drops per second and separated by intervals of 15-120 seconds. The sample fluid contained five protein concentrations ranging from 0-10 mg/dL to 300-1000 mg/dL of protein. The results are shown in the following table, in which a Figure of Merit (FOM) was calculated for each reading period from measurements made by using a CCD camera and interpreted by appropriate software. FOM Reading Protein Concentration, mg/dL Interval, sec 0-10 10-30 30-100 100-300 300-1000 15 3.85 9.44 6.98 5.23 11.00 60 17.49 17.49 14.33 5.96 12.57 90 20.67 18.02 14.96 3.87 10.11 120 20.20 12.77 12.83 2.03 8.40 The FOM values indicate the relative accuracy of the results at the analyte (protein) concentrations tested. Higher FOM values are more desirable. One can conclude from these data that when the concentration of the analyte is low to medium a longer interval between dispensing periods provides more accurate results. However, when the analyte concentration is high, extending the interval between dispensing periods has less effect and shorter intervals are satisfactory. In commonly assigned patent application US 2006/0263902 A1 it was shown that in a urinalysis for glucose content the Figure of Merit was improved when a urine sample was followed by dispensing of an equal volume of a pH 6.5 phosphate buffer. The improvement was believed to be related to the dilution of the sample since the chloride content inhibited the reaction of the glucose reagent. This result was further examined in the experiments reported in the following example. EXAMPLE 3 An assay for glucose in urine was carried out using the reagent as described in U.S. Pat. No. 3,814,668 deposited on cellulose paper. Samples of urine containing 0-2000 mg/dL of glucose were dispensed as 250 droplets (50 pL) alone or accompanied by 50 pL droplets of water at a rate of 85,000 drops per second. The results were measured by using a CCD camera and read by appropriate software. They are shown in the following table. Color Values Glucose Conc., mg/dL Sample 0 100 250 500 1000 2000 Urine, high sp · g 45 62 75 83 90 94 Urine, ave sp · g 45 70 83 89 93 96 Urine, low sp · g 45 82 91 97 98 98 Water 45 80 88 94 97 97 1 urine/1 water 45 80 88 95 97 97 One can conclude from these results that dilution of the urine sample with water gave essentially the same results as were obtained with water alone as a carrier for glucose. However, the effect of specific gravity among the urine samples was large. It was found in other experiments that dispensing the urine sample first, followed by the diluent gave better results than when the diluent was dispensed first, then the sample. EXAMPLE 4 A dry reagent pad for protein in phosphate buffer or urine was carried out using the reagent described in U.S. Pat. No. 5,424,215 except the albumin indicator dye was omitted. A liquid dye solution was made by dissolving 3 mM of albumin indicator dye in 80% ethanol-water. The dry reagent pad sample was dipped into a urine sample containing from 0-1000 mg/dL albumin which covered the entire pad area with urine. The pad was placed into the reader and the liquid dye solution was deposited from a capillary piezo dispenser in four sets of 500 droplets of 100 pL at a rate of 60,000 drops per second and separated by intervals of 15 seconds. A second test was made with a new pad; however the dye solution was deposited from a capillary piezo dispenser in one set of 2000 droplets of 100 pL at a rate of 60,000 drops per second, without being separated by intervals when no solution was dispensed. The results are shown in the following table, in which a Figure of Merit (FOM) was calculated for each reading period from measurements made by using a CCD camera and interpreted by appropriate software. FOM Reading Protein Concentration, mg/dL Interval, sec 0-10 10-30 30-100 100-300 300-1000 15 sec 13.2 16.4 12.3 7.6 11.9 separation interval No 7.3 6.4 9.1 3.0 6.3 separation interval The FOM values indicate the relative accuracy of the results at the analyte (protein) concentration tested. Higher FOM values are more desirable. One can conclude from these data that the use of the separation interval improves the data and that the sample can be placed on the pad and only the liquid reagent dispensed. As shown in the examples, dispensing a biological sample in increments, separated by periods when the sample is not being dispensed, allows time for reaction with the reagents on the substrate surface, creating increased generation of the color or other detectable result. That is, dispensing all the biological sample at once is less effective. It can be concluded that the improved results are related to the use of very small droplets, (i.e. 0.1 to 1 mm diameter) which appear to react differently with reagent-containing substrates than do more conventional large droplets or dipping the substrate in the biological sample. This increase in signal generation is important in situations where the concentration of the analyte is low. It also has been found that depositing small droplets confines the sample liquid on the surface of the substrate so that distinct spots can be seen, separated by unreacted areas. These are not necessarily easily visible to the naked eye, but are seen by the CCD camera used in these examples to provide a spectrographic image of the substrate surface. The unreacted areas have been found to have an unexpected advantage. They provide a reference color, which is detected by the CCD camera and makes possible a more accurate reading of the detectable result since the contrast with the unreacted areas is immediately available in the spectroscopic image. While the type of substrate used will depend on the assay being run, it will be evident that such substrates may be used in various formats, including strips, cassettes, cards and the like. All of these formats will have a reagent-containing substrate positioned to receive a direct dispensing of small droplets (0.1 to 1 mm diameter) onto the substrate in patterns which provide optimal spectrographic image of the reacting surface.
Assays in which samples of biological fluids are dispensed onto reagent-containing porous substrates are improved in the accuracy and repeatability by dispensing the biological fluids in two or more fractions thereof, separated by intervals in which the biological fluid is not dispensed. Reagents and other fluids may be dispensed during the intervals when the biological fluid is not dispensed. Alternatively, reagents and other fluids may be dispensed in a similar manner onto substrates already containing biological fluids.
This is a continuation of application Ser. No. 08/414,364, filed Mar. 31, 1995, now abandoned. BACKGROUND OF THE INVENTION 1. Field Of The Invention This invention relates to microprocessor controlled storage devices such as flash EEPROM memory arrays, and more particularly, to methods and apparatus for minimizing power consumption in such storage devices. 2. History Of The Prior Art Recently, microprocessor controlled storage devices such as flash EEPROM memory arrays have been used as a new form of long term storage. A flash EEPROM memory array is constructed of a large plurality of floating-gate metal-oxide-silicon field effect transistor devices arranged as memory cells in typical row and column fashion with circuitry for accessing individual cells and placing the memory transistors of those cells in different memory conditions. Such memory transistors may be programmed by storing a charge on the floating gate. This charge remains when power is removed from the array. The charge level may be detected by interrogating the devices. These arrays may be designed to provide a smaller lighter functional equivalent of a hard disk drive which operates more rapidly and is not as sensitive to physical damage. Flash EEPROM memory arrays are especially useful in portable computers where space is at a premium and weight is extremely important. In order to allow flash EEPROM memory arrays to accomplish the storage functions normally accomplished by electro-mechanical hard disk drives, one type of flash memory manufactured by Intel Corporation of Santa Clara, Calif., includes a microprocessor which controls many of the functions of the storage device such as loading commands and data which are used by the storage device for accomplishing various housekeeping, setup, and other functions. The same storage device also includes hardware apart from the microprocessor which is especially designed to respond to the commands and utilize the data to accomplish the various read, write, and erase functions necessary to the storage of data using the devices. Power must be supplied for operating all of these components. Although these memory arrays were initially designed for use in portable computers, their desirable characteristics has allowed their use to expand into other forms of digital devices such a desktop computers and personal digital assistants (PDAs). To a great extent, this expansion has occurred along with the expansion of the PCMCIA bus into these other forms of digital devices. One problem created by this expansion has been that the sources of power available in these different uses vary widely. Thus, with PDAs and very small portable computers, a few double A batteries may supply the power; while desktop computers may provide very large amounts of power. It is desirable to allow any storage device designed for portable, PCMCIA, or removable use to function with as many different types of digital systems as possible. However, in order to accomplish this, it is necessary that the storage device be capable of utilizing as little power as possible when functioning with low powered systems. To accomplish this, it is desirable to allow such storage devices to function at the lowest level of power possible. SUMMARY OF THE INVENTION It is, therefore, an object of the present invention to provide apparatus and a method for minimizing the power used by microprocessor controlled storage devices. It is another object of the present invention to reduce the power used by components of flash EEPROM memory arrays during the process of reading, writing, and erasing the memory arrays. These and other objects of the present invention are realized in a flash EEPROM memory array by circuitry and a method for detecting when operations of a storage component of the array are taking place, and an arrangement for stopping the operation of a microprocessor controlling the operation of the array during a period in which the storage functions are being accomplished. These and other objects and features of the invention will be better understood by reference to the detailed description which follows taken together with the drawings in which like elements are referred to by like designations throughout the several views. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a block diagram of a computer system which may utilize the present invention. FIG. 2 is a block diagram of a flash EEPROM memory array constructed in accordance with the present invention. NOTATION AND NOMENCLATURE Some portions of the detailed descriptions which follow are presented in terms of symbolic representations of operations on data bits within a computer memory. These descriptions and representations are the means used by those skilled in the data processing arts to most effectively convey the substance of their work to others skilled in the art. The operations are those requiring physical manipulations of physical quantities. Usually, though not necessarily, these quantities take the form of electrical or magnetic signals capable of being stored, transferred, combined, compared, and otherwise manipulated. It has proven convenient at times, principally for reasons of common usage, to refer to these signals as bits, values, elements, symbols, characters, terms, numbers, or the like. It should be borne in mind, however, that all of these and similar terms are to be associated with the appropriate physical quantities and are merely convenient labels applied to these quantities. Further, the manipulations performed are often referred to in terms, such as adding or comparing, which are commonly associated with mental operations performed by a human operator. No such capability of a human operator is necessary or desirable in most cases in any of the operations described herein which form part of the present invention; the operations are machine operations. Useful machines for performing the operations of the present invention include general purpose digital computers or other similar devices. In all cases the distinction between the method operations in operating a computer and the method of computation itself should be borne in mind. The present invention relates to a method and apparatus for operating a computer in processing electrical or other (e.g. mechanical, chemical) physical signals to generate other desired physical signals. DETAILED DESCRIPTION Referring now to FIG. 1, there is illustrated a block diagram of a digital system 10 configured in accordance with one embodiment of the present invention. The present invention has application in any system, including a computer system, utilizing microprocessor controlled storage devices such as flash EEPROM memory arrays. The system 10 illustrated includes a central processing unit 11 which executes the various instructions provided to control the operations of the system 10. The central processing unit 11 is joined by a processor bus to a bridge circuit 14 which controls access to an input/output bus 12 adapted to carry information between the various components of the system 10. The bridge 14 is also joined by a memory bus to main memory 13 which is typically constructed of dynamic random access memory arranged in a manner well known to those skilled in the prior art to store information during a period in which power is provided to the system 10. In FIG. 1, the bus 12 is preferably a Peripheral Component Interconnect (PCI) bus or other local bus adapted to provide especially fast transfers of data. This bus is chosen for the illustration of FIG. 1 in order to illustrate different positions at which devices designed in accordance with the present invention may be joined to the system utilizing a PCMCIA bus. In a typical system 10, various input/output devices are connected as bus master and bus slave circuits to the bus 12. In the present illustration, however, rather than being positioned directly on the bus 12, long term memory 15 may be joined to the PCI bus 12 via a PCMCIA bridge circuit 16 and a PCMCIA bus 17, each of which is designed in accordance with the specifications of the Personal Computer Memory Card International Association (PCMCIA), or by other circuitry for allowing portable or removable use. Alternatively, long term memory 15 may be joined to the system 10 through a PCMCIA bridge circuit 18 and a PCMCIA bus 17 which are joined to secondary bus 19 which is in turn joined to the PCI bus 12 by a PCI to secondary bridge circuit 20. The secondary bus circuit might be of a number of well known types such as an Industry Standard Association (ISA) bus or an Extended Industry Standard Association (EISA). Other methods of connection to digital systems utilizing PCMCIA buses are well known to those skilled in the art and may be used in practicing the present invention. Rather than the electro-mechanical hard disk drive which is typically used for long term memory, a flash EEPROM memory array may be used as the long term memory 15. Such a flash EEPROM memory array may be a single bit or a multi-level memory system (a memory system in which a memory cell may store more than one binary bit) and may include circuitry for controlling the operation of the memory array including all of the operations associated with reading, programming (writing), and erasing the memory array. FIG. 2 illustrates in block diagram form a long term memory system 15 such as that illustrated in FIG. 1. In the present case, the memory system 15 is a microprocessor controlled flash EEPROM memory array. The memory system 15 is joined to the bus 12 through an interface 21. The interface 21 provides appropriate circuitry for decoding signals furnished on the PCMCIA bus to a logic circuit 22. In one embodiment, the logic circuit 22 is an application specific integrated circuit (ASIC) which provides logic for implementing and coordinating the various operations of the flash memory system 15 such as reading, writing, erasing, and determining the status of the various portions of the flash EEPROM memory array. The logic circuit 22 functions as a command center and controls operations occurring in a plurality of flash EEPROM chip pairs 23 via a flash bus 24 so that those chips function together as a long term memory system. The logic circuit 22 is assisted in its operations by a microprocessor 28 which is associated on a microprocessor bus 25 with random access memory 26, and read only memory 27. For the purposes of the present invention, the microprocessor 28, random access memory 26, and read only memory 27 function essentially as a general purpose processor in a manner well known to those skilled in the art. The microprocessor 28 is used, among other things, for implementing commands to the memory system 15 for initiation of various operations of the array. The read only memory 27 is utilized in one embodiment to store processes utilized in minimizing the power required by the memory system 15. In one embodiment, each pair of flash EEPROM memory chips 23 includes thirty-two blocks of memory transistors. Each chip pair 23 includes a flash EEPROM memory array which in one embodiment includes thirty-two individual blocks each including sixty-four kilobytes of flash EEPROM memory cells with gating/ sensing and decoding circuitry for controlling the bitlines and output sensing devices of the array. Each chip of the pair 23 includes one-half of the memory transistors of the thirty-two blocks and control circuitry for effecting, among other things, read, write, and erase operations in the individual memory cells of the blocks on that chip pair 23. Each flash chip pair 23 is joined to the flash bus 24 by a command user interface 29 which provides command signals to that particular chip pair 23 from the logic circuit 22 of the flash system 15 and controls the transfer of data between the memory system 15 and the chip pair 23. The command user interface 29 is associated with a write state machine 30 which is utilized to control write operations and certain internal operations of the chip pair 23. Data furnished to each chip pair 23 may be transferred to page buffers 32. Each page buffer 32 provides a direct path to the memory array under control of the write state machine 30. The page buffers 32 allow relatively large amounts of data to be accumulated and then written to the memory array more rapidly than would be possible using individual write operations. The command user interface 29 of the memory chip pair 23 receives a number of commands from the flash system logic circuit 22. These may be categorized as read commands, write commands, and memory system maintenance commands. The read commands are executed within each chip pair 23 entirely under control of the command user interface. The write commands and many of the maintenance commands are executed by the command user interface using the write state machine 30. U.S. patent application Ser. No. 969,131, entitled A Method and Circuitry For A Solid State Memory Disk, Wells and Hasbun, filed Oct. 30, 1992, and assigned to the assignee of the present invention provides a further description of one arrangement for controlling the operation of a flash EEPROM memory array. In general, a flash EEPROM memory array is divided into blocks which are connected so that each entire block of memory cells may be erased simultaneously. With N-type complimentary metal-oxide-silicon (CMOS) memory devices, this erasure is typically accomplished by a high voltage value applied simultaneously to the source terminals of all the memory transistors of a block while the gate terminals of the devices are grounded and the drain terminals are floated. Such an erasure places each of the devices in the block into the erased condition. When in the erased condition, a device may be programmed to place a particular charge level on the floating gate. Typically, only one charge level greater than the erased level has been utilized; and this level has been referred to as a "zero" or programmed condition. The erased state has been called a "one" or erased condition. More recently, it has been found that a number of levels of charge in addition to the erased level may be stored on the floating gate of a flash EEPROM cell. Whether one or more bits are stored in a cell, since all of the source terminals of the memory transistors of a block of the array are joined together, a cell in a charged state cannot be switched to the erased state until the entire block of the array is erased once again. Thus, while an electro-mechanical hard disk drive typically stores information in a first area of the disk and then rewrites that same area of the disk when the information changes, this is not possible with a flash EEPROM memory array without erasing all of the valid information that remains in that portion of the array along with the invalid (dirty) information. Consequently, in a some arrangements, when the information at a data entry changes, the changed information is written to a new sector on a block of the array containing empty (erased) cells rather than written over the old data; and the old data is marked as invalid. Then, after a sufficient number of sectors on a block have been marked invalid, the entire block is erased. Because of this arrangement by which data is replaced, each block of the array will after some time have a number of entries which are marked as invalid and cannot be used for storage. Consequently, the array fills with data as the data previously stored is changed; and a point will come when it is necessary to clear the invalid information from a block in order to provide space for new or changed information to be stored. When erasure of a block occurs, all of the remaining valid data stored in the block to be erased is written to a new block; the space from which the data was read is marked invalid; and then the entire invalid block is erased (cleaned up) and put back into use as a clean block of memory. Since erasure is such a complicated process, it typically takes as much as a second to erase a block of an advanced flash EEPROM array. Other operations of the memory array which take long periods of time are write operations and read operations in which a long sequence of data is read from the array. Normal read operations are accomplished very quickly. Because the microprocessor 28 and the chip pairs 23 function independently of one another and during mutually exclusive intervals of time, the time during which data is being written to the array, the time during which extensive amounts of data are being read from the array, and any period in which the array is being erased is time in which power expended by the microprocessor is wasted. In CMOS circuitry such as the circuitry typically utilized in flash EEPROM memory arrays, power is only dissipated during switching operation. Consequently, the operation of the microprocessor 28 in response to the application of clock pulses during these read, write, or erasure operations of the array is a waste of power. This is especially true during erasure of data in flash EEPROM arrays. The present invention minimizes the loss of power during these periods by disabling the microprocessor clock whenever a write, a sequential read, or an erase operation takes place. In this manner, no power loss occurs in the microprocessor during a period in which operations are being carried on in the flash EEPROM array; and the use of power is significantly reduced. This is accomplished in the following manner. The logic circuit 22 includes a clock generator circuit 40 which receives input from a crystal 41. The clock generator 40 produces an internal clock signal ASIC CLK for operating the logic circuit 22 and a microprocessor clock signal PCLOCK. The PCLOCK signal is transferred by a microprocessor interface 42 within the logic circuit 22 to the microprocessor 28 and typically allows the microprocessor 28 to run in a state in which it continually polls the ready/busy line from the memory arrays thereby expending power. In order for the array to function in write or erase modes, commands and data must be furnished to the logic circuit 22 by the microprocessor 28. Thus, for example, whenever a write operation is to occur, the microprocessor 28 responds to a write command to transfer the command through the flash interface of the logic circuit 22 to the selected flash pair 23. Once this has taken place, the logic circuit 22 causes the flash pair to accomplish the actual write operation utilizing the write state machine 30. At this point, no further operations by the microprocessor 28 are required so long as the write operation continues. The same situation exists when an erase operation takes place in the flash array. When a long read operation occurs in the array, a read sequencing circuit in the logic circuit 22 functions to transfer large sequences of data being read from the flash array to a sector buffer in the logic circuit 22 once the read command has been given by the microprocessor 28. In order to disable the PCLOCK signal, the logic circuit 22 includes a decoder circuit 44 which detects the transfer of the write and erase commands through the logic circuit 22. The decode circuit 44 provides a disable signal to the clock generator circuit 40 which disables the generation of the PCLOCK signal and thereby disables the operation of the microprocessor 28 when either of these two commands is detected in the command register. Disabling the PCLOCK and the microprocessor 28 significantly reduces the power output required for operating the memory array. In a similar manner, when a read sequencing operation occurs, the transfer of data during that operation by the read sequencing circuit of the flash interface to the sector buffer is controlled by a single stop/start bit in a read sequencing control register 45 of the flash interface. The start condition of this bit is used to generate a disabling signal to the clock generator circuit for disabling the PCLOCK signal when a read operation starts. Thus, whenever any of the three extended read, write, or erase operations commences, a disabling signal is automatically generated and sent to cut off the PCLOCK signal which is necessary to run the microprocessor 28. Thereafter, the microprocessor 28 remains off until it is once again started by the generation of the PCLOCK signal. It should be noted that a normal read operation requires no substantial time, so a read command does not in itself cause the microprocessor to be disabled. The PCLOCK signal is enabled when a sequential read, write, or erase operation is completed. Each flash chip has a ready/busy line, and a ready signal is sent to the flash interface in the logic circuit 22 when a write or an erase operation is complete. This ready signal is used to turn the PCLOCK signal back on. In order to accomplish this, each of the ready busy lines is monitored; and a PCLOCK enable signal sent to the clock generator circuit 40 whenever one of these signals changes. The start/stop bit which controls the operation of the read sequencer switches to a STOP condition when a read operation has been completed. This STOP signal is also used generate a signal to the clock generator circuit to turn on the PCLOCK signal again. In this manner, the microprocessor 28 is automatically switched back on after a read, write, or erase operation has been completed in the array so that microprocessor is ready to function again. In order to allow the microprocessor 28 to respond to external signals from the host microprocessor, the logic circuit 22 also responds to any interrupt, external command, or reset on the bus to enable the generation of the PCLOCK signal by the clock generator circuit 40. In this way the microprocessor 28 is able to respond to interrupts, resets, and other commands even though the PCLOCK signal has been disabled automatically at the start of a read, write, or erase operation in the array. Although the present invention has been described in terms of a preferred embodiment, it will be appreciated that various modifications and alterations might be made by those skilled in the art without departing from the spirit and scope of the invention. The invention should therefore be measured in terms of the claims which follow.
A flash electrically-erasable programmable read only memory (EEPROM) device including a flash EEPROM array having circuitry for controlling operations within the flash EEPROM array, a microprocessor for controlling operations external to the flash EEPROM array, circuitry for detecting when operations are taking place within the array, and circuitry for disabling the microprocessor during periods in which operations are taking place in the flash EEPROM array so that power use by the microprocessor is minimized.
BACKGROUND OF THE INVENTION [0001] 1. Field of the Invention [0002] The present invention relates to a surface treatment method for an iron-cast product, by which an anti-corrosion property is given to an iron-cast product, and an iron-cast product having an anti-corrosion property. [0003] 2. Description of the Related Art [0004] Kitchen-use iron-cast products are conventionally subjected to a variety of surface treatments and coating treatments for giving an anti-corrosion property and, for example, a coating treatment using chemical synthetic paints, an enameling process and Teflon (registered trademark) coating process, etc. are performed. [0005] Particularly, when producing a kitchen-use iron-cast product like traditional Nambu ironware, also for the purpose of enhancing blackness to improve the texture as a product in addition to an anti-corrosion property, Japanese lacquer was applied and a baking treatment was performed formerly. But nowadays it has become general to perform a baking processing using a cashew paint instead of Japanese lacquer because of availability of the material and an improvement of productivity. [0006] As to kitchen-use iron-cast products, however, demands for products subjected to an anti-corrosion treatment using plant-derived materials instead of a chemical surface treatment have been increasing internationally in terms of security and safety. For example, conventionally, some kitchen-use iron-cast products require seasoning with vegetable oil when used on site to prevent corrosion, and it is possible to consider to introduce the idea of seasoning to a production process in iron-cast factories. [0007] In a surface treatment method of simply applying vegetable oil and firing, however, it comes off easily due to low adhesiveness, and the anti-corrosion property is liable to be lost, which is disadvantageous. There also is a disadvantage that rich black texture cannot be obtained by the surface treatment of only burning vegetable oil compared with products produced in the conventional method. SUMMARY OF THE INVENTION [0008] The present invention was made in consideration of the disadvantages above and has as an object thereof to provide a surface treatment method for an iron-cast product, by which an anti-corrosion property can be given to an iron-cast product and a product with a rich texture can be produced by using only plant-derived materials suitable to kitchenware. Another object of the present invention is to provide an iron-cast product having a high anti-corrosion property and rich texture by using only plant-derived materials suitable to kitchenware. [0009] To attain the objects above, firstly, according to the present invention, there is provided a surface treatment method for an iron-cast product, wherein a surface of an iron-cast product is applied with charcoal-containing oil, obtained by adding a plant-derived charcoal powder to vegetable oil, and heated and dried (Invention 1). [0010] An iron-cast product in the present application is made of normal cast iron having a composition including C: 2.5 to 4.0%, Si: 0.5 to 3.0%, Mn: 0.4 to 1.0%, P: 0.03 to 0.8% and S: 0.05 to 0.12% based on the weight ratio. The iron-cast product here is a mold-cast item, obtained by pouring melt pig iron or other material into a casting mold, such as a sand molt, then, releasing it from the mold, removing mold sand, and finishing with burr removal and polishing, etc., further subjected to a surface treatment. [0011] The present inventors have been committed themselves to study on a method of giving an anti-corrosion property to an iron-cast product by using only plant-derived materials and found that an anti-corrosion property of an iron-cast product was improved by adopting a surface treatment method of using charcoal-containing oil, obtained by adding a plant-derived charcoal powder to vegetable oil, and heating and drying when compared with the method of simply applying vegetable oil to an iron-cast product. It is considered that this is because cracks on a coat is suppressed due to formation of a polymerized coat of vegetable oil containing a plant-derived charcoal powder, consequently, a penetration speed of the air and water to the coat decreases. Also, due to an effect of the added charcoal powder, an iron-cast product after subjected to the surface treatment obtains deeper blackness compared with an iron-cast product simply applied with vegetable oil and heated and dried. [0012] According to the invention above (Invention 1), it is possible to give a high anti-corrosion property to the iron-cast product by using only plant-derived materials, such as vegetable oil and plant-derived charcoal powder, and to produce an iron-cast product having deeper blackness and a rich texture. [0013] In the invention above (Invention 1), preferably, the surface of the iron-cast product is applied with the vegetable oil and heated and dried before being applied with the charcoal-containing oil (Invention 2). [0014] According to the invention above (Invention 2), polymerized coats formed on the surface of the iron-cast product come to have a double-layered structure and a higher anti-corrosion property can be given to the iron-cast product. [0015] Alternatively, in the invention above (Invention 1), an oxide coat may be formed on the surface of the iron-cast product before application of the charcoal-containing oil (Invention 3). [0016] According to the invention above (Invention 3), since the oxide coat itself enhances an anti-corrosion property and a polymerized coat is formed while impregnating the oxide coat with vegetable oil, it is possible to enhance the anti-corrosion property even more. [0017] Also, in the invention above (Invention 2), preferably, an oxide coat is formed on the surface of the iron-cast product before application of the vegetable oil (Invention 4). [0018] According to the invention above (Invention 4), vegetable oil polymerized coats formed on the surface of the iron-cast product come to have a double-layered structure and the polymerized coats are formed while impregnating the oxide coat with vegetable oil, consequently, a further higher anti-corrosion property can be obtained. [0019] In the inventions above (Inventions 1 to 4), preferably, the plant-derived charcoal powder is a bamboo charcoal powder or a charcoal powder (Invention 5). Also, in the inventions above (Inventions 1 to 5), preferably, the vegetable oil is linseed oil, olive oil, canola oil or grape seed oil (Invention 6). [0020] Secondary, there is provided an iron-cast product, wherein a surface of the iron-cast product has a coat formed thereon by being applied with charcoal-containing oil, obtained by adding a plant-derived charcoal powder to vegetable oil, and heated and dried (Invention 7). [0021] By the surface treatment method of applying charcoal-containing oil obtained by adding plant-derived charcoal powder to vegetable oil and heating and drying, a polymerized coat of vegetable oil containing plant-derived charcoal powder is formed on a surface of the iron-cast product. Also, the iron-cast product after subjected to the surface treatment exhibits deeper blackness due to an effect of the added charcoal powder compared with an iron-cast product simply applied with vegetable oil and heated and dried. Therefore, according to the invention above (Invention 7), an iron-cast product having a high anti-corrosion property can be obtained and the iron-cast product can have a rich texture with deep blackness by using only plant-derived materials, which are vegetable oil and plant-derived charcoal powder. [0022] In the invention above (Invention 7), preferably, a preliminary coat is formed by applying the vegetable oil to the surface of the iron-cast product and heating and drying (Invention 8). [0023] According to the invention above (Invention 8), since polymerized coats of vegetable oil formed on the surface of the iron-cast product have a double-layered structure, the iron-cast product having a higher anti-corrosion property can be attained. [0024] Alternatively, in the invention above (Invention 7), an oxide coat may be formed on an inner side of the coat (Invention 9). [0025] According to the invention above (Invention 9), since the oxide coat itself enhances an anti-corrosion property and a polymerized coat is formed while impregnating the oxide coat with vegetable oil, it is possible to enhance the anti-corrosion property even more. [0026] In the invention above (Invention 8), preferably, an oxide coat is formed on an inner side of the preliminary coat (Invention 10). [0027] According to the invention above (Invention 10), polymerized coats of vegetable oil formed on the surface of the iron-cast product come to have a double-layered structure and the polymerized coats are formed while impregnating the oxide coat with vegetable oil, consequently, a further higher anti-corrosion property can be obtained. [0028] In the inventions above (Inventions 7 to 10), preferably, the plant-derived charcoal powder is a bamboo charcoal powder or a charcoal powder (Invention 11). Also, in the inventions above (Inventions 7 to 11), preferably, the vegetable oil is linseed oil, olive oil, canola oil or grape seed oil (Invention 12). [0029] According to the surface treatment method for iron-cast products of the present invention, it is possible to give an iron-case product an anti-corrosion property and to produce a product having a rich texture by using only plant-derived materials suitable to kitchenware. Also, according to the iron-cast product of the present invention, it is possible to provide an iron-cast product having a high anti-corrosion property and a rich texture by using only plant-derived materials suitable to kitchenware. BRIEF DESCRIPTION OF DRAWINGS [0030] These and other objects and features of the present invention will become clearer from the following description of the preferred embodiments given with reference to the attached drawings, in which: [0031] FIG. 1 is a flow diagram showing a surface treatment method for an iron-cast product according to an embodiment of the present invention; [0032] FIG. 2 is a schematic diagram showing a measurement method of a corrosion potential in examples of the present invention; and [0033] FIG. 3 is a graph showing measurement results of examples and comparative examples of the present invention. DESCRIPTION OF THE PREFERRED EMBODIMENT [0034] Below, an embodiment of the present invention will be explained based on the drawings. A flow of the surface treatment method for an iron-cast product according to the present embodiment will be explained with reference to FIG. 1 first. A surface treatment method for an iron-cast product here is to perform a surface treatment on a mold-cast item obtained by pouring melted pig iron or other material to a casting mold, such as a sand mold, then, releasing it from the mold, removing casting sand, and finishing with burr removal and polishing, etc. so as to obtain an iron-cast product. [0035] The surface treatment method for an iron-cast product according to the present embodiment comprises three steps: (I) an oxide coat formation step, (II) a preliminary coat formation step and (III) a formation step of a polymerized coat containing a plant-derived carbon powder. As shown in FIG. 1 , in the surface treatment method for an iron-cast product according to the present embodiment, first, an oxide coat is formed on a surface of a mold-cast item produced through general steps (S 101 ). A method of forming an oxide coat is not particularly limited and, for example, an electric furnace may be used or a so-called kiln method of steaming and baking at approximately 800° C. by charcoal fire (using charcoal) for 30 to 40 minutes or so may be used. When using an electric furnace, a temperature in a furnace chamber thereof is set to 400 to 700° C., and a heating treatment is preferably performed with heating time of 10 minutes to 2 hours, and more preferably with heating temperature at 500 to 600° C. for 30 minutes to 1 hour. Alternatively, it may be formed by filling the electric furnace with an inert gas, then controlling an oxygen concentration to 0.1 to 5% to perform a heating treatment and subsequently cooling in the air. During this, a heating treatment is performed preferably with a temperature at 700 to 930° C. in the chamber of the electric furnace and heating treatment time of 12 to 15 minutes, and more preferably the oxygen concentration is controlled to 0.5 to 5%. [0036] Now returning back to the surface treatment method of an iron-cast product according to the present embodiment, a mold-cast item having been heated and subjected to the oxide coat forming treatment is cooled naturally to the normal temperature next (S 102 ), and vegetable oil is applied to the whole surface of the mold-cast item having an oxide coat formed thereon (S 103 ). As the vegetable oil, for example, linseed oil, olive oil, canola oil and grape seed oil, etc. may be used and, among those, use of linseed oil is particularly preferable. Also, application of vegetable oil to the mold-cast item surface may be done, for example, by using a brush or spray. An application amount of the vegetable oil is preferably 0.1 to 10 mg/cm 2 in a dried weight and 1 to 5 mg/cm 2 is more preferable. [0037] After applying vegetable oil, the mold-cast item is placed in a normally-used heating device, such as an electric furnace and gas furnace, and a heating and drying treatment is performed so that volatile components in the vegetable oil evaporate (S 104 ). [0038] In the heating and drying treatment, the heating temperature is preferably 250 to 320° C. and particularly preferably 270 to 300° C. When the heating temperature is lower than 250° C., process of polymerization takes extremely long time and unfavorable gloss is liable to remain on the product, while when 320° C. or higher, corrosion resistance declines remarkably. The heating time here is preferably 10 minutes to 2 hours depending on the heating temperature, and 30 minutes to 1 hour at 270 to 300° C. is particularly preferable. When the heating time is in short, polymerization becomes insufficient and unfavorable gloss remains, while when it is too long, the corrosion resistance declines. [0039] As a result of performing the heating and drying treatment as explained above, a polymerized coat of vegetable oil is formed on the mold-cast item surface. In the present embodiment, since an oxide coat is already formed on the mold-cast item surface, it comes to the state where the polymerized coat of vegetable oil is formed on the oxide coat. During this, since the polymerized coat is formed while impregnating the oxide coat with vegetable oil, the anti-corrosion property is enhanced more. [0040] The mold-cast item having been applied with vegetable oil and finished with the heating and drying treatment is cooled naturally to the normal temperature (S 105 ). The state here is that an oxide coat and a vegetable oil polymerized coat as a preliminary coat are formed on the surface of the naturally cooled mold-cast item. Subsequently, the whole surface of the mold-cast item (that is, the outer side of the preliminary coat) is applied with charcoal-containing oil obtained by adding a plant-derived charcoal powder to vegetable oil (S 106 ). As the plant-derived charcoal powder, for example, bamboo charcoal, Bincho charcoal or other charcoal formed into powder may be used. Among them, it is particularly preferable to use a bamboo charcoal powder, which is also edible, having an average particle diameter of 5 to 20 μm or so. Whether a bamboo powder or a charcoal powder is edible or not may be decided, for example, based on whether it is approved as a food additive or not. [0041] Blending ratio of the vegetable oil to the plant-derived charcoal powder is preferably 20:1 to 2:1 in the weight ratio. A desired corrosion resistance and blackness cannot be obtained when the charcoal powder is too little, while workability and adhesiveness decline when the charcoal powder is too much. Particularly, what obtained by adding a bamboo charcoal powder to linseed oil is preferable as charcoal-containing oil, and the optimal blending ratio in this case is 10:1 to 3:1 in the weight ratio. Note that application of the charcoal-containing oil may be done, for example, by using a brush or spray in the same way as in vegetable oil application. [0042] An application amount of the charcoal-containing oil is preferably 0.1 to 10 mg/cm 2 and more preferably 1 to 5 mg/cm 2 based on the dry weight. [0043] After applying the charcoal-containing oil, the mold-cast item is placed in a normally-used heating device, such as an electric furnace and gas furnace, and a heating and drying treatment is performed to vaporize volatile components in the charcoal-containing oil (S 107 ). [0044] In this heating and drying treatment, the heating temperature is preferably 250 to 320° C. and particularly preferably 270 to 300° C. When the heating temperature is lower than 250° C., process of polymerization takes extremely long time and unfavorable gloss is liable to remain on the product, while when higher than 320° C., corrosion resistance declines remarkably. The heating time here is preferably 10 minutes to 2 hours depending on the heating temperature, and 30 minutes to 1 hour at 270 to 300° C. is particularly preferable. When the heating time is in short, polymerization becomes insufficient and unfavorable gloss remains, while when it is too long, the corrosion resistance declines. [0045] As a result of the heating and drying treatment as explained above, a polymerized coat of vegetable oil containing a plant-derived charcoal powder is formed on the surface of the mold-cast item. In the present embodiment, since an oxide coat and a vegetable oil polymerized coat as a preliminary coat are already formed in an overlapping way on the mold-cast item, it comes to the state where the polymerized coat of vegetable oil containing a plant-derived charcoal powder is formed on the preliminary coat in an overlapping way. [0046] When the mold-cast item applied with charcoal-containing oil and finished with the heating and drying treatment is cooled naturally to the normal temperature (S 108 ), an iron-cast product finished with the surface treatment is obtained. The iron-cast product is in the state where the oxide coat, the vegetable oil polymerized coat as a preliminary coat and the polymerized coat of vegetable oil containing a plant-derived charcoal powder are formed on a surface thereof in this order toward outside. As a result that the vegetable oil polymerized coats formed on the iron-cast product surface have a two-layer structure and that the polymerized coat is formed while impregnating the oxide coat with vegetable oil as explained above, it becomes possible to produce an iron-cast product having an extremely high anti-corrosion property. [0047] As above, an explanation was made on the surface treatment method for an iron-cast product according to the present embodiment, however, the present invention is not limited to the embodiment above and may be modified in a various ways. For example, in the present embodiment, (I) the oxide coat formation step (S 101 and S 102 ), (II) the preliminary coat formation step (S 103 , S 104 and S 105 ) and (III) a formation step of a polymerized coat containing a plant-derived carbon powder (S 106 , S 107 and S 108 ) are performed in this order, however, (I) the oxide coat formation step and (II) the preliminary coat formation step are not always necessary in the invention of the present application. Therefore, only (II) the preliminary coat formation step and (III) the formation step of a polymerized coat containing a plant-derived carbon powder may be performed without performing (I) the oxide coat formation step, or only (I) the oxide coat formation step and (III) the formation step of a polymerized coat containing a plant-derived carbon powder may be performed without performing (II) the preliminary coat formation step. Alternately, an iron-cast product having a high anti-corrosion property can be obtained by only performing (III) the formation step of a polymerized coat containing a plant-derived carbon powder without performing (I) the oxide coat formation step and (II) the preliminary coat formation step. Furthermore, each step is not limited to be performed only one time but may be performed for a plurality of times. EXAMPLES [0048] Below, the present invention will be explained more specifically by taking examples and comparative examples. In the examples and comparative examples, a variety of surface treatments were performed on later-explained iron-cast test pieces while changing the condition, and an corrosion potential was measured by measuring an electrochemical characteristic value of each test piece after the surface treatment. [0049] [Iron-Cast Test Piece] [0050] Iron-cast test pieces were produced as explained below. First, target components were set to C: 3.8%, Si: 2.2%, Mn: 0.5%, P: 0.1% and S: 0.1% and mixed with 30% of pig iron, 20% of steel, 50% of steel scraps from production lines, FeSi and FeMn, then, fed to a melting furnace to melt. Subsequently, a mold is formed by using a molding machine, melted metal was poured into a released mold, the metal was released from the mold, casting sand was removed by a shot blast, and the resultant was finished with burr removal and polishing, so that a plate-shaped mold-cast item was produced. The plate-shaped mold-cast item was cut into approximately 5 cm×2.5 cm and used as iron-cast test pieces. [0051] [Measurement Method of Corrosion Potential] [0052] As shown in FIG. 2 , vinyl tape having a hole (having an area of 0.28 cm 2 ) was put on a surface of an iron-cast test piece, so that a predetermined area was exposed, and the piece was immersed in a sodium chloride aqueous solution (concentration: 0.5 mol/L) at the room temperature. A market-available silver-silver chloride reference electrode was immersed in the same solution, and a potential difference between the two electrodes was measured for one hour by using a market-available potentiometer. Example 1 [0053] On the overall surface of an iron-cast test piece, charcoal-containing oil obtained by adding edible bamboo charcoal (particle diameter: 10 μm) in an amount of 6 g to linseed oil in an amount of 18 g was applied and a heating and drying treatment was performed at a heating temperature of 280° C. for 1 hour. After that, it was cooled naturally to the normal temperature, and a corrosion potential (corrosion resistance) of the iron-cast test piece was measured by the method explained above. The measurement result is shown in FIG. 3 . Comparative Example 1 [0054] A corrosion potential of an iron-cast test piece not subjected to any surface treatment was measured by the method above. The measurement result is shown in FIG. 3 . Comparative Example 2 [0055] On the overall surface of an iron-cast test piece, linseed oil was applied and a heating and drying treatment was performed at a heating temperature of 280° C. for 1 hour. After that, it was cooled naturally to the normal temperature, and a corrosion potential of the iron-cast test piece was measured by the method explained above. The measurement result is shown in FIG. 3 . Example 2 [0056] On the overall surface of an iron-cast test piece, linseed oil was applied and a heating and drying treatment was performed at a heating temperature of 280° C. for 1 hour. After the treatment, it was cooled naturally to the normal temperature, charcoal-containing oil obtained by adding edible bamboo charcoal (particle diameter: 10 μm) in an amount of 6 g to linseed oil in an amount of 18 g was applied to the iron-cast test piece, and a heating and drying treatment was performed at a heating temperature of 280° C. for 1 hour. Subsequently, it was cooled naturally to the normal temperature, and a corrosion potential of the iron-cast test piece was measured by the method explained above. The measurement result is shown in FIG. 3 . Example 3 [0057] An iron-cast test piece was carried on a tray to be placed in an electric furnace, an atmosphere in the furnace was replaced with an inert gas and an oxygen partial pressure was set to 1% or less, a heating treatment was performed at a heating temperature of 500° C. for 1 hour (+retention time for 15 minutes), after that, the tray was taken out and cooled naturally outside the furnace, so that an oxide coat was formed. Subsequently, the overall surface of an iron-cast test piece was applied with charcoal-containing oil obtained by adding edible bamboo charcoal (particle diameter: 10 μm) in an amount of 6 g to linseed oil in an amount of 18 g, and a heating and drying treatment was performed thereon at a heating temperature of 280° C. for 1 hour. After that, it was cooled naturally to the normal temperature, and a corrosion potential of the iron-cast test piece was measured by the method explained above. The measurement result is shown in FIG. 3 . Example 4 [0058] An oxide coat was formed on an iron-cast test piece in the same way as in the example 3, then, the overall surface of an iron-cast test piece was applied with linseed oil and a heating and drying treatment was performed thereon at a heating temperature of 280° C. for 1 hour. After the treatment, the iron-cast test piece, which was cooled naturally to the normal temperature, was applied with charcoal-containing oil obtained by adding edible bamboo charcoal (particle diameter: 10 μm) in an amount of 6 g to linseed oil in an amount of 18 g and subjected to a heating and drying treatment at a heating temperature of 280° C. for 1 hour. Subsequently, it was cooled naturally to the normal temperature, and a corrosion potential of the iron-cast test piece was measured by the method explained above. The measurement result is shown in FIG. 3 . [0059] When referring to FIG. 3 , it is learned that, when compared with the iron-cast test pieces in the comparative examples 1 and 2, those in the examples 1, 2, 3 and 4 exhibited improved corrosion resistance. Among them, corrosion resistance of the iron-cast test piece was largely improved in the case of forming an oxide coat (the examples 3 and 4), and corrosion resistance was dramatically improved in the case of forming double coats of the polymerized coat (preliminary coat) of linseed oil and the polymerized coat of charcoal-containing oil composed of linseed oil and a bamboo charcoal powder on the outer side of the oxide coat (the example 4). EXPLANATION OF NUMERICAL REFERENCES [0000] 1 . . . iron-cast test piece 2 . . . silver-silver chloride reference electrode 3 . . . sodium chloride solution 4 . . . potentiometer
A surface treatment method for an iron-cast product, which enables to give an anti-corrosion property to an iron-cast product and to produce a product having a rich texture by using only plant-derived materials suitable to kitchenware. A surface of the iron-cast product is applied with charcoal-containing oil, obtained by adding a plant-derived charcoal powder to vegetable oil, and heated and dried.
TECHNICAL FIELD The present invention relates to a reciprocating compressor, and particularly to a suction valve coupling structure for a reciprocating compressor, in which the suction valve for opening and closing a gas flow passage is firmly coupled and the coupling structure is simplified, thereby minimizing a dead volume. BACKGROUND ART Conventionally, a compressor is a device for compressing a fluid such as air and refrigerant gas. The compressor includes a motor unit installed in the hermetic container for generating driving force, and a compression unit for sucking and compressing gas by receiving the driving force of the motor unit. In the compressor, if a power source is applied to generate the driving force in the motor unit, the driving force is transmitted to the compression unit, thereby sucking, compressing, and discharging gas in the compression unit. A reciprocating compressor is a device, in which a piston is coupled to an armature of a reciprocating motor as a unit without a crank axis. FIG. 1 shows an embodiment of the conventional reciprocating compressor. As shown in FIG. 1 , the conventional reciprocating compressor comprises a ring shaped frame 1 supported by an elastic supporting member (not shown) in a casing V; a cylindrical cover 2 fixed at one side surface of the frame 1 ; a cylinder 3 fixed as a horizontal direction in the middle of the frame 1 ; an inner stator assembly 4 A fixed at an outer circumference surface of an inner side of the frame 1 supporting the cylinder 3 , and an outer stator assembly 4 B fixed at an inner circumference surface of an outer side of the frame 1 apart from the outer circumference surface of the inner stator assembly 4 A with a predetermined air-gap; an armature 5 inserted in the gap between the inner stator assembly 4 A and the outer stator assembly 4 B for consisting of the armature of the reciprocating compressor; a piston 6 fixed to the armature 5 as a unit for sucking and compressing refrigerant gas by having a slidable movement at the inner portion of the cylinder 3 ; an inner resonant spring 7 A supported at one side surface of the frame 1 and at an inner side of the armature 5 unified with the piston 6 for having a resonant movement; an outer resonant spring 7 B supported at an inner side surface of the cover 2 and at an outer side of the armature 5 unified with the piston 6 for having a resonant movement; and a discharge valve assembly 8 mounted at an end portion of a discharge side of the cylinder 3 for limiting a discharge of the compressed gas at the time when the piston 6 reciprocates. Unexplained reference numeral 8 a denotes a discharge valve, 8 b denotes a spring for supporting the discharge valve, 8 c denotes a discharge cover, SP denotes a suction pipe, and DP denotes a discharge pipe. The conventional reciprocating compressor is operated as follows. That is, if an electric current is applied to the inner and outer stator assemblies 4 A and 4 B and the movable 5 has a linear reciprocation, the piston 6 coupled to the armature 5 linearly reciprocates in the cylinder 3 , thereby generating a pressure difference in the cylinder 3 . By the pressure difference, refrigerant gas in the casing V is sucked in the cylinder through a refrigerant flow passage F of the piston 6 , compressed, and discharged, which is repeated. In the meantime, FIG. 2 is a perspective view showing a suction valve coupling structure for a reciprocating compressor in accordance with the conventional art, and FIG. 3 is a sectional view showing a suction valve coupling structure for a reciprocating compressor in accordance with the conventional art. As depicted, a suction valve 9 for limiting a suction of refrigerant gas which passed through the refrigerant flow passage F and a refrigerant suction hole 6 e is fixed to a frontal surface of a head portion 6 b of the piston 6 by a fixation bolt B. Also, the suction valve 9 is formed as a thin disc plate corresponding to an end portion surface S of the head portion 6 b of the piston 6 . A cut-off 9 c of an opened curve line shape is formed in the disc plate, and has a shape of a question mark, in which the disc plate is divided into a circle shaped part and a ring shaped part. The circle shaped part constitutes a fixation portion 9 d coupled to the head portion 6 b of the piston 6 , and the ring shaped part corresponding to an outer portion of the circle shaped part constitutes an open/close portion 9 a for opening and closing the refrigerant suction hole 6 e . In the meantime, the suction valve 9 is made from high carbon spring steel which is generally used, and the piston 6 is made from cast iron having an excellent foundry characteristic. A structure for coupling the suction valve 9 to the piston 6 is as followings. First, a screw hole 6 d is formed in the middle of the end portion surface S of the head portion 6 b of the piston 6 , and a through hole 9 b for coupling the valve is formed at the fixation portion 9 d of the suction valve 9 . Then, under a state that the through hole 9 b of the suction valve 9 and the screw hole 6 d of the piston 6 are unified, the suction valve 9 is coupled to the piston 6 by inserting the fixation bolt B. However, in the conventional suction valve coupling structure, since the suction valve 9 formed as a thin plate is coupled by the fixation bolt B, the fixation bolt is minutely loosened in a process that the suction valve 9 is repeatedly opened and closed, which causes a slip rotation of the suction valve 9 . According to this, the suction valve deviates from the refrigerant suction hole 6 e , thereby lowering a reliability of the compressor. Also, since a head portion of the fixation bolt B is protruded at an inner portion of the compression space P, a dead volume is generated. According to this, not only compression efficiency is lowered, but also a precise location sensing of an upper dead point and a lower dead point of the piston 6 is not possible by the protruded head portion of the fixation portion B, thereby having a problem to control a stroke for a reciprocal movement of the piston 6 . TECHNICAL GIST OF THE PESENT INVENTION Therefore, an object of the present invention is to provide a suction valve coupling structure for a reciprocating compressor, in which the suction valve for opening and closing a gas flow passage is firmly coupled and the coupling structure is simplified, thereby minimizing dead volume. DETAILED DESCRIPTION OF THE INVENTION In order to achieve the above objects, there is provided a suction valve coupling structure for a reciprocating compressor, the reciprocating compressor comprising: a piston for linearly reciprocating in a cylinder with an armature of a reciprocating motor and having a refrigerant flow passage connected to the end portion surface thereof; and a suction valve arranged at the end portion surface of the piston for opening and closing the refrigerant flow passage, wherein a welding member mounting recess of a predetermined depth for mounting the suction valve is formed at the end portion surface of the piston. Also, in order to achieve the above objects, there is provided a suction valve coupling structure for a reciprocating compressor, in which the suction valve is coupled to the piston by welding a lateral side surface thereof to a corresponding surface of the piston. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a longitudinal section view showing one embodiment of the conventional reciprocating compressor; FIG. 2 is a perspective view showing a suction valve coupling structure for the conventional reciprocating compressor; FIG. 3 is a sectional view showing the suction valve coupling structure for the conventional reciprocating compressor; FIG. 4 is a sectional view showing a first preferred embodiment of a suction valve coupling structure for a reciprocating compressor according to the present invention; FIG. 5 is a sectional view showing another example of the first preferred embodiment of the suction valve coupling structure for a reciprocating compressor according to the present invention; FIG. 6 is a sectional view showing other example of the first preferred embodiment of the suction valve coupling structure for a reciprocating compressor according to the present invention; FIG. 7 is a perspective view showing a second preferred embodiment of the suction valve coupling structure for a reciprocating compressor according to the present invention; FIG. 8 is a sectional view showing the second preferred embodiment of the suction valve coupling structure for a reciprocating compressor according to the present invention; FIG. 9 is a frontal view showing a location of a welding portion of the second preferred embodiment of the suction valve coupling structure for a reciprocating compressor according to the present invention; FIG. 10 is a frontal view showing another location of the welding portion of the second preferred embodiment of the suction valve coupling structure for a reciprocating compressor according to the present invention; FIG. 11 is a frontal view showing other location of the welding portion of the second preferred embodiment of the suction valve coupling structure for a reciprocating compressor according to the present invention; FIG. 12 is a perspective view showing a third preferred embodiment of the suction valve coupling structure for a reciprocating compressor according to the present invention; FIG. 13 is a longitudinal section view showing the third preferred embodiment of the suction valve coupling structure for a reciprocating compressor according to the present invention; FIG. 14 is a longitudinal section view showing a process that a welding member is welded to the piston in the third preferred embodiment of the suction valve coupling structure for a reciprocating compressor according to the present invention; FIG. 15 is a longitudinal section view showing a modification example of a mounting recess formed at the piston in the third preferred embodiment of the suction valve coupling structure for a reciprocating compressor according to the present invention; FIG. 16 is a disassembled perspective view showing a fourth preferred embodiment of the suction valve coupling structure for a reciprocating compressor according to the present invention; FIG. 17 is a longitudinal section view showing the fourth preferred embodiment of the suction valve coupling structure for a reciprocating compressor according to the present invention; FIG. 18 is a longitudinal section view showing a process that the welding member is welded to the piston in the fourth preferred embodiment of the suction valve coupling structure for a reciprocating compressor according to the present invention; FIG. 19 is a perspective view showing a modification example of the fourth preferred embodiment of the suction valve coupling structure for a reciprocating compressor according to the present invention; and FIG. 20 is a longitudinal section view showing a modification example of the fourth preferred embodiment of the suction valve coupling structure for a reciprocating compressor according to the present invention. MODE FOR CARRYING OUT THE PREFERRED EMBODIMENTS The present invention will now be described with reference to accompanying drawings. FIG. 4 is a sectional view showing a first preferred embodiment of the suction valve coupling structure for a reciprocating compressor according to the present invention. Referring to FIG. 4 , a refrigerant flow passage F for circulating refrigerant gas is formed to penetrate an inner portion of the piston 10 inserted in the cylinder 3 , and a plurality of refrigerant suction holes 6 e are formed at the end portion surface S of the piston head portion 10 b in the piston 10 . A suction valve 20 for opening and closing the refrigerant suction holes 6 e is directly connected to the piston 10 by welding. At this time, the suction valve 20 is formed as a thin disc plate having an area corresponding to the end portion surface S of the piston 10 . The welding preferably includes a resistance spot welding, a laser welding, and a tig welding. An unexplained reference numeral W denotes a welding point. FIG. 5 shows a modification example of the first preferred embodiment of the present invention. Referring to FIG. 5 , a reception recess 30 having a predetermined size is formed at the piston which reciprocates linearly in the cylinder 3 by receiving driving force of the motor unit and has a refrigerant flow passage F for introducing refrigerant gas therein. The reception recess 30 is formed as a recess form having a predetermined depth and an inner diameter. Also, an insertion member 40 having an excellent welding characteristic is fixed to an inner portion of the reception recess 30 . The insertion member 40 having an excellent welding characteristic is formed correspondingly to a shape of the reception recess 30 , and preferably made from low carbon steel and stainless steel. At this time, the insertion member 40 is fixed to an inner portion of the reception recess 30 by brazing. The suction valve 20 for opening and closing the refrigerant flow passage F is connected to the insertion member 40 by welding. The suction valve 20 is formed as a thin plate having an area corresponding to the end portion surface S of the piston 10 , and the welding between the insertion member 40 and the suction valve 20 preferably includes a resistance spot welding, a laser welding, and a tig welding. In the structure, a welding intensity of the suction valve 20 is enhanced by welding the suction valve 20 with the insertion member 40 having an excellent welding characteristic. In the meantime, FIG. 6 shows another modification example of the first preferred embodiment of the present invention. Referring to FIG. 6 , a reception recess 50 having a predetermined size is formed at the piston 10 which has a linear reciprocation in the cylinder 3 by receiving driving force of the motor unit and having a refrigerant flow passage F for introducing refrigerant gas therein. Then, a welding material 60 having an excellent welding characteristic is directly welded to the reception recess 50 of the piston 10 , so that the welding material 60 is melted and fills the reception recess 50 . The welding material 60 is preferably Ni-based groups. Then, the suction valve 20 for opening and closing the refrigerant flow passage F of the piston 10 is welded with the welding material 60 which fills the reception recess 50 . The suction valve 20 is formed as a thin plate having an area corresponding to the end portion surface S of the piston 10 , and the welding between the insertion member 40 and the suction valve 20 preferably includes a resistance spot welding, a laser welding, and a tig welding. In the structure, a welding intensity of the suction valve 20 is enhanced by welding the suction valve 20 with the welding material 60 having an excellent welding characteristic. Hereinafter, operations and effects of the first preferred embodiment of the suction valve coupling structure for a reciprocating compressor according to the present invention will be explained. First, if driving force of the motor unit is transmitted to the piston 10 , and the piston 10 has a linear reciprocation in the cylinder 3 , refrigerant gas is sucked in the compression space P of the cylinder 3 through the refrigerant flow passage F formed at an end portion of the piston 10 , compressed, and discharged by opening and closing of the discharge valve 8 a which constitutes a discharge valve assembly 8 , which is repeated. In said process, since the suction valve 40 for opening and closing the refrigerant flow passage F is coupled to the piston 10 by welding, the coupling state is firm and a slip rotation is not generated even in a process that the suction valve 20 is repeatedly opened and closed, thereby having an excellent compression performance. Also, since the suction valve 20 does not have a protruded portion toward an outer side thereof and is simplified as a flat state, not only a dead volume of the compression space P is excluded, but also a precise location sensing of an upper dead point and a lower dead point of the piston 10 is possible, thereby controlling a stroke easily for a reciprocal movement of the piston 10 . Hereinafter, the second preferred embodiment of the suction valve coupling structure for a reciprocating compressor according to the present invention will be explained with reference to the preferred embodiment illustrated in the attached drawings. FIGS. 7 and 8 are perspective and longitudinal section views showing a second preferred embodiment of the suction valve coupling structure for a reciprocating compressor according to the present invention, and FIGS. 9 and 10 are frontal views showing another locations of a welding portion of the second preferred embodiment of the suction valve coupling structure for a reciprocating compressor according to the present invention. As shown, in the suction valve coupling structure for a reciprocating compressor according to the present invention, the suction valve is arranged to an end portion surface of the piston 110 which is coupled to the armature 5 of the reciprocating motor and slidably inserted in the cylinder 3 , thereby welding a lateral section surface of the suction valve 120 for opening and closing the refrigerant flow passage F of the piston 110 to a corresponding side of the piston by a laser welding or an electron beam welding which do not generate arc. According to this, parts which receive heat influence of the welding are minimized, and a protrusion by the welding scale is not generated. The piston 110 includes a body portion 111 having a predetermined length, a head portion 112 at a forward side of the body portion 111 , a connection portion 113 connected to the armature 5 at a rear side of the body portion 111 and a refrigerant flow passage F formed in the middle of the body portion 111 and at one side of the head portion 112 for guiding refrigerant gas into the cylinder 3 . A welding material insertion recess 112 a for forcibly inserting welding material M which will be explained later is formed in the middle of the head portion 112 to weld the suction valve 120 . Also, a plurality of refrigerant suction holes 6 e (three holes in drawing) are formed at an edge of the head portion 112 . The welding material M is preferably formed with material which makes the suction valve 120 of strong elasticity material be smoothly welded. Also, a cut-off 123 of the suction valve 120 is formed as a question mark shape, and an open/close portion 121 thereof is oppositely arranged to open and close the refrigerant suction holes 6 e of the head portion 112 . A welding hole 122 a corresponding to an end portion surface of the welding material M is formed at a fixation portion 122 located at a center of the suction valve. As shown in FIG. 9 , the welding hole 122 a is formed as a disc shape, thereby welding an inner circumference surface thereof to the end portion surface of the welding material M, or, as shown in FIG. 10 , the welding hole 122 a is formed as a rectangular slit shape, thereby welding an inner section surface thereof to the end portion surface of the welding material M. An unexplained reference numeral W denotes a welding portion. The second preferred embodiment of the suction valve coupling structure for a reciprocating compressor according to the present invention has the following operation effects. That is, if a power source is applied to the reciprocating motor and the armature 5 has a linear reciprocation, the piston 110 coupled to the armature 5 linearly reciprocates in the cylinder 3 , thereby sucking refrigerant gas in the hermetic container V. compressing and discharging, which is repeated. At this time, when the piston 10 reciprocates, if the piston 110 has a forward movement to compress the refrigerant gas sucked in the cylinder 3 , the refrigerant gas in the compression space of the cylinder 3 is gradually compressed as a volume of the compression space narrows, and if a pressure of the compression space is above a predetermined value, the refrigerant gas is discharged by pushing the discharge valve 8 a which shields a discharge side of the compression space. At this time, a stroke distance of the piston 10 can be set not to generate a dead volume between the suction valve 120 and the corresponding discharge valve 8 a by coupling the suction valve 120 located at the end portion surface of the piston 10 to the piston 110 by welding. Also, the welding material M having an excellent welding characteristic to the suction valve 120 is forcibly inserted to the end portion surface of the piston 110 , so that the welding material M is welded to the suction valve 120 , thereby increasing the welding characteristic. Also, since a lateral section surface of the suction valve 120 is welded to the end portion surface of the piston 110 or the end portion surface of the welding material M, coupling force of the two members is divided into a vertical direction and a horizontal direction, thereby having greater resistance in opening and closing the suction valve 120 as one direction, minimizing influence by welding heat, and not generating a protrusion by the welding scale. In the meantime, the second preferred embodiment of the reciprocating compressor according to the present invention has modification examples in case of the followings. That is, in the aforementioned preferred embodiment, an additional welding hole 122 a of a circular shape or a rectangular slit shape is formed at the fixation portion 122 of the suction valve 120 , so that a lateral section surface of the welding hole 122 a is welded to the welding material M forcibly inserted to the piston 110 . However, in the modification example, as shown in FIG. 11 , a lateral section surface of the cut-off 123 for cutting the suction valve 120 to classify into the open/close portion 121 and the fixation portion 122 can be welded to the welding material M of the piston 110 , or an outer circumference surface of the suction valve 120 can be welded to an outer circumference surface of the piston 110 parallel thereto without forming an additional welding hole. In said case, an additional welding hole need not to be formed, and a welding coupling force is increased by having the two welding portions. Hereinafter, the third preferred embodiment of the suction valve coupling structure for a reciprocating compressor according to the present invention will be explained with reference to the preferred embodiment illustrated in the attached drawings. FIG. 12 is a disassembled perspective view showing one preferred embodiment of the piston of the suction valve coupling structure for a reciprocating compressor according to the present invention, FIG. 13 is an assembled longitudinal section view showing the one preferred embodiment of the piston of the suction valve coupling structure for a reciprocating compressor according to the present invention, and FIG. 14 is a longitudinal section view showing a process that a welding member is welded to the piston. As shown, the suction valve coupling structure for a reciprocating compressor according to the present invention comprises a piston 211 coupled to the armature of the reciprocating motor (not shown) and slidably inserted to the cylinder 3 for sucking refrigerant gas in the compression space of the cylinder 3 , compressing, and discharging; a suction valve 212 mounted at an end portion surface of the piston 211 for opening and closing the refrigerant flow passage F of the piston 211 ; and a welding member 213 inserted between the end portion surface of the piston 211 and the corresponding suction valve 212 and mounted at the end portion surface of the piston 211 to enhance a welding characteristic of the suction valve 212 . The piston 211 is generally made of cast iron and provided with a welding member mounting recess 211 a for inserting the welding member 213 at a center of the end portion surface thereof. A diameter of the welding member mounting recess 211 a is formed to be larger than that of the welding member 213 , so that a leaden metal 214 which will be later explained may be inserted between the welding member mounting recess 211 a and the welding member 213 . A diameter of the welding member mounting recess 211 a becomes larger toward an outer portion contacted with the atmosphere from an inner portion thereof. As shown in FIGS. 13 and 14 , the welding member mounting recess 211 a can be formed as an extended surface 211 b chamfered to extend an outer edge thereof, or as shown in FIG. 15 , the welding member mounting recess 221 a can be formed as an extended surface 221 b of a sectional shape of a trapezoid. The welding member 213 is formed by stainless having a melting point higher than the leaden metal 214 , and welded to the welding member mounting recesses 211 a and 221 a by the leaden metal 214 . Unexplained reference numerals G, 6 e , and W respectively denote bubble, refrigerant suction holes, and a welding point. Hereinafter, a process for fixing the suction valve to the piston of the reciprocating compressor will be explained. First, the welding member 213 is inserted to the welding member mounting recess 211 a formed at the end portion surface of the piston 211 , and the leaden metal 214 is inserted between the welding member mounting recess 211 a and the welding member 213 , then the leaden metal is heated with a temperature higher than the melting point of the leaden metal 214 so as to weld the piston 211 and the welding member 213 , so that the leaden metal 214 melts and permeates between the piston 211 and the welding member 213 , thereby reacting the piston 211 with the welding member 213 and cooling them after a predetermined time. According to this, the leaden metal 214 is hardened again and the two members 211 and 213 are welded to each other. Subsequently, the suction valve 212 corresponds to the end portion surface of the piston 211 , and the fixation portion (not shown) of the suction valve 212 is welded to the end portion surface of the welding member 213 , thereby completing to fix the suction valve 212 . At this time, bubble is generated as the leaden metal 214 melts by being heated, and the bubble is exhausted to a side contacted with the atmosphere in which density is relatively low. As shown in FIG. 14 , the bubble is more formed toward the atmosphere side above the welding member mounting recess 211 a , so that the leaden metal has a density difference between upper and lower portions. According to this, the bubble G generated at the time when the leaden metal 214 melts is fast exhausted to the atmosphere, so that the bubble G scarcely remains between the piston 211 and the welding member 213 , thereby reducing an occurrence rate and a size of a pore in a welding surface between the piston 211 and the welding member 213 . In the meantime, even if the welding member mounting recess 221 a formed at the end portion surface of the piston 221 is formed as a trapezoid shape, the assembly processes and the operation effects are same. The third preferred embodiment of the suction valve coupling structure for a reciprocating compressor according to the present invention has the following effects. In said process, a dead volume between the suction valve and the corresponding discharge valve is removed, and the suction valve is firmly fixed to the piston, so that a slip phenomenon of the suction valve is prevented, thereby increasing a reliability of the compressor. Also, when the leaden metal for welding the welding member to the piston melts, the bubble generated in the leaden metal is exhausted to the atmosphere, so that amount and a size of the bubble which remains after the welding at the leaden metal and the piston or at the welding surface of the leaden metal and the welding member are greatly reduced, thereby preventing lowering of the welding intensity. Also, a minute crack generated when a volume of the bubble expands by high temperature at the time of driving the piston is prevented, and corrosion of the piston and the welding member is prevented by controlling a transposition due to a concentration difference caused by the density difference between each pore. Hereinafter, the fourth preferred embodiment of the suction valve coupling structure for a reciprocating compressor according to the present invention will be explained with reference to the preferred embodiment illustrated in the attached drawings. FIG. 16 is a disassembled perspective view showing an example of the piston of the reciprocating compressor according to the present invention, FIG. 17 is an assembled longitudinal section view showing the example of the piston, and FIG. 18 is a longitudinal section view showing a process that a welding member is welded to the piston. As shown, the coupling suction valve coupling structure for a reciprocating compressor according to the present invention comprises a piston 311 coupled to an armature of the reciprocating motor (not shown) and slidably inserted to the cylinder 3 for sucking refrigerant gas in the compression space of the cylinder 3 , compressing, and discharging; a suction valve 312 mounted at an end portion surface of the piston 311 for opening and closing a refrigerant flow passage F of the piston 311 ; and a welding member 313 inserted between the end portion surface of the piston 311 and the corresponding suction valve 312 and mounted at the end portion surface of the piston 311 to enhance a welding characteristic of the suction valve 312 . The piston 311 is generally made of cast iron and provided with a welding member mounting recess 313 a for inserting the welding member 313 at a center of the end portion surface thereof. A diameter of the welding member mounting recess 313 a is formed to be larger than that of the welding member 313 , so that a leaden metal 314 which will be later explained may be inserted between the welding member mounting recess 313 a and the welding member 313 . The welding member mounting recess 311 a has a same diameter from an inner portion thereof to an outer portion contacted with the atmosphere. However, as shown in FIG. 19 , it is also possible to form a plurality of channels 311 b engraved in intaglio from inside to outside of the inner circumference surface. The welding member 313 is formed by stainless having a melting point higher than the leaden metal 314 , and provided with a port 313 a at a center thereof which is formed to penetrate from an inner portion of the welding member mounting recess 311 a to an outer portion. An outer diameter of the port 313 a contacted to the atmosphere is formed to be larger than an inner diameter of the welding member mounting recess 311 a. Unexplained reference numerals G, 6 e , and W respectively denote bubble, refrigerant suction holes, and a welding point. Hereinafter, a process for fixing the suction valve to the piston of the reciprocating compressor will be explained. First, the welding member 313 is inserted to the welding member mounting recess 311 a formed at the end portion surface of the piston 311 , and the leaden metal 314 is inserted between the welding member mounting recess 311 a and the welding member 313 , then the leaden metal 314 is heated with a temperature higher than a melting point of the leaden metal 314 so as to weld the piston 311 and the welding member 313 , so that the leaden metal 314 melts and permeates between the piston 311 and the welding member 313 , thereby reacting the piston 311 with the welding member 313 metallically and cooling them after a predetermined time. According to this, the leaden metal 314 is again hardened and the two members 311 and 313 are welded to each other. Subsequently, the suction valve 312 corresponds to the end portion surface of the piston 311 , and the fixation portion (not shown) of the suction valve 312 is welded to the end portion surface of the welding member 313 , thereby completing to fix the suction valve 312 . At this time, as shown in FIG. 18 , bubble is generated as the leaden metal 314 melts by being heated, and the bubble is exhausted to a side contacted with the atmosphere in which density is relatively low. At this time, since the port 313 a is formed at a center of the welding member 313 , the bubble G generated at the time when the leaden metal 314 melts is fast exhausted to the atmosphere through the port 313 a. Especially, since a diameter of the port 313 a is larger towards the atmosphere, the density difference between upper and lower portions of the leaden metal 314 becomes greater, thereby exhausting the bubble G to the atmosphere more faster. Also, as shown in FIGS. 19 and 20 , in case that the channel 311 b is additionally formed at the welding member mounting recess 311 a of the piston 311 , the bubble G is exhausted to the channel 311 b of the piston 311 as well as the port 313 a of the welding member 313 , thereby removing the bubble much faster. The fourth preferred embodiment of the suction valve coupling structure for a reciprocating compressor according to the present invention has the following effects. A dead volume between the suction valve and the corresponding discharge valve is removed, and the suction valve is firmly fixed to the piston, so that a slip phenomenon of the suction valve is prevented, thereby increasing a reliability of the compressor. Also, when the leaden metal for welding the welding member to the piston melts, the bubble generated in the leaden metal is exhausted to the atmosphere, so that amount and a size of the bubble which remains after the welding at the leaden metal and the piston or at the welding surface of the leaden metal and the welding member are greatly reduced, thereby preventing lowering of the welding intensity. Also, a minute crack generated when a volume of the bubble expands by high temperature at the time of driving the piston is prevented, and corrosion of the piston and the welding member is prevented by controlling a transposition due to a concentration difference caused by the density difference between each pore. INDUSTRIAL APPLICABILITY As so far described, in the suction valve coupling structure for a reciprocating compressor according to the present invention, a suction valve of a thin plate for opening and closing the refrigerant flow passage is coupled to the piston by welding, so that the coupling state of the suction valve is firm and the coupling structure is simplified. According to this, a dead volume is excluded and a real volume is increased, thereby enhancing compression efficiency. Also, a stroke control of the piston is facilitated, and a movement of the piston can be precisely controlled. Therefore, a reliability of the coupling structure for the suction valve is increased. Also, a gap between a lateral section surface of the suction valve and a corresponding side of the piston is welded, so that the suction valve is fixed to the piston, thereby removing a dead volume between the suction valve and the corresponding discharge valve and fixing the suction valve firmly to the piston. According to this, a slip phenomenon of the suction valve is prevented, thereby increasing a reliability of the compressor. Also, in the suction valve coupling structure for a reciprocating compressor according to the present invention, the welding member is inserted to the welding member mounting recess in the piston, the suction valve is coupled to the piston by using the welding member, and the welding member mounting recess expands toward the atmosphere, so that even if bubble is generated at the time when the leaden metal inserted between the welding member mounting recess and the welding member melts, the bubble is fast exhausted to the atmosphere, thereby removing a dead volume between the suction valve and the corresponding discharge valve and fixing the suction valve firmly to the piston. According to this, a slip phenomenon of the suction valve is prevented, thereby increasing a reliability of the compressor. Also, a welding intensity of a welding surface between each member and the leaden metal inserted therebetween is prevented from being lowered, a minute crack generated when a volume of the bubble expands by high temperature at the time of driving the piston is prevented, and corrosion of the piston and the welding member is prevented by controlling a transposition due to a concentration difference caused by the density difference between each pore. Also, in the suction valve coupling structure for a reciprocating compressor according to the present invention, the welding member is inserted to the welding member mounting recess in the piston, the suction valve is coupled to the piston by using the welding member, and the port is formed at the welding member mounted at the piston or the port is additionally formed at an inner circumference surface of the welding member mounting recess for inserting the welding member so as to weld the suction valve, so that even if bubble is generated at the time when the leaden metal inserted between the welding member mounting recess and the welding member melts, the bubble is fast exhausted to the atmosphere, thereby removing a dead volume between the suction valve and the corresponding discharge valve and fixing the suction valve firmly to the piston. According to this, a slip phenomenon of the suction valve is prevented, thereby increasing a reliability of the compressor. Also, a welding intensity of a welding surface between each member and the leaden metal inserted therebetween is prevented from being lowered, a minute crack generated when a volume of the bubble expands by high temperature at the time of driving the piston is prevented, and corrosion of the piston and the welding member is prevented by controlling a transposition due to a concentration difference caused by the density difference between each pore.
A suction valve coupling structure for a reciprocating compressor is disclosed in which a piston being linearly moved in a cylinder upon receipt of driving force from an electric mechanism unit, according to which gas flows through a gas flow passage formed therein, is coupled by welding to a valve for opening and closing the gas flow passage, thereby strengthening the coupling state of the suction valve. Since the coupling structure is simplified, a dead volume is reduced and a stroke volume is increased, improving compression efficiency. A stroke control of the piston is facilitated to enable a precise control of movement of the piston. In addition, a reliability of the coupling of the suction valve can be improved.
CONTRACTUAL ORIGIN OF THE INVENTION The United States Government has rights in this invention pursuant to Contract No. W-31-109-ENG-38 between the U.S. Department of Energy and the University of Chicago representing Argonne National Laboratory. This is a Divisional Application of Application No. 08/768,893 filed Dec. 17, 1996 now U.S. Pat. No. 5,905,024. BACKGROUND OF THE INVENTION 1. Field of the Invention This invention relates to miniaturized reaction vessels and the use of such vessels and more specifically, this invention relates to miniaturized reaction vessels and arranging the vessels in an array for performing various biochemical procedures that require at least one reactant to be attached to a solid substrate. 2. Background of the Invention The need to contain and manipulate small quantities of reactants is widespread. For example, forensic activities often deal with micro-quantities of DNA, bodily fluids, explosives, pesticides, microorganisms, toxins and other residues in trace amounts. Enzyme-linked immunoadsorbent assay (ELISA) procedures are such situations where small quantities of reactants are utilized. Currently, devices exist to facilitate the containment and mixing of small quantities of reactants. Some of these devices include microtiter plates and microwell plates having reaction volumes in the range of 1 to 10 microliters. Such devices are frequently used in situations where one of the reactants need to be immobilized. However, there are some instances where smaller reaction volumes are required (i.e., between approximately 0.1 nanoliters and 100 nanoliters) or where increased density of the immobilized component is sought for the purpose of increasing reaction output. In addition, economics often dictate limited use of mobile reactants viz. the immobilized fraction, particularly where the liquid state reactants are available in limited quantities. In such scenarios, concentrations of the reactants can be increased when the total necessary volume of the solvent decreases. There are also instances where site-specific manipulation of molecules and construction of molecular combinations is desired, taking into consideration tendencies of repulsion, aggregation, steric hindrances, etc. Such instances would require multi-step procedures at the same molecular site and under the same reaction conditions. Currently, no device or procedure exists to facilitate molecular interactions of the types mentioned supra. A need exists in the art for a device to contain nanoliter quantities of reactants to facilitate specific interactions between the reactants. The reaction vessel must be designed to exploit steric and solution limitations associated with instances where specific molecules are manipulated. Finally, the device must be constructed with widely available materials and also must be easy and economical to use. SUMMARY OF THE INVENTION It is an object of the present invention to provide a miniaturized reaction vessel that overcomes many of the disadvantages of the prior art. Another object of the present invention is to provide a device which facilitates the immobilization of one or a plurality of reactants. A feature of the invention is a plurality of isolated reaction vessels arranged in a predetermined configuration. An advantage of the invention is that each vessel serves as a separate reaction chamber so that the plurality of reaction chambers can be utilized simultaneously to facilitate in parallel fractionation and enzymatic modification, and other modification of target reactants and molecules. Still another object of the present invention is to provide a method for using an array of miniaturized reaction vessels to facilitate affinity capture procedures. A feature of the invention is treating each vessel as a chromatography micro-column to be used simultaneously. An advantage of the invention is facilitating the fractionation of a mixture of DNA molecules of known and unknown primary structure, as well as other molecules. Yet another object of the present invention is to provide a method to facilitate simultaneous multi-chemical reaction processes with many different substrates. A feature of the invention is that specific enzymes react with different or the same substrates that are separated from each other and placed or immobilized within separate, miniaturized reaction chambers which in turn are arranged in a predetermined configuration. An advantage of the invention is that it allows for controlled-multi-step conversions of various compounds and analytes. Another advantage is that when a substrate is confined to a single reaction chamber, site-specific biochemical reactions can be performed. Another object of the present invention is to provide a method for fractionating and sequencing DNA molecules. A feature of the invention is the use of oligonucleotide arrays to first isolate the target DNA sequence and then the use of a second oligonucleotide array to sequence the isolated DNA more efficiently. An advantage of the invention is the obviation of costly intermediate cloning and mapping steps used in typical sequencing processes. Still another object of the present invention of the present invention is to provide a protein affinity assay method. A feature of the invention is the isolation and immobilization of different proteins, such as antibodies, in specific elements of an array and then exposing the array to a particular protein or antigen. An advantage of the present method is the ability to conduct thousands of assays simultaneously thereby providing a universal diagnostic chip, such as for antibody screening. Briefly, the invention provides a method for conducting affinity fractionation and sequencing of DNA comprising cleaving DNA into predetermined lengths; labelling the cleaved DNA; contacting the labelled DNA to a first array of isolated oligonucleotide sequences, wherein said sequences are complementary to portions of the DNA; allowing hybridization to occur between said cleaved DNA and the sequences; extracting the hybridized DNA from the sequences; contacting said extracted hybridized DNA with a second array of immobilized oligonucleotide molecules, wherein some of the oligonucleotide molecules in the second array have base sequences that are complementary to said extracted hybridized DNA; allowing the extracted hybridized DNA to re-hybridize with the second array of oligonucleotide molecules; and attaching labelled oligomers to the second array of oligonucleotide molecules, wherein the labelled oligomers have sequences that are complementary to the re-hybridized DNA. The invention further provides a method for performing multi-step conversions of compounds comprising supplying an array of polyacrylamide vessels separated from each other by a hydrophobic surface; immobilizing a plurality of reactants in the vessels so that each vessel contains one reactant; contacting the compounds to each of the vessels in a predetermined sequence and for a sufficient time to convert the compounds to a desired state; and if required, isolating the converted compounds from said array. The invention also provides a method for manipulating nanoliter quantities of molecules comprising removably attaching the molecules to a polyacrylamide vessel having a predetermined nanoliter-scale volume, wherein the molecules are confined to the volume; introducing into the vessel a means to modify the molecules; removing the modifying means from the vessel; and isolating the now modified molecules from the vessel. BRIEF DESCRIPTION OF THE DRAWING The invention together with the above and other objects and advantages will be best understood from the following detailed description of the preferred embodiment of the invention shown in the accompanying drawing, wherein: FIG. 1 is a schematic diagram of the invented method, in accordance with the present invention; FIG. 2 is a table of DNA hybridization probability values, in accordance with the present invention; FIG. 3 is a conceptual view of interactions between reactants contained in the invented miniaturized vessel, in accordance with the present invention; FIG. 4 is a schematic view of arrays of fractionation vessels containing products of reactions, such as fractionated DNA, in accordance with the present invention; FIG. 5 is a conceptual view of oligonucleotide phosphorylation and ligation reactions facilitated by miniaturized reaction vessels, in accordance with the present invention; and FIGS. 6A-C are schematic views of arrays of reaction vessels containing products of oligonucleotide phosphorylation and ligation reactions, in accordance with the present invention; FIG. 7 is a plan view of a device for microdispensing aqueous solutions, in accordance with features of the present invention; FIG. 8 is an elevational, cross-sectional view of FIG. 7, taken along line 8--8; FIG. 9 is an elevational, cross-sectional view of one of the microdispensing probes, in accordance with features of the present invention; FIG. 10 is a detailed view of harvesting of aqueous solutions, in accordance with features of the present invention; FIG. 11 is a detailed view of the deposition of aqueous solutions, in accordance with features of the present invention; FIG. 12 is an elevated view of a polyacrylamide matrix assembly, in accordance with features of the present invention; FIG. 13 is a magnified view of the polyacrylamide matrix assembly depicted in FIG. 12, in accordance with features of the present invention; and FIG. 14 is a plan view of a gel matrix, manufactured in accordance with features of the present invention. DETAILED DESCRIPTION OF THE INVENTION The inventors have developed a process to perform hybridization, fractionation and enzymatic manipulation of molecules in a site specific way. The technique utilizes oligonucleotide chips arranged as high density arrays. The combination of the above-identified reactions into a simplified procedure obviates the need for intermediate mapping and cloning of fractionated products. As such, this new technique is a radical departure from typical sequencing processes. Generally, the invented process for fractionating, sequencing, and enhancing target DNA is depicted in FIG. 1 as numeral 10. A first step is the cleavage of a specific single-strand DNA molecule 14 with restriction enzymes R1 and R2 to create a plurality of sets of fragments, wherein fragments of one set overlap fragments of another set. In FIG. 1, two sets of fragments are depicted, with one set having fragments I, II and III, and another set having fragments A, B and C. Then, the sets of fragments are loaded onto an array 16 or plurality of arrays containing a plurality of gel elements, Each gel element 18 contains a different immobilized oligonucleotide string of specific length and sequence that is complementary to a portion of the fragmented DNA. After allowing the fractionated DNA to hybridize with complementary sequences, the hybridized DNA from a specific gel element 18 is extracted from the element 18. The extracted DNA could be labeled at this point and then placed on a second array 20 containing individual gel elements 20i, 20ii, 20iii, etc., each gel element known to contain a specific isolated immobilized oligomer. In FIG. 1, a second array 22 also is depicted containing immobilized oligomer complementary to fragment II. The second array 20 is scrutinized for the presence of the label. Those specific gel elements, 20i, that contain labeled oligomers indicate that complete hybridization of the target DNA fragment 22 has occurred. The overlapping of these perfectly hybridized oligonucleotides enables one to reconstitute the DNA sequence. Therefore, invented method provides for efficient fractionation and sequencing of DNA. As noted above, the process of sequentially manipulating molecules involves the use of miniaturized reaction vessels. Generally, each vessel consists of a specific volume or construct of polyacrylamide, whereby the polyacrylamide construct is immobilized on a hydrophobic surface such as glass. Each construct can range in size from approximately 0.06×0.06×0.02 millimeter to 1×1×0.02 millimeter. Each construct serves as a 70 picoliter (picoliter=10 -12 liter) or a 20 nanoliter (nanoliter=10 -9 liter) micro-test tube in as much as the constructs are arranged relative to each other in an array on a hydrophobic surface. The construct has solution volumes of between 0.01 nanoliters and 100 nanoliters. Array Manufacturing Detail The construct array is manufactured via a modified Methylene Blue induced photo-polymerization procedure whereby a polyacrylamide solution is prepared and then configured into gel pad molds having the desired shapes and sizes for subsequent polymerization. After polymerization, a specific oligonucleotide is applied and chemically modified to each gel pad. A detailed description of the array manufacturing procedure is contained in a co-pending U.S. patent application, having Ser. No. 08/592,120, assigned to the instant Assignee, and incorporated herein by reference. DNA Fractionation Complementary interactions are effectively used for affinity fractionation of nucleic acids by hybridization with a complementary strand immobilized on a solid support. Fractionation on an oligonucleotide array is an alternative to DNA cloning for isolating specific fragments from DNA digests. Chip fractionation is followed by conventional sequencing or by sequencing by hybridization with oligonucleotide chips. In the later scheme, devised by the inventors, DNA is first digested with restriction endonucleases, then the fragments are fractionated on the oligonucleotide chip and finally transferred from this chip onto a sequencing microchip. Three scenarios can be considered in this scheme. In the first scenario, approximately 16 to 120 fragments having an average length of 250 bases are generated by cutting cloned plasmid or cosmid DNA respectively, with 4 bp-long specific restriction endonucleases. These fragments can be sequenced by conventional gel methods or by using sequencing microchips. In the second scenario, the cosmid, BAC and YAC DNAs are digested into about 8, 25, and 125 fragments of about 4,000 bases with the use of 6 bp-long specific restriction endonucleases. These fragments can be directly sequenced following the chip fractionation by the "walking primer" procedure or by the use of contiguous stacking hybridization on a sequencing microchip, as disclosed in U.S. patent application Ser. No. 08/587,332, and incorporated herein by reference. In the third scenario, bacterial DNA are specifically split into 100 fragments of the Cosmid size. The fractionated products are then treated by the processes outlined in the first or second scenarios, discussed supra. To effectively separate the fragments from each other, each gel element of an array must contain oligonucleotide strings that are unique for specific fragments. The longer the oligonucleotide string and the shorter the fragmented DNA, the higher the probability that a sequence complementary to the oligonucleotide string will be unique for only one of the fragments. Concurrently, the probability P that the oligonucleotide string will hybridize at all with any fragment present in the mixture, will be lowered. The use of longer oligonucleotide strings increases the fractionation efficiency but this demands larger chips. Conversely, the shorter the length of immobilized oligonucleotide strings, the higher the hybridization sensitivity to single-base-pair mismatches; however, the stability of the formed duplexes decrease. Furthermore, single-stranded nucleic acids form relatively stable hairpins and tertiary structures that interfere with their hybridization with shorter oligonucleotide immobilized fractions. The inventors have found that the introduction of base analogs or the substitution of negatively charged phosphodiester groups in the immobilized oligonucleotides for some neutral or even positively charged groups significantly increases duplex stability viz. hairpin stability. For example, substitution of negatively charged phosphate groups for positively charged guinidinium linkages renders the duplex of thymidil 5-mers with poly(rA) stable even in boiling water. The inventors have made probability calculations to balance fractionation efficiency with chip complexity. These calculations are presented in FIG. 2. Briefly, FIG. 2 provides the calculated values for the numbers N and n of oligonucleotides of length m, such lengths ranging from 6-mer (i.e. hexamers) to 11-mers (i.e. undecamers). The calculated values are specific for an array capable of fractionating a mixture of a specific number (K) of random sequenced, DNA fragments having a length l, with 90 percent, 95 percent and 99 percent probability. Fragments of about 250 and 4,000 bp are generated when DNA cloned in YACs, BACs, cosmids and plasmids are digested by restriction endonucleases specific for 4 and 6 bp cleavage, respectively. N signifies the minimal number of oligonucleotides in such an array wherein each fragment is bound at a specified probability to any gel pad which binds no other fragments. Numbers n 1 and n designated the number of oligonucleotides among N that bind, respectively, the only one or one and more fragments. The ratio n 1 /n represents the efficiency of the array by indicating the share of such cells which participate in DNA binding and which contain only one fragment from the mixture. The table in FIG. 2 can be utilized as follows: As can be noted in line 1 of FIG. 2, to fractionate sixteen 250 bp-long fragments of plasmid DNA (4,000 bp in length) at 90 percent probability, gel arrays containing 96 properly selected non-correlating 6-mers need to be employed. Among these 96 hexamers, fifty nine will bind DNA, with 61 percent of those fifty nine (according to a 61 percent probability estimated at the far right of the table), or 36 hexamers, isolating a single fragment from the mixture. Fractionation of a complex mixture of DNA fragments may require large arrays. For example, and as can be noted in line 5 of FIG. 2, to separate 125 DNA fragments of plasmid size in the digest of 500,000 bp long YAC DNA with 99 percent probability, the fractionation array would require 1,918 oligonucleotides of 10-base lengths. The size of such a complex array is approximately 9 centimeters×9 centimeters, if each gel element is 1×1 mm and if the elements have 2 mm center-to-center spacings relative to each other. In such instances, fractionation and specific fragmentation can be carried out in two rounds to obtain DNA fragment sizes that are manageable for sequencing. For example, as can be noted in line 6 of FIG. 2, 4,000,000 bp-long genomic DNA of E. coli could be fractionated using an array containing 1,557 oligonucleotides to produce approximately 125 fragments of a cosmid's size (30,000 bp). As can be noted in line 3 of FIG. 2, these cosmid-size fragments can be digested further to approximately 4,000 bp-fragments with arrays containing 118 or 116 oligomers of 7-mer or 8-mer lengths, respectively. DNA digestion with at least two different restriction endonucleases should be performed to obtain overlapping sequences. Then each digest should be fractionated and sequenced to reconstitute the DNA sequence from the structure of the overlapping fragments. To fractionate double-stranded DNA, each chip element should contain oligonucleotide strings complementary to each target strand. However, if one needs to separate both complementary DNA strands, the complementary oligonucleotides should be immobilized in different microchip pads. This will necessitate a doubling of the number of gel elements in the array. An efficient fractionation of single-stranded DNA was carried out by its hybridization with a chip containing gel-immobilized decamers. DNA was extracted separately from each decamer gel cell, transferred onto a sequencing microchip and analyzed thereon. In the phosphorylation sequence, decamers of the chip were enzymatically phosphorylated, then hybridized with DNA and ligated with a pentamer in a site directed manner. This enhanced the efficiency of sequence analysis by increasing the length of specified oligonucleotides of the chip. Overall, the invented techniques illustrate the efficiencies for analyzing DNA and for the sequencing of long DNA without the need for intermediate cloning and mapping. Three nested fragments F1, F2, and F3, all shown in FIG. 3, were amplified separately with fluorescently labeled sense primers (5'-TMR-gtggagccacaccctagg-3'(SEQ. ID. NO.1)) for F1, 5'-TMR-agaagtctgccgttactgcc-3' (SEQ. ID. NO.2) for F2, 5'-TMR-gtggagacagagaagactcttgg-3' (SEQ. ID. NO.3) for F3 fragments and antisense primers (5'-acttttatgcccagccctg-3' (SEQ. ID. NO.4) for F1, 5'-ccttgatccaacctgccc-3' (SEQ. ID. NO.5) for F2, 5'-aaaatagaccaataggcagagagag-3' (SEQ. ID. NO.6) for F3 fragments). Reaction mixtures contained 50 nanograms (ng) of 421 base pair (bp) long DNA-target and corresponding primers. Amplifications were carried out in 30 cycles: 94° C. for 30 seconds, 50° C. for 40 seconds, 72° C. for 20 seconds. 3 μl of each PCR mixture were used for single primer reamplification with corresponding fluorescently labeled sense primers. 40 cycles of amplification were carried out under the conditions described in Yershov G. et al., (1996) Proc. NatI. Acad. Sci. USA, 93, 4913-4918, and incorporated herein by reference. 50 μl of each PCR mixture were precipitated separately with 500 μl of 0.2 M LiCIO 4 in acetone, and the remaining 50 μl of each mixture were combined in a single tube and also precipitated. The pellets were washed with acetone and dissolved in hybridization buffer (1M NaCl/1 mM EDTA/10 mM Na-phosphate, pH 6.8) Three decamers (15 pmol each) f1, f2, and f3 complementary to F1, F2 and F3 respectively (as shown in FIG. 3), were immobilized on 1×1×0.02 mm gel elements of a fractionation array, as depicted in FIG. 4A. 2 μl of fluorescently labeled F1, F2, and F3 and a mixture thereof were separately hybridized with the fractionation chip at 4° C. in hybridization buffer to remove non-hybridized DNA. Non-specifically bound DNA was washed off with hybridization buffer at 15° C. for 5 minutes. DNA fragments were eluted separately at 40° C. with 3 μl of hybridization buffer from each of three F1-3 elements of the fractionation chip and hybridized with each of three clusters of the sequencing microchip (FIG. 4B) under the same conditions as described above. The sequencing array (FIG. 4B) contained three identical clusters consisting of nine decamers that were complementary to F1, F2, or F3. Fluorescence hybridization images of fractionation and sequencing chips were monitored with a fluorescence microscope equipped with a CCD camera and software, as disclosed in Yershov, et al., noted supra. FIG. 4B shows that each DNA isolated from the mixture on the gel elements f1, f2 or f3 is hybridized only with the corresponding sequencing set of decamers and thus can be unambiguously identified. Cross-hybridization of the decamers with DNA of the other two fractions was negligible. These results demonstrate how the invented miniaturized reaction vessel promotes high efficiency DNA fractionation and suitable accuracy of sequence analysis on sequencing gels comprising similar vessels. Phosphorylation Detail Due to the hydrophobic spacing 20 between the gel elements 22, site-directed reactions can be carried out selectively in specified elements of the chip. 50 μmole of synthetic oligonucleotide (5'-ataccaacct-r 3m U-3') was phosphorylated with 10 μl of reaction mixture containing 1×PNkinase buffer (66 mM K-acetate, 10 mM Mg-acetate/5 mM dithiothreitol/33 mM Tris-acetate, pH 7.8), 15 μCi [γ- 32 P] ATP, 500 pmole ATP and 0.5 U T4 Polynucleotide Kinase, available from Epicentre Technologies, Madison, WI., at 37° C. for 60 minutes. The 32 P-labeled decamer (depicted in FIG. 5) was immobilized within a 1×1×0.02 mm gel construct, labeled as "a" in FIG. 6A and the same non-phosphorylated oligonucleotide was immobilized within the constructs labeled as "b", "c" and "d" in FIGS. 6B. 6C, and 6D, respectively, at a concentration of 5 pmole of oligonucleotide per construct. Immobilization was carried out at 20° C. for 12 hours. The array 6a containing the phosphorylated oligonucleotide was washed with washing buffer (0.2 M NaCl/0.2 mM EDTA/2 mM Na-phosphate. pH 6.8) at 37° C. for 1 hour and then rinsed with water. The chip was dried and radio-autographed with Kodak Scientific Imaging Film X-OMAT™ (Eastman Kodak, Co., Eastman, Tenn.) 1 μl of phosphorylation mixture [1.5 μCi [γ- 32 P] ATP, 50 pmol ATP and 0.05 U T4 Polynucleotide Kinase in 1×PNkinase buffer (Epicentre Technologies, USA)] was added to gel element B. As a control, the same mixture, excluding Kinase, was added to gel element c. An alternative control is the addition of 1 μl of 1×PNkinase buffer added to gel element "a." Enzymatic phosphorylation is carried out at 37° C. for 3 hours at 100 percent humidity. The chip was washed first with washing buffer (0.2 M NaCl/0.2 mM EDTA/2 mM Na-phosphate. pH 6.8) at 37° C. for one hour, then with water, dried and radio-autographed. As is illustrated in FIG. 6B, the 32 P label appeared only on gel element "b" with no cross contamination to gel elements c or d being observed. This illustrates the efficiency of phosphorylation of the gel-immobilized oligonucleotide. Ligation Detail 3 μl of a ligation mixture was prepared, containing 10 pmol of ssDNA 5'-tgggcaggttggtatcaaggt-3' (SEQ. ID. NO.7) (complementary to the immobilized decamer), 50 pmol of fluorescently labeled pentamer 5'-HEX-ccttg-3' (stacked to the immobilized decamer), 1 mM ATP, and 01. U T4 DNA Ligase (available from Epicentre, Technologies, Madison WI.) in 1×T4 DNA Ligase buffer (6.6 mM K-acetate/10 mM Mg-acetate/33 mM Tris-acetate, pH 7.8). These reactants were added to each of the "b" and "c" gel elements in FIG. 6C. Ligation was carried out at 4° C. for 5 hours, then the chip was washed with washing buffer (0.2. M NaCl/0.2 mM EDTA/2 mM Na-phosphate, pH 6.8) at 10° C. for 5 minutes. Fluorescence hybridization on the chip was monitored with a fluorescence microscope, per the technique outlined in Yershov, supra. As can be noted in 6C, the fluorescence label is observed only in gel element "b" of FIG. 6C. As with the phosphorylation procedure above, the miniaturized vessels facilitate site directed ligation. Oligonucleotide Loading Detail The inventors have developed a specific method for loading oligonucleotides onto the miniaturized reaction vessels. The method is fully disclosed in PCT/RU 9400179. Described briefly, a pin is immersed into, and is wetted with, oligonucleotide solution. After being withdrawn from the solution, the pin is contacted with the gel surface. During oligonucleotide aspiration, transfer and deposition, the temperature of the pin must be maintained near dew point at ambient temperature so as to prevent evaporation. Otherwise, the viscosity of the solution micro-volumes (typically 10 nanoliters or less) will lead either to complete evaporation or to incomplete transfer of the desired dose. The invented transfer method allows for the transfer of a range of micro-volumes of oligonucleotide solutions, from 0.3 to 50 nanoliters (nl), with a dispensing error of no more than approximately ±20 percent. As disclosed in the above-identified PCT application PCT/RU94/00179, the device for micro dispensing aqueous solutions of solutions is depicted in FIGS. 7-11. The device comprises a base 24 shaped as a rectangular plate, one side of which carries a plurality of rods 26 held with one of their ends to said plate. The rods 26 are arranged parallel to one another and spaced equidistantly to one another. Butt ends 28 of the rods are coplanar with one another and parallel to the base 24. A battery 31 of thermoelectric cells (e.g. Peltier elements) adjoins the base 24 on the side opposite to that equipped with the rods 26 and is in heat contact therewith. In this particular embodiment, the battery 31 is shaped similar in size to the base 24. The battery 30 is connected through wires, 33, to a controlled source 35 of direct-current. The battery 31 of thermoelectric cells is a means for maintaining the temperature of the butt ends 28 of the rods 26 equal essentially to the dew point of the ambient air. With its other side, the battery 31 of Peltier elements adjoins the surface of a flow-block radiator 37 and is in heat contact therewith. To provide a uniform heat contact between the surface of the battery and the base on one side, and between the radiator 37 on the other side, provision is made for thin (under 100 microns thick) layers 39 of a heat-conductive paste based on beryllium oxide and polydimethyl-siloxane oil. The base 24 and the rods 26 are made from a material having high thermal conductivity, preferably from a metal, such as copper or brass. The radiator 37 can be a silicon slab. The rods 26 are provided with a heat-insulating coating 40 applied to half their length, including from the point of the rod attachment to the base plate 24. Material for the coating in this region can be polyolefin. One polyolefin product is Heat Shrinkable Pack, available through RS Components Ltd., England. The heat insulating coating 40 used to protect the surface of the base 24 exposed to atmospheric air can be formed polyurethane. The rods 26 in the embodiment illustrated are round in cross-section (though they may have any other cross-sectional shape) and their vacant ends are shaped as cone frustums tapering to the ends. A hydrophilic coating 30 such as glass or gold, is applied to the butt ends 28 of the rods 26, whereas a hydrophobic coating 11 such as fluoroplastic, or glass whose surface is hydrophobized by treatment with Repel Silane, is applied to the side surfaces of the vacant ends of the rods. The area of the butt ends 28 of the rods is selected such as to obtain the required volume V of the dose being transferred and to obey the following relationship: V≈1/3πR 3 ·10 -6 nanoliters, where V is the required volume of the droplet forming on the butt rod end after the rod has been withdrawn from the solution, and R in microns is the radius of the butt rod end. The device as described above is used as follows to facilitate liquid transfer: The base 24 carrying the rods 26 are positioned opposite to the tray 32 in such a manner that each rod is located against a respective well 13 of the tray 32 filled with an aqueous solution 34 of the substance to be transferred, e.g., an aqueous oligonucleotide solution. Then the base 24 is displaced towards the tray 32 until the ends of the rods 26 (FIG. 10b) contact the solution 34. Then, by displacing the base 24 together with the rods 26, (FIG. 10c) in the opposite direction, the rods 26 are withdrawn from the solutions, with the result that a microdose 15 (FIG. 10d) of the solution of the substance is formed on the butt end of each rod 26. The volume V of the microdose is independent of the depth of immersion of the rod 26 into the solution 34 (due to the hydrophilic butt end of the rod and hydrophobic coating on the rod's side surface with respect to the solution being transferred) and is determined substantially by the radius R alone of the butt end of the rod 26. Next, the base, together with the rods loaded with the microdoses of the solution, is transferred to the gel elements 18 arranged in a micro matrix of the type depicted in FIG. 1. The layout of the gel elements 18 complement the configuration of the oligonucleotide-loaded rods so that when the base 24 is positioned opposite to the surface of the matrix, each rod 26 is opposing a respective gel element 18. Thereupon, the base 24 is displaced towards the matrix 16 along the arrow as depicted in FIG. 11 b, until the microdoses 15 contact the gel areas 22. During transfer, the temperature of the solution 34 and the butt ends 28 are maintained at the dew point of the air to minimize evaporation of the solution during the transfer. Control of the temperature of the butt ends 3 are attained by changing the battery 4 voltage of the thermoelectric cells in response to the signal produced by a temperature transmitter (not shown) in heat contact with the base. Upon contact with the microdose 15, the gel element 18 vigorously absorbs the solution (FIG. 11c), with the result that the gel elements 18 swell and the microdoses are drawn into the gel. After fluid transfer, the base 24 supporting the rods 26 is retracted from the micro matrix. The rods then are washed and dried for reuse. Oligonucleotide Immobilization Detail The inventors have developed an immobilization procedure for coupling micromolecules to acrylamide gels so as to minimize liquid evaporation during immobilization and to also ensure that covalent bonding of oligonucleotides to the gel matrix units proceeds to completion. This procedure is more fully disclosed in PCT/RU 9400178 and incorporated herein by reference. Briefly, the immobilization process is as follows: Micro-volumes of bioorganic solutions are loaded onto the micro-matrix cells, with the temperature of the micro-matrix being maintained equal to that of the ambient air. Once the micro-volumes of the oligonucleotide solutions have been applied to the cells of the matrix, the micro-matrix temperature is set equal to or below the dew point of the ambient air. This temperature is maintained until swelling of the gel is complete and non-coalescent droplets of water condensate appear in the spacings between the gel pads. After the appearance of the water condensate, a thin layer of an inert, non-luminescent oil is applied to the micro-matrix surface so as to prevent oligonucleotide evaporation. The micro-matrix is kept under the oil layer until completion of the oligonucleotide immobilization process, and preferably for 48 hours. The oil is then removed by washing with a polar substance that will not cause oligo denaturing, such as ethanol, or water. The matrix is dried and stored indefinitely, ready for use. Probability Calculations The probability, q+for a fragment with the random sequence of length/to get into a fractionating cell containing immobilized m-mers can be described by the relation q + =1- (1-1/(4 m )) l-m+1 , hence, the corresponding probability of its not getting into a cell of same kind is q - =1- (1-1/(4 m )) l-m+1 . With K fractions available, the probability that at least one fragment will get into a randomly chosen fractionating cell is expressed as P.sub.≧1 =1-q - K . For N non-correlating fractionating cells, the expected average number of filled cells can be written as n=N*P.sub.≧1. At the same time, the expected probability for a single fragment getting into the cell is determined by the relation P 1 =K·q + ·q - K-1 . The ratio of cells with the one fragment to all filled cells is given by n 1 /n=P 1 /P.sub.≧l. Array Manufacturing Detail The array manufacturing method, noted supra, incorporates a modified Methylene Blue induced photo-polymerization procedure whereby a polyacrylamide solution is prepared and then configured into desired shapes and sizes for subsequent polymerization. The production of gel-matrices involves the construction of polymerization units into which prepared acrylamide fluids are placed. One exemplary polymerization unit is depicted in FIG. 12, as numeral 10, and partially magnified in FIG. 13. In one embodiment of the invention, photo-polymerizations are performed on a solution containing 40 percent (between 30-45 percent, is suitable) acrylamide/Methylene Bis-Acrylamide (30:1) stock solution and 0.04 percent Methylene blue stock solution in water. The stock acrylamide solution is diluted with water to a concentration ranging from 4 to 8 percent and subsequently degassed with a water pump for 10 minutes. The gel matrix is prepared from a standard mixture of 0.5 μl 0.04 percent Methylene blue solution, 1 ml acrylamide solution and 10 μl N,N,N',N'-tetramethylethilendi-amine (TEMED), from Aldrich (Milwaukee, Wis.). The resulting liquid (prepolymerized) mixture 12 is applied to a first surface of a quartz substrate 44, which is previously manipulated to contain a photomask. The preparation of the quartz substrate 44 involves applying a mask 46 to the first surface of the substrate 44, and then pretreating the first surface with an anti-wetting agent or an agent to increase the hydrophobicity of the surface. One such anti-wetting agent is a 2 percent solution of dimethyldichlorosilane in 1,1,1,-trichloroethane, having the trade name Repel-Silan™, and manufactured by Pharmacia Biotech of Uppsala, Sweden. Another suitable anti-wetting agent is trimethylchlorsilane. Two identical spacers 48, made from an inert material such as Teflon, of 20 μm thickness are placed on peripheral edges of the first surface of the quartz substrate so as form a pan-like container to confine the mixture 12. As such, a myriad of spacer thicknesses can be employed, depending on the final desired thickness of the polynucleotide chip. A glass microscope slide 50, first pretreated with a material to attach polyacrylamide to glass, is placed on top of the spacers 48 to form a glass chamber 10. An exemplary pretreatment material is γ-Methacryloxy-propyl-trimethoxysilane, manufactured as Bind Silane by Pharmacia. This entire assembly or chamber 10 is fastened together via a myriad of fastening means (not shown), such as paper clips, tape, or inert adhesive. A first surface of the quartz substrate 44 has the nontransparent mask 46 (e.g., comprised of an inert opaque material such as chrome coating or permanent ink), containing a grid defining a pattern of the desired topology. The grid is applied to the mask coating surface of the quartz substrate 44 either by hand with a fine point marker or by photolithography, with the size of the gel elements defined by the dimensions of the transparent squares etched into the mask. An exemplary grid is depicted in FIG. 14. Dimensions labeled as element "A" are the sizes of gel cells while elements "B" are illustrated as the spaces between the cells. The mask is designed to block the light, used in the light-induced acrylamide polymerization process, in the spaces "B" between the gel units 18 where gel coalescence is not desired. Various sizes of gel cells were fabricated on separate masks, as disclosed in Table 1, below. TABLE 1______________________________________Various Gel and Space Dimensions Obtained Via the Invented Process of Light-Induced Polyacrylamide Polymerization. Dimensions (μm)Mask # Gel Cells Interstitial Spaces______________________________________1 25 50 2 40 80 3 100 200 4 500 1,000 5 1,000 2,000______________________________________ After assembly, the assembled polymerization unit 10 is placed under a light source, such as a 312 nm UV-transilluminator such that the quartz substrate 44 is closest to the source. Good results are obtained when the actual photomask layer 20, first deposited on the first surface of the quartz substrate 44, is in contact with the acrylamide solution. UV exposures of approximately 20 minutes provide good results. A myriad of wavelengths are suitable for the light-induced polymerization process, including those found in the range of between approximately 250 nm and 320 nm. After exposure, the chamber 10 is disassembled. To facilitate disassembly, the chamber 10 can placed in a water bath at room temperature. As noted supra, gel matrix units 18 are retained on the glass where light is allowed to permeate through the mask. These units 18 are separated from each other as a result of opaque mask portions, between the unit regions, precluding gel polymerization. The resulting gel matrix is washed with water, placed in a solution for a period of time to introduce primary amino groups into the acrylamide (an exemplary solution being hydrazine hydrate). This period of time can range from 35-45 minutes. The matrix is then washed with water, and then treated to neutralize the remnants of the basic pH hydrazine treatment. One such neutralization procedure is placing the matrix in 1 percent acetic acid until neutralization is achieved, perhaps for 10 minutes. After neutralization, the matrix is washed with water, and then treated to remove any electrostatically sorbed chemicals. One such treatment involves placing the matrix in 1 M NaCl for approximately 10 minutes. After a final washing with water, the unit is left to dry, and then treated with a thin film of an anti-wetting agent, such as Repel-Silan so as to make the interstitial glass spaces, designated as "B" in FIG. 14, hydrophobic. This will further isolate the gel units 18 from each other to minimize cross contamination during oligonucleotide loading. Treatment of the anti-wetting agent is brief, approximately 1 minute. The matrix is rendered ready for oligonucleotide loading after a final washing with ethanol (from 96 percent to neat) and then water to remove the ethanol. While the invention has been described with reference to details of the illustrated embodiment, these details are not intended to limit the scope of the invention as defined in the appended claims. For example, the invented method is appropriate for drug screening or to construct a protein assay. In one scenario, a myriad of monoclonal antibodies, heavy and light chains from a spleen library is a suitable source, is accumulated via polymerase chain reaction processes. Then each of these antibodies are immobilized in separate gel elements of an array. The array is then subjected to an antigen which is tagged. Those gel elements that light up would serve as starting points for building antibodies specific for that antigen. Further, depending on the size of the array, such arrays of gel cells, or microchips, could serve as a universal antibody diagnostic chip allowing for thousands of assays to occur simultaneously via protein affinity processes. __________________________________________________________________________# SEQUENCE LISTING - - - - (1) GENERAL INFORMATION: - - (iii) NUMBER OF SEQUENCES: 24 - - - - (2) INFORMATION FOR SEQ ID NO: 1: - - (i) SEQUENCE CHARACTERISTICS: (A) LENGTH: 18 base - #s (B) TYPE: nucleic a - #cid (C) STRANDEDNESS: Not A - #pplicable (D) TOPOLOGY: linear - - (ii) MOLECULE TYPE:Genomic DNA - - (iii) HYPOTHETICAL: yes - - (xi) SEQUENCE DESCRIPTION: SEQ ID NO: - #1: - - GTGGAGCCAC ACCCTAGG - # - # - # 18 - - - - (2) INFORMATION FOR SEQ ID NO: 2: - - (i) SEQUENCE CHARACTERISTICS: (A) LENGTH: 20 bases (B) TYPE: nucleic a - #cid (C) STRANDEDNESS: Not A - #pplicable (D) TOPOLOGY: linear - - (ii) MOLECULE TYPE:Genomic DNA - - (iii) HYPOTHETICAL: yes - - (xi) SEQUENCE DESCRIPTION: SEQ ID NO: - #2: - - AGAAGTCTGC CGTTACTGCC - # - # - # 20 - - - - (2) INFORMATION FOR SEQ ID NO: 3: - - (i) SEQUENCE CHARACTERISTICS: (A) LENGTH: 23 bases (B) TYPE: nucleic a - #cid (C) STRANDEDNESS: Not A - #pplicable (D) TOPOLOGY: linear - - (ii) MOLECULE TYPE:Genomic DNA - - (iii) HYPOTHETICAL: yes - - (xi) SEQUENCE DESCRIPTION: SEQ ID NO: - #3: - - GTGGAGACAG AGAAGACTCT TGG - # - # 23 - - - - (2) INFORMATION FOR SEQ ID NO: 4: - - (i) SEQUENCE CHARACTERISTICS: (A) LENGTH: 19 - #bases (B) TYPE: nucleic a - #cid (C) STRANDEDNESS: Not A - #pplicable (D) TOPOLOGY: linear - - (ii) MOLECULE TYPE:Genomic DNA - - (iii) HYPOTHETICAL: yes - - (xi) SEQUENCE DESCRIPTION: SEQ ID NO: - #4: - - ACTTTTATGC CCAGCCCTG - # - # - # 19 - - - - (2) INFORMATION FOR SEQ ID NO: 5: - - (i) SEQUENCE CHARACTERISTICS: (A) LENGTH: 18 bases (B) TYPE: nucleic a - #cid (C) STRANDEDNESS: Not A - #pplicable (D) TOPOLOGY: linear - - (ii) MOLECULE TYPE:Genomic DNA - - (iii) HYPOTHETICAL: yes - - (xi) SEQUENCE DESCRIPTION: SEQ ID NO: - #5: - - CCTTGATCCA ACCTGCCC - # - # - # 18 - - - - (2) INFORMATION FOR SEQ ID NO: 6: - - (i) SEQUENCE CHARACTERISTICS: (A) LENGTH: 25 bases (B) TYPE: nucleic a - #cid (C) STRANDEDNESS: Not A - #pplicable (D) TOPOLOGY: linear - - (ii) MOLECULE TYPE:Genomic DNA - - (iii) HYPOTHETICAL: yes - - (xi) SEQUENCE DESCRIPTION: SEQ ID NO: - #6: - - AAAATAGACC AATAGGCAGA GAGAG - # - # 25 - - - - (2) INFORMATION FOR SEQ ID NO: 7: - - (i) SEQUENCE CHARACTERISTICS: (A) LENGTH: 21 bases (B) TYPE: nucleic a - #cid (C) STRANDEDNESS: Not A - #pplicable (D) TOPOLOGY: linear - - (ii) MOLECULE TYPE:Genomic DNA - - (iii) HYPOTHETICAL: yes - - (xi) SEQUENCE DESCRIPTION: SEQ ID NO: - #7: - - TGGGCAGGTT GGTATCAAGG T - # - # - #21 - - - - (2) INFORMATION FOR SEQ ID NO: 8: - - (i) SEQUENCE CHARACTERISTICS: (A) LENGTH: 10 bases (B) TYPE: nucleic a - #cid (C) STRANDEDNESS: Not A - #pplicable (D) TOPOLOGY: linear - - (ii) MOLECULE TYPE:Genomic DNA - - (iii) HYPOTHETICAL: yes - - (xi) SEQUENCE DESCRIPTION: SEQ ID NO: - #8: - - CGGTTAGATG - # - #- # 10 - - - - (2) INFORMATION FOR SEQ ID NO: 9: - - (i) SEQUENCE CHARACTERISTICS: (A) LENGTH: 76 bases (B) TYPE: nucleic a - #cid (C) STRANDEDNESS: Not A - #pplicable (D) TOPOLOGY: linear - - (ii) MOLECULE TYPE:Genomic DNA - - (iii) HYPOTHETICAL: yes - - (xi) SEQUENCE DESCRIPTION: SEQ ID NO: - #9: - - GTGGAGCCAC ACCCTAGGGT TGGCCAATCT ACTCCCAGGA GCAGGGAGGG CA -#GGAGCCAG 60 - - GGCTGGGCAT AAAAGT - # - #- # 76 - - - - (2) INFORMATION FOR SEQ ID NO: 10: - - (i) SEQUENCE CHARACTERISTICS: (A) LENGTH: 10 bases (B) TYPE: nucleic a - #cid (C) STRANDEDNESS: Not A - #pplicable (D) TOPOLOGY: linear - - (ii) MOLECULE TYPE:Genomic DNA - - (iii) HYPOTHETICAL: yes - - (xi) SEQUENCE DESCRIPTION: SEQ ID NO: - #10: - - TGTGGGATCC - # - #- # 10 - - - - (2) INFORMATION FOR SEQ ID NO: 11: - - (i) SEQUENCE CHARACTERISTICS: (A) LENGTH: 10 bases (B) TYPE: nucleic a - #cid (C) STRANDEDNESS: Not A - #pplicable (D) TOPOLOGY: linear - - (ii) MOLECULE TYPE:Genomic DNA - - (iii) HYPOTHETICAL: yes - - (xi) SEQUENCE DESCRIPTION: SEQ ID NO: - #11: - - CCCGTATTTT - # - #- # 10 - - - - (2) INFORMATION FOR SEQ ID NO: 12: - - (i) SEQUENCE CHARACTERISTICS: (A) LENGTH: 10 base - #s (B) TYPE: nucleic a - #cid (C) STRANDEDNESS: Not A - #pplicable (D) TOPOLOGY: linear - - (ii) MOLECULE TYPE:Genomic DNA - - (iii) HYPOTHETICAL: yes - - (xi) SEQUENCE DESCRIPTION: SEQ ID NO: - #12: - - GCACCTACTT - # - #- # 10 - - - - (2) INFORMATION FOR SEQ ID NO: 13: - - (i) SEQUENCE CHARACTERISTICS: (A) LENGTH: 83 bases (B) TYPE: nucleic a - #cid (C) STRANDEDNESS: Not A - #pplicable (D) TOPOLOGY: linear - - (ii) MOLECULE TYPE:Genomic DNA - - (iii) HYPOTHETICAL: yes - - (xi) SEQUENCE DESCRIPTION: SEQ ID NO: - #13: - - AGAAGTCTGC CGTTACTGCC CTGTGGGGCA AGGTGAACGT GGATGAAGTT GG -#TGGTGAGG 60 - - CCCTGGGCAG GTTGGTATCA AGG - # - # 83 - - - - (2) INFORMATION FOR SEQ ID NO: 14: - - (i) SEQUENCE CHARACTERISTICS: (A) LENGTH: 10 bases (B) TYPE: nucleic a - #cid (C) STRANDEDNESS: Not A - #pplicable (D) TOPOLOGY: linear - - (ii) MOLECULE TYPE:Genomic DNA - - (iii) HYPOTHETICAL: yes - - (xi) SEQUENCE DESCRIPTION: SEQ ID NO: - #14: - - CCTACTTCAA - # - #- # 10 - - - - (2) INFORMATION FOR SEQ ID NO: 15: - - (i) SEQUENCE CHARACTERISTICS: (A) LENGTH: 10 bases (B) TYPE: nucleic a - #cid (C) STRANDEDNESS: Not A - #pplicable (D) TOPOLOGY: linear - - (ii) MOLECULE TYPE:Genomic DNA - - (iii) HYPOTHETICAL: yes - - (xi) SEQUENCE DESCRIPTION: SEQ ID NO: - #15: - - CAACCATAGT - # - #- # 10 - - - - (2) INFORMATION FOR SEQ ID NO: 16: - - (i) SEQUENCE CHARACTERISTICS: (A) LENGTH: 10 bases (B) TYPE: nucleic a - #cid (C) STRANDEDNESS: Not A - #pplicable (D) TOPOLOGY: linear - - (ii) MOLECULE TYPE:Genomic DNA - - (iii) HYPOTHETICAL: yes - - (xi) SEQUENCE DESCRIPTION: SEQ ID NO: - #16: - - CCCAAAGACT - # - #- # 10 - - - - (2) INFORMATION FOR SEQ ID NO: 17: - - (i) SEQUENCE CHARACTERISTICS: (A) LENGTH: 66 bases (B) TYPE: nucleic a - #cid (C) STRANDEDNESS: Not A - #pplicable (D) TOPOLOGY: linear - - (ii) MOLECULE TYPE:Genomic DNA - - (iii) HYPOTHETICAL: yes - - (xi) SEQUENCE DESCRIPTION: SEQ ID NO: - #17: - - GTGGAGACAG AGAAGACTCT TGGGTTTCTG ATAGGCACTG ACTCTCTCTG CC -#TATTGGTC 60 - - TATTTT - # - # -# 66 - - - - (2) INFORMATION FOR SEQ ID NO: 18: - - (i) SEQUENCE CHARACTERISTICS: (A) LENGTH: 10 bases (B) TYPE: nucleic a - #cid (C) STRANDEDNESS: Not A - #pplicable (D) TOPOLOGY: linear - - (ii) MOLECULE TYPE:Genomic DNA - - (iii) HYPOTHETICAL: yes - - (xi) SEQUENCE DESCRIPTION: SEQ ID NO: - #18: - - AGAACCCAAA - # - #- # 10 - - - - (2) INFORMATION FOR SEQ ID NO: 19: - - (i) SEQUENCE CHARACTERISTICS: (A) LENGTH: 10 bases (B) TYPE: nucleic a - #cid (C) STRANDEDNESS: Not A - #pplicable (D) TOPOLOGY: linear - - (ii) MOLECULE TYPE:Genomic DNA - - (iii) HYPOTHETICAL: yes - - (xi) SEQUENCE DESCRIPTION: SEQ ID NO: - #19: - - CGTGACTGAG - # - #- # 10 - - - - (2) INFORMATION FOR SEQ ID NO: 20: - - (i) SEQUENCE CHARACTERISTICS: (A) LENGTH: 10 bases (B) TYPE: nucleic a - #cid (C) STRANDEDNESS: Not A - #pplicable (D) TOPOLOGY: linear - - (ii) MOLECULE TYPE:Genomic DNA - - (iii) HYPOTHETICAL: yes - - (xi) SEQUENCE DESCRIPTION: SEQ ID NO: - #20: - - TCCAACCATA - # - #- # 10 - - - - (2) INFORMATION FOR SEQ ID NO: 21: - - (i) SEQUENCE CHARACTERISTICS: (A) LENGTH: 21 bases (B) TYPE: nucleic a - #cid (C) STRANDEDNESS: Not A - #pplicable (D) TOPOLOGY: linear - - (ii) MOLECULE TYPE:Genomic DNA - - (iii) HYPOTHETICAL: yes - - (xi) SEQUENCE DESCRIPTION: SEQ ID NO: - #21: - - TGGGCAGGTT GGTATCAAGG T - # - # - #21 - - - - (2) INFORMATION FOR SEQ ID NO: 22: - - (i) SEQUENCE CHARACTERISTICS: (A) LENGTH: 15 bases (B) TYPE: nucleic a - #cid (C) STRANDEDNESS: Not A - #pplicable (D) TOPOLOGY: linear - - (ii) MOLECULE TYPE:Genomic DNA - - (iii) HYPOTHETICAL: yes - - (xi) SEQUENCE DESCRIPTION: SEQ ID NO: - #22: - - TCCAACCATA GTTCC - # - # - # 15 - - - - (2) INFORMATION FOR SEQ ID NO: 23: - - (i) SEQUENCE CHARACTERISTICS: (A) LENGTH: 21 bases (B) TYPE: nucleic a - #cid (C) STRANDEDNESS: Not A - #pplicable (D) TOPOLOGY: linear - - (ii) MOLECULE TYPE:Genomic DNA - - (iii) HYPOTHETICAL: yes - - (xi) SEQUENCE DESCRIPTION: SEQ ID NO: - #23: - - TGGGCAGGTT GGTATCAAGG T - # - # - #21 - - - - (2) INFORMATION FOR SEQ ID NO: 24: - - (i) SEQUENCE CHARACTERISTICS: (A) LENGTH: 15 bases (B) TYPE: nucleic a - #cid (C) STRANDEDNESS: Not A - #pplicable (D) TOPOLOGY: linear - - (ii) MOLECULE TYPE:Genomic DNA - - (iii) HYPOTHETICAL: yes - - (xi) SEQUENCE DESCRIPTION: SEQ ID NO: - #24: - - TCCAACCATA GTTCC - # - # - # 15__________________________________________________________________________
A method for fractionating and sequencing DNA via affinity interaction is provided comprising contacting cleaved DNA to a first array of oligonucleotide molecules to facilitate hybridization between said cleaved DNA and the molecules; extracting the hybridized DNA from the molecules; contacting said extracted hybridized DNA with a second array of oligonucleotide molecules, wherein the oligonucleotide molecules in the second array have specified base sequences that are complementary to said extracted hybridized DNA; and attaching labeled DNA to the second array of oligonucleotide molecules, wherein the labeled re-hybridized DNA have sequences that are complementary to the oligomers. The invention further provides a method for performing multi-step conversions of the chemical structure of compounds comprising supplying an array of polyacrylamide vessels separated by hydrophobic surfaces; immobilizing a plurality of reactants, such as enzymes, in the vessels so that each vessel contains one reactant; contacting the compounds to each of the vessels in a predetermined sequence and for a sufficient time to convert the compounds to a desired state; and isolating the converted compounds from said array.
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a cutting tool for expanding or opening the diameter of boreholes. The cutting tool includes at least one reversible knife plate having a front surface. The knife plate can be clamped by means of at least one clamping shoe into a recess provided in a cutter head. 2. Description of the Related Art Cutting tools of the above-described type are known in the art and are used for working on the wall surfaces of boreholes. In operation, the rotating cutting tool is inserted into a borehole and the cutting edge of the knife plate clamped into the cutter head removes chips from the borehole wall. Although the knife plate is clamped into a recess of the cutter head, the high forces occurring during operation may lead to a change of the position of the knife plate relative to the wall of the borehole. This change results in a change of the dimension being cut by the tool or of the adjusted cutting geometry of the tool, so that the bore being worked on no longer corresponds to the desired requirements. If the knife plate is turned or tilted and completely pivoted out of the recess in the cutter head, the tool, as well as the work piece being worked on, can be completely destroyed and persons standing nearby may even be in danger. SUMMARY OF THE INVENTION Therefore, it is the object of the present invention to provide a cutting tool of the above-described type in which a positionally secure clamping of the knife plate within the cutter head is ensured, and wherein a particularly turning or tilting of the cutting edge relative to the clamping shoe is practically completely eliminated even when the knife plate has very small dimensions. In accordance with the present invention, in a cutting tool of the above-described type for expanding or opening the dimension of boreholes, the object is met by providing on the front surface of the knife plate at least two grooves which extend essentially parallel and preferably symmetrical with respect to the longitudinal axis of the knife plate. The grooves cooperate with projections provided on the contact surface of the clamping shoe facing the front surface of the knife plate, and form a positively locked connection therewith. Accordingly, since the present invention provides that at least two grooves are provided on the front surface of the knife plate which extend essentially parallel to the longitudinal axis of the knife plate, preferably symmetrical with respect to the longitudinal axis and form a positively engaging connection between the knife plate and the corresponding clamping shoe, it is possible to eliminate, with a high degree of safety, any rotation or tilting movement of the knife plate relative to the clamping shoe, so that damage to tool and workpiece and danger to persons are reduced to a minimum. In accordance with a preferred feature of the cutting tool according to the present invention, the grooves and/or the projections have sides which form a V-shape, i.e., define an angle relative to each other. As a result, the clamping shoe is capable of absorbing forces which have the tendency to press the knife plate further into the recess or to pull the knife plate out of the recess. In particular, this feature prevents, in a particularly effective manner, any tilting movement or any turning movement of the knife plate. In accordance with a particularly preferred feature, the knife plate of the cutting tool is mounted so as to be displaceable in direction of its longitudinal axis within the corresponding recess, and the grooves of the knife plate and the projections of the clamping shoe extend in the direction of the displacement, i.e., parallel to the longitudinal axis of the knife plate. As a result, the grooves and projections which engage each other serve as a guide means during the displacement of the knife plate. Thus, the displacement or adjustment of the knife plate can take place within a wide range without significantly increasing the danger of a turning or tilting movement of the knife plate. Another preferred feature of the present invention provides that the front surface of the knife plate provided with the groove and the contact surface of the clamping shoe, provided with the projections, include an acute angle which opens from the end of the clamping lip of the clamping shoe in a direction toward the tightening screw of the clamping shoe. As a result, the frontmost end of the clamping lip of the clamping shoe imparts a particularly high clamping force on the knife plate, so that the forces introduced into the freely projecting portion of the knife plate are absorbed in a particularly efficient manner. Finally, another preferred feature of the cutting tool according to the present invention provides that the knife plate is reversible. In a reversible knife plate of this type, two cutting edges can be provided which are arranged opposite each other and extend preferably along the longitudinal edges of the plates. After one cutting edge has been worn out, the knife plate can be turned by 180° and used again. In order to obtain the identical locked engagement in both positions, the grooves in the front surface of the knife plate are arranged in pairs symmetrical with respect to the center axis of the knife plate, wherein these grooves interact with the projections provided on the contact surface of the clamping shoe, so that the grooves and projection form a locking engagement. The various features of novelty which characterize the invention are pointed out with particularity in the claims annexed to and forming a part of this disclosure. For a better understanding of the invention, its operating advantages and specific objects attained by its use, reference should be had to the drawing and descriptive matter in which there is illustrated and described a preferred embodiment of the invention. BRIEF DESCRIPTION OF THE DRAWING In the drawing: FIG. 1 is a perspective partial view of the front end of a cutting tool according to the present invention; and FIG. 2 is a schematic sectional view of a knife plate clamped by a clamping shoe taken along sectional line II--II of FIG. 1. DESCRIPTION OF THE PREFERRED EMBODIMENT The perspective partial view of FIG. 1 of the drawing shows the head of a cutting tool 1 with a knife plate 3 which is clamped by means of a clamping shoe 5. The knife plate is essentially rectangular and its longitudinal edges, as shown in FIG. 1, extend horizontally and, thus, essentially perpendicularly to the axis 11 of rotation of the tool. The knife plate 3 is mounted in a recess 9 provided in the cutter head 7. The longitudinal axis of the recess 9 extends in radial direction of the center axis or axis of rotation 11 of the tool. When a borehole is to be expanded the tool is rotated as indicated in FIG. 1 by an arrow, and is moved into the borehole. It is also possible that the tool is stationary and the workpiece is rotated. The perspective view of FIG. 1 shows that the cutting edge 13 of the knife plate 3 projects beyond the end face 15 of the cutting tool 1. It is also shown in FIG. 1 that the knife plate 3 projects in radial direction beyond the side surface 17 of the essentially cylindrically constructed cutter head 7. The clamping shoe 5 has a clamping lip 21 which, seen in direction of rotation, is mounted on the front side of the knife plate 3 or on the front surface 19 of the knife plate 3, as shown in FIG. 2. The surface 23 of the clamping shoe 5 facing away from the front surface 19 of the knife plate 3 preferably extends flush with a boundary surface of the chip space 25. For this purpose, the clamping shoe 5 is mounted flush in a recess 27 provided in the cutter head 7, wherein the contours of the recess 17 are adapted to the outer shape of the clamping shoe 5 in such a way that the clamping shoe 5 is held in a form-locking manner in the cutter head 7. The clamping shoe 5 is fastened by means of a tightening screw 29 which extends through the clamping shoe 5 and engages in a thread provided in the cutter head 7. The clamping shoe 5 is illustrated in FIG. 1 in an elevational view, so that it can be seen that the side edges 31 and 33 of the clamping lip 21, an acute angle which opens from the tightening screw 29 toward the front side 35 of the clamping lip 21. The rear side of the clamping shoe 5 located opposite the front side 35 of the clamping lip 21 is circular arc-shaped, wherein the radius of curvature of this portion of the clamping shoe is selected somewhat smaller than the corresponding, also circular arc-shaped curved portion of the recess 27. FIG. 1 additionally shows that the right side edge 31 of the clamping shoe as seen in FIG. 1 extends essentially parallel to the axis of rotation 11 of the cutting tool 1. The chip space 25 is formed by a circular sector-shaped recess in the cutter head 7. FIG. 2 shows, in a side view on a much larger scale, a knife plate 3 and a corresponding clamping shoe 5. For clarity's sake the remaining components of the cutting tool are either shown partially or are omitted entirely. Only the end face 15 of the cutter head 7, beyond which the knife plate projects to a small extent, is indicated by a line S. The knife plate 3 and the clamping shoe 5 are illustrated in FIG. 2 in the assembled position corresponding to FIG. 1. The knife plate 3 has on its front surface 19 at least two grooves 37 with projections 39 between the grooves 37. In the illustrated embodiment, three grooves 37 are provided. Each groove 37 has two side surfaces which together form a Z-shape with an angle of about 90° being defined between the side surfaces. The angle included between the side surfaces may be selected from a wide range and may be, for example, 140° to 40°, preferably 120° to 70°. The side surfaces of the projections 38 are formed by the side surfaces of the adjacent grooves. In FIG. 2, a broken line E1 is drawn through the innermost points of the grooves 37. The line E1 forms an imaginary plane of contact of the knife plate 3. The contact surface of the clamping lip 21 of the clamping shoe 5 which faces the knife plate 3 is also provided with grooves 41 and projections 43 between the grooves 41. The side surfaces of the grooves 41 are also arranged so as to form a V-shape and include an angle of about 90°. The angle included between the side surfaces of the projections 43 is in the same range as the ranges discussed above with respect to the grooves 37 provided in the knife plate 3. The side surfaces of adjacent grooves 41 form the side surfaces of the projections 43 between the rows. As is apparent from the above, the projections 39 on the front surface 19 of the knife plate 3, as well as the projections 43 on the contact surface of the clamping lip 21 are also essentially V-shaped as seen in the side view. However, it is also possible to have projections which are rounded or flattened at the apex thereof, so that, seen in cross-section, they are essentially trapezoidally shaped. An imaginary contact plane E2 of the clamping lip 21 extends through the innermost points of the grooves 41. The plane E2 is illustrated in a broken line. The two planes E1 and E2 preferably include an acute angle α which, as seen in FIG. 2, opens from the front side 35 of the clamping lip 21 toward the clamping screw 29. This configuration provides the result that the clamping lip 21 is initially placed with the front side 25 thereof against the front surface 19 of the knife plate 3, and is finally fully pressed against the front surface 19 when the clamping shoe 5 is tightened. As can be seen in FIG. 2, the contact plane E1 is inclined relative to the vertical S in FIG. 2 and thus, relative to the axis of rotation 11 of the cutting tool 1 illustrated in FIG. 1. Consequently, in the assembled state, the clamping shoe 5 can be mounted flush with the surface which defines the chip space 25, so that the surface 23 of the clamping shoe is mounted flush or almost flush with the surface defining the chip space. As a result, the chip removal is impaired only to a minimal extent. Especially in the case of small tool diameters, the chip removal is not impaired. As FIG. 2 further shows, the grooves 37 and 41 and the projections 39 and 43 are arranged essentially symmetrical with respect to the longitudinal axis of the knife plate 3. In the illustrated embodiment of the cutting tool 1, the knife plate 3 is arranged in an inclined position. In other words, the parallel front and rear surfaces of the knife plate and the center plane thereof, extend at an angle relative to the center axis or axis of rotation of the tool. This makes it possible to mount the clamping shoe in a flush manner. The knife plate 3 of the illustrated cutting tool is a so-called reversible plate, wherein the oppositely located longitudinal edges both are cutting edges. Thus, when the upper cutting edge in FIG. 2 has become dull, the knife plate 3 can be turned by 180° about its center axis M which extends perpendicularly to the front surface 19, so that the lower cutting edge in FIG. 2 then replaces the upper cutting edge. If the desired locking engagement between the knife plate and the clamping shoe is to be maintained, this turning of the knife plate is only possible if the grooves 37 and the projections 39 between the grooves 37 are arranged symmetrically with respect to the longitudinal axis of the knife plate 3. The projections on the contact surface of the clamping lip 21 facing the front surface 18 of the knife plate 3 are also arranged symmetrically in the same manner as the grooves 37 and projections 39 of the knife plate 3. However, it is also possible to have the side surfaces of the grooves and projections arranged in such a way that they do not extend symmetrically relative to a line extending perpendicularly to the contact planes E1 and E2. However, in this case, the knife plate cannot be a reversible plate. As mentioned above, the inclination of the side surfaces of the grooves 37 and 41, and of the projections 39 and 43 can be selected freely within a wide range. It is merely important that the configuration of the grooves 37 and projections 39 of the knife plate 3 corresponds to the configuration of the grooves 41, and projections 43 on the contact surface of the clamping lip 21, so that an exact positive engagement results between the front surface 19 of the knife plate and the clamping lip 21. Always two side surfaces defining a groove interact with the two side surfaces of the projection which engages into the groove. The distance of the outer cutting edge from the center axis or axis of rotation of the tool is determined by the arrangement of the groove and projections on the knife plate and on the clamping shoe. Therefore, it is possible to provide different clamping shoes which differ from each other in that the distances of the groove and projections on the clamping shoe measured from the axis A or from the front side 35 are different. When such different clamping shoes are used, the distance of the outer cutting edge of the knife plate from the axis of rotation of the tool can be selected as desired. Thus, if contrary to FIG. 1 the knife plate does not extend perpendicularly to the axis of rotation of the tool, but essentially parallel thereto, different tool diameters can be selected by providing different distances of the active outer cutting edge of the knife plate from the axis of rotation of the tool. As a result, it is possible, for example, to stagger the various distances by using different clamping shoes by 5 μm; in other words, the diameters of the bores to be worked on can be varied in 5 μm steps. By appropriately staggering the distances of the grooves or projections, other steps of the diameter of the borehole can be provided. As a result, the adjustment of the tool relative to the predetermined borehole diameter is substantially simplified. A fine adjustment is no longer necessary, particularly if the steps of the distances of the grooves and projections on the clamping shoe are sufficiently finely selected. The side view of FIG. 2 shows the arrangement of the tightening screw 29 within the clamping shoe 5. As can be seen in FIG. 2, the tightening screw 29 meshes with an external thread in an internal thread which is provided in a bore extending through the clamping shoe 5. The center axis A of the tightening screw extends essentially perpendicularly of the vertical line S shown in FIG. 2 which extends in the surface defining the chip space 25. The knife plate 3 may be mounted so as to be displaceable within the recess 9. In other words, the extent by which the knife plate 3 projects in radial direction beyond the side surface 17 of the cutter head 7 is adjustable. If this type of adjustability of knife plate is desired, it is an advantage if the grooves of the front surface 19 of the knife plate and on the contact surface of the clamping lid 21 are constructed continuously because the radial displacement of the knife plate to the outside and to the inside is not impaired. Moreover, it must be ensured that the grooves and projections extend in the direction of the device used for displacement. The locking engagement between the front surface of the knife plate and the contact surface of the clamping lip results in a guidance of the knife plate when the knife plate is moved radially. It is possible to provide suitable adjusting devices, for example, adjusting screws, in the cutter head 7 which push the knife plate outwardly in radial direction. However, for clarity's sake, these adjusting screws are not illustrated in the drawing. The operation of the cutting tool of the present invention is described as follows: In order to work on the surfaces of boreholes, the cutting tool is rotated and introduced into the borehole. The front cutting edge 13 of the knife plate 3 removes chips from the borehole wall. In addition to the horizontal cutting edge of the knife plate as shown in FIG. 2, chips can also be removed from the borehole wall by at least a portion of the radially outer edge of the knife plate 3 which, as seen in FIG. 1, extends approximately vertically and, thus, approximately parallel to the axis of rotation of the tool. The chip removal results in forces acting on the knife plate 3 which, on the one hand, push the knife plate 3, as seen in FIG. 1, against the rear wall of the recess 9 receiving the knife plate. On the other hand, forces directed from the top toward the bottom and parallel to the axis of rotation 11 act on the outer edge of the knife plate 3, so that a tilting or turning movement of the knife plate could occur. However, this tilting or turning movement is prevented by the grooves and projections on the front surface 19 of the knife plate and of the contact surface of the clamping lip 21. Accordingly, the configuration of the front surface of the knife plate and of the clamping lip results in a locking engagement which prevents rotation and ensures a positionally secure clamping of the knife plate. The side surfaces of the grooves and projections interact in such a way that the left portion of the clamping shoe 5 as seen in FIG. 1 absorbs downwardly directed forces which have the tendency to press the knife plate further into the corresponding recess 9. On the other hand, on the oppositely located, radially inner side of the clamping shoe 5 the forces acting in feeding direction of the tool, i.e., upwardly in FIG. 1, are absorbed by the side surfaces of the grooves and projections. Thus, it is a particular advantage that the locking engagement absorbs forces which have the tendency to press the knife plate 3 into the groove 9, on the one hand, and to pull the knife plate 3 out of the recess 9, on the other hand. The stable mounting of the knife plate 3 is ensured because the clamping shoe 5 is arranged in the recess 27 in the cutter head 7 and, thus, is secured against a pivoting movement about the tightening screw 29. Since the edge 31 of the clamping lip 21, as seen in FIG. 1, extends practically parallel to the axis of rotation 11, the knife plate is exactly secured at the radially innermost point. This results in a particularly long lever arm in anchoring the knife plate and in absorbing the forces which act in feeding direction of the tool when working on a borehole. The knife plate 3 is securely anchored in the cutter head 7 even if the knife plate is displaced radially outward. This is because of guiding properties of the grooves 37 and 41 and the projections 39 and 43. Because of the fact that the contact planes E1 and E2 include an acute angle of 1° to 10°, preferably of 1° to 3°, which opens against the feeding direction of the tool, when the knife plate 3 is being clamped, the clamping lip 21 initially rests against that portion which is closest to the active cutting edge 13. When the clamping shoe 5 is tightened, the clamping lip 21 is slightly deformed, so that the grooves and projections which are located further below, as seen in FIG. 1, begin to engage the corresponding grooves and projections on the front surface 19 of the knife plate. As a result, a very high clamping force is introduced near the cutting edge 13 into the knife plate which, as a result, is pressed against and securely clamped against the wall of the recess 9 located opposite the clamping lip. Since the forces occurring during the working on a borehole wall are absorbed in an optimum manner by the locking engagement described above, an abutment for the knife plate at the bottom of the recess 9 is unnecessary. This means that the knife plate 3 can easily be constructed as a reversing plate. When the knife plate is clamped, the non-active cutting edge of the knife plate, located opposite the cutting edge 13, cannot be damaged. The number of grooves and projections can be reduced to two grooves or projections. This means that the locking engagement provided by the invention can be used even in very small knife plates or in tools having very small diameters. Even in this case, the knife plate is securely mounted even if the knife plate is displaced radially outwardly by a significant extent, so that the radial outer edge of the knife plate projects far beyond the side surface 17 of the cutter head 7. As is apparent from the above, the knife plate, together with the clamping shoe, can also be arranged so as to be turned by 90°, so that the outer cutting edge of the knife plate then extends essentially parallel to the axis of rotation of the tool. However, in this case, the knife plate can no longer be adjusted in radial direction. An adjustment of the tool to a desired diameter can be carried out, as described above, by the appropriate selection of suitable clamping shoes. In this case, the knife plate can otherwise only be displaced in direction of the axis of rotation, wherein a guidance in this direction is provided by the locking engagement of the grooves and projections. While a specific embodiment of the invention has been shown and described in detail to illustrate the application of the inventive principle, it will be understood that the invention may be embodied otherwise without departing from such principles.
A cutting tool for expanding or opening up the diameter of boreholes includes at least one reversible knife plate with a front surface. The knife plate can be clamped with at least one clamping shoe into a recess provided in a cutter head. The front surface of the knife plate has at least two grooves which extend essentially parallel and preferably symmetrical with respect to the longitudinal axis of the knife plate. The grooves cooperate with projections provided on the contact surface of the clamping shoe facing the front surface of the knife plate and form a positively locked connection therewith.
BACKGROUND OF THE INVENTION This is a continuation-in-part of Ser. No. 480,756, filed June 19, 1974 now abandoned in favor of this application. FIELD OF THE INVENTION The present invention pertains to load responsive systems in which the effective output of the system is controlled by the difference between the load actuating pressure and the pressure of the pump. This pressure differential is sensed across the directional control valve as fluid is directed from an inlet port to a work port. Thus the system pressure is maintained at a predetermined value above the load actuating pressure of the fluid motor; and fluid flow to the motor is proportional to the flow opening through the throttling orifice of the control valve. In load responsive hydraulic systems it has been common to take pressurized fluid from either the control valve or the fluid motor, at the load actuating pressure of the fluid motor, and to use this fluid to control the effective output of a pump. If the pump is of the fixed displacement type, the control of the effective output has traditionally been accomplished by the use of a bypass valve controlling fluid flow from the pump output to a sump; and if the pump has been of the variable displacement or variable discharge type, a displacement or discharge control has been used. INHERENT ADVANTAGES OF LOAD RESPONSIVE SYSTEMS Load responsive hydraulic systems inherently have several advantages over conventional hydraulic systems. These include: more precise and consistent control of the flow rate to a fluid motor because the pressure differential across the throttling orifice of the control valve remains constant, or nearly so, lower valve spool actuating forces because the pressure differential across the throttling orifice is relatively small, lower heat rise and horsepower loss during pressure actuation of fluid motors because the pump pressure is controlled to be no greater than a predetermined pressure magnitude above the highest load actuating pressure of the fluid motors, and lower heat rise and horsepower loss during standby conditions because the entire fluid flow from a fixed displacement pump is bypassed to a sump through a bypass valve rather than through series connected neutral flow passages of the control valves. AN INHERENT DISADVANTAGE OF LOAD RESPONSIVE SYSTEMS Load responsive hydraulic systems have also had one serious limitation. When used with a fixed displacement pump, it is desirable to maintain a quite low bypassing pressure to limit heat rise and horsepower loss; and yet, a low bypassing pressure at standby has meant a low differential pressure under operating conditions, and a low differential pressure under operating conditions has severely limited the maximum flow capacity of the control valves because of the low differential pressure that is available to force fluid across the throttling orifices in the control valves. ADVANCEMENT MADE BY THE PRESENT INVENTION The present invention utilizes a synthetic signal generator to increase the highest load actuating pressure, by a predetermined pressure magnitude, to synthetic signal pressure. The use of this synthetic signal generator produces a higher difference between pump pressure and load actuating pressure, under operating conditions, than between pump pressure and sump pressure, during standby conditions; so that the maximum flow capacity of a given size of control valve is substantially increased, if not doubled. DISCUSSION OF SIGNIFICANT PRIOR ART There are three areas of prior art that are significant in pointing out the advantages of the present invention. These three areas will be enumerated and significant patents will be discussed therewith. The first area of significance is in those portions of directional control valve design that pertain to the establishing of a first fluid flow path therein and the sensing of the load actuating pressure for use as a control signal pressure, and the establishing of a second fluid flow path for the attenuation of this control signal pressure when the control valve is in a standby position. Haussler, in U.S. Pat. No. 3,488,953, utilizes a load signal passage intercepting the valve spool bore intermediate of the inlet port and a work port to sense the load actuating pressure; and he uses a valved pilot passage at one end of the valve spool to attentuate the load actuating pressure. Access of the load signal passage to the spool bore is selectively controlled by the valve spool. McMillen, in U.S. Pat. No. 3,526,247, teaches the use of a signal control port interposed between a work port and a return port. The signal control port has constant access to the spool bore and is selectively communicated with the work port and with the return port by the valve spool. In U.S. Pat. No. 3,742,982, of common inventorship entity as that of the present invention, a longitudinal and radial passage within the valve spool is utilized to selectively communicate a signal control port with a work port and with a return port. Ailshie et al., in U.S. Pat. No. 3,815,477, utilize the longitudinal and radial passage, as described above, for the sensing of the load actuating pressure, and a valved pilot passage intermediate of a spaced pair of inlet ports for the attenuation of the control signal pressure. The second area of significance is that of: a logic means for use in interconnecting the first fluid flow paths of a plurality of directional control valves and in selecting, for use as the control signal pressure, the highest load actuating pressure of all directional control valves that are supplying pressurized fluid from the pump to respective ones of fluid actuated devices at any given time; and for interconnecting all of the second fluid flow paths to provide attenuation of the control signal pressure when all of the control valves are in their standby positions. Haussler, U.S. Pat. No. 3,488,953, made no provision for simultaneous use of two or more control valves and the selection of a highest load actuating pressure. Instead, he merely connected all load signal passages in parallel; so that there was no assurance of having a pump pressure of significant pressure magnitude to actuate a heavy load, at a high load actuating pressure, if another valve were sensing a lower load actuating pressure. For attenuation of the control signal pressure, he connected the valved pilot passages in series. McMillen et al., U.S. Pat. No. 3,693,506, teach the use of a plurality of series-connected three-port logic valves to select the highest load actuating pressure from a plurality of directional control valves for use as a control signal pressure, to deliver this control signal pressure to a pump control mechanism, and to attenuate this control signal by fluid flow to a return port of one of the control valves. Ailshie et al., U.S. Pat. No. 3,815,477, utilize parallel connected logic devices, each of which include a check valve of large conductance and an orifice of low conductance, to approximate the highest load actuating pressure by cross flow from the highest load actuating pressure to all lower load actuating pressures; and they use a plurality of series-connected pilot valve passages to attenuate the control signal pressure. The third area of significance is that of a means to increase the difference between the highest load actuating pressure and the pump pressure, during operating conditions, in order to increase the maximum flow capacity of a given size control valve. McMillen, U.S. Pat. No. 3,631,890, teaches the use of a third area piston to change the spring load on the bypass valve and thereby to increase the difference between the control signal pressure and the pump pressure. Tolbert, U.S. Pat. No. 3,777,773, discloses a similar device. Both of these devices have the inherent limitation of poor system response because of the time required to actuate the piston and thereby to increase the spring load in the bypass valve. Ailshie et al., U.S. Pat. No. 3,815,477, disclose a load responsive hydraulic system in which a signal fluid flows from the pump, through a logic system which includes series and parallel connected orifices, to a fluid motor. When only one control valve is actuated, the signal fluid flows to that motor; but, when two or more fluid motors are actuated, the signal fluid flows through parallel connected orifices to all fluid motors operating at less than the highest load actuating pressure. The bypass valve is connected to the signal conduit at a point wherein the bypass valve controlled by a pressure which is greater than the highest load actuating pressure by a pressure magnitude that may be almost as large as the pressure differential across one orifice when a plurality of control valves are supplying pressurized fluid to respective fluid motors at different load actuating pressures, or which is greater than the load actuating pressure by the pressure differential across two series-connected orifices when only one control valve is supplying pressurized fluid to a fluid motor. Thus the pump pressure is increased by the pressure differential across one or two orifices, according to whether one or more control valves are actuated simultaneously. Koppen, U.S. Pat. No. 3,841,096, discloses a system which is superficially similar to the present invention but is different in construction, operation, and purpose. In the Koppen system, the signal fluid is supplied from the fluid motor rather than from the pump and a signal supply restrictor, no logic system is provided which will establish a flow path to the highest load actuating pressure, the highest load actuating pressure is decreased by a relief valve rather than increased, and the flow capacity of the system is decreased rather than increased. COMPARISON WITH PRIOR ART In the present invention, a signal fluid is supplied by the pump, through a signal supply restrictor or orifice to a signal conduit, through a logic system to a plurality of control valves, and through a separate synthetic signal generator in each control valve, to the work port having the highest load actuating pressure therein; so that a synthetic signal pressure is produced that is a predetermined value above the highest load actuating pressure. In contrast, the Ailshie system does not provide a fluid flow path to the work port having the highest load actuating pressure therein, but to all work ports having lower load actuating pressure therein; and the Ailshie system does not produce a synthetic signal pressure that is a predetermined value above the highest load actuating pressure, but it produces a signal pressure that is higher than the highest load actuating pressure by the differential pressure across one or two orifices, depending upon the operating conditions. The present invention utilizes a series connected logic system. Ailshie utilizes a parallel connected logic system. The present invention connects both fluid flow paths to the logic system, Ailshie only the first fluid flow path. The present invention connects each of the second fluid flow paths directly to sump. The Ailshie system connects all of the second fluid flow paths in series. Three-port logic valves have the inherent capability of selecting the highest pressure supplied to logic ports at the opposite ends thereof and supplying that highest pressure to the logic port at the center logic port thereof. However, in order for a three-port logic valve to establish a flow path from the center logic port to an end logic port having a lower fluid pressure therein, the other end logic port must be open to a yet lower fluid pressure. That is, the other end logic port cannot be blocked. If one end logic port is blocked, fluid flow from the center logic port will block the other end logic port. Thus, the required direction of fluid flow, for the synthetic signal generators to increase the load actuating pressure, places a requirement upon the directional control valves that both first and second fluid flow paths, of each control valve, must be connectable to the same end logic port of a three-port logic valve. The present invention provides three embodiments of control valves all of which are advantageous over the above-cited art in that they both include a synthetic signal generator which is effective to increase the pressure magnitude of fluid flow through a selected one of two fluid flow paths and that they are all adaptable for the connection of both fluid flow paths to a single logic port of a three-port logic valve. These two features are important because the synthetic signal pressure cannot be developed unless a synthetic signal generator is supplied and a fluid flow path is established to the highest load actuating pressure. A fluid flow path will not be established to the highest load actuating pressure by three-port logic valves unless both first and second fluid flow paths, of a given control valve, are connected to the same logic port of a three-port logic valve. But, if all of the control valves are designed to allow the connection of both fluid flow paths of all control valves to respective ones of end logic ports, then all end logic ports are always either open to a lower pressure through a second fluid flow path or to a fluid pressure that will be either the highest load actuating pressure or a lower load actuating pressure, and the logic system will establish a flow path from the signal supply restrictor to the highest load actuating pressure. In summary, the present invention utilizes a signal supply restrictor, a series-connected logic system of three-port logic valves, control valves each having first and second fluid flow paths connected in parallel to an end logic port of one of the three-port logic valves, and a synthetic signal generator interposed in at least one fluid flow path of one of the valves. The system thus described, solves the inherent problem of low flow capacity as outlined earlier, solves the problem of poor system response as is inherent in the McMillen and Tolbert systems, and solves the problem of an unpredictable increase in differential pressure across the throttling orifice that is inherent in the system disclosed by Ailshie et al. SUMMARY OF THE INVENTION The present invention utilizes a signal supply restrictor which supplies a signal fluid from the pump and a synthetic signal generator which pressurizes the signal fluid to a predetermined value above one of two pressures sensed by a special directional control valve. The directional control valve provides a first fluid flow path that communicates with the fluid motor when the control valve is in an operating position, and a second fluid flow path that communicates with a sump when the control valve is in a standby position. Thus, the first fluid flow path senses the load actuating pressure and the second fluid flow path senses the sump pressure. In a system having a fixed displacement pump and a differential pressure bypass valve, the signal fluid flows through the first fluid flow path and through the synthetic signal generator to the fluid motor when the control valve is in an operating position and pressurized fluid is being supplied from the pump to the motor. When the control valve is in a standby position and the fluid motor is isolated from the pump, the signal fluid flows through the second fluid flow path to the sump, avoiding the synthetic signal generator. Thus, with the control valve in the operating position, the pump pressure is equal to the load actuating pressure of the motor, plus the predetermined increase caused by the synthetic signal generator, plus the differential opening or operating pressure of the bypass valve; but, with the control valve in the standby position, the pump pressure is equal to the sump pressure, plus the differential opening or operating pressure of the bypass valve. The result is that the synthetic signal generator is effective to control the pump pressure to pressure magnitudes to provide a greater difference between pump pressure and load actuating pressure when the control valve is in the operating position than the difference between pump pressure and sump pressure when the control valve is in the standby position. The end results are: the differential pressure across the throttling orifice of the control valve is increased by the increase of the load actuating pressure to a synthetic signal pressure, more fluid per unit time can be supplied through a directional control valve of a given size, and the pump pressure under standby conditions is kept low to minimize power loss and heat rise. In a system having a variable displacement pump, the synthetic signal generator cooperates with the second fluid flow path to produce a synthetic signal pressure which is at a predetermined value above the sump pressure. When the control valve is in a standby position, this synthetic signal pressure is applied to the displacement control mechanism of the variable displacement pump and the standby pressure of the pump is maintained at a relatively high value. Then, when the control valve is in an operating position, the control valve uses the first fluid flow path to avoid the synthetic signal generator and to apply only the load actuating pressure of the fluid motor to the displacement control mechanism. The results are that: the difference between pump pressure and sump pressure at standby is greater than the difference between pump pressure and load actuating pressure under operating conditions, a high standby pressure is available to operate auxiliary equipment, and a lower differential pressure under operating conditions minimizes the power loss across the throttling orifice of the control valve. For example, in a system having a fixed displacement pump, the present invention might be used to raise a standby pressure of 50 psi to a differential operating pressure of 150 psi, thereby increasing the flow capacity of the control valve. Or, in a system having a variable displacement pump, a pressure of 500 psi might be maintained at standby for the actuating of auxiliary equipment, and the differential operating pressure might be reduced to 150 psi to minimize power loss across the control valve when fluid is supplied to the fluid motor. In addition to the operational advantages of the hydraulic circuitry of the present invention as have already been described, the construction of the control valves is also unique as will be described subseuently. A first objective is to provide a load responsive hydraulic system having a fixed displacement pump in which the differential pressure across the throttling orifice of the control valve is higher than the standby pressure of the system. A second objective is to provide a load responsive hydraulic system having a variable displacement pump in which the differential pressure across the throttling orifice of the control valve is lower than the standby pressure of the pump. A third objective is to provide a signal supply restrictor and a synthetic signal generator which cooperate to supply pump fluid to a signal conduit and which will pressurize this signal fluid to a predetermined value above a sensed pressure. A fourth objective is to provide a load responsive hydraulic system in which pressurized fluid from the pump is supplied to the logic means to pressure actuate the poppet type shuttle thereof. A fifth objective is to provide a directional control valve having first and second fluid flow paths which sense load actuating and sump pressures respectively. A sixth objective is to provide a directional control valve having a synthetic signal generator in one fluid flow path, so that the pump is controlled by one second pressure plus the differential pressure of the synthetic signal generator when the control valve is in one position, and by the other sensed pressure when the control valve is in another position. A seventh objective is to provide a control valve in which the synthetic signal generator is built into the valve spool. An eighth objective is to provide a control valve which includes a valved signal supply restrictor. A ninth objective is to provide a control valve in which the inlet port is selectively communicated with a signal control port. A tenth objective is to provide a control valve for load responsive hydraulic systems which is simple in design. An eleventh objective is to provide a control valve for load responsive hydraulic systems which is compact in design. A twelfth objective is to provide a control valve for load responsive hydraulic systems which is economical to manufacture. A thirteenth objective is to provide a load responsive hydraulic system which achieves maximum fluid flow through a given size of control valve. These and other objectives will be apparent to the reader from the detailed description. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a schematic drawing of the hydraulic system utilizing a fixed displacement pump and a bypass valve, and showing the directional control valve in cross section; FIG. 1A is an enlarged view of a portion of the control valve of FIG. 1; FIG. 1B is an enlarged view of another portion of the control valve of FIG. 1; FIG. 2 is a schematic drawing of a second embodiment of the hydraulic system, utilizing a variable displacement pump and a displacement control mechanism, and showing a second directional control valve in cross section; FIG. 2A is an enlarged view of a portion of the control valve of FIG. 1; FIG. 2B is an enlarged view of another portion of the control valve of FIG. 1; FIG. 3 is a third schematic drawing of a third embodiment of the hydraulic system showing a third embodiment of the directional control valve in cross section; and FIG. 3A is a partial and enlarged view of the control valve of FIG. 3. DETAILED DESCRIPTION OF THE INVENTION The Embodiment of FIGS. 1, 1A, and 1B Referring now to FIGS. 1, 1A, and 1B, load responsive system 10 includes fixed displacement pump 12, sumps 14, differential pressure actuated bypass valve or fluid responsive means 16, differential pressure actuated flow valve 18, three-port logic valve 20a, pilot relief valve 22, signal supply restrictor or orifice 24, directional control valve 26, and fluid motor or fluid actuated device 28. Bypass valve 16 includes seat 29, piston 30, spring 32, and signal chamber 34. Control valve 26 includes a valve body 36 having spool bore 38, inlet port 40, work ports 42a and 42b, a return port means that includes return ports 44a and 44b and return port or drain passge 48, and attenuation signal passage 46 which intercepts bore 38. Control valve 26 also includes valve spool or movable valving element 50 which is slidably fitted into bore 38, three-port logic valve 20b, synthetic signal generator or relief valve 52, and load signal passages 54a and 54b which intercept spool bore 38. Synthetic signal generator or relief valve 52 includes input port 53 (FIG. 1B), poppet or ball 55, seat 56, spring chamber or control port 57, and spring 59. Spring 59 forces ball 55 against seat 56 to provide a predetermined flow resistance. Conical seat 56 of synthetic signal generator 52 includes a groove 65 that prevents complete sealing of the seat 56 by the poppet or ball 55 and thereby provides a restricted flow path or reverse flow means. Valve body 36 includes signal control port 60 which connects logic valve 20b to attenuation signal passage 46 and to synthetic signal generator 52. Inlet port 40 includes passage portion 41 and annular groove portion 43. In like manner, work ports 42a and 42b include passage portions 47a and 47b and annular groove portions 49a and 49b. Spool bore 38 of valve body 36 includes cylindrical bore lands 51a and 51b which are interposed between annular groove portion 43 and respective ones of annular groove portions 49a and 49b, which sealingly engage a spool land 78 of valve spool 50 to isolate inlet port 40 from respective ones of work ports 42a and 42b, and which include triangular shaped metering or throttling notches 58a and 58b. Notches 58a and 58b are formed, respectively, into the cylindrical surfaces of bore lands 51a and 51b and serve to extend inlet port 40 toward respective ones of work ports 42a and 42b. Operation of the FIG. 1 configuration, with valve spool 50 in the standby position as shown, is as follows: pump 12 receives fluid from sump 14a and delivers pressurized fluid to inlet port 40 via pump pressure conduits 66 and 68 and through path 70 of flow valve 18. Fluid from pump pressure conduit 66 is supplied to signal conduit 72 and to signal chamber 34 through signal supply restrictor or orifice 24 for use as a signal fluid. Signal conduit 72 delivers this signal fluid through logic means 20 which includes logic valves 20a and 20b, to signal control port 60 and to attenuation signal passage 46. Attenuation signal passage 46 is communicated to return port 48 and to sump 14f by reduced diameter portion 74 of spool 50. Thus, a negligible fluid pressure is applied to signal chamber 34 of bypass valve 16; and pump pressure inside seat 29 is able to move piston 30 to the left and to discharge all of the output of pump 12 into sump 14b as a function of the load of spring 32. With valve spool 50 in the standby position as shown, attenuation signal passage 46 cooperates with reduced diameter portion 74 and with return port 48 to provide a second fluid flow path which communicates signal control port 60 with sump 14f. When valve spool 50 is moved to the left of the position shown, spool land 76 blocks the second fluid flow path by isolating attenuation signal passage 46 from return port 48. Movement of valve spool 50 to the left of the position shown is also effective to move spool land 78 to a position wherein load signal passage 54b is uncovered, so that load signal passage 54b is communicated with work port 42b and the resultant first fluid flow path connects signal control port 60 to work port 42b. Additional movement of valve spool 50 to the left, to an operating position, moves land 78 to uncover notch 58b, or a portion thereof, thereby opening a throttling orifice between inlet port 40 and work port 42b. The flow area of this throttling orifice is selectively determined by selectively positioning valve spool 50 and land 78 thereof to the left, toward an operating position, in accordance with the desired fluid flow rate from pump 12 to fluid motor 28.At this time, notch 80 communicates work port 42a with return port 44a and sump 14d to receive fluid exhausting from motor 28. In the meantime, pump fluid being supplied to signal conduit 72 through orifice 24, for use as a signal fluid, flows through logic valves 20a and 20b, signal control port 60, synthetic signal generator 52, hole 62, and load signal passages 54b. Thus, this signal fluid is pressurized by the load actuating pressure of fluid motor 28 which is sensed by load signal passage 54b, plus the flow resistance of the signal fluid flowing through relief valve 52; so that the load actuating pressure of motor 28,, as sensed in signal conduit 72, is increased to a synthetic signal pressure by the action of synthetic signal generator 52. This synthetic signal pressure is supplied to signal chamber 34 by signal conduit 72 and by logic means 20 that is interposed into signal conduit 72; so that bypass valve 16 controls the pressure and the effective output of pump 12 by bypassing all fluid from pump 12 to sump 14b that is in excess of the quantity flow necessary to maintain the system at a pressure which is equal to the synthetic signal pressure plus the load of spring 32. Thus, with the pressure of pump 12 being maintained at a pressure magnitude which is equal to the sum of the synthetic signal pressure plus the load of spring 32, the fluid flow rate from pump 12 to motor 28 is substantially a linear function of the area of the throtting orifice between inlet port 40 and work port 42b as determined by the selective positioning of valve spool 50 and spool land 78 thereof. Movement of spool 50 to the right, to a second operating position, is similar: land 82 occludes the second fluid flow path, and the first fluid flow path includes load signal passage 54a. Valve spool 50 is also movable to the left, past the first operating position, to a float position. Land 84 moves far enough to the left that work port 42b is communicated to return port 44b around reduced diameter portion 45 of valve spool 50; and both work port 42a and attenuation signal passage 46 are communicated to return port 44a via notch 80 and reduced diameter portion 86 of spool 50. Whereas land 84 blocks communication between load signal passage 54b and work port 42b when valve spool 50 is in the float position, load signal passage 54b is open to inlet port 40 via reduced diameter portion 88 of valve spool 50. However, ball 55 of synthetic signal generator 52 prevents an excessive loss of the fluid from pump 12 to sump 14d through the second fluid flow path which includes attenuation signal passage 46; although groove 65 in seat 56 permits a small leakage flow from pump 12 to sump 14d via attenuation signal passage 46. Thus, it can be seen that if synthetic signal generator 52 were not needed to provide a synthetic signal higher than the load actuating pressure, a check valve could be used in the place of synthetic signal generator 52 to provide a one-way flow means. Pilot relief valve 22 interconnects signal conduit 72 and sump 14e and serves to limit both the maximum pressure in signal conduit 72 and the maximum bypass pressure of bypass valve 16. Referring now to FIGS. 1 and 1B, logic valves 20a and 20b include third logic ports 90a and 90b, respectively, for connection to signal control ports (not shown, similar to signal control port 60) of control valves identical or similar to control valve 26. If a synthetic signal pressure (a load actuating pressure plus the pressure differential of a synthetic signal generator), a load actuating pressure, or any other fluid pressure, from a signal control port, or elsewhere, is supplied to third logic port 90a of logic valve 20a at a higher pressure than that in signal control port 60, ball or poppet type shuttle 92a will move to the right to communicate signal chamber 34 with the signal control port that is connected to third logic port 90a and to block communication of signal chamber 34 with signal control port 60. Thus, bypass valve 16 is controlled by the highest synthetic signal pressure of any control valve which is supplying pressurized fluid to a fluid motor. Referring again to FIGS. 1, 1A, and 1B, a synthetic signal generator or orifice 64 is interposed between hole 62 and load signal passage 54b of the modification shown in FIG. 1A; so that the sum of the pressure differentials of snythetic signal generators 52 and 64 is added to the load actuating pressure of fluid motor 28 to make the synthetic signal pressure when pump 12 is supplying fluid to fluid motor 28 via work port 44b. If synthetic signal generator 52 were removed, the synthetic signal pressure, as applied to second logic port 94b would include only the load actuating pressure plus the pressure differential of synthetic signal generator or orifice 64 when pump 12 supplied fluid to work port 42b; and only the load actuating pressure of fluid motor 28 would be applied to second logic port 94b when pump 12 supplied fluid to work port 42a. Assume now that third logic ports 90a and 90b of logic valves 20a and 20b are each connected to a control valve (not shown) that is similar to control valve 26. Also assume that all of the control valves are in the neutral or standby position as shown. Under these conditions, each of the control valves provides a second flow path from a signal control port, through an attenuation signal passage (similar to attenuation signal passage 46), and to a sump. Since ball 92a cannot simultaneously block both logic ports 90a and 94a, and ball 92b cannot simultaneously block both logic ports 90b and 94b, there will be a flow path from signal conduit 72 and first logic port 95a to a sump, depending upon the chance location, or gravity forced location, of balls 92a and 92b. Assume now the balls 92a and 92b are chance or gravity located against second logic ports 94a and 94b respectively; and assume that valve spool 50 of control valve 26 is then moved to the left to communicate work port 42b with load signal passage 54b and with notch 58b. At this time, the load actuating fluid in work port 42b is reverse transferred through the synthetic signal generator or orifice 64 and through groove 65 of seat 56 to move ball 92b away from second logic port 94b and into sealing contact with third logic port 90b. Then this reverse transferred fluid from work port 42b flows through first logic port 95b of logic valve 20b to second logic port 94a of logic valve 20a and is effective to move ball 92a away from second logic port 94a and into sealing contact with third logic port 90a. Thus, the reverse flow capability of synthetic signal generator or orifice 64 and the reverse flow capcity of the groove 65, which serves as a reverse flow means, are effective to provide pressurized fluid for pressure shifting balls 92a and 92b to positions wherein reversible fluid communication is established between signal supply restrictor 24 and work port 42b; and then the flow of fluid is from the higher pressure magnitude of pump 12, through restrictor 24, to work port 42b. Referring now to FIG. 1, the function of flow valve 18 is as follows: when valve spool 50 is moved to the left and pressurized fluid is being supplied to fluid motor 28 by control valve 26 at a first load actuating pressure and another control valve (not shown) is supplying pressurized fluid to another fluid motor (not shown), at a higher load actuating pressure, then the pressure of pump 12 will be controlled by the higher load actuating pressure plus the pressure increases of another synthetic signal generator (not shown) to a pressure that is excessive for actuating fluid motor 28. Then the pressure drop across the throttling orifice between inlet port 40 and work port 42b of control valve 26 will be too high, and the flow of fluid to fluid motor 28 will be larger than it should be for a given area of the throttling orifice. This higher than normal pressure drop is used to actuate flow valve 18. Pump pressure in conduit 68 is applied to operator 96b to close flow valve 18, and the synthetic signal pressure in signal control port 60 is applied to operator 96a to open flow valve 18. Flow valve 18 throttles flow to reduce the pressure in conduit 68 whenever the difference between the pump pressure in conduit 68 and the synthetic signal pressure in signal control port 60 is excessive, as determined by the load of spring 98. In addition, whenever valve spool 50 is in the standby position as shown, the pressure in signal control port 60 approximates sump pressure, the fluid pressure in operator 96a is negligible, the pump pressure in conduit 68 moves flow valve 18 to a closed position, and pressure in inlet port 40 is minimized. The result is that drift of fluid motor 28, as caused by leakage of pump pressure from inlet port 40 to a work port, 42a or 42b, is reduced. Optionally, operator 96a can be connected to conduit 100 instead of being connected to signal control port 60. If this change is made, flow valve 18 is actuated by the difference between pump pressure and load actuating pressure when valve spool 50 is moved to the right communicating conduit 100 with work port 42a; and flow valve 18 is actuated by a synthetic signal pressure which includes the flow resistance of orifice 64 (FIG. 1A) plus the load actuating pressure of fluid motor 28 in work port 42b when valve spool 50 is moved to the left to an operating position. Finally, referring to FIG. 1 again, notice that signal control port 60, hole 62, and load signal passage 54a cooperate to establish the first fluid flow path, signal control port 60, attenuation signal passage 46, and reduced diameter portion 74 of valve spool 50 cooperate to establish the second fluid flow path; and so a signal control means is provided that establishes and controls first and second fluid flow paths. Similarity of Embodiments, Components, and Numbers Having described FIG. 1 in considerable detail, attention is now directed to the similarity of certain components and features of the other two embodiments, and then the other embodiments may be dealt with in less detail. Notice that all three embodiments include two three-port logic valves which have logic ports for the connection of the signal control ports of two additional control valves. The three-port logic valve in the valve spool of the control valve of FIG. 3 serves a different purpose and will be described later. Notice that all three embodiments include a signal control means that establishes first and second fluid flow paths. Notice that all three embodiments include a synthetic signal generator in one or both fluid flow paths. Notice that all three embodiments include flow valves 18. These flow valves are connected for actuation by the synthetic signal pressure in FIGS. 1 and 3, for actuation by the load actuating pressure in the embodiment of FIG. 1 when operator 96a is connected to conduit 100, for actuation by the load actuating pressure when the valve spool is moved in one direction and for actuation by the synthetic signal pressure when the valve spool is actuated in the other direction in the FIG. 2 embodiment, and for actuation by sump pressure applied to operator 96a when the valve spool is in the standby position in the embodiments of FIGS. 1 and 3. Notice that the configurations of FIGS. 1 and 3 include a fixed displacement pump 12 and bypass valve 16. Notice that all three configurations include fluid motor or fluid actuated device 28. Notice that all of the control valves include two work ports and so they all have two operating positions. In addition, all of the control valves include a float position wherein the valve spool is moved further to the left than for the operating positions and wherein both work ports are connected to both return ports. Notice that all three control valves include O-ring seals at both ends of the valve spools for sealing between the valve spools and the valve bodies. Where components and parts of components are identical, identical numbers are used, and where components and parts of components are similar and have identical names, a number prefix is added which corresponds to the number of the figure. The Embodiment of FIGS. 2, 2A, and 2B Referring now to FIGS. 2, 2A, and 2B, load responsive system 210 includes variable displacement pump 102 which includes swash plate 104 whose inclination is controlled by spring 106 and by fluid pressure in chamber 108 acting upon control piston 110 to control the stroke of pistons 112. Sensor valve 114 includes operator 116a, operator or signal chamber 116b, and spring 118. Operator 116b serves as a signal chamber for displacement control mechanism or fluid responsive means 120 which includes sensor valve 114 and elements 104, 106, 108, and 110 of pump 102. Directional control valve 226 includes valve body 236 having a spool bore 238, inlet port 240, work ports 242a and 242b, a return port means including return ports 244a and 244b and return port or drain passage 248, and attenuation signal passage 246. Valve spool or movable valving element 250 is slidably fitted into bore 238 and is in the standby position, as shown. Directional control valve 226 also includes synthetic signal generator or relief valve 119, synthetic signal generator or relief valve 121, load signal passages 254a and 254b, signal control port 260, hole 262, one-way flow valve 122, and three-port logic valve 20b. Synthetic signal generator 119 includes a poppet 123 and a spring 259a. Poppet 123 includes orifice or capillary passage 125 (FIG. 2A) that serves as a reverse flow means and functions as was described for groove 65 of the FIG. 1 embodiment. One-way flow valve or check valve 122 includes ball or poppet 255a and spacer 126. Spacer 126 includes slot 127 at the lower end thereof to assure a fluid communication path from signal control port 260 to load signal passage 254b. One-way flow valve 122 includes groove 265 (FIG. 2B) in seat 256 that serves as a reverse flow means as was described for groove 65 of FIG. 1. Synthetic signal generator or relief valve 121 includes a ball or poppet 255b and a spring 259b. The first fluid flow path includes two branches. One branch includes hole 262, synthetic signal generator 119 and reverse flow means 125 in parallel relationship, and load signal passage 254a. The other branch includes hole 262, one-way flow valve 122 and reverse flow means 265 in parallel relationship, and load signal passage 254b. The second fluid flow path includes hole 262, synthetic signal generator 121, attenuation signal passage 246, and reduced diameter portion 274. Operation of the FIG. 2 configuration is as follows: sensor valve 114 moves to position 130a to discharge fluid from chamber 108 and thereby to increase the displacement of pump 102 and to position 130b to direct fluid from pump pressure conduit 266 into chamber 108 and thereby to decrease the displacement of pump 102, so that pump pressure is maintained at a predetermined differential above the pressure in signal conduit 272 as determined by the load of spring 118. When valve spool 250 is in the standby position, as shown, fluid from pump pressure conduit 266 is supplied to signal conduit 272 by signal supply restrictor or orifice 24 for use as a signal fluid. This signal fluid is applied to operator 116b which functions as a signal chamber. The pressure magnitude of this signal fluid is equal to the pressure magnitude of the fluid pressure in sump 14c plus a predetermined increase in the pressure magnitude due to the flow resistance of synthetic signal generator 121. Thus, the displacement of pump 102 is controlled to maintain a pressure in pump pressure conduit 266 that is a function of the flow resistance of synthetic signal generator 121 and the load of spring 118. As an example, spring 118 may be selected so that the pump pressure will be 100 psi above the pressure in signal conduit 272, and synthetic signal generator 121 may be built to give a 400 psi resistance to fluid flow. Then the pressure of pump 102 would be 500 psi at standby. If valve spool 250 is moved to the right to an operating position, a throttling orifice is formed by edge 132 of spool land 278 and edge 134 of spool bore 238, so that pump fluid in inlet port 240 is supplied to work port 242a and to fluid motor 28. The pressure drop across the throttling orifice will be a function of the load of spring 118 of sensor valve 114 and the flow resistance across relief valve 119. If relief valve 119 has a flow resistance of 150 psi and spring 118 controls the pressure of pump 102 to 100 psi above the pressure in signal conduit 272, then there will be 250 psi available to force fluid across the throttling orifice to work port 242a. If valve spool 250 is moved to the left to a second operating position, a second throttling orifice is formed between inlet port 240 and work port 242b, the load actuating pressure of fluid motor 28 as sensed by work port 242b and load signal passage 254b will be applied to operator 116b so that there will be a pressure of 100 psi, as determined by the load of spring 118, available to force fluid across the throttling orifice to work port 242b. Thus, the FIG. 2 configuration provides a standby pressure that is moderately high, for the operation of auxiliary equipment (not shown), a low pressure drop from the inlet port of the control valve to work port 242b to conserve power and to reduce heat rise, and an intermediate pressure drop from the inlet port of the control valve to work port 242a to increase the capacity of the valve to deliver a larger flow to work port 242a. Referring again to FIG. 2, when valve spool 250 is moved to the right to an operating position, spool land 136 occludes the second fluid flow path between hole 262 and sump 14c; when valve spool 250 is moved to the left to an operating position, spool land 138 occludes the second fluid flow path; but when valve spool 250 is moved further to the left, to a float position, reduced diameter portion 140 reestablishes the second fluid flow path by communicating attenuation signal passage 246 with return port 244b. In this float position, one-way flow valve 122 serves to prevent excessive loss of fluid from inlet port 240 to sump 14c via load signal passage 254b and attenuation signal passage 246; but groove 265 (FIG. 2B) provides a reverse flow means so that a small flow is available to pressure shift the balls 92a and 92b of FIG. 2 as was described for balls 92a and 92b of FIG. 1. The Embodiment of FIGS. 3 and 3A Referring now to FIGS. 3 and 3A, load responsive system 310 includes directional control valve 326. Control valve 326 includes valve body 336 having spool bore 338 therein, and valve spool 350 being slidably fitted into bore 338. Valve body 336 includes inlet ports 340a and 340b, work ports 342a and 342b, return ports 344a and 344b, and signal control port 360. Valve spool or movable valving element 350 includes spool land 182, three-port logic valve 184 with one logic port thereof communicating with the outside diameter of spool land 182, longitudinal passages 186a and 186b each communicating with one logic port of logic valve 184, spool land 188 having radial passage 190a therein, spool land 192 having radial passage 190b therein, and orifices or synthetic signal generators 193a and 193b connecting radial passages 190a and 190b to longitudinal passages 186a and 186b, respectively. Valve spool 350 includes longitudinal grooves or restricted flow paths 194a and 194b, which cooperate with bore lands 196a and 196b to provide valved signal supply restrictors 198a and 198b which are closed when valve spool 350 is in the standby position as shown. When valve spool 350 is moved to the right, to an operating position, longitudinal groove 194b communicates with inlet port 340b to provide a restricted fluid flow path from inlet port 340b to signal control port 360 and thereby to provide fluid from pump pressure conduit 368 to signal conduit 372 for use as a signal fluid. When valve spool 350 is moved to the left to an operating position, a restricted fluid flow path is established between inlet port 340a and signal control port 360 by longitudinal groove 194a, but when valve spool 350 is moved farther to the left to a float position, land portion 180 of spool land 182 blocks the restricted fluid flow path between inlet port 340a and signal control port 360. When valve spool 350 is in the standby position as shown, longitudinal passages 186a and 186b cooperate with radial passages 190a and 190b to provide a fluid flow path that communicates signal control port 360 with one of the return ports 344 because ball or poppet type shuttle 185 cannot block both of the longitudinal passages, 186a and 186b, at the same time. With valve spool 350 in the standby position, no fluid is being supplied from pump 12 to signal control port 360 by valved signal supply restrictors 198, whatever pressure has existed in signal conduit 372 and in signal chamber 34 is released to one of the return ports 344, and the bypass pressure of pump 12 is dependent only upon the force of spring 32. When valve spool 350 is moved to the left to an operating position, valved signal supply restrictor 198a supplies fluid to signal control port 360, radial passage 190b moves to establish a fluid flow path to work port 342b and to sense the load actuating pressure of fluid motor 28, ball 185 moves to the left to block fluid communication from signal control port 360 to radial passage 190a and return port 344a, and fluid from signal control port 360 flows through orifice 193b to work port 342b. The result is that a synthetic signal pressure is developed in signal control port 360 which is dependent upon the ratio of the fluid conductance of restricted flow path 194a to the fluid conductance or orifice or synthetic signal generator 193b. The pressure of pump 12 is then controlled by the pressure magnitude of the synthetic signal pressure plus the load of spring 32. When valve spool 350 moves farther to the left, to a float position, work port 342b is communicated to return port 344b by reduced diameter portion 181 of valve spool 350, radial passage 190b is communicated to return port 344b via work port 342b, work port 342a is communicated to return port 344a by reduced diameter portion 183 of valve spool 350, radial passage 190a remains in communication with return port 344a, and valved signal supply restrictor 198a is closed by land portion 180. The results are: both work ports are communicated to their respective return ports, no fluid is supplied to signal control port 360 by one of the valved signal supply restrictors 198a and 198b, whatever pressure has existed in signal conduit 372 and in signal chamber 34 is dissipated by a fluid flow path through one of the radial passages 190 to one of the return ports, 344a or 344b, and the pressure of pump 12 is dependent only upon the load of spring 32. Notice that the logic means or logic valve 20b may be an integral part of the directional control valve, as in the FIGS. 1 and 2 embodiments, or separate therefrom, as in the FIG. 3 embodiment. Notice that longitudinal passage 186a and radial passage 190a cooperate to form a longitudinal and radial passage means, which establishes first and second fluid flow paths from signal control port 360 to work port 342a and to return port 344a, respectively; and that, in like manner, longitudinal passage 186b and radial passage 190b cooperate to form a second longitudinal and radial passage means which establishes first and second fluid flow paths. Notice also that synthetic signal generators 193a and 193b are interposed into both fluid flow paths, but synthetic signal generators 193a and 193b are effective to create a synthetic signal pressure only when there is fluid flowing through them. Thus, synthetic signal generators 193a and 193b do not raise the standby pressure of pump 12 because no fluid is being supplied to signal control port 360 by valved signal supply restrictors 198a and 198b and there is no fluid flowing through synthetic signal generators 198a and 198b. Referring now to FIGS. 1 - 3, both relief valves and orifices have been shown and described as being used for synthetic signal generators; and both provide a predetermined and constant differential pressure for the flow of signal fluid from the signal supply restrictor, 24 or 198a, to a work port; since the fluid responsive means, 16 or 120, maintains a constant differential pressure across the signal supply restrictor. Referring again to FIGS. 1 and 2, it should be noticed that the inclusion of the reverse flow means (groove 65 of FIG. 1B, orifice 125 of FIG. 2A, or groove 265 of FIG. 2B) does not increase the flow of pump fluid from one directional control valve, through the logic means 20, and then through a synthetic signal generator of another directional control valve; because the logic means is effective to communicate the signal supply restrictor and the signal chamber with the signal control port of one directional control valve while isolating all other signal control ports from the signal supply restrictor and the signal chamber. Referring again to FIG. 3, each control valve includes a separate signal supply restrictor 198a; and cross flow of signal fluid, from one control valve to another, is prevented by the logic means as has been described above for FIGS. 1 and 2. However, should circuitry be used in which the flow rate of the signal fluid is not constant, the use of the relief valve for a synthetic signal generator would be preferable over the orifice; since the relief valve inherently has a lower increase in pressure differential thereacross for an incremental increase in flow than does an orifice. Unique Features of the Directional Control Valves The directional control valves of FIGS. 1 and 2 are unique in that they both include a signal control port, a load signal passage being connected to the signal control port and intercepting the spool bore intermediate of an inlet port and a work port, an attenuation signal passage being connected to the signal control port and intercepting the spool bore proximal to a return port and distal from the work port and the inlet port, a reduced portion on the outside of the valve spool for selectively establishing fluid communication between the attenuation signal passage and the return port, and a synthetic signal generator interposed in a fluid flow path that includes either the load signal passage or the attenuation signal passage. More briefly stated, the directional control valves of FIGS. 1 and 2 are unique in that they selectively establish a first fluid flow path from a signal control port to a work port, they selectively establish a second fluid flow path from the signal control port to return port means that is proximal to a work port and distal from the inlet port, and they interpose a synthetic signal generator in one of these fluid flow paths. The directional control valve of FIG. 3 is unique in that first and second fluid flow paths are selectively established from a signal control to a work port and a return port, respectively, a synthetic signal generator is interposed in one of the fluid flow paths, and means is provided for selectively and restrictively communicating the inlet port to the signal control port. Additional Comments It should be understood that a plurality of separate sumps have been illustrated as a matter of convenience. In like manner, separate return ports have been illustrated in the control valves although, in general practice in the art, these return ports are interconnected in the body castings; and the manner or place of connecting the return ports is not an inventive part of the present invention. In regard to relative flow capacities of the orifices and restrictors, restrictors 24 and 194 are normally made in the order of 1/32 to 1/16 inches in diameter so that they have a flow area of .00077 to .0031 square inches. Orifice type synthetic signal generators, such as orifice 64 of FIG. 1A and orifice 193a of FIG. 3, are sized in relation to the cross sectional flow area of the restrictors to give the desired predetermined pressure increase as fluid flows to the work port having the highest load actuating pressure. Referring back now to FIGS. 1 and 3, it is desirable to limit the standby pressure of the system to approximately 30 psi in order to minimize the power loss and heat rise under standby conditions, but a pressure differential of 120 psi across the throttling orifice of the control valve, from inlet port to a work port, is desirable to increase the capacity of the control valve to deliver fluid to a work port and to a fluid motor. Since flow across an orifice increases as the square root of the pressure differential across the orifice, the maximum flow from the inlet port to a work port of the control valve is doubled by increasing the pressure differential across the throttling orifice from 30 to 120 psi. This means that, ideally, the flow resistance of the orifice or the relief valve should be three times the standby pressure. It was shown that a relief valve may be used as a synthetic signal generator. The relief valve may be of the type that includes a spring loaded ball or poppet with the pressure drop across the ball or poppet being determined by the spring load. The same type of device is used as a check valve. However, for use as a synthetic signal generator, the spring load must be sufficient to provide a significant pressure differential across the ball or poppet. Ordinarily, for this increase to be significant, the increase in pressure magnitude would need to be at least equal to the standby pressure when a fixed displacement pump is used. That is, if a system has a standby pressure of 50 psi, and if a relief valve or a check valve increases the pressure magnitude of the signal fluid by at least 50 psi, then the relief valve or the check valve functions as a synthetic signal generator. When a variable displacement pump is used, for this increase to be significant, a relief valve or a check valve in a second fluid flow path should increase the standby pressure by at least 100% over the differential pressure across the throttling orifice from the inlet port to one work port. When a variable displacement pump is used, and it is desired to increase the pressure differential across the throttling orifice to one work port, any relief valve or other flow restrictor that doubles the differential pressure across the one work port can be considered to be a synthetic signal generator. That is, any flow restrictor that produces this 100% change between standby pressure and the differential pressure across a throttling orifice serves to function as a synthetic signal detector. Having described several embodiments of my invention, many variations will be apparent to those skilled in the art, so my invention should be considered to include all variations covered by the appended claims.
A load responsive hydraulic system uses a signal supply restrictor, a synthetic signal generator and a unique directional control valve to provide a signal fluid having a pressure magnitude which is at a predetermined value above the load actuating pressure of the system and which is called a synthetic signal pressure. The effective output of the system's pump is controlled by the difference between the pressure of the pump and the pressure magnitude of this synthetic signal pressure. During pressure operation of the system, the directional control valve applies the synthetic signal pressure to the pump control mechanism; and during standby operation, the control valve applies a signal fluid to the pump control mechanism whose pressure magnitude is not increased by the synthetic signal generator.
CROSS-REFERENCE TO RELATED APPLICATIONS This application is related to co-pending application Ser. No. 10/357,326 filed on Feb. 3, 2003 titled “SYSTEM AND METHOD FOR ANALYZING DATA” by the same inventor of this invention, which is incorporated herein by reference in its entirety for all that is taught and disclosed therein. BACKGROUND OF THE INVENTION 1. Field of the Invention This invention relates to the field of compilers, and more particularly, to a parser for use in a compiler that utilizes a dynamically extensible approach to parsing textual input. 2. Description of Related Art —The analysis and parsing of textual information is a well-developed field of study, falling primarily within what is commonly referred to as ‘compiler theory’. At its most basic, a compiler requires three components, a lexical analyzer which breaks the text stream up into known tokens, a parser which interprets streams of tokens according to a language definition specified via a meta-language such as Backus-Naur Form (BNF), and a code generator/interpreter. The creation of compilers is conventionally a lengthy and off-line process, although certain industry standard tools exist to facilitate this process such as LEX and YACC from the Unix world. There are a large number of textbooks available on the theory of predictive parsers and any person skilled in this art would have basic familiarity with this body of theory. Parsers come in two basic forms, “top-down” and “bottom-up”. Top-down parsers build the parse tree from the top (root) to the bottom (leaves), bottom-up parsers build the tree from the leaves to the root. For our purposes, we will consider only the top-down parsing strategy known as a predictive parser since this most easily lends itself to a table driven (rather than code driven) approach and is thus the natural choice for any attempt to create a configurable and adaptive parser. In general, predictive parsers can handle a set of possible grammars referred to as LL( 1 ) which is a subset of those potentially handled by LR parsers (LL( 1 ) stands for ‘Left-to-right, using Leftmost derivations, using at most 1 token look-ahead’). Another reason that a top-down algorithm is preferred is the ease of specifying these parsers directly in BNF form, which makes them easy to understand by most programmers. Compiler generators such as LEX and YACC generally use a far more complex specification methods including generation of C code which must then be compiled, and thus is not adaptive or dynamic. For this reason, bottom-up table driven techniques such as LR parsing (as used by YACC) are not considered suitable. What is needed is a process that can rapidly (i.e., within seconds) generate a complete compiler from scratch and then apply that compiler in an adaptive manner to new input, the ultimate goal being the creation of an adaptive compiler, i.e., one that can alter itself in response to new input patterns in order to ‘learn’ to parse new patterns appearing in the input and to perform useful work as a result without the need to add any new compiled code. This adaptive behavior is further described in Appendix 1 with respect to a lexical analyzer (referred to in the claims as the “claimed lexical analyzer”). The present invention provides a method for achieving the same rapid, flexible, and extensible generation in the corresponding parser. SUMMARY OF INVENTION The present invention discloses a parser that is totally customizable via the BNF language specifications as well as registered functions as described below. There are two principal routines: (a) PS 13 MakeDB( ), which is a predictive parser generator algorithm, and (b) PS 13 Parse( ), which is a generic predictive parser that operates on the tables produced by PS 13 MakeDB( ). The parser generator PS 13 MakeDB( ) operates on a description of language grammar, and constructs predictive parser tables that are passed to PS 13 Parse( ) in order to parse the grammar correctly. There are many algorithms that may be used by PS 13 MakeDB( ) to generate the predictive parser tables, as described in many books on compiler theory. It consists essentially of computing the FIRST and FOLLOW sets of all grammar symbols (defined below) and then using these to create a predictive parser table. In order to perform useful action in response to inputs, this invention extends the BNF language to allow the specification of reverse-polish plug-in operation specifiers by enclosing such extended symbols between ‘<’ and ‘>’ delimiters. A registration API is provided that allows arbitrary plug-in functions to be registered with the parser and subsequently invoked as appropriate in response to a reverse-polish operator appearing on the top of the parser stack. The basic components of a complete parser/interpreter in this methodology are as follows: The routine PS_Parse( ) itself (described below) The language BNF and LEX specifications. A plug-in ‘resolver 400 ’ function, called by PS_Parse( ) to resolve new input (described below) One or more numbered plug-in functions used to interpret the embedded reverse-polish operators. The ‘langLex’ parameter to PS_Parse( ) allows you to pass in the lexical analyzer database (created using LX_MakeDB( )) to be used to recognize the target language. There are a number of restrictions on the token numbers that can be returned by this lexical analyzer when used in conjunction with the parser. These are as follows: 1) The parser generator has it's own internal lexical analyzer which reserves token numbers 59 . . . 63 for recognizing certain BNF symbols (described below) therefore these token numbers cannot be used by the target language recognizer. Token numbers from 1 . . . 63 are reserved by the lexical analyzer to represent ‘accepting’ states in the ‘catRange’ token recognizer table, these token numbers are therefore not normally used by a lexical analyzer ‘oneCat’ token recognizer. What this means then is that instead of having capacity for 63 variable content tokens (e.g., names, numbers, symbols etc) in your target language, you are restricted to a maximum of 58 when using the parser. 2) If there are multiple names for a give symbol, then the multiplicity should be restricted to the lexical analyzer description, only one of the alternatives should be used in the parser tables. 3) In order to construct predictive parser tables, it is necessary to build up a 2 dimensional array where one axis is the target language token number and the other axis is the non-terminal symbols of the BNF grammar. The parser-generator is limited to grammars having no more than 256 non-terminal grammar symbols, however in order to avoid requiring MASSIVE amounts of memory and time to compute the parsing table, the number of terminal symbols (i.e., those recognized by the lexical analyzer passed in ‘langLex’) should be limited to 256 also. This means that the lexical analyzer should never return any token number that is greater than ‘kMaxTerminalSym’. For example, token numbers 1 . . . 59 are available for use as accepting states for the ‘catRange’ recognizer while tokens 64 . . . 255 are available for use with the ‘oneCat’ recognizer. The invention also provides a solution for applications in which a language has token numbers that use the full 32-bits provided by LEX. Immediately after calling the ‘langLex’ lexical analyzer to fetch the next token in the input stream, PS_Parse( ) calls the registered ‘resolver 400 ’ function with a ‘no action’ parameter, (normally no action is exactly what is required) but this also provides an opportunity to the plug-in code to alter the token number (and token size etc.) to a value that is within the permitted range. There are also many other aspects of the invention that allow the parser to accept or process languages that are considerably more complex than LL( 1 ). For example, suppose a recognizer is programmed to recognize the names of people (for which there are far more than 256 possibilities) so when a ‘no-action’ call is initiated, the function PS_SetCurrToken( ) could be used to alter the token number to 58 say. Then in your BNF grammar, you specify a token number of 58 (e.g., < 58 :Person Name>) wherever you expect to process a name. The token string will be available to the plug-in and resolver 400 functions on subsequent calls and could easily reconstitute the original token number and the plug-in code could be programmed to call ‘langLex’ using PS_LangLex( ). Other applications and improvements are also disclosed and claimed in this application as described in further detail below. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 provides a sample BNF specification; FIG. 2 is a block diagram illustrating a set of operations as performed by the parser of the present invention; FIG. 3 provides a sample code fragment for a predefined plug-in that can work in conjunction with the parser of the present invention; and FIG. 4 provides sample code for a resolver of the present invention. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS As described above, the parser of this invention utilizes the lexical analyzer described in Appendix 1, and the reader may refer to this incorporated patent application for a more detailed explanation of some of the terms used herein. For illustration purposes, many of the processes described in this application are accompanied by samples of the computer code that could be used to perform such functions. It would be clear to one skilled in the art that these code samples are for illustration purposes only and should not be interpreted as a limitation on the claimed inventions. The present invention discloses a parser that is totally customizable via the BNF language specifications as well as registered functions as described below. There are two principal routines: (a) PS 13 MakeDB( ), which is a predictive parser generator algorithm, and (b) PS 13 Parse( ), which is a generic predictive parser that operates on the tables produced by PS 13 MakeDB( ). The parser generator PS 13 MakeDB( ) operates on a description of language grammar, and constructs predictive parser tables that are passed to PS 13 Parse( ) in order to parse the grammar correctly. PS 13 MakeDB( ) has the following function prototype: ET_ParseHdl PS_MakeDB ( // Make a predictive parser for PS_Parse( ) charPtr bnf, // I:C string specifying grammar's BNF ET_LexHdl langLex, // I:Target language lex (from LX_MakeDB) int32 options, // I:Various configuration options int32 parseStackSize,// I:Max. depth of parser stack, 0=default int32 evalStackSize  // I:Max. depth of evaluation stack, 0=default ) // R:handle to created DB, The ‘bnf’ parameter to PS_MakeDB( ) contains a series of lines that specify the BNF for the grammar in the form: non_terminal ::= production_1 <or> production_2 <or> . . . Where production — 1 and production — 2 consist of any sequence of Terminal (described in lexical analyzer passed in to PS_MakeDB), or Non-Terminal (langLex) symbols provided that such symbols are greater than or equal to 64. Productions may continue onto the next line if required but any time a non-blank character is encountered in the first position of the line, it is assumed to be the start of a new production list. The grammar supplied must be unambiguous and LL( 1 ). The parser generator uses the symbols ::=, <or >, and <null> to represent BNF productions. The symbols <opnd>, <bkup>, and the variable (‘catRange’) symbols <@nn:mm[:hint text]>and <nn:arbitrary text>also have special meaning and are recognized by the built in parser-generator lexical analyzer. The parser generator will interpret any sequence of upper or lower case letters (a . . . z) or numbers (0 . . . 9) or the underscore character ‘_’, that begins with a letter or underscore, and which is not recognized by, or which is assigned a token number in the range 1 – 63 by, the lexical analyzer passed in ‘langLex’, as a non-terminal grammar symbol (e.g., program, expression, if_statement etc.), these symbols are added to the parser generators grammar symbol list (maximum of 256 symbols) and define the set of non-terminals that make up the grammar. There is no need to specify this set, it is deduced from the BNF supplied. One thing that is very important however, is that the first such symbol encountered in the BNF becomes the root non-terminal of the grammar (e.g., program). This symbol is given special meaning by the parser and thus it must appear on the left hand side of the first production specified in the BNF. The <endf>symbol is used to indicate where the expected end of the input string will occur and its specification cannot be omitted from the BNF. Normally, as in the example below <endf> occurs at the end of the root non-terminal production. Referring now to FIG. 1 , a sample BNF specification is provided. This BNF gives a relatively complete description of the C language expression syntax together with enforcement of all operator precedence specified by ANSI and is sufficient to create a program to recognize and interpret C expressions. As FIG. 1 demonstrates, the precedence order may be specified simply by choosing the order in which one production leads to another with the lowest precedence grammar constructs/operators being refined through a series of productions into the higher precedence ones. Note also that many productions lead directly to themselves (e.g., more_statements ::=<null> <or > statement more_statements); this is the mechanism used to represent the fact that a list of similar constructs is permitted at this point. The syntax for any computer language can be described either as syntax diagrams or as a series of grammar productions similar to that above (ignoring the weird ‘@’ BNF symbols for now). Using this syntax, the code illustrated in FIG. 1 could easily be modified to parse any programs in any number of different computer languages simply by entering the grammar productions as they appear in the language's specification. The way of specifying a grammar as illustrated in FIG. 1 is a custom variant of the Backus-Naur Form (or BNF). It is the oldest and easiest to understand means of describing a computer language. The symbols enclosed between ‘<’ ‘>’ pairs plus the ‘::=’ symbol are referred to as “meta-symbols”. These are symbols that are not part of the language but are part of the language specification. A production of the form (non_terminal ::=production — 1 <or> production — 2) means that there are two alternative constructs that ‘non-terminal’ can be comprised or, they are ‘production — 1’ or ‘production — 2’. The grammar for many programming languages may contain hundreds of these productions, for example, the definition of Algol 60 contains 117. An LL( 1 ) parser must be able to tell at any given time what production out of a series of productions is the right one simply by looking at the current token in the input stream and the non-terminal that it currently has on the top of it's parsing stack. This means, effectively, that the sets of all possible first tokens for each production appearing on the right hand side of any grammar production must not overlap. The parser must be able to look at the token in the input stream and tell which production on the right hand side is the ‘right one’. The set of all tokens that might start any given non-terminal symbol in the grammar is known as the FIRST set of that non-terminal. When designing a language to be processed by this package, it is important to ensure that these FIRST sets are not defined consistently. In order to understand how to write productions for an LL( 1 ) parser, it is important to understand recursion in a grammar and the difference between left and right recursion in particular. Recursion is usually used in grammars to express a list of things separated by some separator symbol (e.g. comma). This can be expressed either as “<A>::=<A>, <B>” or “<A>::=<B>, <A>”. The first form is left recursive the second form is known as right recursive. The production “more_statements ::=<null> <or > statement more_statements” above is an example of a right recursive production. Left recursive statements are not permitted because of the risk of looping during parsing. For example, if the parser tries to use a production of the form ‘<A>::=<A>anything’ then it will fall into an infinite loop trying to expand <A>. This is known as left recursion. Left recursion may be more subtle, as in the pair of productions ‘<S>::=<X>a <or> b’ and ‘<X>::=<S> c <or> d’. Here the recursion is indirect; that is the parser expands ‘<S>’ into ‘<X>a’, then it subsequently expands ‘<X>’ into ‘<S>c’ which gets it back to trying to expand ‘<S>’, thereby creating an infinite loop. This is known as indirect left recursion. All left recursion of this type must be eliminated from grammar before being processed by the parser. A simple method for accomplishing this proceeds as follows: replace all productions of the form ‘<A>::=<A> anything’ (or indirect equivalents) by a set of productions of the form “<A>::=t 1 more_t 1 <or > . . . <or > tn more_tn” where t 1 . . . tn are the language tokens (or non-terminal grammar symbols) that start the various different forms of ‘<A>’. A second problem with top down parsers, in general, is that the order of the alternative productions is important in determining if the parser will accept the complete language or not. On way to avoid this problem is to require that the FIRST sets of all productions on the right hand side be non-overlapping. Thus, in conventional BNF, it is permissible to write: expression ::= element<or>element + expression<or>element*expression To meet the requirements of PS_MakeDB( ) and of an LL( 1 ) parser, this BNF statement may be reformulated into a pair of statements viz: expression ::= element rest_of_expression rest_of_expression ::= <null> <or> * expression <or> * expression As can be seen, the ‘element’ token has been factored out of the two alternatives (a process known as left-factoring) in order to avoid the possibility of FIRST sets that have been defined more than once. In addition, this process has added a new symbol to the BNF meta-language, the <null> symbol. A<null> symbol is used to indicate to the parser generator that a particular grammar non-terminal is nullable, that is, it may not in fact be present at all in certain input streams. There are a large number of examples of the use of this technique in the BNF grammar illustrated in FIG. 1 such as statement 100 . The issues above discuss the manner in which LL( 1 ) grammars may be created and used. LL( 1 ) grammars, however, can be somewhat restrictive and the parser of the present invention is capable of accepting a much larger set by the use of deliberate ambiguity. Consider the grammar: operand ::= expression <or> ( address_register ) This might commonly occur when specifying assembly language syntax. The problem is that this is not LL( 1 ) since expression may itself start with a ‘(’ token, or it may not, thus when processing operand, the parser may under certain circumstances need to look not at the first, but at the second token in the input stream to determine which alternative to take. Such a parser would be an LL( 2 ) parser. The problem cannot be solved by factoring out the ‘(’ token as in the expression example above because expressions do not have to start with a ‘(’. Thus without extending the language beyond LL( 1 ) the normal parser be unable to handle this situation. Consider however the modified grammar fragment: operand ::= .... <or> ( expr_or_indir <or> expression expr_or_indir ::= Aregister ) <or> expression) Here we have a production for operand which is deliberately ambiguous because it has a multiply defined first set since ‘(’ is in FIRST of both of the last two alternatives. The modified fragment arranges the order of the alternatives such that the parser will take the “(expr_or_indir” production first and should it fail to find an address register following the initial ‘(’ token, the parser will then take the second production which correctly processes “expression )” since expression itself need not begin with a ‘(’ token. If this case were permitted, the parser would have the equivalent of a two token look-ahead hence the language it can accept is now LL( 2 ). Alternatively, an options parameter ‘kIgnoreAmbiguities’ could be passed to PS_MakeDB( ) to cause it to accept grammars containing such FIRST set ambiguities. On problem with this approach, however, is that it can no longer verify the correctness of the grammar meaning that the user must ensure that the first production can always be reduced to the second production when such a grammatical trick is used. As such, such a parameter should only be used when the grammar is well-understood. Grammars can get considerably nastier than LL( 2 ). Consider the problem of parsing the complete set of 68K assembly language addressing modes, or more particularly the absolute, indirect, pre-decrement and post-increment addressing modes. The absolute and indirect syntax was presented above, however the pre-decrement addressing mode adds the form “−(Aregister)”, while the post-increment adds the form “(Aregister )+”. An LL( 3 ) parser would be needed to handle the predecrement mode since the parser cannot positively identify the predecrement mode until it has consumed both the leading ‘−’ and ‘(’ tokens in the input stream. An LL( 4 ) parser is necessary to recognize the postincrement form. One option is to just left-factor out the “(Aregister )” for the postincrement form. This approach would work if the only requirement was recognition of a valid assembly syntax. To the extent that the parser is being used to perform some useful function, however, this approach will not work. Instead, this can be accomplished by inserting a reverse polish plug-in operator. The polish plug-in operator calls for the form <@n:m[:hint text]> into the grammar. Whenever the parser is exposed to such an operator on the top of the parsing stack, it calls it in order to accomplish some sort of semantic action or processing. Assuming a different plug-in is called in order to handle each of the different 68K addressing modes, it is important to know what addressing mode is presented in order to ensure that the proper plug-in is called. In order to do this, the present invention extends the parser language set to be LL(n) where ‘n’ could be quite large. The parser of the present invention extend the parser language in this fashion by providing explicit control of limited parser back-up capabilities. One way to provide these capabilities is by adding the <bkup> meta-symbol. Backing up a parser is complex since the parsing stack must be repaired and the lexical analyzer backed-up to an earlier point in the token stream in order to try an alternative production. Nonetheless, the PS_Parse( ) parser is capable of limited backup within a single input line by use of the <bkup> flag. Consider the modified grammar fragment: operand ::= . . . <or> ( Aregister <bkup> areg_indirect <or> abs_or_displ <or> . . . abs_or_displ ::= − ( ARegister <bkup> ) <@1:1> <or> expression <@1:2> areg_indirect ::= ) opt_postinc opt_postinc ::= <@1:3> <or> + <@1:4> A limited backup is provided through the following methodology. Let us assume that <@1:1> is the handler for the predecrement mode, <@1:2> for the absolute mode, <@1:3> for the indirect mode, and <@1:4> for the postincrement mode. When the parser encounters a ‘(’ token it will push on the “(Aregister <bkup> areg_indirect” production. Whenever the parser notices the presence of the <bkup> symbol in the production being pushed, however, it saves it's own state as well as that of the input lexical analyzer. Parsing continues and the ‘(’ is accepted. Now lets assume instead that the input was actually an expression so when the parser tries to match the ‘ARegister’ terminal that is now on the top of it's parsing stack, it fails. Without the backup flag, this is considered a syntax error and the parser aborts. Because the parser has a saved state, however, the parser restores the backup of the parser and lexical analyzer state to that which existed at the time it first encountered the ‘(’ symbol. This time around, the parser causes the production that immediately follows the one containing the <bkup> flag to be selected in preference to the original. Since the lexical analyzer has also been backed up, the first token processed is once again ‘(’ and parsing proceeds normally through “abs_or_displ” to “expression” and finally to invocation of plug-in <@1:2> as appropriate for the absolute mode. Note that a similar but slightly different sequence is caused by the <bkup> flag in the first production for “abs_or_displ” and that in all cases, the plug-in that is appropriate to the addressing mode encountered will be invoked and no other. Thus, by using explicit ambiguity plus controlled parser backup, the present invention provides a parser capable of recognizing languages from a set of grammars that are considerably larger than those normally associated with predictive parsing techniques. Indeed the set is sufficiently large that it can probably handle practically any computer programming language. By judicious use of the plug-in and resolver 400 architectures described below, this language set can be further extended to include grammars that are not context-free (e.g., English,) and that cannot be handled by conventional predictive parsers. In order to build grammars for this parser, it is also important to understand is the concept of a FOLLOW set. For any non-terminal grammar symbol X, FOLLOW(X) is the set of terminal symbols that can appear immediately to the right of X in some sentential form. In other words, it is the set of things that may come immediately after that grammar symbol. To build a predictive parser table, PS 13 MakeDB( ) must compute not only the FIRST set of all non-terminals (which determines what to PUSH onto the parsing stack), but also the FOLLOW sets (which determine when to POP the parsing stack and move to a higher level production). If the FOLLOW sets are not correct, the parser will never pop its stack and eventually will fail. For this reason; unlike for FIRST sets, ambiguity in the FOLLOW sets is not permitted. What this means is that for any situation in a grammar, the parser must be able to tell when it is done with a production by looking at the next token in the input stream (i.e., the first token of the next production). PS 13 MakeDB( ) will reject any grammar containing ambiguous FOLLOW sets. Before illustrating how the parser of the present invention can be used to accomplish specific tasks, it is important understand how PS 13 Parse( ) 205 actually accomplishes the parsing operation. Referring now to FIG. 2 , the parsing function of the present invention is shown. PS_Parse( ) 205 maintains two stacks, the first is called the parser stack 210 and contains encoded versions of the grammar productions specified in the BNF. The second stack is called the evaluation stack 215 Every time the parser accepts/consumes a token in the input stream in the range 1 . . . 59 , it pushes a record onto this evaluation stack 215 . Records on this stack 215 can have values that are either integer, real, pointer or symbolic. When the record is first pushed onto the stack 215 , the value is always ‘symbolic’ since the parser itself does not know how to interpret symbols returned by the lexical analyzer 250 that lie in this range. A symbolic table entry 220 contains the token number recognized by the ‘langLex’ lexical analyzer 250 , together with the token string. In the language defined in FIG. 1 , the token number for identifier is 1 (i.e. line 110 ) while that for a decimal integer is 3 (i.e., line 115 ), thus if the parser 205 were to encounter the token stream “A+10”, it would add two symbol records to the evaluation stack 215 . The first would have token number 1 and token string “A” and, the second would have token number 3 and token string “ 10 ”. At the time the parser 205 processes an additive expression such as “A+10”, it's parser (not evaluation) stack 210 would appear as “mult_expr +mult_expr <@0:15>” where the symbol on the left is at the top of the parser stack 210 . As the parser 205 encounters the ‘A’ in the string “A+10”, it resolves mult_expression until it eventually accepts the ‘A’ token, pops it off the parser stack 210 , and pushes a record onto the evaluation stack 215 . So now the parsing stack 210 looks like “+ mult_expr <@0:15>” and, the evaluation stack 215 contains just one element “[token=1, String=‘A’]”. The parser 205 then matches the ‘+’ operator on the stack with the one in the input and pops the parser stack 210 to obtain “mult_expr <@0:15>”. Parsing continues with the input token now pointing at the 10 until it too is accepted. This process yields a parser stack 210 of “<@0:15>” and an evaluation stack 215 of “[token=3,String=‘10’][token=1,String=‘A’]” where the left hand record is considered to be the top of the stack. At this point, the parser 205 recognizes that it has exposed a reverse-polish plug-in operator on the top of its parser stack 210 and pops it, and then calls the appropriate plug-in, which, in this case, is the built in add operation provided by PS_Evaluate( ) 260 , a predefined plug-in called plug-in zero 260 . When the parser 205 calls plug-in zero 260 , the parser 205 passes the value 15 to the plug-in 260 . In this specific case, 15 means add the top two elements of the parsing stack, pop the stack by one, and put the result into the new top of stack. This behavior is exactly analogous to that performed by any reverse polish calculator. This means that the top of the evaluation stack 215 now contains the value A+10 and the parser 205 has actually been used to interpret and execute a fragment of C code. Since there is provision for up to 63 application defined plug-in functions, this mechanism can be used to perform any arbitrary processing as the language is parsed. Since the stack 215 is processed in reverse polish manner, grammar constructs may be nested to arbitrary depth without causing confusion since the parser 205 will already have collapsed any embedded expressions passed to a higher construct. Hence, whenever a plug-in is called, the evaluation stack 215 will contain the operands to that plug-in in the expected positions. To illustrate how a plug-in might look, FIG. 3 provides a sample code fragment from a predefined plug-in that handles the ‘+’ operator (TOF_STACK is defined as 0 , NXT_STACK as 1 ). As FIG. 3 illustrates, this plug-in first evaluates 305 the values of the top two elements of the stack by calling PS_Evalldent( ). This function invokes the registered ‘resolver 400 ’ function in order to convert a symbolic evaluation stack record to a numeric value (see below for description of resolver 400 ). Next the plug-in must determine 310 the types of the two evaluation stack elements (are they real or integer?). This information is used in a case statement to ensure that C performs the necessary type conversions on the values before they are used in a computation. After selecting the correct case block for the types of the two operands, the function calls PS_SetiValue( ) or PS_SetfValue( ) 315 as appropriate to set the numeric value of the NXT_STACK element of the evaluation stack 215 to the result of adding the two top stack elements. Finally, at the end of the routine, the evaluation stack 215 is popped 220 to move the new top of the stack to what was the NXT_STACK element. This is all it takes to write a reverse polish plug-in operator. This aspect of the invention permits a virtually unlimited number of support routines that could be developed to allow plug-ins to manipulate the evaluation stack 215 in this manner. Another problem that has been addressed with the plug-in architecture of the present invention is the problem of having the plug-in function determine the number of parameters that were passed to it; for instance, a plug-in would need to know the number of parameters in order to process the C printf( ) function (which takes a variable number of arguments). If a grammar does not force the number of arguments (as in the example BNF above for the production “<opnd>(parameter_list )<@1:1>”, then a <opnd> meta-symbol can be added at the point where the operand list begins. The parser 205 uses this symbol to determine how many operands were passed to a plug-in in response to a call requesting this information. Other than this purpose, the <opnd> meta-symbol is ignored during parsing. The <opnd> meta-symbol should always start the right hand side (RHS) of a production in order to ensure correct operand counting. For example, the production: primary ::= <9:Function> <opnd> ( parameter_list) <@1:1> Will result in an erroneous operand count at run time, while the production pair below will not: primary ::= <9:Function> rstof_fn_call <@1:1> restof_fn_call ::= <opnd> ( parameter_list ) The last issue is how to actually get the value of symbols into the parser 205 . This is what the symbols in the BNF of the form “<n:text string>” are for. The numeric value of ‘n’ must lie between 1 and 59 and it refers to the terminal symbol returned by the lexical analyzer 250 passed in via ‘langLex’ to PS_MakeDB( ). It is assumed that all symbols in the range 1 . . . 59 represent ‘variable tokens’ in the target language. That is, tokens whose exact content may vary (normally recognized by a LEX catRange table) in such a way that the string of characters within the token carry additional meaning that allows a ‘value’ to be assigned to that token. Examples of such variable tokens are identifiers, integers, real numbers etc. A routine known as a ‘resolver 400 ’ will be called whenever the value of one of these tokens is required or as each token is first recognized. In the BNF illustrated in FIG. 1 , the lexical analyzer 250 supplied returns token numbers 3 , 7 , 8 , 9 , 10 or 11 for various types of C integer numeric input; 4, 5, and 6 for various C real number formats; 1 for a C identifier (i.e., non-reserved word); and 2 for a character constant. Referring now to FIG. 4 , a simple resolver 400 which converts these tokens into the numeric values required by the parser 205 (assuming that identifiers are limited to single character values from A . . . Z or a . . . z) is shown. As FIG. 3 illustrates, when called to evaluate a symbol, the resolver 400 determines which type of symbol is involved by the lexical analyzer token returned. It then calls whatever routine is appropriate to convert the contents of the token string to a numeric value. In the example above, this is trivial because the lexical analyzer 250 has been arranged to recognize C language constructs. Hence we can call the C I/O library routines to make the conversion. Once the value has been obtained, the resolver 400 calls the applicable routine and the value is assigned to the designated evaluation stack 215 entry. The resolver 400 is also called whenever a plug-in wishes to assign a value to a symbolic evaluation stack 215 entry by running the ‘kResolver Assign’ case block code. In this case, the value is passed in via the function parameters and the resolver 400 uses the token string in the target evaluation stack 215 entry to determine how and where to store the value. The final purpose of the resolver function 400 is to examine and possibly edit the incoming token stream in order to effectively provide unlimited grammar complexity. For example, consider the problem of a generalized query language that uses the parser. It must define a separate sub-language for each different container type that may be encountered in a query. In such a case, a resolver function 400 could be provided that recognizes the beginning of such a sub-language sequence (for example a SQL statement) and modifies the token returned to consume the entire sequence. The parser 205 itself would then not have to know the syntax of SQL but would simply pass the entire SQL statement to the selected plug-in as the token string for the symbol returned by the recognizer. By using this approach, an application using PS_Parse( ) is capable of processing virtually any grammar can be built. The basic Application Programming Interface (API) to the parser 205 of this invention is given below. The discussion that follows describes the basic purpose of these various API calls. Sample code for many of these functions is provided in Appendix A. PS_SetParserTag( ), PS_GetParserTag( ). These functions get and permit modification of a number of numeric tag values associated with a parser 205 . These values are not used by internal parser 205 code and are available for custom purposes. This is often essential when building custom parsing applications upon this API. PS_Pop( ), PS_Push( ). The functions pop or push the parser 205 evaluation stack 215 and are generally called by plug-ins. PS_PushParserState( ), PS_PopParserState( ). Push/Pop the entire internal parser 205 state. This capability can be used to implement loops, procedure calls or other similar interpreted language constructs. These functions may be called within a parser plug-in in order to cause a non-local transfer of the parser state. The entire parser state, including as a minimum the evaluation stack 215 , parser stack 210 , and input line buffer must be saved/restored. PS_ParseStackElem( ). This function returns the current value of the specified parser stack 210 element (usually the top of the stack). This stack should not be confused with the evaluation stack 215 to which most other stack access functions in this API refer. As described above, the parser stack 210 is used internally by the parser 205 for predictive parsing purposes. Values below 64 are used for internal purposes and to recognize complex tokens such as identifiers or numbers, values above 64 tend to be either terminal symbols in the language being parsed, or non-terminals that are part of the grammar syntax definition (>=32256). Plug-ins have no direct control of the parser stack 210 , however they may accomplish certain language tricks by knowing the current top of stack and altering the input stream perceived by the parser 205 as desired. PS_PopTopOfParseStack( ),PS_PushTopOfParseStack( ). PS_PopTopOfParseStack( ) pops and discards the top of the parser stack 210 (see PS_TopOfParseStack). This is not needed under normal circumstances, however this technique can be used to discard unwanted terminal symbols off the parser stack 210 in cases where the language allows these to be optional under certain circumstances too complex to describe by syntax. PS_WillPopParseStack( ). In certain circumstances, it may be necessary for a parser recognizer function to determine if the current token will cause the existing parser stack 210 to be popped, that is “is the token in the FOLLOW set of the current top of the parse?” This information can be used to terminate specialized modes where the recognizer loops through a set of input tokens returning −3, which causes the parser 205 to bulk consume input. A parameter is also provided that allows the caller to determine where in the parser stack 210 the search can begin, normally this would be the top of the stack i.e., parameter=0. PS_IsLegalToken( ). This function can be used to determine if a specific terminal token is a legal starting point for a production from the specified non-terminal symbol. Among other things, this function may be used within resolver 400 functions to determine if a specific token number will cause a parsing error if returned given the current state of the parsing stack. This ability allows resolver 400 functions to adjust the tokens they return based on what the parse state is. PS_GetProduction( ). This function obtains the parser production that would replace the specified non-terminal on the parser stack 210 , evaluation stack 215 if the specified terminal were encountered in the input. This information can be used to examine future parser 205 behavior given the current parser 205 state and input. The [0] element of each element of the production returned contains the terminal or non-terminal symbol concerned and can be examined using routines like PS_IsPostFixOperator( ). PS_IsPostFixOperator( ) determines if the specified parse stack element corresponds to the postfix operator specified. PS_MakeDB( ). This function creates a complete predictive parsing database for use with PS_Parse( ). If successful, returns a handle to the created DB, otherwise returns zero. The algorithm utilized by this function to construct a predictive parser 205 table can be found in any good reference on compiler theory. The parser 205 utilizes a supplied lexical analyzer as described in Appendix 1. When no longer required, the parser 205 can be disposed using PS_KilIDB( ). PS_DisgardToken( ). This function can be called from a resolver 400 or plug-in to cause the current token to be discarded. In the case of a resolver 400 , the normal method to achieve this effect is to return −3 as the resolver 400 result, however, calling this function is an alternative. In'the case of a plug-in, a call to this function will cause an immediate call to the resolver 400 in order to acquire a new token. PS_RegisterParser( ), PS_DeRegisterParser( ), PS_ResolveParser( ), PS_CloneDB( ). These routines are all associated with maintaining a cache of recently constructed parsers so that subsequent invocations of parsers for identical languages can be met instantaneously. The details of this cache are not pertinent to this invention. PS_LoadBNF( ), PS_LoadBlock( ), PS_ListLanguages( ). These routines are all associated with obtaining the BNF specification for a parser 205 from a text file containing a number of such specifications. The details of this process are not pertinent to this invention. PS_StackCopy( ). This function copies one element of a parser stack 210 to another. PS 13 SetStack( ) sets an element of a parser stack 210 to the designated type and value. PS_CallBuiltInLex( ). This function causes the parser to move to the next token in the input stream. In some situations, a resolver 400 function may wish to call it's own lexical analyzer prior to calling the standard one, as for example, when processing a programming language where the majority of tokens appearing in the input stream will be symbol table references. By calling it's own analyzer first and only calling this function if it fails to recognize a token, a resolver 400 can save a considerable amount of time on extremely large input files. PS_GetLineCount( ). This function returns the current line count for the parse. It is only meaningful from within the parse itself (i.e., in a plug-in or a resolver 400 function). PS_GetStackDepth( ). This function returns the current depth of the parsing evaluation stack. This may be useful in cases where you do not want to pay strict attention to the popping of the stack during a parse, but wish to ensure that it does not overflow by restoring it to a prior depth (by successive PS_Pop( )'s) from a plug-in at some convenient synchronizing grammatical construct. PS_SetOptions( ), PS_ClrOptions( ), PS_GetOptions( ). The function PS_SetOptions( ) may be used to modify the options for a parse DB (possibly while it is in progress). One application of such a function is to turn on full parse tracing (from within a plug-in or resolver 400 ) when the line count reaches a line at which you know the parse will fail. PS_ClrOptions performs the converse operation, that is, it clears the parsing options bits specified. The function PS_GetOptions( ) returns the current options settings. PS_FlagError( ). In addition to invoking an underlying error logging facility if something goes wrong in a plug-in or resolver 400 , this routine can be called to force the parser to abort. If this routine is not called, the parse will continue (which may be appropriate if the erroneous condition has been repaired). PS_ForceReStart( ). This function causes the parse to re-start the parse from scratch. It is normally used when plug-ins or resolver 400 s have altered the source text as a result of the parsing process, and wish the parser to re-scan in order to force a new behavior. This function does not alter the current lexical analyzer position (i.e., it continues from where it left off). If you wish to do this also you must call PS_SetTokenState( ). PS_StackType( ) This function gets the contents type of a parser stack element and return the stack element type. PS_GetOpCount( ) gets the number of operands that apply to the specified stack element which should be a plug-in reverse polish operator, it returns the number of operands passed to the plug-in or −1 if no operand list is found. PS_GetValue( ) gets the current value of a parser stack element and returns a pointer to the token string, or NULL if not available. PS_SetElemFlags( ), PS_ClrElemFlags( ), PS_GetElemFlags( ). The first two routines set or clear flag bits in the stack element flag word. PS_GetElemFlags( ) returns the whole flags word. These flags may be used by resolver 400 s and plug-ins to maintain state information associated with elements on the evaluation stack 215 . PS_SetiValue( ), PS_SetfValue( ), PS_SetpValue( ), PS_SetsValue( ). These routines set the current value and type of a parser stack element to the value supplied where: PS_SetiValue( )—sets the element to a 64 bit integer PS_SetfValue( )—sets the element to a double PS_SetpValue( )—sets the element to a pointer value PS_SetsValue( )—sets the element to a symbol number PS_GetToken( ). Gets the original token string for a parsing stack element. If the stack element no longer corresponds to an original token (e.g., it is the result of evaluating an expression) then this routine will return NULL, otherwise it will return the pointer to the token string. PS_AssignIdent( ). This routine invokes the registered identifier resolver 400 to assign a value of the specified type to that identifier; it is normally called by plug-ins in the course of their operation. PS_EvalIdent( ). This routine invokes the registered identifier resolver 400 to evaluate the specified identifier, and assign the resulting value to the corresponding parser stack element (replacing the original identifier record); it is normally called by plug-ins in the course of their operation. Unlike all other assignments to parser stack elements, the assignment performed by the resolver 400 when called from this routine does not destroy the original value of the token string that is still available for use in other plug-in calls. If a resolver 400 wishes to preserve some kind of token number in the record, it should do so in the tag field that is preserved under most conditions. PS_SetResolver 400 ( ),PS_SetPlugIn( ). These two functions allow the registration of custom resolver 400 and plug-in functions as described above. Note that when calling a plug-in, the value of the ‘pluginHint’ will be whatever string followed the plug-in specifier in the BNF language syntax (e.g., <@1:2:Arbitrary string>). If this optional string parameter is not specified OR if the ‘kPreserveBNFsymbols’ option is not specified when creating the parser, ‘pluginHint’ will be NULL. This capability is very useful when a single plug-in variant is to be used for multiple purposes each distinguished by the value of ‘pluginHint’ from the BNF. One special and very powerful form of this that will be explored in later patents is for the ‘pluginHint’ text to be the source for interpretation by an embedded parser, that is executed by the plug-in itself. PS_SetLineFinder( ). Set the line-finder function for a given parser database. Line-finder functions are only required when a language may contain embedded end-of-line characters in string or character constants, otherwise the default line-finder algorithm is sufficient. PS_SetContextID( ),PS_GetContextID( ). The set function may be called just once for a given parser database and sets the value for the ‘aContextID’ parameter that will be passed to all subsequent resolver 400 and plug-in calls, and which is returned by the function PS_GetContextID( ). The context ID value may be used by the parser application for whatever purpose it requires, it effectively serves as a global common to all calls related to a particular instance of the parser. Obviously an application may chose to use this value as a pointer to additional storage. PS_AbortParse( ). This function can be called from a resolver 400 or plug-in to abort a parse that is in progress. PS_GetSourceContext( ). This function can be used to obtain the original source string base address as well as the offset within that string corresponding to the current token pointer. This capability may be useful in cases where parser 205 recognizers or plug-ins need to see multiple lines of source text in order to operate. PS_GetTokenState( ), PS_SetTokenState( ). These routines are provided to allow a resolver 400 function to alter the sequence of tokens appearing at the input stream of the parser 205 . This technique is very powerful in that it allows the grammar to be extended in arbitrary and non-context-free ways. Callers to these functions should make sure that they set all the three token descriptor fields to the correct value to accomplish the behavior they require. Note also that if resolver 400 functions are going to actually edit the input text (via the token pointer) they should be sure that the source string passed to PS_Parse( ) 205 is not pointing to a constant string but is actually in a handle for which source modification is permissible. The judicious use of token modification in this manner is key to the present invention's ability to extend the language set that can be handled far beyond LL( 1 ). PS_SetFlags( ), PS_ClrFlags( ), PS_GetFlags( ). Set or clear flag bits in the parsers flag word. PS_GetFlags( ) returns the whole flags word. These flags may be used by resolver 400 s and plug-ins to maintain state information. PS_GetIntegerStackValue( ), PS_GetRealStackValue( ). These functions obtain an integer or real value from the parse evaluation stack 215 . PS_Sprintf( ). This function implements a standard C library sprintf( ) capability within a parser 205 for use by embedded languages where the arguments to PS_Sprintf( ) are obtained from the parser evaluation stack 215 . This function is simply provided as a convenience for implementing this common feature. PS_Parse( ). This function parses an input string according to the grammar provided, as set forth above. Sample code illustrating one embodiment of this function is also provided in Appendix A. The foregoing description of the preferred embodiments of the invention has been presented for the purposes of illustration and description. For example, the term “parser” throughout this description is addressed as it is currently used in the computer arts related to compiling. This term should not be narrowly construed to only apply to compilers or related technology, however, as the method and system could be used to enhance any sort of data management system. The descriptions of the header structures should also not be limited to the embodiments described. While the sample code provides examples of the code that may be used, the plurality of implementations that could in fact be developed is nearly limitless. For these reasons, this description is not intended to be exhaustive or to limit the invention to the precise form disclosed. Many modifications and variations are possible in light of the above teaching. It is intended that the scope of the invention be limited not by this detailed description, but rather by the claims appended hereto. Appendix A provides code for a sample Application Programming Interface (API) for the parser of the present invention.
A dynamically extensible approach to parsing textual input consisting of a predictive parser and associated predictive parser generator is provided. The combination, together with a plug-in/resolver architecture, provides the ability to handle a set of languages that is vastly larger than that conventionally handled by predictive parsing techniques. The generator accepts extended BNF language specifications containing embedded reverse polish plug-in call specifications giving the plug-in number to be called as well as an arbitrary textual parameter to be passed to the plug-in. The parser supports the ability to register a 'resolver' function as well as one or more custom reverse-polish plug-in handlers which are passed the textual parameter(s) specified in the extended BNF as well as having full control over the parsing and evaluation stacks. The 'resolver' is with a 'no action' parameter when the parser first encounters a token in the input stream and may modify the token as necessary. The resolver is also called when the parser must evaluate or assigu an entry on the evaluation stack at which time it can implement additional behaviors depending on the language or environment. Finally the 'resolver' is called when the parse terminates. The 'resolver' is the primary mechanism whereby more complex languages can be handled and is also a key part of connecting to external systems or storage when the parser is used in an interpreted context. The reverse polish plug-in functions are provided with an API to allow full control over and access to the parser stacks and can rapidly be configured to implement almost any language constructs.
BACKGROUND OF THE INVENTION The present invention relates to a skid protection device for wheels, preferably snow chains for vehicle wheels. Mounting snow chains on vehicle wheels is often a dirty and time-consuming operation which often must be performed under severe conditions outdoors. OBJECT AND SUMMARY OF THE INVENTION The object of the present invention is to eliminate this problem by simple means the features of which are defined below. BRIEF DESCRIPTION OF THE DRAWINGS Additional objects, advantages and novel features of the invention will be set forth in part in the description which follows, and in part will become apparent to those skilled in the art upon examination of the following or may be learned by practice of the invention. The objects and advantages of the invention may be realized and attained by means of the instrumentalities and combinations particularly pointed out in the appended claims. The invention will be further described below with reference to the accompanying drawings, in which FIG. 1 is a perspective view illustrating a folded skid protection forming part of the device according to the invention; FIG. 2 is a perspective view showing the unfolded skid protection ready for assembly; FIG. 3 is a perspective view showing the skid protection placed beside a car wheel; FIG. 4 is a front view of the skid protection positioned at the car wheel for assembly; FIG. 5 is a perspective view of the device of FIG. 4; FIG. 6 illustrates the skid protection mounted on the car wheel; and FIG. 7 illustrates the skid protection after disassembly from the car wheel. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT Turning first to FIG. 3, there is illustrated a part of a car 1 with a wheel 2 which is to be provided with a skid protection device 3. The skid protection device comprises a bracket 4 with skid protection means in the form of a snow chain 5 which is to be put around the periphery of the wheel 2, more particularly, the tread surface 7 of the tire 6. As best seen in FIGS. 1 and 2, the bracket 4 pivots about an axis 8 on which a coupling member 9 and two retaining elements 10 and 11 are pivotally mounted. The retaining elements 10, 11 are provided with slots for arms 12 and 13 of the bracket 4. The retaining elements 10, 11 are mounted on the axis 8 with the slots provided on the retaining means facing each other. The coupling member 9 may be in the form of a longitudinal rod, the end portions of which are bent towards each other to provide substantially U-shaped hook portions 14 and 15. Likewise, the opposite edge portions of retaining elements 10, 11 may be bent such that these elements, become U-shaped. Each arm 12, 13 comprises two yokes 16 and 17, which extend through the slots in the retaining elements 10 or 11 and extend from the retaining elements 10 or 11 in opposite directions. Each yoke 16, 17 is preferably made of a U-shaped element the shanks 18, 19 of which extend on opposite sides of the axis 8. One end portion 20 at which the shanks 18, 19 are connected to each other, is bent to form a stop to limit the extension of the yokes 16 and 17 respectively by ramming into the edge of the retaining elements 10 and 11 respectively. The free shank end portions of the yokes 16 and 17 respectively, are bent to provide gripping portions 21 and 22 respectively, which engage a U-shaped fastening portion 23, at the web or base portion 24 thereof, in such a way that the fastening portion is pivotable around a pivot axis extending transversely to the yoke 16 and 17 respectively. The snow chain 5 has a first link portion 26, the links of which are arranged on the fastening portion 23 closest to the yoke 16 and 17 respectively, and a link portion 27 the links of which are arranged on the outer end of the fastening portion 23. The links of the first link portion 26 are preferably threaded on the separater shanks 28, 29 of the fastening portion 23 and fastened by means of one or more fastening links 30 of which one is threaded on the web or base portion 24. The links of the second link portion 27 are threaded through eyes 31 formed by bending the separate shanks 28, 29. By varying the number of fastening links 30 between the web portion 24 and the first link portion 26, the distance between the first and second link portions 26, 27 at the fastening portion 23 and thus, the diameter of the entire chain 5, may be altered for quickly adjusting the chain to the diameter of the wheel 2. The distance between the first and second link portions 26, 27 of the chain 5 decreases on opposite sides of the fastening portion 23 and said link portions are mounted in rings 32 positioned approximately half-way between the fastening portions 23. These rings 32 form the narrowest parts of the chain and the first and second link portions 26, 27 are preferably connected to each other via transverse rods 33 positioned approximately half-way between the fastening portions 23 and the rings 32. This construction of the chain 5 facilitates the assembly thereof on the wheel 2 and provides a firm "grip" on the surface. As is evident from FIG. 1, the skid protection device may be folded to a very small "package" by pivoting the arms 12, 13 such that they extend parallel to each other, pushing the yokes 16, 17 together as far as possible the yokes 16, 17 forming the arms 12, 13, folding the fastening portions 23, inwards and putting together the chain 5 in a suitable manner. When the skid protection device 3 is to be mounted, the arms 12, 13 are pivoted set such that they form a cross and the yokes 16, 17 are extended as far as possible (see FIG. 2). Thereby, the skid protection device 3 is ready for assembly on the wheel 2. The wheel 2 is provided with two rubber straps 34 having hooked end portions 35 which hook the straps to the wheel rim 39. As shown in FIG. 4, the rubber straps 34 are hooked preferably such that they are somewhat stretched into tension and the skid protection 3 is then placed close to the wheel 2, with one of the narrowest parts (a ring 32) of the chain 5 beside the portion 37 of the tire 6 engaging the ground 36. The chain is thereby brought around the wheel such that it reaches its working position on the tread surface 7 of the wheel 2 at the upper parts thereof. While the chain 5 lies beside the portion of the wheel 37 engaging the ground 36 with one of its narrowest parts (the ring 32), only a minor portion of the links of the chain 5 will be prevented from reaching their working positions on the tire 6. In this position, the skid protection device 3 slopes downwardly relative to the wheel 2 and may, in this position, be connected to the wheel by means of the rubber straps 34. To enable this connection, the rubber straps 34 are stretched in the direction of arrow A, FIG. 3 and hooked onto the hook portions 14, 15 of the coupling member 9. The rubber straps 34 are dimensioned so that they exert such a large force, shown by arrow B, of FIG. 4, on the skid protection 3 in the direction of the wheel 2, that said straps, through the arms 12, 13, displace the portions of the chain 5 not yet in working position to their working positions when the wheel rotates. In other words, one must only place the skid protection 3 close to the wheel 2, as is shown in FIGS. 3 and 4, connect it to the wheel with the rubber straps 34, as is shown in FIG. 4, and drive away in the car, whereby the rubber straps automatically draw the skid protection device in position on the wheel 2, since the portions of the chain 5 initially lying beside the tire 6 may slide in over the tread surface 7 as soon as these portions are free. As a matter of fact, the wheel 2 need only to rotate partially before said portions of the chain 5 become free by rotating with the wheel and leaving the hindrance constituted by the ground in order to be brought into working position. The rubber straps 34 are designed preferably such that they also exert a force on the skid protection device in the direction indicated by arrow B when the skid protection in its working position on the wheel, whereby said straps efficiently retain the skid protection especially when the chain 5 is provided to move around the wheel. This is essential in many cases, because the chain 5 may have a larger diameter than the wheel and thus, a different velocity than the wheel during rotation thereof. Furthermore, the force on the rubber straps 34 in the direction indicated by arrow B increases the more the skid protection device 3 or portions thereof are forced out of working position in the direction arrow A indicated by during driving. Removal of the skid protection 3 from the wheel 2 is as simple as the mounting. As best seen in FIGS. 6 and 7, this is done by releasing the coupling member 9 from the rubber straps 34 and thereafter pulling the chain 5 away from the upper parts of the wheel 2. While the fastening portions 23 are pivotally connected to the arms 12, 13 the skid protection 3 may be brought down (see FIG. 7) without damaging any fastening portions 23 or the arms 12, 13 under the wheel 2. Thereafter the car is driven forward or backward a small distance until the skid protection 3 is completely free and may be picked up, folded and stored in the luggage compartment in the car. The embodiment described and shown in the drawings is only one of many alternatives of the present invention. Thus the skid protection may be of another type than a snow chain and it is also possible to use skid protections comprising several individual parts. The skid protection may be used for wheels other than vehicle wheels, whereby the parts forming the device may vary in number and shape. Another type of retaining device other than rubber straps 34 may, for example be used for applying forces on the skid protection device and the retaining device may be arranged in a different member than the straps 34, for example, the coupling member 9 maybe connected directly to the wheel, while the retaining device is positioned on the outside of the coupling member. The retaining device may be integral with the skid protection device 3 and may comprise one or more members and it may have power generating means of various types, e.g. elastic straps of another material than rubber, for example, leaf springs. coil springs and gas springs. Also, the bracket 4 and other members of the skid protection may be formed in various ways but still be useful within the scope of the present invention. The foregoing description of a preferred embodiment of the invention has been presented for purposes of illustration and description. It is not intended to be exhaustive or to limit the invention to the precise form disclosed, and obviously many modifications and variations are possible in light of the above teaching. The embodiment was chosen and described in order to best explain the principles of the invention and its practical application to thereby enable others skilled in the art to best utilize the invention in various embodiments and with various modifications as are suited to the particular use contemplated. It is intended that the scope of the invention be defined by the claims appended hereto.
The present invention relates to a skid protections device (3) for wheels preferably snow chains for vehicle wheels. In order to permit quick and simple assembly of snow chains on vehicle wheels the device according to the invention comprises a power aggregate attached to a wheel, coupled to a bracket thereon. The bracket with skid protection means may be mounted at the wheel with the skid protection means extending in working position around the wheel except a part thereof which is prevented from attaching a working position by the wheel engaging a ground. The power aggregate, in coupled condition, moves the blocked part of the skid protection means to the working position when it is released by rotation of the wheel, the skid protection means in working position is movable in peripheral direction relative to the wheel during rotation.
CROSS-REFERENCE TO RELATED APPLICATION This application is a divisional of U.S. patent application Ser. No. 08/902,207, filed Jul. 29, 1997 now U.S. Pat. No. 6,218,340, which is a continuation of 08/462,130 filed Jun. 5, 1995 (abandoned), which is a continuation of 08/402,420 filed Mar. 10, 1995 (abandoned), which is a continuation of 08/261,928 filed Jun. 17, 1994 (abandoned), which is a continuation of 07/906,843 filed Jun. 30, 1992 (abandoned). FIELD OF THE INVENTION This invention relates to superconductors and, more particularly, to a process for improving the superconducting characteristics of oxide superconductors. BACKGROUND OF INVENTION The discovery, in 1986, of superconductivity in lanthanum barium copper oxide stimulated worldwide activity directed towards oxide superconductors having a high critical temperature (T c ). Since then, a significant number of other ceramic oxide superconductive materials have been discovered, including ceramic oxide compositions based on the Y—Ba—Cu—O, Bi—Sr—Ca—Cu—O and Tl—Ca—Ba—Cu systems. A number of now-issued U.S. Patents have proposed making superconducting wires from such materials using a process which includes the steps of filling a ductile metal tube with a powder of superconductor material or precursor, drawing or extruding the filled tube to reduce the tube diameter and provide a wire of predetermined diameter having a core of superconducting material or precursor and a surrounding metal sheath, and heat treating the wire to provide the desired superconducting properties in the core. The general process, commonly known as Powder-In-Tube or “PIT”, is described in, for example, U.S. Pat. Nos. 4,952,554 to Jin et al., 4,980,964 to Boeke, and 5,043,320 to Meyer et al. According to the PIT processes taught in the aforementioned patents, the superconducting powder in the tube may be either a mixture of powders of the oxide components of the superconducting composition, or a powder having the nominal composition of the superconductor. U.S. Pat. No. 4,826,808 to Yurek et al., teaches forming a ceramic superconducting oxide by oxidation of a metal alloy precursor that has the same metal content as the desired superconducting oxide. In the manufacture of superconducting wires using the general PIT procedure described above, and regardless of the nature of the particular powder initially placed in the ductile metal tube, the superconducting wire core of the final product should be textured uniformly, that is, the grains of the oxide superconductor, which are typically anisotropic and plate-like in shape, should be oriented in generally parallel, closely-stacked planes rather than at random angular orientations. A high degree of uniform texture effectively insures that the superconducting core is of high density and low porosity along its entire length. In practice, this has proved difficult to achieve. In the course of manufacture, the wire typically undergoes a number of deformations (e.g, it is extruded, swaged, drawn or rolled), each of which may adversely affect texturing and density, and also may degrade (or even completely destroy) the superconducting properties of the ceramic oxide. The wire also often undergoes a plurality of successive heat-treatments, each typically following a deformation step. Thus, it is necessary both to deform and to heat the wire tape to achieve a desired shape and performance level; and if any step in the thermo-mechanical process is performed incorrectly (e.g., over-deformation or macro-crack initiation), the microstructure of the ceramic will not be as textured and dense as desired, and the properties will not be at an optimum. Moveover, even when done correctly, it has been found one effect of heat-treating after densification is often somewhat to reduce the texture and density of the superconducting material. This phenomenon, sometimes referred to as retrogade sintering, is not limited to high temperature ceramic superconductors and typically requires that the material again be compacted before being further heat treated. In the case of high temperature ceramic superconducting materials, superconducting properties are imparted to the core of the end product by a final heat treatment, conducted after a final deformation and physical densification. However, the critical current density (J c ) of wires that have been formed and densified by extruding, drawing, rolling or swaging is less than desired for many applications. There remains a need for a process, particularly one that is practical for use in the manufacture of wires or other conductors of significant length, that will provide superconductors of greater critical current density. SUMMARY OF INVENTION The present invention provides a PIT process, applicable to a wide range of ceramic oxide superconductor compositions, which will produce a superconductor wire or tape having a significantly greater current density than will a conductor of the same nominal superconductor composition produced using conventional draw-swage-extrude-roll deformation. In PIT processes for making wire, a superconductor precursor is placed within a ductile tube, the tube with the powder therein is then deformed to reduce its diameter and/or change its cross-sectional configuration, to produce substantially the desired form, and the deformed tube is then subjected to a plurality of heat treatments to convert the precursor into the desired superconducting ceramic oxide phase. According to the present invention, the deformed tube is isostatically pressed to texture and densify the superconductor material therein after the tube has been drawn or otherwise deformed to provide a conductor having substantially the desired diameter or cross-section of the end product, and before the last heat treatment. In preferred practices of the invention, a multi-filamentary structure including a plurality of noncircular filamentary cores of superconductor precursor material within a ductile matrix is formed, and the multi-filamentary structure is isostatically pressed after all but the last heat treatment, and is thereafter heat treated a final time. In another aspect, the invention provides a procedure that is useful with any product including an anisotropic, aspected ceramic in a ductile metal sheath in which the desired ceramic properties are produced by successive densification and heat-treating steps and in which the ceramic is subject to the phenomenon of retrograde sintering. In the manufacture of such products, the invention features isostatically pressing the product to densify and texture the ceramic after the product has been formed into a substantially final configuration in which the ceramic is non-circular in cross-section, and after all but the last heat-treating step. The last heat-treatment may be performed after or simultaneously with the isostatic pressing. DRAWINGS FIGS. 1 and 2 are cross-sectional, somewhat schematic, views of monofilamentary wires at initial stages of a process of the present invention. FIG. 3 is a flow diagram of a process of the present invention. FIG. 4 is a cross-section of another conductor made using the present invention. FIGS. 5A and 5B are photomicrographs comparing a multifilimentary tape made according to the present invention with one made using more conventional processing. FIGS. 6A, 6 B, and 6 C are photomicrographs comparing a multifilimentary tape made according to the present invention with types constructed with other processing. DESCRIPTION OF PREFERRED EMBODIMENTS FIGS. 1 and 2 of the drawings schematically illustrate an exemplary monofilamentary wire, designated 10 in FIG. 1 and 10 ′ in FIG. 2, in which 11 is the ceramic oxide superconductor filament and 12 is the ductile metal tube surrounding the filament. As indicated, the superconductor oxide filament comprises generally platelet-shaped grains 14 . It will be noted that the overall cross-section of the filamentary core 11 of wire 10 of FIG. 1 is generally circular, and that the grains 14 of the ceramic superconducting core are randomly oriented at various angles to the axis of the wire. FIG. 2 illustrates a more desirable grain orientation; the grains 14 ′ of the core of wire 10 ′ are textured; that is, they are generally stacked, much like the bricks in a brick wall, in parallel planes extending generally parallel to the wire axis. It will also be noted that the cross-section of the core 11 of wire 10 ′ is generally oblong or rectangular, rather than circular. As previously indicated, one principal object of the present invention is to provide a process, suitable for use in the manufacture of superconducting wires and other conductors of useful length, in which the grains of the superconducting ceramic oxide core are textured, and in which the core is of relatively high density and low porosity. In the preferred practice of the invention, this is accomplished using a variant of the PIT wire-making process. A relatively thick-walled piece of ductile metal tubing is closed off at one end, filled with a superconducting material precursor powder, and then sealed at the other end also. The tubing is made of a metal that, as taught in the aforementioned Yurek et al. patent, is chemically “noble” relative to the precursor; that is, the material chosen is one that will not oxidize under the conditions to which the tube and powder are subjected during processing. Preferred metals for the tube are gold and, more typically, silver. As previously indicated, the present invention is useful with any ceramic oxide superconductor having a “platey”, i.e., an aspected grain shape. According to the present invention, the tube 12 is filled with a powder of a superconductor precursor of the particular ceramic oxide superconductor chosen. As used in this specification, and in the appended claims, the term “superconductor precursor” means material(s) that, when subjected to the proper reactions and heat treatments, will react and form a continuous superconducting ceramic oxide phase. The particular reactions and heat treatments depend, of course, on the particular composition chosen and form no part of the present invention. In the preferred practice of this invention, and as indicated in the flow diagram of FIG. 3, the starting materials for the chosen ceramic oxide superconductor are combined in the proper stoichiometric amounts and are reacted to form a complex ceramic oxide powder having the same nominal composition as the superconductor. For example, bismuth oxide, strontium oxide, calcium oxide and copper oxide are measured, mixed and reacted when a Bi—Sr—Ca—Cu—O ceramic superconductor is to be formed; and oxides of yttrium, barium and copper are employed when the desired superconductor is of the Y—Ba—Cu—O system. Alternatively, an alloy containing the metal components of the desired ceramic oxide superconductor may be formed and then oxidized. In either event the superconductor precursor formed at this stage is an oxide, usually in the form of an oxide powder without the need for mechanical reduction to powder, having the same nominal chemical composition as the desired end-product superconductor. However, the oxide does not actually have to be superconducting since these desired superconducting properties will be obtained during later heat treatments. Typically, the oxide also may include a number of different phases, only some of which have the desired phase structure of the desired final product; and even if some fraction of the superconductor precursor powder is super-conducting, the superconducting properties generally will be lost or degraded in the course of subsequent deformation. The superconductor precursor powder is then packed into the silver tube, and the ends of the tube are closed and sealed. The tube with the powder therein is then subjected to conventional cross-section reducing steps (e.g., extruding, drawing) to form it into a monofilamentary wire, typically having the generally circular cross-section shown in FIG. 1 . If the desired end product is a mono-filamentary wire, the wire is typically then rolled to deform it (and more particularly the ceramic core) into a non-circular cross-section such as shown in FIG. 2 . If a multi-filamentary wire or tape is desired, a number of single filament wires are bundled together and the bundle is then drawn or extruded, in a generally conventional manner. For example, FIG. 4 illustrates a multi-filamentary wire 10 ″, constructed according to the present invention that includes eight ceramic superconductor filaments 11 ″ encased in a square silver case 12 ″. Each filament 11 ″ is generally rectangular in longitudinal cross-section, i.e., it has a width several times its thickness, and the eight filaments 11 ″ are arranged in two side-by-side stacks, each four filaments high. In each stack, the filaments 11 ″ are arranged so that the long dimension of their cross-section is generally perpendicular to a side of the square case 12 ″. To make a multi-filamentary wire 10 ″ such as that shown in FIG. 4, in which the overall cross-section of the wire (at least before isostatic pressing) is unaspected (i.e., has a height substantially equal to its width) but in which (in cross-section) each filament 11 ″ has a width significantly greater than its thickness (e.g. has an aspect ratio greater than about 1:1 and, preferably, greater than about 5:1), the individual mono-filament wires are first typically rolled to impart a non-circular cross-section to the filaments (e.g., rolled to produce an aspect ratio of greater than 1:1); the desired number of mono-filament wires are then bundled together with silver strips filling the inter-filament spaces, and the multi-filament assembly is then drawn as a unit. In making tape products, the mono-filaments may or may not be rolled before bundling; and the desired overall and filamentary cross-sectional configurations are insured by rolling the multi-filamentary bundle, e.g., by passing it between a pair of closely-spaced rolls. After tape or wire has been formed into a configuration, e.g., a cross-section, that is essentially the same as or very close to that of the desired end product, it is subjected to the first of a series (generally two, but more may be employed depending on the particular material and processing desired) of heat treatments to react and sinter the superconductor powder precursor. Typically, the first heat treatment results in grain growth and phase transformation of the ceramic superconductor precursor, and produces filaments that are superconducting and have a phase structure closely approximating that of the end product. However, the grain orientation, density, and porosity of the ceramic superconducting material after the initial heat treatment(s) is such that the superconducting properties, e.g., the critical current density, of the superconducting ceramic oxide filaments are lower than desired. After the first heat treatment(s), the wire or tape is subjected to further deformations, to improve the density and texturing of the superconductor material and conform the tape or wire with its desired end-product configuration, and is also subjected to a final heat treatment. After the final heat treatment the single or multiple filaments of the tape or wire have the desired end-product superconducting properties. Conventionally, the final deformation/densification has been performed by rolling. It has been found, however, that the superconducting filaments or cores of wires and tapes that have been rolled before the final heat treatment have lower density and critical current than desired. This is thought to be because the rolling causes strain localization or other relative movement of the grains and grain layers, and transverse cracking of the grain structure, that is not entirely cured in the final heat treatment. Laboratory experiments have showed that the degree of texture and critical current of the superconducting filaments and cores can be increased by uniaxially pressing the wire or tape in a direction perpendicular to its flat dimension, but such pressing is limited to treating short conductor lengths and is thus not suitable for the production of longer conductors. According to the present invention, the final deformation of the tape or wire (before or concurrently with the final heat treatment) is performed by hot (i.e., greater than about ¾ the melting temperature of the ceramic) or cold (i.e., less than about ¾ the melting temperature) isostatic pressing at a pressure greater than the yield point of both the ceramic material and the noble metal sheath, and typically at a pressure in the range of about 30,000 psi to about 300,000 psi. As previously indicated, it is desirable that filaments of the tape or wire being isostatically pressed have an oblong or rectangular, rather than circular, cross-section. Such a cross-section conventionally results from most tape manufacture, and may be imparted to circular wire by rolling or by drawing or extruding through an appropriately shaped die. In the case of a filamentary structure, an aspect ratio (width:thickness) of not less than about 10:1 is desirable. Isostatic pressing textures and densifies the superconducting oxide filaments without causing any strain localization or other significant change (other than a tell-tale depression/ridge pattern as discussed hereinafter) in the overall configuration of the tape or wire. For example, in FIG. 4 it will be noted that the portions of the surface of the silver case 12 ″ over filaments 11 ″ are slightly depressed, and that the outer surface of the case includes longitudinally extending ridges 15 ″ between the filament stacks. These ridges are characteristic of a superconducting wire that has been isostatically pressed before its final heat treatment. Before isostatic pressing, the top and bottom of case 12 ″ were flat, as indicated by the dashed lines. Similarly, FIG. 5 illustrates the cross-section of a nineteen filament tape before isostatic pressing, sample A, and a sample, Sample B, from the same tape after cold isostatic pressing at a pressure of 120,000 psi. The widths of the two samples are substantially the same. It will be seen, however, that the net thickness of regions around the filaments of the cold isostatically pressed sample is less, and that the difference in thickness is substantially all due to a reduction in cross-sectional area (and accompanying increase in texture and density) of the superconducting filaments 11 . It will also be seen that, characteristically, the outer-surface of the sample A that has not been isostatically pressed has upwardly projecting ridges 15 overlying at least some of the filaments. This is conventional for the upper and lower surfaces of rolled samples in which, before the final heat treatment, the portions of the surfaces overlying the ceramic filaments will either be flat or bowed slightly upwardly. By way of contrast, the portions of the outer surface of the isostatically pressed sample B overlying the filaments are slightly depressed. To the extent that the isostatic pressing may cause any micro-cracking of the ceramic superconductor, it is essentially eliminated by the subsequent heat treatment and has no adverse effect on the superconducting properties of the final product. No macro-cracking occurs during the isostatic pressing. Another advantage of the present invention is that the wire or tape may be wound on a mandrel in a coil shape, or subjected to some other operation to place it in the shape in which it will be used, before it is isostatically pressed and thus does not need to be further shaped after the final heat treatment. After it has been isostatically pressed, the wire or tape is then subjected to a second treatment, again in the conventional manner. As in conventional multi-heat treatment processing of ceramic oxide superconductors, this final heat treatment (which, depending on the particular superconducting material may be under somewhat different conditions than the first heat treatment) results in some phase transformation and grain growth of the product and improves the overall superconducting properties of the filaments by, among other things, establishing good intergranular connectivity for transport conductivity. It has been found that the superconductor wires and tapes produced according to the present invention i.e., that are isostatically pressed before or concurrently with the final heat treatment, have a significantly greater texture and critical current density (J c ) than do those that were rolled before the last heat treatment. Surprisingly, the J c of superconductors of the present invention approaches that of materials that were pressed, rather than rolled, before the final heat treatment; but, unlike pressing, the present invention is suited to large-scale production and can be used to produce superconducting wires and tapes of practical length. EXAMPLE I A superconductor precursor material was prepared by thoroughly mixing bismuth oxide, lead oxide, strontium oxide, calcium oxide and cupric oxide in the relative stoichiometric quantities amounts necessary to produce the desired three-layer, high-T c , bismuth-based superconductor (nominal stoichiometry Bi 1.8 Pb 0.3 Sr 1.9 Ca 2.0 Cu 3.1 O x ). The mixture was heated at about 800° C. for 10 hours in air to produce a complex powder having the desired overall nominal composition. The phase structure of the resulting powder was not homogeneous; at most only a relatively small amount of the powder had the 2223 phase structure of the desired end product. The majority (over 50%) was 2212 Bi—Sr—Ca—Cu—O material, but alkaline earth bismuthates, plumbates and cuprates and CuO were also present. Twenty-five grams of the superconductor precursor were then sealed in a silver tube, 0.625 in. outer diameter, 0.325 in. inner diameter (0.150 in. wall thickness), and 5.5 inches long. The sealed tube was then extruded and drawn through a series of dies of progressively smaller diameter to produce a wire, generally hexagonal in cross-section, having a diameter (e.g., distance between flats) of about 0.070 inches. This wire was then cut into 18 inch lengths, and nineteen of the lengths were bundled together to form a multi-filamentary structure, which in turn was repeatedly drawn into a round wire 0.070 in. in diameter and was then rolled to form a multi-filamentary tape approximately 0.009 inches thick. As shown in FIG. 6, the tape 10 A includes nineteen superconductor precursor filaments, designated 11 A in a matrix 12 of silver. Tape 10 A is 9 mil (0.009 inch) thick and about 0.100 inches wide. The tape of FIG. 6 was cut into three one-inch long samples. The cross-section of the original complete tape, and of one of the samples, is as shown with respect to tape 10 A. The other two samples, which illustrate the result of further deformation, are designated 10 B and 10 C. After all three samples were submitted to a first heat treatment (in 7½% oxygen for 48 hours at a temperature in the range of about 810 to 830 degrees C.) sample 10 B was pressed between two plates at a pressure of about 1 GPa, and sample 10 C was cold isostatically pressed at a pressure of 120,000 psi. FIG. 6 shows sample 10 B after pressing, and sample 10 C after cold isostatic pressing. It will be seen from FIG. 5 that the cross-sections of the rolled sample 5 A, and rolled and isostatically pressed sample 5 C, are substantially the same and; as with the samples of FIG. 4, the rolled sample 5 A has characteristic depressions in the portion of the tape outer surface overlying the filaments By way of contrast, the cross-section of the tape is significantly changed by pressing. In pressing, the side edges of the tape are unconstrained and, as shown by sample 10 B, the result of pressing is a considerable increase in the width, accompanied by a significant decrease in thickness of the tape. All three samples were then again heat treated. After the second heat treatment, the critical current densities (J c ) of the three samples, at 77K, O Tesla field, were as follows: Sample Jc 10A 4,000 A/cm 2 10B 9,000 A/cm 2 10C 8,000 A/cm 2 EXAMPLE II Single filament wire including a superconductor core of Bi—Sr—Ca—Cu—O, doped with Pb to provide an overall nominal composition of Bi 1.8 Pb 0.3 Sr 1.9 Ca 2.0 Cu 3.1 O x , and a silver sheath was made using the same general procedure as in Example 1. The heat treatment conditions varied slightly from those used in Example 1 and the resulting superconductor precursor powder had a different phase structure. The wire was cut into eighteen inch lengths, rebundled to form a nineteen filament conductor, and drawn and rolled into a tape about 0.009 inches thick and about 0.100 in. wide. As with Example I, samples cut from the tape were subjected to a first heat treatment and some of the samples were then flat pressed or cold isostatic pressed. After a second heat treatment, the current densities (J c at 77K, O Tesla) of 1 inch samples of the rolled (before the first heat treatment), pressed, and isostatically-pressed tape were as follows: Sample J c rolled  3,000 A/cm 2 pressed 12,000 A/cm 2 cipped  8,000 A/cm 2 EXAMPLE III Seven filament conductors were prepared according to the same general procedure as in Example I, and these samples were rolled to different thicknesses, i.e., 24 mils, 18 mils and 12 mils. Between first and second heat treatments, the samples were cold isostatically pressed at 200,000 psi. The critical current density (J c ) of the samples at 77K, O Tesla, were as follows: Sample Jc 24 mil 10,000 A/cm 2 18 mil 13,000 A/cm 2 12 mil 16,500 A/cm 2 EXAMPLE IV A superconductor precursor may be prepared by forming an alloy of yttrium, barium and copper in the atomic ratio Y:1; Ba:2; Cu:3 and oxidizing the alloy to form YBa 2 Cu 3 O x powder. Alternatively, Y 2 Ba 4 Cu 7 O y may be prepared by forming an alloy in the atomic ratio of Y:2; Ba:4; Cu:7; and then oxidizing the alloy. In either event, the resulting powder is packed into a silver tube and a wire (as shown in FIG. 1) having superconducting precursor core surrounded by a silver sheath is produced by drawing the filled tube. After drawing the wire is heat treated, rolled to produce an elliptical or oblong (rather than a circular) cross-section (as shown in FIG. 2 ), subjected to a first heat treatment, isostatically pressed, and then subjected to a final heat treatments. EXAMPLE V A superconductor precursor may be prepared, generally according to the procedures of Example I, by mixing thallium oxide, calcium oxide, barium oxide and cupric oxide in the relative stoichiometric quantities necessary to form any of the desired thallium-based superconducting oxides (e.g., Tl 1 Ba 2 Ca 2 Cu 3 O z ), reacting the powder mixture and then packing the reacted powder into a silver tube. The powder filled tube is then drawn to produce a wire having a superconducting precursor core surrounded by a silver sheath. After drawing, the wire is rolled to produce a non-circular filamentary cross-section, heat-treated, and isostatically-pressed. The isostatic-pressing may be at a high temperature so that it and a final heat treatment proceed simultaneously. Alternatively, the wire may be subjected to a final heat treatment after isostatic-pressing. EXAMPLE VI Sample nineteen filament tapes were prepared using the same superconductor precursor material as in Example III, but different wire heat treatment conditions. Before the last wire heat treatment, three samples were isostatically-pressed, and three were uniaxially pressed, both at pressures of about 65,000, 125,000 and 160,000 psi. One control sample was rolled; a second control sample was neither pressed nor rolled. The J c (A/cm 2 at 77K. 0 Tesla) and thicknesses (mils) of the samples were as follows: Sample Jc Thickness Uni-Press at 65,000 10,600  5.2 Uni-Press at 125,000 9,500 6 Uni-Press at 260,000 8.900 4.8 Iso-Press at 65,000 5,400 8.4 Iso-Press at 125,000 6,400 8.5 Iso-Press at 260,000 5,300 8.7 Rolled Control 4,300 7.5 Second Control 2,400 9 It will be noted that, unlike pressing, isostatic pressing has very little effect on the cross-section of the tape. EXAMPLE VII Four groups of sample nineteen filament tapes, each about one inch long, were prepared from 2223 Bi—Sr—Ca—Cu—O superconductor precursor powder doped with PbO. Groups I and II contained slightly less Pb than did groups III and IV; and the powder used in the samples of Group I was initially reacted for less than ¼ as long as were the powder used in the other samples. After the tapes were formed, each group was divided into two subsets; the samples (designated “A”) from one subset were heat-treated at a slightly (5° C.) higher temperature than were the samples (designated “B”) from the other subset; the final heat treatment for all of the samples was the same. Immediately before the final heat treatment, one sample from each subset was isostatically-pressed at about 65,000 psi, a second sample from each subset was pressed at about 500 mPa, and a third was rolled from about: 9 mils to about 8 mils in thickness. As shown below, the J c (77K, 0 Tesla) of the isostatically-pressed samples was consistently greater than that of the rolled samples and, in all but one instance, only slightly less than that of the pressed sample. Sample J c -IsoPress J c -Press J c Rolled IA 10,100  12,000 4,850 B 10,200  12,500 4,050 IIA 7,650 12,500 5,650 B 8,950 12,100 5,550 IIIA 7,450 11,250 5,250 B 7,700 10,000 — IVA 9,850 11,400 3,680 B 9,000  9,900 3,680 EXAMPLE VIII Two four meter long, nineteen filament tapes were prepared generally according to the process of Example I. Each tape was rolled to an initial thickness of 0.009 in., and then subjected to the same first heat treatment. After the first heat treatment, one of the tapes was rolled to a thickness of 0.008 inches; the other was isostatically pressed at 65,000 psi. Both tapes were then subjected to identical second heat treatments. Over the entire approximately 4 meter length, the J c (again at 77K, 0 Tesla) of the rolled tape was approximately 2,700 A/cm 2 , that of the isostatically pressed tape was approximately 5,500 A/cm 2 . To determine the uniformity along the tape length, one centimeter long samples were cut from various locations along the length of the 4 meter tapes, and the J c (77K, 0 Tesla) of the short samples measured. The average J c of the samples from the isostatically pressed tape was 5,850 A/cm 2 (77K, 0 Tesla); that of samples from the rolled tape was 3,580. Additionally, the standard deviation of the isostatically pressed samples (17.9%) was significantly smaller than that of the rolled tape samples (42.5%). EXAMPLE IX A superconductor precursor may be prepared by mixing yttrium, barium and copper metal powders in the relative quantities corresponding to the atomic ratio Y:1; Ba:2; Cu:3, and packing the powder into a silver tube. The tube is then mechanically deformed into a wire (generally having a circular cross section as shown in FIG. 1 ), and the wire is heat treated to oxidize the powder in the tube and form superconducting phases, rolled to produce an elliptical or oblong (rather than a circular) cross-section (as shown in FIG. 2 ), subjected to a plurality of heat treatments and deformations, isostatically pressed, and then subjected to a final heat treatment. Other embodiments will be within the scope of the following claims.
A modified powder-in-tube process produces a superconductor wire having a significantly greater current density than will a superconductor wire of the same nominal superconductor composition produced using conventional draw-swage-extrude-roll deformation. In the process disclosed, a superconductor precursor is placed within a ductile tube, the tube with the powder therein is then deformed into a cross-section substantially corresponding to that of the end product, and the deformed tube is then subject to a plurality of heat treatments to convert the precursor into the desired superconducting ceramic oxide phase. Before the last of the heat treatments, the tube is isostatically pressed to densify and texture the superconductor precursor oxide in the tube.
CROSS-REFERENCE TO RELATED APPLICATION This application is a continuation-in-part of our copending application Ser. No. 757,706, filed Jan. 7, 1977, now U. S. Pat. No. 4,115,619. BACKGROUND OF THE INVENTION This invention relates to multilayer composites having at least one metal layer and at least one layer of thermoplastic organic polymer and to articles formed therefrom. Metallized plastic articles prepared by applying a metal to a plastic material by vacuum deposition, electrolytic or electroless deposition, foil lamination or similar metallizing techniques are well known. Such articles are widely employed for decorative purposes, particularly the metallized films which are quite flexible and can be shaped to some extent to conform to various contours. Unfortunately, the degree to which such conventional metallized films or sheets or other articles can be shaped without rupture and/or separation of the metal from the polymer is generally limited to those shaping procedures involving localized dimensional changes of less than 25 percent in one direction and less than 20 percent (based on area of the film) if the dimensional changes are in two directions. The visual effect of stretching the metallized polymer beyond this limit is a noticeable loss of specular reflectance at the points of excessive elongation. The resulting article has a marred appearance and diminished utility in decorative, electrical and packaging applications. As a result of the loss of barrier properties caused by actually stretching the metallized polymer beyond the 20 percent limit, the use of such metallized polymers in many packaging applications is severely reduced, particularly where high barrier to vapor transmission is critical. Likewise, the corresponding loss of electrical conductivity reduces the suitable electrical applications to those involving minimal dimensional change. In addition, the aforementioned limitation on the amount of dimensional change of the metallized polymers significantly hinders their use in the manufacture of reflective parts (often called bright work) for automobiles and other vehicles of transportation as well as for household appliances. Such reflective parts often require biaxial extension such that the stretched article occupies an area more than 50 percent greater than the area of the article prior to stretching. In view of the aforementioned needs for novel highly extendable, multilayer metal/polymer composites and the deficiencies of existing metallized polymer articles in this regard, it would be highly desirable to provide a multilayer, metal/organic polymer composite that exhibits excellent specular reflectance, electroconductivity and barrier to vapor transmission after substantial dimensional change. SUMMARY OF THE INVENTION In one aspect the present invention is a formed, multilayer metal/organic polymer composite exhibiting the aforementioned desirable characteristics even though at least a portion of the composite has been formed such that the portion undergoes a cumulative surface dimensional change of at least 20 percent. More specifically, the formed, multilayer composite comprises a normally solid, thermoplastic organic polymer layer having adhered thereto a normally solid, soft metal layer. By "formed multilayer composite" is meant the composite has been formed such that at least a portion undergoes the aforementioned dimensional change, preferably by extending at least a portion of the multilayer composite to an area that is at least 30 percent greater than the area of the portion before forming, without rupturing either the metal layer or the polymer layer. In this instance, it is understood that the presence of pinholes, i.e., those having average diameter less than 1 micrometer, which are often formed during metallizing and/or the extension process can be tolerated. Such pinholes do not noticeably reduce specular brightness, electroconductivity or barrier. Generally, a metal or an alloy of metals will be considered a soft metal for the purposes of this invention if it melts at a temperature or over a range of temperatures that is from about 80 to about 135 percent of the temperature used in forming the composite, said temperatures being in ° K. In another aspect, this invention is a multilayer metal/organic polymer composite comprising a normally solid, thermoplastic organic polymer layer having adhered thereto a normally solid, soft metal layer wherein the metal layer comprises an alloy containing at least 50 weight percent of at least one metal and at least 5 weight percent of at least one other metal, said metals having a melting point below 450° C. (723° K). This composite is capable of being converted to a formed composite as described herein. In yet another aspect, this invention is a shaped article comprising (1) the aforementioned formed composite and (2) a reinforcing material in intimate contact with at least one surface of the formed composite. Surprisingly, the formed multilayer composite of this invention exhibits specular brightness, barrier and/or electrical continuity that are nearly the same as those of the composite prior to forming. In fact, the metal composites of this invention exhibit electrical resistivities less than 100 ohms/square even after forming, preferably less than 10 ohms/square. In contrast, the metal/polymer composites conventional to the art exhibit electrical resistivities greater than 1000 ohms/square after similar forming. Moreover, the metal layer of the formed composite remains strongly adhered to the polymer layer even though forming was carried out at temperatures at which most of the metal is in the melted or liquidus state and the polymer layer is heat plastified or nearly so. As a result of this surprising capability the multilayer composites are usefully formed into articles such as bumpers and other reflective parts for automobiles and other vehicles of transportation, housings and decorative parts for appliances and the like with minimal, if any loss, of brightness, barrier and electroconductivity. In addition, these formed composites are usefully employed in electrical applications and as plastic containers exhibiting a high degree of barrier to atmospheric gases. Particularly surprising is the fact that multilayer composites of this invention, wherein the polymer layer is polyolefin, exhibit a barrier to oxygen superior to that of conventional metal/polyolefin composites wherein the metal layer is aluminum, silver or copper. For purposes of this invention, "high barrier" means that the formed composite exhibits a permeance to atmospheric gases essentially equivalent to metal foil/polymer laminate films, e.g., an oxygen transmission rate less than about 0.1 cc through a 1 mil thick film having an area of 100 square inches were exposed to a pressure difference of 1 atmosphere at a temperature of 25° C. (298° K.) over a 24 hour period (hereinafter abbreviated cc/100 in 2 (645 cm 2 )/mil/day/atm). Because the formed composites of this invention can withstand wet environments, they are especially desirable for the packaging of oxygen-sensitive wet foods such as applesauce, fruit, and catsup as well as dry food such as coffee, potato chips and the like. BRIEF DESCRIPTION OF THE FIGURE The FIGURE is a side view in cross-section of a preferred shaped article of the invention. DETAILED DESCRIPTION OF THE EMBODIMENTS In the FIGURE there is depicted a preferred shaped article 1 having a shell 2 of a formed, multilayer metal/organic polymer composite. The outer layer 3 of the shell 2 comprises a normally solid, thermoplastic polymer and has a surface 4 to which is intimately bonded a layer 5 of a soft metal. The shell 2 defines a cavity 6 which is filled in part with a polymeric filler material which may be either foamed or nonfoamed, rigid or flexible, and elastomeric or non-elastomeric. Embedded in the polymeric filler material is a mounting strut 7 for affixing the shaped article to a substrate as desired. Polymers suitably employed in the polymer layer(s) of the multilayer composites of this invention are those normally solid, organic, formable thermoplastic polymers that are readily shaped or molded or otherwise fabricated into desired forms. By the term "formable" is meant that the polymer can be stretched or otherwise extended without rupturing to occupy an area which is at least 30 percent greater than its original area, preferably more than 100 percent and most preferably more than 150 percent. The term "thermoplastic" as used herein is intended to include all synthetic resins that may be softened by heat and then regain their original properties upon cooling. Also included within this term are thermosetting resins in the B stage, i.e., that stage prior to crosslinking wherein the resin exhibits the heat plastification characteristics of a thermoplastic resin. In some preferred embodiments, the thermoplastic polymers are also generally transparent. Because of their lower cost and superior structural properties, polymers of particular interest in the practice of this invention include engineering plastics such as polystyrene, styrene/acrylonitrile copolymers, copolymers containing polymerized styrene, acrylonitrile and butadiene (often called ABS polymers), styrene/butadiene copolymers, rubber modified styrene polymers, styrene/maleic anhydride copolymers and similar polymers of monovinylidene aromatic carbocyclic monomers; polycarbonates including those made from phosgene and bisphenol A and/or phenolphthalein; polyesters such as polyethylene terephthalate; acrylic resins such as poly(methyl methacrylate); polyacetyl resins such as polyformaldehyde resin; nitrile resins such as polyacrylonitrile and other polymers of α,β-ethylenically unsaturated nitriles such as acrylonitrile/methyl methacrylate copolymers; polyamides such as nylon; polyolefins such as polyethylene and polypropylene; polyvinyl halides such as polyvinylchloride and vinylidene chloride homopolymers and copolymers; polyurethanes; polyallomers; polyphenylene oxides; polymers of fluorinated olefins such as polytetrafluoroethylene; and other normally solid polymers which can be formed while in the solid state into the desired shape by conventional forming techniques, e.g., cold drawing, vacuum drawing, drape molding, pressure thermoforming, scraples thermoforming procedures and the like. Especially preferred, particularly for polymer layers which must exhibit significant abrasion resistance as well as a high degree of transparency are the polycarbonates, particularly those derived from the bis(4-hydroxyphenol)alkylidenes (often called bisphenol A types) and those derived from the combination of such bisphenol A type diols with phenolphthalein type diols. It is understood that the polymer layer of the multilayered composite may also contain one or more additaments such as dyes, light stabilizers, reinforcement fillers and fibers, pigments, carbon black and the like. The thickness of the polymer layer(s) of the multilayer composite is not particularly critical. Therefore, the polymer layer is of suitable thickness if it can be formed into a continuous layer which will have the necessary strength to survive the conditions normal to its intended use. Accordingly, such properties will often be abrasion resistance, corrosion resistance, tensile or impact strength and other physical properties which will be evident to those skilled in the art of fabricating polymers and metallized polymers. Usually, the thickness of the polymer layer(s) is in the range from about 2 to about 10,000 micrometers, preferably from about 10 to about 500 micrometers. The metal layer(s) of the multilayer composite which imparts specular reflectance, as well as high barrier and electroconductivity when such are desired, preferably comprises a metal or an alloy of two or more metals that melts at a temperature or over a range of temperatures that is from about 80 to about 135 percent of the maximum temperature reached by the metal composite during forming, said temperatures being in ° K. Preferably, the metal or alloy of metals melts at a temperature or over a range of temperatures that is from about 90 to about 110 percent of the forming temperature. In preferred embodiments, the metal or alloy has a liquidus temperature (T 1 -temperature in ° K. at which the metal or alloy is entirely liquid) and a solidus temperature (T s -temperature in ° K. at which the metal or alloy just begins to liquefy) which are within the temperature range of 0.85 T f to 1.35 T f wherein T f is the temperature in ° K. at which the composite is formed. Typically, such preferred metal alloys can be further characterized as containing at least 50 weight percent, more advantageously from about 60 to about 87 weight percent, of at least one metal having a melting point below 450° C. (723° K.) and at least 5 weight percent, most advantageously from about 10 to about 47 weight percent, of at least one other metal also having a melting point below 450° C. (723° K.). Especially preferred are alloys similar to the preceding preferred metal alloys which additionally contain at least 0.1 weight percent, most advantageously from about 3 to about 20 weight percent, of a metal having a melting point above 450° C. (723° K.). An example of a suitable metal is indium, whereas alloys of any two or more of the following metals may be employed: cadmium, indium, tin, antimony, zinc, lead, bismuth, and silver. In addition, other metals may be present in the alloys so long as the melting range of the alloy is within the prescribed range of 80 to 135 percent of the forming temperature. Representative of such alloys are those containing at least 50 weight percent of one or more of antimony, indium, bismuth, tin, zinc, cadmium and lead; from 0 to about 10 weight percent of one of more metals such as manganese, nickel, iron, and other metals having melting points greater than 1100° C. (1373° K.); and a remaining amount of one or more of silver, copper, gold, aluminum and magnesium. Of special interest are alloys having a solidus temperature less than 650° K., preferably less than 548° K., and containing at least 60 weight percent of at least one of indium, bismuth, tin, zinc, cadmium, antimony and lead and not more than 95, preferably not more than 90 and most preferably not more than 80, weight percent of any one metal. Illustrative preferred alloys contain at least 5 weight percent of at least two of the following metals: tin, bismuth, lead, zinc, cadmium and antimony. Examples of preferred alloys are in the following alloys comprising metals in the indicated weight percentages: alloy(1)-from about 5 to about 95 percent tin, from about 5 to about 95 percent bismuth, and from about 0 to about 40 percent copper; alloy(2)-from about 5 to about 95 percent tin, from about 5 to about 95 percent bismuth and from 0 to 49.9 percent silver; alloy(3)-from about 5 to about 95 percent zinc, from about 5 to about 95 percent cadmium and from 0 to 49.9 percent silver; alloy(4)-from about 5 to about 95 percent zinc, from about 5 to about 95 percent cadmium and 0 to about 10 percent magnesium; alloy(5)-from about 0.1 to about 95 percent tin and from about 5 to about 99.9 percent indium; alloy(6)-from about 5 to about 95 percent tin, from about 5 to about 95 percent lead and from 0 to about 40 percent copper; alloy(7)-from about 5 to about 95 percent tin, from about 5 to about 95 percent lead and 0 to 49.9 percent silver; alloy(8)-from about 5 to about 95 percent tin, from about 5 to about 30 percent antimony and from 0 to 40 percent copper; alloy(9)-from about 40 to about 94 percent tin, from about 3 to about 30 percent antimony, from about 3 to about 57 percent bismuth and from 0 to about 40 percent copper; alloy(10)-from about 90 to about 99.9 weight percent indium and from about 0.1 to 10 weight percent of at least one of copper, silver, gold, nickel, bismuth, tin, zinc, cadmium, antimony and lead; alloy(11)-from about 75 to about 99.9, especially 85 to 98, weight percent of at least one of indium, bismuth, tin, zinc, cadmium, antimony and lead and from about 0.1 to about 25, especially 2 to 15, weight percent of at least one of copper, silver, gold, nickel, magnesium and aluminum, provided that alloy(11) contain no more than 90 weight percent of any one metal. Also preferred are alloys of tin, silver and indium, alloys of zinc, cadmium and indium, alloys of indium and silver, alloys of tin and cadmium, alloys of silver and indium and alloys of magnesium and aluminum. Of the aforementioned alloys, alloys of tin and bismuth are more preferred with alloys of tin, bismuth and copper being most preferred. It should be understood, however, that preference for the different alloys will vary depending on the end use. For example, alloys of tin and copper, alloys of tin and silver and alloys of tin, bismuth and copper show superior corrosion resistance compared to alloys of zinc and cadmium. Similarly, alloys of tin, bismuth and copper and alloys of tin and copper would be more acceptable in food packaging than would be more toxic alloys of tin and lead. Moreover it is observed that preference for various alloys will vary with the different polymer layers used in the multilayer composite. For example, it is observed that the alloys of tin and copper, the alloys of tin and silver, the alloys of indium and silver, and alloys of tin, bismuth and copper, and the alloys of zinc and cadmium are preferred when the multilayer composite is to be formed at temperatures from about 25° C. (298° K.) to about 175° C. (448° K.) as in the case when the polymer layer consists essentially of polycarbonate. In addition, it has been generally observed that the more concentrated alloys, i.e., those containing larger amounts, e.g., more than 20 weight percent (preferably 25 weight percent or more) of the minor components of the alloy, are generally more easily extended than the more dilute alloys, i.e., those containing very substantial amounts of the major component of the alloys and minimal amounts of the minor component or components. For example, an alloy of 75 weight percent tin and 25 weight percent silver is superior in regard to plastic character than an alloy of 90 percent tin and 10 percent silver. Also, an alloy of 50 percent tin and 50 percent indium exhibits extendibility superior to that of an alloy of 90 percent tin and 10 percent indium. Also it is noted that alloys of tine, bismuth and a higher melting metal such as copper, silver, nickel, magnesium, gold, iron, chromium and manganese, particularly those containing (1) at least 8 weight percent each of tin and bismuth and (2) more bismuth than the higher melting metal, exhibit excellent adhesion and forming characteristics. For example, composites employing these alloys may be formed at temperatures at which the polymer and most of the alloy melt without loss of adhesion or integrity (continuity of the metal layer). These multilayer composites exhibit superior vapor barrier characteristics and may be flexed a number of times without an apparent loss of continuity of the metal layer. Of the alloys of these highly adherent composites, alloys of particular interest consist essentially of from about 25 to about 90, preferably 60-80, weight percent tin; from about 8 to about 60, preferably 8 to 30, most preferably 12 to 25, weight percent bismuth; and from about 1 to about 25, preferably 4 to 12, weight percent of higher melting metal, preferably copper or silver. Since the normal thermoplastic polymers which will be utilized in the multilayer composites of the present invention are preferably formed at temperatures in the range from about 25° C. (298° K.) to 200° C. (473° K.), preferably 100° C. (373° K.) to 200° C. (473° K.), it will be generally desirable that the metals and metal alloys advantageously employed in the practice of this invention will have melting points or melting point ranges within the range from about 100° C. (373° K.) to about 400° C. (673° K.), preferably from about 130° C. (403° K.) to about 275° C. (548° K.). For the purposes of this invention, the melting point of a metal or the melting range of an alloy of metals is defined as the temperature or range of temperatures at which solid and liquid forms of the metal or alloy are in equilibrium. The alloys typically do not melt entirely at a single temperature but will melt gradually over a fairly wide temperature range. The multilayer composites of the present invention are suitably prepared by any conventional method for making multilayer metal/organic polymer composites wherein the layers of metal and polymer adhere to each other. For example, the metal may be applied as a coating by a conventional metallization technique such as an electroless process described by F. A. Lowenheim in "Metal Coatings of Plastics", Noyes Date Corporation, (1970), by Pinter, S. H. et al., Plastics:Surface and Finish, Daniel Davey & Company, Inc., 172-186 (1971) or in U.S. Pat. No. 2,464,143. An especially preferred metallization technique in the practice of this invention is a vacuum deposition technique wherein the metal is vacuum evaporated and then deposited onto the polymer layer as described by William Goldie in Metallic Coating of Plastics, Vol. 1, Electrochemical Publications Limited, Chap. 12 (1968). Another preferred metallization technique includes sputter coating as described in Chapter 13 of Goldie, supra. Also suitable but less preferred metallization techniques include electroplating and ion plating. In addition, the multilayer composite can be formed by lamination of metal foil to the polymer layer including extrusion coating of the polymer layer onto a metal foil. In the formation of a multilayer composite wherein the polymer layer comprises a fairly polar polymer such as polycarbonate, polyester, polyvinyl halide or polyvinylidene halide, polyvinyl alcohol, acrylic polymers and other known polar polymers, it is generally not necessary to pretreat the polymer layer prior to application of the metal layer. However, when relatively nonpolar polymers, e.g., polystyrene or polyethylene are to be employed, it is often desirable to treat the surface of the polymer layers sufficiently to enhance bonding between the metal and the polymer. Such pretreatments can include gas phase sulfonation as described in U.S. Pat. No. 3,625,751 to Walles and especially the procedure described in Lindblom et al. in U.S. Pat. No. 3,686,018. Other suitable methods for pretreating the polymer include corona discharge, flame treatment, liquid phase sulfonation and the like. Alternatively, the polymer layer may be coated with an adhesive, such as an ethylene/acrylic acid copolymer, an ethylene/vinyl acetate copolymer or similar adhesives, commonly employed in bonding metal layers to relatively nonpolar organic polymer layers. The quantity or thickness of the metal layer in the multilayer composite is not particularly critical so long as the metal layer forms an essentially continuous film over the desired surface of the polymer layer and thereby provide a highly reflective surface, high barrier to vapor transmission or electroconductivity as the desired end use requires. Preferably, the thickness of the metal layer is in the range from about 0.002 to about 100 micrometers, more preferably from about 0.01 to about 100 micrometers and most preferably from about 0.01 to about 1 micrometer. While the metal layer may be applied to either or both sides of the polymer layer(s), it is generally desirable to apply the metal layer to only one surface of the polymer layer. Accordingly in a shaped article as shown in the drawing, the polymer layer provides protection against abrasion of the metal layer which would cause degradation of the highly reflective character of the article. It is understood, however, that when the metal layer is applied to the surface of the polymer layer which will be exposed in the final article, such exposed metal layer can be protected by coating with some other adherent material. Examples of such materials suitably employed as protective coatings for the metal layer include polycarbonates such as those derived from bisphenol-A and/or phenolphthalein, polyesters such as polyethylene terephthalate, acrylic polymers such as poly(methyl methyacrylate), saran polymers such as vinylidene chloride copolymers, polyepoxides, alkyd resins, polyurethanes and the like. An exemplary method for overcoating the metal layer is described in U.S. Pat. No. 3,916,048 wherein the protective polymer in the form of a latex is applied to the metal layer and dried to form a continuous film at a temperature below the heat distortion point of the polymer layer. By following this technique it is possible to form the metal composite before or after application of the protective coating. In cases wherein high barrier is desirable, it will generally be desirable to overcoat the metal layer with a barrier polymer such as a vinylidene chloride polymer/vinylidene chloride copolymer as described in U.S. Pat. No. 3,916,048 which is hereby incorporated by reference. Following adherence of the metal layer to the polymer layer, the multilayer composite is formed by a conventional forming process, e.g., thermoforming or solid phase forming, to the desired shape. Preferably, the forming process is a conventional thermoforming process for shaping sheet stock which process is normally carried out at temperatures from about the second order transition temperature (Tg) of the polymer up to and including temperatures at or above the melting point of the polymer provided that the polymer has sufficient melt strength to undergo the forming operation without rupturing. Exemplary thermoforming processes include differential air pressure thermoforming, match dye thermoforming, vacuum forming, plug assist-vacuum forming, draw forming, impact forming, rubber pad forming, hydroforming, drape molding and the like. Since most thermoplastic polymers preferably employed in the practice of this invention have melting points less than 200° C. (473° K.), it is generally advantageous to thermoform the composite at a temperature from about 25° C. (298° K.) to about 200° C. (473° K.), most preferably from about 90° C. (363° K.) to about 180° C. (453° K.). Alternatively, the composite may be formed by solid phase forming which is carried out at temperatures below the melting point of the polymer. Exemplary solid phase forming methods include cold rolling, impact extrusion, forging, forward extrusion, cold heading, and rubber-pad forming, e.g., as such methods are further described by P. M. Coffman in Soc. Plas. Eng. Journal, Vol. 25, Jan., 1969 (50-54) and Soc. Auto. Eng. Journal, Vol. 76, No. 6, 36-41 (1968). In the forming operation performed herein, the entire composite or a portion thereof is formed or shaped in a manner such that at least a portion of the composite undergoes a cumulative surface dimensional change of at least 20 percent, advantageously at least 30 percent. By cumulative surface dimensional change is meant the combined change of length and width wherein a decrease as well as an increase in a particular dimension is treated as a positive change. Further, only one or both surface dimensions may be changed in the forming operation. Techniques for observing surface dimensional changes are described by A. Nadai in Plasticity, McGraw-Hill (1931). Preferably, the composite or a portion thereof is extended (stretched) to an area which is at least 30 percent greater than its original area, more preferably from about 50 to about 300 percent, most preferably from about 150 to about 300 percent. When only a portion of the composite is extended, it is that portion being extended which undergoes the aforementioned increase in area. An example of such portion extension or stretching is in the forming of an automobile bumper, a rimmed cup, blister package, and certain reflectors. While the portion may be as small as 1 mm 2 , it is usually larger than 1 cm 2 and preferably greater than 50 cm 2 . The actual degree of extension, of course, will vary with the intended end use. Following the forming operation, the formed composite may be utilized without further fabrication, as is the case for most packaging and electroconductive applications. In these applications the formed multilayer composite can be used as tubs or similar deep drawn containers for various oxygen sensitive foods as described herein, as packaging films, as printed circuit stock for electrical and electronic equipment, and the like. In such applications, if the metal layer is not protected on both sides by the polymer layer and/or a protective polymer coating layer as described hereinbefore, it is desirable to coat the metal layer with a protective coating as described hereinbefore. In addition to the foregoing uses, a formed composite generally defining a cavity as shown in the drawing is reinforced by filling the enclosed or partially enclosed cavity with a reinforcing material. Alternatively, the reinforcing material may be adhered to the surface of the composite outermost from the cavity or concave shape as in the case of the reflector for an automobile headlamp. The type of reinforcing material employed is not particularly critical. For example, the material may be metal such as steel, wood, stone, concrete and plastic, with plastic materials comprising natural and/or synthetic organic polymers being preferred. The reinforcing polymeric filler materials of particular interest may be foamed or nonfoamed, rigid or flexible, elastomeric or non-elastomeric. They may be pure (non-filled) or filled with pigments, stabilizers, reinforcing fibers such as glass fibers, fillers and the like. They may be blends of polymers which may contain crosslinking components. Examples of suitable rigid polymeric materials include polyurethane, polystyrene, epoxy polymers, polyvinyl chloride, vinylac resin, silicone polymers, cellulosic polymers, acrylic polymers, saturated polyesters and unsaturated polyesters, asphalt and the like. Of these materials the polyurethanes are generally preferred. Additional examples of such rigid materials, particularly in the form of foams and methods for preparing the same, are more completely described in U.S. Pat. No. 3,703,571. The rigid polymers and rigid polymer foams are particularly useful in the fabrication of articles which are not exposed to significant amounts of impact. In the production of articles such as bumpers and external trim for automobiles and other vehicles of transportation that are exposed to impact, it is desirable to employ an elastomeric polymer, preferably in the form of a foam, as the reinforcing material. Examples of such elastomeric polymers include elastomeric polyurethanes, rubbery styrene/butadiene copolymers, polybutadiene rubber, natural rubber, ethylene polymers, particularly ethylene/propylene copolymer rubber, and the like. Such elastomeric polymers, whether solid or foamed, and methods for their preparation are well known to those skilled in the art and therefore will not be discussed in greater detail here. Other suitable reinforcing polymeric materials include polyethylene foam, chlorinated polyethylene and blends of two or more of the aforementioned reinforcing materials. The reinforcing material is readily cast onto the shaped multilayered composite by any of a wide variety of casting techniques. For example, a reinforcing material may be applied by foamed-in-place or pour-in-place techniques as well as spray applications, slush castings or rotational casting application. Exemplary methods are described in more detail in U.S. Pat. No. 3,414,456. It is desirable that the conditions of the casting technique be employed such that the formed composite does not deform during casting, foaming, and/or curing steps which may be employed. However, if such deforming conditions are employed at this time, a support mold for the thermoformed composite is required. The following examples are given to illustrate some specific embodiments of the invention and should not be construed as limiting the scope thereof. In the following examples, all parts and percentages are by weight unless otherwise indicated. EXAMPLE 1 Metallization A rectangular section (27.94 cm×12.7 cm) of polystyrene film having a thickness of 127 micrometers and sulfonated to a degree sufficient to render the polystyrene water wettable is washed with distilled water and dried at 60° C. for approximately one-half hour. A tungsten wire basket situated in a vacuumizable bell jar and electrically attached to a filament control of 5 kilovolt electron beam power supply is loaded with an indium pellet (0.1 g) and the dried polystyrene film is placed in the jar above the filament. The film is configured to the shape of the partial cylinder having a radius of about 12.7 cm by taping the film to a rigid metal sheet of that configuration. The configured film is positioned in the bell jar space such that the axis of the cylinder is proximate to the filament in order to achieve a fairly uniform thickness of the metal to be deposited. The bell jar is closed and the system is evacuated to a pressure of 3×10 -5 mm Hg. The electrical current to the filament is turned on and adjusted to a nominal current of 0.8 amps and maintained there for 30 seconds and then turned off for 1 minute. The same cycle is repeated and subsequently the bell jar is opened to atmospheric pressure. Thermoforming The metallized polystyrene film (wherein the metal layer has a thickness of approximately 0.2 micrometer) is cut into a segment of approximately 12.7 cm×12.7 cm. The segment is clamped into a thermal forming cup mold having a chamber diameter of 9.5 cm and a mold temperature of 93.3° C. (366.3° K.). Air is supplied through a connecting air line to the side of the mold facing the metallic layer of the film in an amount sufficient to apply a load of 15 psig (2.09 Kg/cm 2 ). As a result, the sample is drawn to a depth of 2.14 centimeters and withdrawn from the mold. The thermoformed sample is observed to have a brilliant, highly reflective surface when viewed through the polystyrene film layer. The surface is electrically conductive from the edge of the thermoformed metallized film to the center. Comparative Sample For purposes of comparison, a second, similar strip of polystyrene film is metallized in the manner described hereinbefore except that aluminum is substituted for the indium and the deposition conditions are changed to 1 amp for 1.25 minutes. The resulting metallized film is molded by the drawing procedures set forth hereinbefore except that an air pressure of only 11 psig is employed to produce significantly less stretching such that the total depth of the molded article is only 1.9 centimeters. The resulting sample is not brilliantly reflective and actually exhibits rather diffused reflection. This sample also did not exhibit electroconductivity from the edge to the center of the sample. EXAMPLE 2 A rectangular section (33.02 cm×55.88 cm) of polycarbonate film wherein the polycarbonate is derived from bisphenol A and phosgene and the film has a thickness of 127 micrometers is placed in a bell jar equipped as in Example 1. A 0.5-g pellet of an alloy of 50 percent tin, 30 percent bismuth and 20 percent copper is evaporated from the tungsten wire basket onto the polycarbonate film. The electrical current to the basket is controlled so that complete evaporation of the alloy occurs in two minutes. A segment (12.7 cm×12.7 cm) of the metallized polycarbonate film is cut from the sample and clamped into a thermoforming mold having a mold temperature of 137.8° C. (410.8° K.). Air is supplied to the metal layer surface at sufficient pressure to apply a load of 15 psig (2.09 Kg/cm 2 ). A sample is thereby thermoformed to a depth of 2.5 centimeters and then withdrawn from the mold. The sample is observed to have a brilliant, highly reflective surface when viewed through the polycarbonate film. The metallic surface is electrically conductive from the edge of the sample to the center of the sample. In accordance with the foregoing procedure of this example, several other alloys included within the scope of this invention are deposited on the polycarbonate film and subsequently thermoformed into cup-like structures that have brilliant, highly reflective surfaces when viewed through the polycarbonate film and are electrically conductive from the edge to center. These alloys are as follows: 0.7 gram of an alloy of 80 percent tin, 15 percent bismuth and 5 percent copper; 0.6 gram of an alloy of 75 percent tin, 20 percent bismuth and 5 percent silver; 0.6 gram of an alloy of 75 percent tin and 25 percent silver; and 0.7 gram of an alloy of 75 percent tin and 25 percent lead. For purposes of comparison, other metals and alloys outside the scope of this invention are similarly deposited on polycarbonate film and subsequently thermoformed into cup-like structures which exhibited a loss of electrical conductivity in specular reflectance. These metals and alloys include stainless steel, an alloy of 50 percent tin and 50 percent copper, an alloy of 85 percent aluminum and 15 percent magnesium, and metals such as aluminum, tin, copper, silver and chromium which are deposited separately on the polycarbonate. While it is observed that the alloys of tin and bismuth, alloys of zinc and cadmium, and alloys of tin and lead sometimes exhibited a moderate loss of specular reflectance and electroconductivity when applied to polycarbonate film and thermoformed at temperatures of 137.8° C. (410.8° K.), such moderate losses of reflectance and of electrical conductivity are avoided by incorporating a small percentage (preferably from about 2 to about 10 percent) of silver, copper and/or one or more other metals melting above 450° C. (723° K.) in the alloy or by depositing a very thin coat (<50 A) of silver or other higher melting (<450° C.) (<723° K.) metal or metal alloy on the polycarbonate film prior to deposition of the alloy. As an example of such a modified metallization technique, an 0.01-g pellet of silver is deposited on a polycarbonate film by the vacuum metallization technique and then a 0.5-g pellet of an alloy of 50 percent zinc, and 50 percent cadmium is deposited on the silver polycarbonate film. When the resultant metallized film is thermoformed by the aforementioned procedure to produce a cup having a depth of 3.5 centimeters, the resultant cup is highly reflective and electroconductive. EXAMPLE 3 A thermoformable, amorphous polyethylene terephthalate film (polyester film) having a thickness of about 25 micrometers is surface activated by passing the film through a flame in accordance with a conventional flame treatment technique. A 1.2-g pellet of an alloy of 55 percent tin, 35 percent bismuth and 10 percent silver is deposited on the flame-treated surface of the polyester film in accordance with the vacuum deposition technique set forth in the preceding examples. The metallized film is then thermoformed into a cup-like structure at a mold temperature of 77° C. (350° K.). The resulting molded part is highly reflective and electroconductive. EXAMPLE 4 A polystyrene film having a thickness of about 13 micrometers is flame treated as in the preceding example and the sample is placed in the bell jar which is evacuated. The evacuated jar is backfilled with a small amount of argon gas and a highly negative voltage charge is applied to one connection of the filament basket, the other connection being open and the base plate of the vacuum system being grounded. This application of highly negative voltage set up a glow discharge current of 10 milliamps at 0.7 kilovolts and an argon pressure of 0.1 mm Hg. This glow discharge is continued for about 1 minute, and the high voltage is then disconnected. A 0.4-g pellet of indium is then vacuum deposited on the treated polystyrene film by the aforementioned vacuum depositing procedure. A segment (12.7 cm×12.7 cm) of the metallized polystyrene sample is coated with a latex of a vinylidene chloride/acrylonitrile/sulfoethyl methacrylate (90/8/2) terpolymer by applying a 50 percent solids latex of the terpolymer to the metal layer to produce a film having a wet thickness of about 5 micrometers. The latex film is dried for 2 hours at 65° C. (338° K.) and the resulting dried metallized composite is subsequently thermoformed to a cup having a depth of 3 centimeters. The metal layer is highly reflective and visually continuous. The thermoformed composite when tested for barrier exhibits an oxygen transmission rate of 0.02 cc/100 in 2 (645 cm 2 )/24 hours/atm at 25° C. (298° K.). Oxygen transmission rate is determined according to the dynamic gas chromatographic method reported by T. L. Caskey in Modern Plastics, December, 1967. A similar polystyrene film metallized with aluminum, coated with the terpolymer latex and thermoformed to a cup depth of 2.5 centimeters is observed to have a visually discontinuous metal film and an oxygen transmission rate of greater than 5 cc/100 in 2 (645 cm 2 )/24 hours/atm. EXAMPLE 5 A section (12.7 cm×12.7 cm) of the polycarbonate film of Example 2 is placed on a steel plate. Fifty grams of indium is melted in a crucible and the molten metal is poured onto the polycarbonate film to provide a coating thickness of about 0.158 centimeter over a 7.62 cm diameter circular portion of the section of polycarbonate film. The resulting metal/polymer composite is thermoformed by the procedure of the foregoing examples to produce a cup having a depth of 2 centimeters. The cup is observed to have a brilliant reflective surface when viewed through the polycarbonate film and is electrically conductive from the edge to the center of the thermoformed cup. In the foregoing examples, thermoforming the composites to a cup depth of 2 centimeters is comparable to a biaxial stretching sufficient to increase the area of the thermoformed cup to 40 percent greater than the metallized film prior to thermoforming. EXAMPLE 6 Following the procedure of Example 2, a bilayer composite is prepared using the polycarbonate film of Example 2 and an alloy of 50 percent tin, 30 percent bismuth and 20 percent copper. A portion (2.54 cm×15.24 cm) of the bilayer composite is tested for adhesion by application and removal of a (1.91 cm×5.08 cm) portion of pressure sensitive adhesive tape from the alloy layer (1,000 A thickness). No removal of the alloy layer is observed. For purposes of comparison, another portion of the polycarbonate film is coated with aluminum using a similar procedure. Upon testing the aluminized film (having an aluminum layer thickness of 1,000 A) for adhesion in the foregoing manner, the metal appears to be completely removed in the region contacted by the tape. EXAMPLE 7 Another portion (2.54 cm×15.24 cm) of the alloy coated film of Example 6 is heated to 130° C. (403° K.) for 5 minutes. The metal film is then scribed with a razor blade and a pressure sensitive adhesive tape is applied to cover a portion of the scribe marks. A drop of water is applied to the metal tape interface so as to wet the exposed (non-taped) scribe marks. The sample is soaked in this manner for about one minute, and the tape is then slowly pulled off the sample. No removal of alloy is observed. For purposes of comparison , a portion of the aluminized polycarbonate from the comparative sample of Example 6 is heated according to the procedure of Example 7. The sample is scribed, tape tested and wetted with water according to the procedure of Example 7. Upon removal of the tape, the aluminum coating is peeled cleanly from the polycarbonate film. EXAMPLE 8 Following Examples 6 and 7 except that a polyethylene terephthalate film is substituted for the polycarbonate film, alloy/polymer composites and aluminum/polymer composites are prepared and tested for adhesion as in Examples 6 and 7. The alloy/polymer composites passed both tests whereas the aluminum polymer composites failed both tests. EXAMPLE 9 Following Examples 6 and 7 except that an alloy of 75 percent tin and 25 percent silver is substituted for the alloy used in Examples 6 and 7, an alloy/polycarbonate composite is prepared and tested for adhesion. The composite passed both tests. When the polycarbonate film is metallized with tin, silver or an alloy, 99 percent tin and 1 percent silver and tested for adhesion as in Examples 6 and 7, the metallized composites failed the adhesion tests. EXAMPLE 10 Following the procedure of Example 2, a portion (15.24 cm×60.96 cm) of polyethylene film is coated with about 0.2 g of an alloy of 80 percent tin, 14 percent bismuth and 6 percent copper. When a (15.24 cm×15.24 cm) portion is tested for barrier to oxygen, it exhibits an oxygen transmission rate of ˜1.8 cc/24 hrs. Similar results are obtained when polyethylene film or other polymer film such as saran film is metallized with other alloys of from 25 to 95 percent tin, 5 to 75 percent of at least one of bismuth, antimony, zinc and lead and up to 25 percent of at least one of copper, silver and nickel. When a similar polyethylene film portion coated with aluminum is similarly tested for oxygen barrier, an oxygen transmission rate of ˜36 cc/24 hrs is observed. The uncoated polyethylene film exhibits an oxygen transmission rate of ˜180 cc/24 hrs. EXAMPLE 11 Following the procedure of Example 2, a bilayer composite is prepared using the polycarbonate film of Example 2 and an alloy of 84 percent tin, 12 percent bismuth and 4 percent copper. A sample (12.7 cm×12.7 cm) of this composite is tested for electrical resistance (ER) by attaching two electrical contacts to the sample and ER is found to be 0.7 ohm/square. The sample is shaped into a cup to a depth of 2 cm as in Example 2, and the ER is again measured by attaching the electrical contacts to the sample in the formed area. The ER is found to be 1 ohm/square. For purposes of comparison, a bilayer composite is prepared as in Example 11 except that aluminum is substituted for the metal alloy. As in Example 11, a sample (12.7 cm×12.7 cm) of the aluminum composite is tested for ER, formed into a cup shape and retested for ER. The results of these tests are as follows: ER before forming is 0.7 ohm/square, ER after forming is >1000 ohms/square. Results similar to those obtained for the aluminum composite are obtained if silver is substituted for aluminum in preparing and testing a bilayer composite by the procedure of Example 11.
A multilayer, metal/organic polymer composite exhibiting high specular reflectivity even after substantial elongation is provided by metallizing a layer of thermoplastic organic polymer such as polystyrene or polycarbonate film with a normally solid soft metal such as indium or an alloy of tin and cadmium. Subsequently the multilayer composite or at least a portion thereof can be stretched or elongated by more than 10 percent in both the longitudinal and traverse directions without losing its initial specular reflectivity. Articles fabricated of the multilayer composite may be structurally reinforced by casting an elastomeric or rigid foam polymer such as polyurethane into a cavity defined by the composite. The multilayer composites are useful in the manufacture of reflective and decorative parts for automobiles and other vehicles of transportation, as well as high barrier packages for foods and electroconductive elements.
[0001] This application claims priority to, and the benefit of the filing date, of U.S. Provisional Application No. 60/915,642, filed May 2, 2007, which is incorporated herein by reference in its entirety. TECHNICAL FIELD [0002] The present invention generally relates to waterwheels and, in particular, to the application of waterwheels with improved efficiency to non-polluting energy conversion applications. BACKGROUND [0003] Waterwheels are used to convert the energy of moving water into rotational energy, which in turn is used to power linear or rotational mass transport apparatus. Historically constructed of wood, conventional waterwheels had two wooden vertical sidepieces supporting a horizontal wooden axle. Rigid vanes, blades or buckets, also fabricated from wood, were mounted radially around the rim of the horizontal axle. Some later designs had pivoting blades. The use of iron components and fasteners became common during the Renaissance. [0004] There are three general types of waterwheels, the undershot, the overshot, and the breast waterwheel. Of the three, the undershot waterwheel is the oldest variety and was the most commonly used. It was placed so that the water flowed under the wheel, engaging the blades, vanes, or buckets and causing the wheel to turn. The early Egyptians and Persians used it extensively to drive water-lifting devices use for irrigation. [0005] Although notably inefficient, it was the undershot waterwheel that functioned as the prime mover for running the thousands of sawmills that built early America. It generated mechanical energy for gristmills to grind grain, and carding mills to comb wool, and cutting nails and shingles, and powering machines that turned wood for furniture parts. [0006] However, conventional undershot waterwheels had the following disadvantages: [0007] They had high structural mass and weight that contributed to low mechanical efficiency. [0008] Due to the high structural mass and weight, construction of independent dams, sluices, or penstocks was often necessary to route the water to the waterwheel. [0009] The blades, vanes or buckets were rigidly attached to the sidewalls and the rotating center shaft. This contributed to the retention of water at certain points of rotation, adding weight and creating inherent drag. [0010] The materials of construction were prone to corrosion, rot, and general deterioration with the attendant cost of replacement and loss of use. [0011] Interference by floating and submerged debris caused damage, and loss of use during removal and/or repair. [0012] Maintenance was time consuming, difficult, and costly. [0013] The undershot waterwheel did not utilize the full velocity of the moving water. The lack of a bottom plate or horizontal shoe promoted turbulence and allowed substantial water to flow down and under the blades, vanes, or buckets during the power portion of the cycle. [0014] The lack of a bottom plate or horizontal shoe also contributed to scouring of the streambed. [0015] Only approximately one-third the side length of the blades, vanes, or buckets was utilized. This limited the pushing and lifting effect of the water. [0016] Atmospheric drag on the blades, vanes, or buckets when above water level contributed considerably to loss of efficiency. [0017] The waterwheel has progressed in more modern days, but even these newer machines suffer from some of the aforementioned disadvantages. Therefore, there exists a need for an improved waterwheel and methods of using same. [0018] Accordingly, it is an object of the present invention to provide a waterwheel apparatus, through the use of fiber reinforced polymers, carbon fiber composites, nano-composites, and other technologically advanced materials, having superior performance properties including high compressive, tensile, and shear strength, durability, and high strength-to-weight ratios. [0019] It is a further object of the present invention to provide for the incorporation of toughened epoxy resins, improved carbon fiber reinforced plastics, and enhanced carbon/epoxy composites, combined with newly modified nanoparticles, into the design and manufacturing process of the herein described waterwheel apparatus. [0020] It is a further object of the present invention to provide a waterwheel that is lightweight yet rugged and so versatile that no significant modifications are required for operation in a multitude of conditions, locations, and configurations, and that is easily scaled in size. SUMMARY [0021] The present invention provides a system, apparatus and methods for overcoming one or more of the disadvantages of conventional waterwheels noted above. In one embodiment, a waterwheel apparatus includes a rotor assembly comprising a plurality of circular partitions, fabricated from engineered plastics, that provide exterior sides and inner separators for a plurality of one-piece flanged rotor shafts. The partitions may have V-belt drive grooves around their circumference. [0022] In one embodiment, the inboard rotor shafts may have flanges at each end with pre-drilled mounting holes that align with pre-drilled holes in the partitions. The outboard rotor shafts that connect to the exterior of the outboard partitions may have a flange with pre-drilled mounting holes on one end and a splined shaft on the other end. One of the outboard rotor shafts may be fitted with a held-type bearing. The other outboard rotor shaft may be fitted with a floating bearing. [0023] In another embodiment, multiple hinged rotor blades may be mounted radially between the partitions from the periphery of the rotor shafts to the outer edges of the partitions. The outside edges of the rotor blades may be fitted with spring-loaded water seals. The water seals may be constructed with slots and keepers. The hinged rotor blades may be secured to the partitions with ceramic hinge pins through predrilled holes in the partitions. The partitions may be equipped with forward-swing and backswing energy dampener/stops for the rotor blades. [0024] In one embodiment, a venturi-type inlet duct may be mounted on the inflow side of the waterwheel. In this embodiment, an elongated inlet duct assembly may be connected to the front of the venturi-type inlet duct. The waterwheel rotor assembly may be suspended over the centerline of a horizontal thrust shoe. The horizontal thrust shoe may be equipped with recessed embeds on both ends for retaining the legs of vertical maintenance towers (“maintenance towers”). The venturi-type inlet duct is secured to the leading bottom edge of the thrust shoe, and to the leading inside edges of the maintenance towers. [0025] A filtering grill/cleaning rake assembly and a trash storage bin may be mounted on the elongated inlet duct assembly. The maintenance towers may be equipped with lifting frame assemblies. Splined ends of outboard rotor shafts and their respective bearing housings rest in the lifting frame assemblies. Jackscrew assemblies mounted on top of the maintenance towers are configured to raise and lower the lifting frame assemblies. Equipment mounting platforms may be attached to outboard sides of the lifting frame assemblies. [0026] Enclosing the upper one-half of the rotor assembly is a cover that is split and hinged. For installation sites with vertical or sloped banks, air dams may be mounted on the outboard side of the maintenance towers. For installation sites with sloping sides of a hard material (e.g., concrete, brick, etc.), rotor assemblies may be cantilevered on the outboard sides of the maintenance towers. BRIEF DESCRIPTION OF THE DRAWINGS [0027] Embodiments of the present invention described herein are illustrated by way of example and not by way of limitation, in the figures of the accompanying drawings, in which: [0028] FIG. 1A illustrates a perspective view of a stationary rotor assembly in one embodiment; [0029] FIG. 1B illustrates a side view of a double-flange inboard rotor shaft in one embodiment; [0030] FIG. 1C illustrates a side view of a single-flange outboard rotor shaft in one embodiment; [0031] FIG. 2A illustrates a perspective view of a hinged blade rotor assembly in one embodiment; [0032] FIGS. 2B and 2F illustrate a plan view and a side view respectively of a hinged blade assembly in one embodiment; [0033] FIGS. 2C and 2D illustrate a water seal assembly in one embodiment; [0034] FIG. 2E illustrates a replaceable wear shield in one embodiment; [0035] FIG. 3 illustrates a side view of a stationary waterwheel apparatus with inlet ducts in one embodiment; [0036] FIG. 4 illustrates a plan view of a stationary waterwheel apparatus with air dams in one embodiment; [0037] FIGS. 5A and 5B illustrate a side and a plan view respectively of a stationary waterwheel apparatus having outboard rotor assemblies with cantilevered blades in one embodiment; [0038] FIGS. 6A , 6 B and 6 C illustrate a side, a plan, and a frontal view respectively of a floating waterwheel apparatus in one embodiment; [0039] FIGS. 7A , 7 B, and 7 C illustrate a plan, a side, and a frontal view respectively of a tethered submersible waterwheel apparatus in one embodiment; [0040] FIGS. 8A and 8B illustrate a side and a plan view respectively of a dual stationary waterwheel apparatus with vertically-mounted rotor assemblies mounted on a pier in one embodiment; [0041] FIG. 8C illustrates a plan view of a dual stationary waterwheel apparatus with vertically-mounted rotor assemblies with horizontally opposed intakes mounted on a pier in one embodiment; [0042] FIG. 8D illustrates a plan view of a single stationary waterwheel apparatus with a movable vertical rotor assembly mounted to a pier with two directional rack and pinion drive assemblies in one embodiment; [0043] FIGS. 9A and 9B illustrate a plan view and a side view respectively of a portable waterwheel apparatus in one embodiment; [0044] FIGS. 10A and 10B illustrate a side view and a plan view respectively of a portable floating waterwheel apparatus in one embodiment; [0045] FIGS. 11A and 11B illustrate a plan view and a rear view respectively of a waterwheel apparatus with horizontal waterwheel rotor assemblies attached to a central floating object in one embodiment; [0046] FIG. 12 illustrates a side view of a waterwheel apparatus with wind-assisted hydropower generation in one embodiment. [0047] FIG. 13 illustrates a process for the generation of oxygen and hydrogen in one embodiment; [0048] FIG. 14 illustrates a combination gas and electrical power transmission system in one embodiment; and [0049] FIGS. 15A , 15 B and 15 C illustrate various views of a tethered cable assembly and a cable cleaning system in one embodiment. [0050] It will be recognized that some or all of the Figures are schematic representations for purposes of illustration and do not necessarily depict the actual relative sizes or locations of the elements shown. The Figures are provided for the purpose of illustrating one or more embodiments of the invention with the explicit understanding that they will not be used to limit the scope or the meaning of the claims. DETAILED DESCRIPTION [0051] In the following paragraphs, the present invention will be described in detail by way of example with reference to the attached drawings. While this invention is capable of embodiment in many different forms, there is shown in the drawings and will herein be described in detail specific embodiments, with the understanding that the present disclosure is to be considered as an example of the principles of the invention and not intended to limit the invention to the specific embodiments shown and described. That is, throughout this description, the embodiments and examples shown should be considered as exemplars, rather than as limitations on the present invention. Descriptions of well known components, methods and/or processing techniques are omitted so as to not unnecessarily obscure the invention. As used herein, the “present invention” refers to any one of the embodiments of the invention described herein, and any equivalents. Furthermore, reference to various feature(s) of the “present invention” throughout this document does not mean that all claimed embodiments or methods must include the referenced feature(s). [0052] Water wheels have been used for a number of years to accomplish many manual tasks. Additionally, waterwheels have been used to generate energy. These waterwheels suffer from a number of limitations that various aspects of the present invention address. [0053] One embodiment of a stationary waterwheel apparatus 200 , illustrated in FIG. 1A , includes a plurality of circular partitions, such as partition 20 , that serve as exterior sides and inner separators for one-piece inboard rotor shafts 22 and one-piece outboard rotor shafts 24 as illustrated in FIGS. 1B and 1C , respectively. In an exemplary embodiment, the partitions 20 may be fabricated from a reinforced plastic additionally strengthened through isogrid stiffening technology as is known in the art. The number of partitions 20 may be site installation dependent. [0054] The one-piece inboard rotor shafts 22 are flanged on both ends as illustrated in FIG. 1B . The one-piece outboard rotor shafts 24 are flanged on one end and are equipped with a splined-end rotor drive journal (“drive journal”) 26 on the other end, as illustrated in FIG. 1C . In one embodiment, the inboard rotor shafts 22 may be a plastic derived from plastic filament winding technology as is known in the art. In one embodiment, the outboard rotor shafts 24 may be a combination of reinforced plastic, derived from plastic filament winding technology. The number of inboard rotor shafts 22 is determined by site installation requirements. [0055] The partitions 20 are equipped with pre-drilled mounting holes to accommodate installation of the inboard and outboard rotor shafts 22 and 24 . The flanged ends of the inboard and outboard rotor shafts 22 and 24 are also pre-drilled for installation. The flanged ends of the inboard rotor shafts 22 are bolted to the partitions 20 . The flanged ends of the outboard rotor shafts 24 are bolted to the exterior of the outboard partitions 20 . [0056] The drive journal 26 of the outboard rotor shaft 24 on one side of the stationary waterwheel assembly 200 is fitted with a held-type bearing assembly 28 . The drive journal 26 of the outboard rotor shaft 24 on the other side of the rotor assembly is fitted with a floating bearing assembly 30 . [0057] FIG. 2A illustrates a rotor assembly 170 comprising a plurality of hinged rotor blades 32 mounted between partitions 20 . In one embodiment, in order to mitigate water leakage and loss of kinetic energy, each hinged rotor blade 32 may be fitted with spring-loaded water seal assemblies 34 as illustrated in FIGS. 2B and 2F . As illustrated in FIGS. 2C and 2D , there is a groove 33 on each of the outside edges of each hinged rotor blade 32 . There are slot-shaped openings 35 that go through the two outside surfaces of the rotor blade 32 and through the groove 33 . Tension springs 37 and water seals 39 are placed in the grooves 33 of the rotor blade 32 . Thru-bolts 41 are inserted through the slots and predrilled openings in the water seals 39 and secured on each side of the rotor blade 32 with nuts and washers. The springs 37 place tension against the water seals 39 and the thru-bolts 41 hold the springs 37 and water seals 39 in place. The slots allow for gradual outward movement (from the spring tension) of the water seal 34 as it wears. [0058] The inboard edges of the hinged rotor blades 32 that will be closest to the inboard rotor shafts 22 have cylindrical openings on each side into which water-lubricated plastic bearings 36 are installed as illustrated in FIG. 2B . In one embodiment the hinged blades 32 are fabricated from reinforced plastic additionally strengthened through internal and external isogrid stiffening technology as is known in the art. [0059] Replaceable wear shields 38 , illustrated in FIG. 2E , are placed on the partitions 20 in preformed recesses that have a center hole. The hinged blades 32 are mounted to the partitions 20 radially from the periphery of the inboard rotor shafts 22 to the outer edges of the partitions 20 . The hinged blades 32 are secured to the partitions 20 through the wear shields 38 with ceramic hinge pin assemblies 40 as illustrated in FIG. 2E . As illustrated in FIG. 2A , forward-swing and backswing energy dampener/stops 42 and 44 may be mounted on the partitions 20 for each hinged blade 32 . [0060] In one embodiment, as illustrated in FIG. 1A , waterwheel apparatus 200 may include a horizontal thrust shoe 46 with vertical flow-straightening vanes 48 . As illustrated in FIG. 3 , the ends of the thrust shoe 46 may be equipped with openings 50 to accept the legs of maintenance towers 52 . In one embodiment, the horizontal thrust shoe 46 may be fabricated from lightweight aggregate and reinforced concrete and the flow-straightening vanes 48 may be reinforced plastic. [0061] The legs of maintenance towers 52 are attached to the thrust shoe 46 via the openings 50 . In one embodiment, the maintenance towers 52 are fabricated from reinforced plastic structural shapes. Liner guides 64 , which may be, for example, an acetyl copolymer, are installed on the insides of the legs of the maintenance towers 52 . Lifting frame assemblies 66 , comprising boxes with two open sides that house the rotor shaft bearings and housings 28 and 30 of the outboard rotor shaft journals, are fitted inside the liner guides 64 of the maintenance towers 52 . In one embodiment, the lifting frame assemblies may be fabricated from reinforced plastic structural shapes. Equipment mounting platforms 68 are secured to the outboard sides of the lifting frame assemblies 66 . Jackscrew assemblies 70 are secured to the tops of the maintenance towers 52 . Screw shafts 172 of the jackscrew assemblies 70 are secured to jackscrew gear motors (not shown) and to the lifting frame assemblies 66 . FIG. 3 illustrates the rotor assembly 170 in its working (lowered) and parked (raised) positions. [0062] A venturi-type inlet duct 54 is fitted to a groove (not shown) on the leading edge of the thrust shoe 46 and secured to the legs of the maintenance towers 52 . An elongated inlet duct 56 , with internal flow-directing vanes (not shown), is attached by a flange 58 to the front of the venturi-type inlet duct 54 . A filtering grill/cleaning rake assembly 60 and a debris storage bin 62 may be installed on the front and top, respectively, of the elongated inlet duct 56 . Filtering grill/cleaning rake assembly 60 may include a continuous loop filtering/cleaning rake screen 61 engaged with an upper axle 63 and a lower axle 65 , which may be selectively engaged with the rotor assembly 170 to provide motive power. When engaged, the continuous loop filtering/cleaning rake screen 61 continuously filters out debris from the water flow and transports the debris to the debris storage bin 62 . [0063] The waterwheel rotor assembly 170 may be positioned over the centerline of the horizontal thrust shoe 46 and placed against the venturi-type inlet duct 54 . The housings of the held-type and floating bearings 28 and 30 on the outboard rotor shafts 24 rest on the lifting frame assemblies 66 . Housings for bearings 28 and 30 are bolted to the lifting frame assemblies 66 . [0064] The jackscrew assemblies 70 mounted on the maintenance towers 52 are used to raise and lower the lifting frame assemblies 66 on which the waterwheel rotor assembly 170 is supported. In the raised position, the rotor assembly 170 is accessible for maintenance. The lowered position can be adjusted so that the rotor assembly 170 is at an appropriate operating depth for the water source. The top half of the rotor assembly 170 is fitted with a double-hinged split cover 72 that is secured to the lifting assemblies 66 . The hinged cover 72 provides a personnel safety guard, protection from wind, and spray containment. [0065] The inlet ducts 54 and 56 , on the inflow side of the rotor assembly, channel and direct the water flow down to the hinged blades 32 . The flow-straightening vanes 48 of the horizontal thrust shoe 46 also serve to stabilize and direct the inflow. [0066] The hinged blades 32 interface with the incoming water creating torque on the rotor shafts 22 and 24 , and the rotor assembly 170 begins rotating. The main held-type bearing 28 , in which one of the outboard rotor shafts 24 is encased, supports and stabilizes the rotor assembly 170 during rotation. The floating bearing 30 on the other outboard rotor shaft 24 allows for horizontal movement of the rotor assembly during rotation. [0067] As the hinged blades 32 enter and leave the water they settle on the energy dampener/stops 42 and 44 at specific points of rotation determined by the radial length of the hinged blades 32 and the positions of the energy dampener/stops 42 and 44 . When the hinged blades 32 fully interface with the incoming flow, they are held against the forward-swing dampener/stops 42 by the water's force. As rotation continues, the hinged blades 32 leave the water and settle on the backswing dampener/stops 44 . The spring-loaded water seals 34 ensure minimal water leakage through the hinged blades 32 , and increase the generation of torque. [0068] Rotational speed continues to increase as each subsequent set of hinged blades 32 moves into position. The kinetic energy provided by the moving water and rotation of the rotor assembly 170 is transferred to the inboard and outboard rotor shafts 22 and 24 where it is converted into mechanical energy. [0069] The water-lubricated plastic bearings 36 and ceramic hinge pins 40 facilitate freedom of movement of the hinged blades 32 and keep them securely attached to the partitions 20 . The replaceable wear shields 38 mitigate wear of the partitions. [0070] For use in earthen or vertical concrete-banked watercourses, air dams 74 may be installed on the bank sides of the maintenance towers, as illustrated in FIG. 4 . The air dams may be fabricated, for example, from a rubber/plastic impregnated cloth with UV protection. When installed, the air dams 74 function to minimize both the loss of water along the sides of the maintenance towers 52 , and to reduce erosion of earthen banks. [0071] If the waterway is a hard material, such as concrete, brick, etc., and the banks are sloping, rotor blades 76 that cantilever from the outboard sides of the maintenance towers 52 may be installed on the waterwheel apparatus 200 as illustrated in FIG. 5A and FIG. 5B . When installed, the cantilevered rotor blades 76 take advantage of the full breadth and depth of the water flow, and negate the need for air dams and vertical stationary concrete retaining structures. In some embodiments, the cantilevered blades may be on only one side, with an air dam 74 on the other. [0072] FIGS. 6A , 6 B and 6 C illustrate a side view, a plan view and a frontal view, respectively, of a floating waterwheel apparatus 600 . FIGS. 6A and 6B illustrate the floating waterwheel apparatus 600 with pontoons 80 attached by cross-mounting assemblies 82 to the maintenance towers 52 . In this embodiment, the pontoons 80 keep the rotor assembly 170 afloat. In other respects, the operation of the floating waterwheel apparatus 600 is similar to that of the stationary waterwheel apparatus 200 . Jackscrew assemblies 70 are installed on top of the maintenance towers 52 and screw shafts 172 are secured to lifting frame assemblies 66 . A horizontal thrust shoe 46 is installed beneath the rotor assembly 170 and attached to the legs of the maintenance towers 52 . The thrust shoe 46 for the floating waterwheel apparatus 600 may be fabricated from reinforced plastic and structural closed cell foam. The top half of the waterwheel rotor assembly may be fitted with a double-hinged split cover 72 that is secured to the lifting assemblies 66 . [0073] One of the pontoons 80 may be enlarged and sized to compensate for the additional weight of selected driven equipment mounted on the waterwheel apparatus as described in greater detail below. External shells of the pontoons 80 and the cross-mounting assemblies 82 may be fabricated from reinforced plastic additionally strengthened with isogrid stiffening technology as is known in the art. The internal areas of the pontoons 80 and cross-mounting assemblies 82 may be fabricated from closed-cell structural foam, for example. [0074] FIG. 6A illustrates a motor-driven rotary filtering screen assembly 84 attached to the elongated inlet duct 56 that covers the water-inflow area. The filtering screen assembly 84 covers additional vertical and horizontal length in order to accommodate a horizontal manifold of a pressurized water backwash system. Two pressure sensors (not shown) are installed in the elongated inlet duct 56 . One is located upstream of a filtering screen 94 inlet and the other is located on the downstream side of the filtering screen 94 . The pressure sensors installed in the elongated inlet duct determine the pressure differential between the filtering screens. When a predetermined pressure differential is exceeded, an on-board computer activates a screen wash pump, which can be driven hydraulically, electrically, mechanically or pneumatically, and the drive mechanism activates a full 360° rotating wash cycle. When the screen drive mechanism shuts down, the pressure sensors resample the flow through the screens. If the pressure differential again exceeds the pre-determined value, another full cycle and backwash occurs. If after three full cycles the differential is still above the pre-determined value, the on-board computer shuts the rotary filtering screen assembly down and transmits a remote radio alarm that maintenance intervention is required. As the filtering screen passes over the nozzles of the backwash system, accumulated material is washed from the screen and carried away by the water flow. [0075] Mounted to the leading edge of the elongated inlet duct 56 is a horizontal driven shaft 90 with a sprocket on each end. An identical freewheeling shaft 92 is mounted on the bottom of the elongated inlet duct 56 . Driven shaft 90 and freewheeling shaft 92 are similar in operation to axles 63 and 65 described above. The sprockets fit into pre-formed drive slots on each side of the filtering screen 94 , which is similar to filtering screen 61 described above. The shafts 90 and 92 are secured to the elongated inlet duct 56 via mounted bearing housings (not shown). [0076] The backwash system includes a series of backwash nozzles, a horizontal manifold, a screen wash pump, and a screen drive motor. Such components are known in the art and, accordingly, are not described in detail. The backwash system is mounted between the bottom of the motor-driven shaft 90 and the top of the elongated inlet duct 56 . The backwash system runs the full length of the elongated inlet duct 56 . [0077] The rotary filtering screen assembly 84 , which may be installed at a 45° angle, keeps the rotor assembly free of debris. As the filtering screen 94 passes over the nozzles of the backwash system, accumulated material is washed from the screen 94 and is carried away by the water flow beneath the waterwheel apparatus 600 . [0078] As illustrated in FIG. 6C , yoke attachment ears 100 are installed on the outboard and lower sides of the vertical maintenance towers 52 . FIG. 6B illustrates a Y-shaped rigid yoke assembly 102 with a crossbar 103 at the lower V points. Yoke assembly 102 may be attached to the yoke attachment ears 100 . Both of the Y legs may be hollow to accommodate controls, power cables, and/or communication cables. In one embodiment, as illustrated in FIG. 6A , the yoke assembly 102 has a vertical-drop leg 104 that ensures there will be no interference with the incoming water flow. The yoke assembly 102 may be fabricated from reinforced plastic and carbon fiber, for example. [0079] As illustrated in FIGS. 6A and 6B , a surge suppressor spring assembly 106 and a tether cable 108 are secured to a 360° swivel at the end of the yoke assembly 102 . The tether cable may be a single-purpose tether configured to anchor the floating waterwheel apparatus 600 in the watercourse, or multi-purpose tether. A single-purpose tether may be fabricated from low-stretch braided nylon and/or various carbon fibers and polymer-based materials. Multi-purpose tethers may include integrated cables for transporting electrical power generated by the waterwheel apparatus, instrument power and control cable systems, air hoses, buoyancy chambers, and/or communication cables. Preferably, steel cables would be used for multi-purpose tethers. Multi-purpose tethers may also be fitted with guide and drive rails to accommodate a remote controlled tether-cleaning mechanism as described below. [0080] The yoke assembly 102 maintains stability for the entire assemblage and serves as an anchor and pivoting point for the surge suppressor spring assembly 106 and tether cable 108 . The surge suppressor spring assembly 106 serves as a moderating influence over movement of the waterwheel apparatus 600 caused by water surge. The tether cable 108 acts as a link between the waterwheel apparatus and its anchor point and as a platform for the tether cleaner. [0081] A remote controlled maneuvering/parking rudder assembly 98 is mounted to the stern of each pontoon 80 and controlled via an on-board computer. Rudders 98 may be used in navigable rivers and waterways where barges, ships, and recreational craft navigate. When the on-board computer sends a signal to the rudders 98 , the rudders 98 are programmed to move the floating waterwheel apparatus 600 out of the main current into shallow water. This allows free unobstructed access of the main current to commercial and recreational use. [0082] An additional signal to the rudder assemblies 98 returns the floating waterwheel apparatus 600 back to the main current. When the on-board computer receives a signal that indicates an operating or maintenance problem exists, the rudders again come into play to move and park the apparatus in a shallow area until the problem is resolved. [0083] FIGS. 15A and 15B illustrate a side view and a plan view, respectively, of a tethered cable cleaning mechanism (“cable cleaner”) in one embodiment, and FIG. 15C illustrates one embodiment of a tethered cable assembly on which the cable cleaner may operate to keep the tethered cable assembly free of debris and marine growth. The tether 108 is encased in a tether buoyancy chamber 144 that serves as a buoyancy compensator. An armored shielding cover 148 surrounds the tether 108 and tether buoyancy chamber 144 . The tether buoyancy chamber 144 provides the cable cleaner mechanism with the ability to surface, descend, and hover. [0084] The armored shielding cover 148 may be fitted with two horizontally opposed cutting drive mounting ears 146 and one top-mounted cable cleaner blade groove 162 . The cutting guide mounting ears 146 are for mounting the cable cleaner mechanism on the tether 108 . A foliage cutter blade groove 162 functions as a shear point between the serrated cutter blades 164 of the cable cleaner mechanism. The undersides of the cutting guide mounting ears 146 are notched to accept the cable cleaner drive sprockets 152 that are on the cable cleaner mechanism. The cutter drive sprockets 152 propel the movement of the cable cleaner mechanism up and down the tether 108 . [0085] Roller guide mounting pulleys 150 secure the cable cleaner mechanism to the tether 108 . Ballast tanks 166 keep the cable cleaner mechanism level. The interior of the armored shielding cover 148 may also contain, but is not limited to, communication/control cables 154 , an emergency supply gas hose 156 , an internal power control cable 160 , and power distribution cables 158 . [0086] In one embodiment, when the cable cleaner mechanism receives a signal from an on-board waterwheel computer that the rotor assembly is not operating or generating torque, the cable cleaner mechanism parks halfway between the bottom and the surface. During operation of the waterwheel rotor assembly the cable cleaner mechanism is programmed to descend and hover just off the bottom. This prevents the buoyant tether 108 from floating on the surface and interfering with operation of the waterwheel rotor assembly. An emergency recovery snorkel system 168 may be mounted on the top of the cable cleaner mechanism. [0087] FIGS. 7A , 7 B and 7 C illustrate side view, a plan view and a frontal view, respectively, of a submersible waterwheel apparatus 700 with two submersible pontoons 110 attached by two cross-mounting assemblies 82 to the vertical members of the maintenance towers 52 . In one embodiment, one of the pontoons 110 may be enlarged and sized to compensate for the additional weight of selected driven equipment attached to the submersible waterwheel apparatus 700 . Inside the pontoons 110 may be ballast/trim tanks 112 controlled by an on-board computer. The external shells 110 of the pontoons and cross-mounting assemblies 82 may be fabricated from reinforced plastic/carbon fiber and additionally strengthened through isogrid stiffening technology. The ballast/trim tanks 112 may be fabricated from reinforced plastic and carbon fiber composites. [0088] FIG. 7B illustrates a motor-driven rotary filtering screen assembly 84 attached to an elongated inlet duct 56 that covers the entire water-inflow area. Filtering screen assembly 84 has been described above in detail with respect to the floating waterwheel apparatus 600 . The filtering screen assembly 84 covers additional vertical and horizontal length in order to accommodate the horizontal manifold of a pressurized water backwash system such as the backwash system described above with respect to the floating waterwheel apparatus 600 . [0089] A remote controlled maneuvering/parking rudder assembly 98 may be mounted to the stern of each pontoon 110 and activated via an on-board computer in the same manner as the remote controlled maneuvering/parking rudder assembly 98 attached to pontoons 80 of the floating waterwheel apparatus 600 described above. [0090] Yoke attachment ears 100 are installed on the outboard and lower sides of the vertical maintenance towers 52 . A yoke assembly 102 , identical to the assembly for the floating waterwheel apparatus 600 , is Y-shaped and rigid with a crossbar at the lower V points. The yoke assembly 102 may be attached to the yoke attachment ears 100 . The Y-shaped yoke assembly 102 maintains stability for the entire waterwheel apparatus and serves as an anchor and pivoting point for the surge suppressor spring assembly 106 and tether cable 108 . Both of the Y legs of the yoke may be hollow to accommodate instrument control cables, power cables, and/or communication cables as described above. In one embodiment the yoke assembly 102 has a vertical-drop leg 104 , as illustrated in FIG. 6 , that ensures there will be no interference with the incoming water flow. [0091] FIG. 7A illustrates pivoting stabilators 116 that are mounted near the top of each vertical maintenance tower 52 of the submersible waterwheel apparatus 700 . The pivoting stabilators 116 may be controlled by an on-board computer to control horizontal axis roll and pitch. Optional, the stabilators 116 may be replaced with wings and ailerons as is known in the art. In one embodiment, the stabilators 116 may be fabricated from reinforced plastic. [0092] FIG. 7B illustrates a 180° closed-end gas-tight clamshell cover 78 that horizontally covers the rotor assembly 170 between the maintenance towers 52 . In one embodiment, the clamshell cover 78 is hinged only on one side. The closed-end gas-tight clamshell cover 78 maintains a gas and water-free environment for the top 180° of the rotor assembly 170 . This chamber allows the blades 32 , either pivoting or fixed, to move through the upper area unimpeded by drag from water. FIG. 7B also illustrates the rotor assembly 170 in both its raised and lowered positions. [0093] FIGS. 7B and 7C illustrate an emergency recovery snorkel system 118 on the top of the maintenance tower 52 . Snorkel system 118 may include a radio communication antenna, a red rotating beacon, an air activated float, a recovery air hose, and a lifting cable that can be stored on a rotary reel attached to the rotor assembly 170 . The emergency recovery snorkel system 118 is a backup system to recover the submersible waterwheel apparatus 700 in case buoyancy is lost. [0094] Similar to the waterwheel apparatus described above, submersible waterwheel apparatus 700 includes a horizontal thrust shoe 46 installed beneath the rotor assembly 170 and attached to the legs of maintenance towers 52 . For the submersible waterwheel apparatus 700 , the thrust shoe 46 may be fabricated from reinforced plastic and structural closed cell foam. [0095] The operation of the submersible waterwheel apparatus 700 is essentially the same as that of the stationary waterwheel apparatus 200 . The pontoons 110 with the ballast and trim tanks 112 receive input from the on-board computer to reach and maintain various operating depths, maintain buoyancy, and to keep the rotor assembly 170 horizontally level at operating depth. They may also serve to raise the rotor assembly to the surface for maneuvering and parking operations as described above. [0096] The construction and operation of the rotary filtering screen assembly 84 illustrated in FIG. 7B , is likewise similar to that of the rotary filtering screen assembly described above, as are the remote controlled maneuvering/parking rudder assemblies 98 attached to the pontoons 110 to provide for controlled positioning of the submersible assembly in the current at operating depth. [0097] The ballast/trim tanks 112 in the pontoons 110 function in concert with the rudders 98 when a signal is sent from the on-board computer to move the submersible waterwheel apparatus 700 out of the main current. The ballast/trim tanks 112 raise the submersible unit to the surface. Then, the rudders 98 act to move the submersible waterwheel apparatus 700 into shallow water. This allows free unobstructed access of the main current to commercial and recreational use. With additional input from the on-board computer, the rudders 98 return the submersible waterwheel apparatus 700 back to the main current, and the ballast/trim tanks 112 dump and return the submersible waterwheel apparatus 700 back to operating depth. [0098] FIGS. 8A and 8B illustrate a side view and a plan view, respectively, of a dual stationary vertical waterwheel apparatus 800 with rotor assemblies 170 mounted vertically (i.e., having a vertical axis of rotation) on a single concrete pier 174 . The rotor assemblies 170 are secured to the pier 174 vertically by rack and pinion drive assemblies 114 . The outboard rotor shafts 24 at the bottom of the vertical rotor assemblies 170 are equipped with water-lubricated bearings (not shown) as are known in the art. The outboard rotor shafts 24 at the top of the rotor assemblies 170 are equipped with Kingsbury thrust bearings (not shown) as are known in the art. The bearings on the upper edges of the hinged rotor blades 32 of the rotor assemblies 170 are water-lubricated bearings (not shown) as are known in the art. The bearings on the lower edges of the blades 32 are pre-lubricated sealed thrust type mounted carrier bearings (not shown) as are known in the art. The rotor assemblies 170 may be equipped with rotating filter screen assemblies 84 , described above. The lower ends of the rotor assemblies may be equipped with a ballast tank. Thrust shoes 46 can be installed in a vertical position and attached to the legs of maintenance towers 52 . A clamshell cover 78 may be installed vertically over each rotor assembly 170 . [0099] The operation of the dual stationary vertical waterwheel apparatus 800 is essentially the same, except for the vertical mounting position of the rotor assemblies 170 , as that of the stationary horizontal waterwheel apparatus 200 . The rack and pinion drive assemblies 114 allow the rotor assemblies 170 to move vertically. The racks of the rack and pinion drive assemblies 114 are mounted vertically to the tops of lifting frame assemblies 66 . Motor-driven pinion gears of the assemblies 114 are mounted on top of the maintenance towers 52 . [0100] FIG. 8C illustrates a dual stationary vertical waterwheel apparatus 850 having vertical dual rotor assemblies 170 with horizontally opposed rotating filter screen assemblies 84 mounted on a single pier 174 . This type of installation may be used in dual-direction flow environments such as tidal flows. The rotor assemblies are secured to the pier 174 vertically by rack and pinion drive assemblies 114 . In other respects, the construction and operation of waterwheel apparatus 850 is the same as that of waterwheel apparatus 800 . [0101] FIG. 8D illustrates a single stationary vertical waterwheel apparatus 875 with a single rotor assembly 170 mounted vertically to, and movable 180 degrees around, a pier 174 . FIG. 8D illustrates the rotor assembly 170 at its two extreme positions, 180 degrees apart. Attached to the pier are two rack and pinion drive assemblies 114 . One drive assembly 114 is installed horizontally and the other drive assembly 114 is installed vertically. Operation of the single stationary vertical waterwheel apparatus 875 is essentially the same as that of the dual stationary vertical waterwheel apparatus 800 , except for the movable rotor assembly 170 . This type of installation may operate in dual-direction tidal flows. The horizontal motor-driven rack and pinion drive assembly 114 moves the rotor assembly horizontally into opposing 180° directions to accommodate changes in tidal flow direction. The vertical motor-driven rack and pinion drive assembly 114 moves the rotor assembly vertically to accommodate changes in tide levels. Computer directional-sensing flow controls are programmed and actuated by flow direction. This keeps the rotor assembly's intake positioned into the incoming flow at all times. [0102] FIGS. 9A and 9B illustrate a side view and a plan view, respectively, of a portable waterwheel apparatus 900 . The rotor assembly 170 of the portable waterwheel apparatus 900 is of the same design as the rotor assembly of the horizontal stationary waterwheel apparatus 200 , with the following possible exceptions: 1) there may be no maintenance towers; 2) the assembly may have hand leveling mechanisms 130 and landing pads 132 ; 3) The assembly may have a foldable and collapsible inlet grill 134 ; 4) The assembly may have a belt-driven vertically mounted generator 176 with a foldable support frame 136 . The operation of the portable waterwheel apparatus 900 is essentially the same as the operation of the horizontal stationary waterwheel apparatus 200 . [0103] FIGS. 10A and 10B illustrate a plan view and a side view, respectively, of a portable floating waterwheel apparatus 1000 . The design and operation of the portable floating waterwheel apparatus 1000 is basically the same as the portable waterwheel apparatus 900 , except that: 1) the assembly may float on two attachable air-filled pontoons 138 ; 2) the assembly may have a manual rudder system 140 ; 3) the assembly may have an attached yoke and tether system 142 ; and 4) the assembly may not have hand leveling mechanisms or landing pads. [0104] FIGS. 11A and 11B illustrate a rear view and a plan view, respectively, of a waterwheel apparatus 1100 having horizontal rotor assemblies 170 attached to a central floating object 176 that may be a barge, a structural foam filled pontoon, or other floating object. The operation and configuration of the rotor assemblies 170 are essentially the same as the horizontal floating rotor assemblies 170 described above in conjunction with other embodiments of waterwheel apparatus. [0105] Undershot waterwheels as described herein may provide pollution-free production of either electrical or direct hydrokinetic shaft-driven energy for applications including, but not limited to: reverse osmosis desalination of water; production of hydrogen and medical grade oxygen by electrolysis; utilization of hydrogen and oxygen gases; point-to-point multi-purpose energy transport; conversion of residual heat to steam; sequestering carbon dioxide; wind-assisted hydrokinetic energy generation and deep water cooling. Each of these applications is described briefly below. In the following descriptions, the platforms upon which the applications are performed are referred to as barges for the sake of simplicity and convenience. It will be appreciated that the applications may be implemented in or on one or more of the platforms described herein, including stationary platforms, floating platforms, submersible platforms and fixed and floating portable platforms. [0106] In one embodiment multiple waterwheel rotor assemblies and a single or multiple barges of appropriate design may be tethered to the ocean floor to convert ocean currents or tidal flows to rotational energy. To utilize direct shaft-driven hydrokinetic energy, positive displacement pumps may be mounted on the rotor shafts of the waterwheels. Multiple desalination units can be mounted on the barge(s). Multiple cylindrical tanks may be secured to the underside of the barge(s). High-pressure hoses may be run from the discharges of the positive displacement pumps to the intakes of the desalination units. Internally, the desalination units may contain long tubes that are divided inside by semi-permeable membranes. [0107] The positive displacement pumps can be activated by the rotation of the rotor assemblies, pumping seawater and increasing the pressure on the seawater side of the membranes. Because of the filtration level of the membranes, the pressure from the positive displacement pumps causes water molecules to flow through the membrane but does not allow salt molecules through. Pores in a membrane can vary, for example, from 1 to 50,000 angstroms depending on the desired level of filtration. The smallest pore size may be used for reverse osmosis “hyperfiltration.” [0108] In one embodiment, if the salinity of the water in the filters reaches a predetermined high limit, a computer may be pre-programmed to initiate a flush of the membranes. The highly saline water and the residual brine from previous operations are discharged to the ocean. High pressure hoses attached to the desalination units can either deliver the desalinated water directly to shore or fill tanks on barges or other water vessels for transport. [0109] An alternative to the aforementioned desalination process is a photochemical desalination process that may be used in lieu of or in addition to the reverse osmosis process. Both processes can be energized by hydrokinetic power provided by embodiments of the waterwheel apparatus described herein. [0110] In one embodiment, as illustrated by the flow diagram of FIG. 13 , hydrogen and oxygen gases may be produced by electrolysis. In one configuration, there may be tanks on the barge(s) that can be equipped with an inside barrier wall that divides the tanks into compartments. The barrier stops short of the bottom of the tank. A high-pressure hose pumps desalinated water through a demineralizer and into the tanks, filling them. Inside and at the top of the tanks, on each side of the barrier, are electrodes—an anode (positive) on one side of the barrier and a cathode (negative) on the other. The electrodes are connected to opposite poles of a source of direct current powered by the waterwheel. Each electrode attracts ions of the opposite charge. Therefore, positively charged ions (cations) move toward the cathode, and negatively charged ions (anions) move toward the anode. [0111] The electric current disassociates water molecules into hydroxide (OH − ) and hydrogen (H + ) ions. At the cathode, hydrogen ions (H+) accept electrons in a reduction reaction that forms hydrogen gas. At the anode, hydroxide ions (OH−) undergo an oxidation reaction and give up electrons to the anode to complete the circuit and form water and oxygen gas. Oxygen gas can now be drawn off one side of the tanks and hydrogen gas from the other. The gases may then be transferred into their respective storage tanks beneath the barge(s) via high-pressure hoses and/or piping. The gases can then be off-loaded to other barges or floating vessels, in gaseous or liquid form, for transport. [0112] The hydrogen and oxygen gases can be utilized to generate electrical power through onboard equipment such as fuel cells, gas-powered turbines, and/or modified reciprocating engines to power the various operating systems during slack tides. The purity of both gases significantly extends fuel cell life cycles and vastly minimizes required maintenance. This significantly lowers the operating costs of fuel cells in the production of renewable electric power. [0113] These gases may also be used to fuel modified reciprocating engines and gas turbines. Utilization of pure oxygen in the combustion process eliminates the creation of nitrous oxides that are the precursor gas that creates smog. The electric power generated may also be sent ashore via underwater power lines to be marketed. [0114] In one embodiment, as illustrated in FIG. 14 , both electrical power and hydrogen gas may be sent point-to-point via a multiple-purpose transportation pipeline 178 . The pipe may be scaled to size to accommodate a large internal electrical conductor in the center. Ceramic insulators may separate areas through which the hydrogen gas will flow. Thus, electrical power and hydrogen gas may be transported simultaneously. Further, the hydrogen gas can be tapped at various points and run through a non-polluting electrical generating fuel cell. [0115] The residual heat generated from the fuel cell can be converted to steam and marketed to steam-dependent industries along the pipeline, e.g., food processing plants. Residual heat passing over a bank of tubes that are filled with pressurized water transforms the water in the tubes to steam. The steam may then be piped to a steam turbine attached to an electric generator. Alternatively, the steam can be run through a steam turbine to generate additional non-polluting electrical power. [0116] One embodiment can effectively inject and safely store carbon dioxide (CO 2 ) at the bottom of the ocean. As the negatively buoyant CO 2 encounters the high pressure in the low temperatures at depths greater than 3000 m, the gas turns into a dense liquid unable to rise to the ocean's surface. Its inherent properties lead to the formation of crystals, known as CO 2 hydrides, which collect into a solid, stable layer from under which the gas cannot escape. The CO 2 may be condensed and pressurized at other facilities. The CO 2 is delivered to the floating waterwheel apparatus, equipped with a positive displacement pump, energized by ocean currents and wind. The condensed CO 2 is pumped to the bottom of the ocean via a weighted submersible discharge hose on the waterwheel apparatus. [0117] As illustrated in FIG. 12 , fixed blade horizontal wind turbines, such as wind turbine 180 , with spring-loaded air seals and venturi shaped inlets, can be mounted on the foredecks of a floating waterwheel rotor assemblies. The horizontal wind turbine drive shafts can accommodate electric generators, process pumps, compressors, etc. The addition of pulley and belt systems, and/or chain and sprocket assemblies between the fixed blade horizontal wind turbine 180 and the waterwheel rotor assemblies 170 can also increase the power output of the waterwheel rotor assembly. [0118] Alternatively, installation of positive displacement pumps on the drive shafts of the horizontal wind turbine, and hydraulic motors on the drive shafts of the waterwheel rotor assemblies, can supply additional power. A hydraulic supply hose could convey the hydraulic energy to the hydraulic motor. This effectively reduces the number of onboard generators needed to one. [0119] In one embodiment, one or more bull wheels may be mounted on the outboard rotor shafts of the rotor assembly or assemblies. The outer circumference of the bull wheel(s) may be fitted with belt grooves, chain sprocket teeth or spur gearing, for example, to drive various RPM increasing or reducing transmissions and to drive other types of equipment such as electrical generators (e.g., to produce on-board power) and pumps. Such transmissions may have multiple power takeoff points which may be engaged and disengaged with clutches. [0120] Embodiments of the waterwheel apparatus may be used to power deep-water pumps to send cold water to shore installations via a cooling pipe. The cold water could be circulated through heat-exchangers in cooling systems in buildings and then discharged back into the originating body of water. This type of cooling system would replace chiller systems that are currently driven by polluting electrical power sources, thereby reducing operating costs and the carbon footprints of buildings. In parallel, electricity could be conveyed to shore with the cold water to power various pumps and fans in the buildings' heating, cooling and ventilating systems. This combination of pollution-free energy would result in buildings with no carbon footprint at all. [0121] Thus, it is seen that an improved waterwheel and methods for its use are provided. One skilled in the art will appreciate that the present invention can be practiced by other than the above-described embodiments, which are presented in this description for purposes of illustration and not of limitation. The specification and drawings are not intended to limit the exclusionary scope of this patent document. It is noted that various equivalents for the particular embodiments discussed in this description may practice the invention as well. That is, while the present invention has been described in conjunction with specific embodiments, it is evident that many alternatives, modifications, permutations and variations will become apparent to those of ordinary skill in the art in light of the foregoing description. Accordingly, it is intended that the present invention embrace all such alternatives, modifications and variations as fall within the scope of the appended claims. The fact that a product, process or method exhibits differences from one or more of the above-described exemplary embodiments does not mean that the product or process is outside the scope (literal scope and/or other legally-recognized scope) of the following claims.
An improved waterwheel and methods for its use are provided. In an exemplary embodiment, the waterwheel is an undershot waterwheel utilized for electrical power generation. In another embodiment, the waterwheel may be partially or fully submerged. The waterwheel of the present invention may additionally be utilized to provide potable water, oxygen and hydrogen gases. This Abstract is provided for the sole purpose of complying with the Abstract requirement rules that allow a reader to quickly ascertain the subject matter of the disclosure contained herein. This Abstract is submitted with the explicit understanding that it will not be used to interpret or to limit the scope or the meaning of the claims.
BACKGROUND OF THE INVENTION 1. Field of the Invention This invention relates generally to safety devices for turning off the fluid supply when there is merely a fluid drip, or to devices which inform a central station if the fire sprinklers are open. In the past, a problem existed when a drip would occur and would go undetected causing fluid waste and potentially leading to a more severe leak which would cause the house to flood very quickly. 2. Description of the Prior Art Prior art offers devices which cut off the fluid supply when there is fluid flow or fluid leak. No prior art offers a solution to fluid drips. Examples of such fluid flow or leak detectors are U.S. Pat. Nos. 4,958,144, 4,791,414 and 4,252,088. The first two involve a system whereby when fluid flows it moves an arm significantly to block a light path. A mere drip would not be able to move the arm enough. U.S. Pat. No. 4,525,088 involves a leak detector whereby the fluid leak has to move a magnet away. Again, a mere drip would go undetected. The prior art cannot be adapted to detect drips rather than leaks or flow because the prior art demands the fluid movement to cause an arm or an dement to move or shift relatively a significant distance in order for a detector to detect the movement or the shift. The deficiency of the prior art devices is that they detect movement of elements which have been moved by the fluid flow or leak. Accordingly, a need remains for an improved device which can detect drips as well as leaks and flows where the detecting means does not necessitate that the fluid drip or flow will move any dement a significant distance. SUMMARY OF THE INVENTION It would be highly desirable to have a system which can readily be installed in fluid lines which would automatically turn off the fluid supply when a mere drip has developed. Accordingly, it is a principal object of the present invention to provide such a system. It is another object of the invention to notify the user if there is a substantial leak or if there is just a drip. It is another object of the present invention to provide a simple electronic circuit which will continuously monitor the status of the leak detector. It is another object of the present invention to provide an electrical circuit which sends short, low current, pulsating signals into the fluid which will not harm the electric contacts which are in the fluid. It is another object of the present invention to provide a drip detector which will detect increased electric resistance across the leak detector due to presence of a drip. It is still another object of the invention to provide a selector which can be set to allow fluid usage for a pre set time when the system is armed, before the cut off valve will cut the fluid supply. It is yet a further of the present invention to achieve the foregoing objects with a high degree of reliability and safety. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 illustrates the valve body WJ in a fluid line FIG. 2 is a cross section of valve body WJ having a sliding door. FIG. 3 is a cross section of the valve body WJ under a drip condition having double drip detection mechanisms FIG. 4 is a cross section of the valve body having a pivoting door. FIG. 5 is a cross section of the valve body being adapted to be connected to two detector circuits for distinguishing between a drip and a leak. FIG. 6 is another configuration with two detector circuits for distinguishing between drips and leaks. FIG. 7 is a detailed electrical circuit diagram of the electronic resistor detector assembly portion of the invention. DESCRIPTION OF THE PREFERRED EMBODIMENT FIG. 1 shows housing WJ which is attached to valve body VB which controls the flow of fluid from the fluid meter WM through electromagnetic valve C. Valve C is normally open, allowing fluid to pass through to the input flow inlet A when ever the valve C is not electrically activated. Housing WJ has a door 2 which is electrically connected to a lead P, which is electrically insulated from housing WJ. Housing WJ is grounded through lead M. An analyzer-detector circuit D is powered by power supply PS, the analyzer is electrically connected to lead P and M. When there is no drip, door 2 is seated against seat 3 in housing WJ to complete an electrical circuit between leads P and M. When there is a drip at outlet B, the hydraulic pressure at outlet B falls, causing fluid pressure on door 2 to push door 2 away from seat 3. The fluid between door 2 and seat 3 creates an increased resistance between leads P and M. Analyzer D detects this increased resistance across leads P and M. Analyzer D is electrically connected to an alarm panel E which is also powered by power supply PS. If the system is armed, when an increased resistance is detected by analyzer D, the alarm E is activated and valve C is activated to shut off the water. An additional leak detector G can be installed near a fire sprinkler F, where this detector is also wired to analyzer D. When fire sprinkler F is open, detector D will activate the alarm panel E, sending a fire signal to the central station. FIG. 2 illustrates the valve housing WJ to be installed inside a fluid line. There is a screw 12 connected to the exterior of the housing, a lead is attached to the screw in order to ground the housing. A bolt 11 is mounted in the housing, being insulated from the housing via a bushing 14. The housing has a door seat 13 for a moveable door 2. A spring 8 urges the door against seat 13. Door 2 has a rod 7 connected to it. Rod 7 is electrically insulated from housing WJ by plastic bushing 6 and covered by a metal bushing 7b. Therefore, door 2 is electrically insulated from housing WJ when its not seated on seat 13. An elastic metal wire 15 is connected to bolt 11. Metal wire 15 is protruding into and out of housing WJ. When door 2 is seated on seat 13, it comes into communication with metal wire 15, completing a circuit between leads 9 and 10 such that there is no electrical resistance between leads 9 and 10. When a drip occurs in the region of the outlet B, hydraulic; pressure falls at the outlet. As a consequence, a film of water forms between door 2 and seat 13, pushing door 2 away from seat 13. Since metal contact between door 2 and seat 13 is lost, a greater spacing and electrical resistance is created between door 2 and seat 13. The increased resistance across leads 9 and 10 results in an output signal which activates the cut-off valve and the alarm. It is not the engagement and disengagement of rod 7 from metal wire 15 which activates the cut off valve during a drip. For that reason rod 7 can be permanently connected to metal wire 15 by flexible means such as a tension spring. [Not shown in the figure.] It is important to note that its not the motion of the door that is detected, rather its the increased resistance between door 2 and seat 13 which is detected. This is a key difference between this invention and the prior art. Prior art is designed to detect mechanical motions caused by significant fluid movement. For those systems to operate, the mechanical motion must be large enough to be detected. Inherently, small drips do not result in any significant mechanical motion. Therefore, small drips, which cannot cause significant mechanical motions, cannot be detected by such prior art devices. On the other hand, this invention is designed to detect increase in electrical resistance. Since any drip will cause an increase in resistance, due to a formation of a water film between seat 13 and door 2, this invention can detect minute drips, which do not move the door any significant distance. FIG. 3 illustrates the device where metal wire 15 is merely in contact with rod 7, but not connected to it when door 2 is seated against seat 13. By configuring the device this way there is a double detection mechanism. If the first mechanism does not detect a drip, the second mechanism will detect it. In operation, during a drip, while rod 7 is still in contact with metal wire 15, an increased resistance is detected between door 2 and seat 13, which will cause the detector circuit to shut off the water. If this drip detection does not occur for any reason, when rod 7 loses contact with metal wire 15, the detector circuit will shut off the water. Therefore, if the "door-seat" drip detection system fails, the "rod-metal wire" leak detection system will be present to cut off the water. The moveable door in the above figures can have axial motion in the valve housing, sliding in the direction of the fluid. FIG. 4 illustrates the valve body WJ having a pivoting door 2 and seat 13. Sitting in bushing 6 is a hingepin 3 which is protruding from the housing. Bushing 6 is made of a highly electrically resistant material, thus hingepin 3 is insulated from housing WJ. A magnetic means is provided in order to urge the pivoting door 2 against seat 13. To the exterior of hingepin 3 a magnet 8 is connected. Bolt 11 connects an electric lead 10 to pin 3. Another magnet 7 is connected to the housing by means of bolt 12. The forces of attraction between the magnets 7 and 8 will cause hingepin 3 to turn, closing the pivoting door 2 on seat 13, closing an electric circuit between leads 9 and 10. When fluid flows across the housing, from input A to output B, the pivoting door will be unable to make contact with seat 13 and an increased resistance will be detected across leads 9 and 10. It is important to note that there is no detection of motion of the pivoting door, rather there is detection of change in electrical conductivity, ie when there is a drip, there is detection of increase in resistance due to formation of fluid insulation between door 2 and seat 13. A one way valve 14 is mounted in door 2 for releasing back pressure. FIG. 5 is another double safety configuration assuring that if the first system does not detect a drip, the second system will detect a leak. The figure shows the valve body W.J. having an insulated bolt 11b protruding through the walls of the insulated bushing 14a that sits in body W.J. across from lead 15. A ring R is attached at the end of bolt 11b. Lead 15 is flexible and can move in ring R as door 2 moves towards seat 13. The other end of bolt 11b is protruding outside of the valve body, being connected to a second circuit panel of the analyzer circuit D. The electric contact between bolt 11b and bolt 11 will be detected by the second detector circuit of analyzer D. In operation, when only a drip occurs, and rod 7 is still urging/contacting lead 15, since a film of fluid will form an insulation layer between door 2 and seat 13, the first detector will detect the increase in resistance between door 2 and seat 13. When more than a drip occurs, such as when a leak or a flow occurs, the second detector will be activated. In such a case, door 2 will move significantly away from seat 13, and consequently, rod 7 will lose contact with lead 15. As rod 7 ceases urging lead 15, lead 15 will contact ring R, thereby creating an electrical contact between lead 15 and bolt 11B. If bolt 11 is grounded it will transmit ground signal to bolt 11b which will transmit the signal to the second detector circuit of analyzer D. The contact between lead 15 and bolt 11B will activate the second detector which will shut off the water. The user can therefore be notified if a drip or a leak has occurred. It should be noted that housing WJ need not be conducting. If the housing is not conducting, seat 13 must be conducting, and a conducting lead must extend from the seat through the housing. Also, if the housing is not conducting, there is no need to insulate lead 15 or bolt 11 and 11B. FIG. 6 shows another embodiment of a two detector circuit in which one circuit notifies the user if a drip occurs and the other circuit notifies the user if a leak occurs. Rod 7 has a first end 7c protruding in the direction of water outlet and a second end 7h protruding in the direction of the water inlet. Lead 15 is connected to the second end 7h of rod 7 through a flexible means, such that when door 2 moves away from seat 13, rod 7 pulls lead 15 in the direction of water flow. A second lead 15a is protruding from bushing 11c which is located in the wall of body WJ, adjacent the first end of rod 7. The first end of lead 15a protrudes out of housing WJ and a second end of lead 15a protrudes into the bore of housing WJ. A second detector circuit is connected to the first end of lead 15a. As explained above, the first detector circuit actuates the cut off valve if a drip occurs. When a leak occurs, and door 2 moves significantly away from seat 13, the first end of rod 7 contacts lead 15a. The second detector circuit detects the decrease in resistance between the first end 7c of rod 7 and the second end of lead 15a. Upon detection of this decreased resistance, the second detector circuit actuates cut off valve CV and shuts off the water. Notice that bushing 11c can be located in housing WJ in other locations. For example, bushing 11c could be placed to the right of door 2 such that during a leak, door 2 will contact lead 15a. FIG. 7 shows the preferred electrical circuit according to the invention. This circuit will provide very low voltage short pulsating signals across the sensor A, while the sensor is in the fluid. The pulsating signals will continuously test the electrical resistance between door 2 and seat 13. The preferred embodiment uses short pulsating current signals rather than a continuous current signal in order to protect the conductors which are in the fluid. These pulsating signals will continue until a drip is detected. When the system is armed, if it is still desirable to have certain fluid consuming appliances operate, the system can be pre set to allow fluid to run for a pre determined period without actuating the cut off valve. Therefore, if one activates the system and leaves the house he can select for example, a 5 minute period which will allow a wash machine to finish working. This is so because during each cycle, the wash machine takes approximately 3 minuets to fill up with water. Any fluid drips or flow occurring after the 5 minute interval have passed will be detected by the system, whereby the system will shut off the fluid supply and the alarm will be activated. The electronic circuit comprises sensor A, transistors 1 through 4, capacitors 5 and 6, diodes 7 and 8, comparator 9, relay 10, LED 30 and 31, switches SW1 and SW2, resistors 13 through 18, potentiometer 11 and power supply Vcc. The base of transistor 3 is connected to resistors 17 which is connected in series to resistor 15 which is connected to a 12 volt power supply Vcc. The emitter of transistor 3 is connected to sensor A. If no water is dripping, sensor A is connected to input 19 of comparator 9. The collector of transistor 3 is connected to the power supply Vcc. The reference voltage for comparator 9 is ground which is provided to input 20 of the comparator. When there is no drip, the input signal at input 19 is greater than the reference signal at input 20, and the output 21 of the comparator 9 will go to logic high. When there is a drip, there will be an increased resistance between door 2 and seat 13. This increased resistance will cause a greater voltage drop which will result in a lower input voltage at input 19 of comparator 9. A resistor 13 at reference input 20 is chosen such that in a drip situation the voltage at input 19 and reference 20 will be equal causing output 21 of comparator 9 will go to logic low. When output 21 is at logic high, a LED 31, which is connected between input 19 and 20 will be lit. A lit LED 31 will notify the user that no water is in use, and there is no drip or leak. Output 21 of comparator 9 is connected to the base of transistor 1 through resistor 14. The collector of transistor 1 is connected to a 12 volt power supply via switch SW1. The emitter of transistor 1 is connected to the anode of diode 8. The cathode of diode 8 is connected to capacitor 5 and to the anode of a diode 7. Capacitor 5 is connected to a potentiometer 11 The potentiometer is connected to the base of transistor 4. The collector of transistor 4 is connected to a the power supply. The emitter of transistor 4 is connected to relay 10 which is normally closed. Relay 10 has one terminal connected to power supply PS via switch SW1, the other terminal is connected to a cut off valve CV. The cut off valve CV is actuated when the relay is off. A normally closed relay is chosen so that if the electronic circuit fails, automatically the relay coil will lose power and go to close position, activating the cut off valve which will then shut off the fluid supply. The potentiometer 11 will be adjusted through external means whereby one can select a resistance which will prolong the period for which the base current flows to transistor 4. So long as base current flows to transistor 4, collector current flows to relay 10, keeping relay 10 ON and maintaining the cut off valve unactuated. This will permit the user to arm the system and yet .have water supplied to water consuming appliances such as garden sprinklers work. So long as the appliances do not consume water for durations longer than the pre set period, water will not be shut off by the cut off valve. For example, if the user has a garden sprinkler system which has 3 minute cycles every 20 minutes, the use can set the system on a 5 minute period. The garden will be watered so long as no drips occur after the 5 minutes are up. The cathode of diode 7 is connected to a resistor 16 which is connected to the base of transistor 2, the diode is also connected to a capacitor 6. Resistor 16 is connected to the base of transistor 2. The emitter of transistor 2 is grounded and the collector of transistor 2 is connected between resistors 17 and 15. When output 21 of comparator 9 goes to logic high, transistor 1 is activated and its collector current will flow to capacitors 5 and through capacitor 6 current will also flow to the base of transistor 4. Also, base current flows to transistor 2 through resistor 16, activating transistor 2 and grounding its emitter. Resistor 17 is high enough to cause all of the collector current at transistor 2 to flow though resistor 15 to the ground. As a result, when the collector current of transistor 2 flows to the ground, transistor 3 is deprived of base current which will make it go low, and no collector current flows to input 19. Therefore, input 19 of comparator 9 goes low which causes output 21 to go low. While comparator 9 is low, capacitor 5 and 6 are still charged and therefore they alone will drive all the components of the circuit. As long as the capacitors 5 and 6 are charged, transistors 2 and 4 remain activated. So long as transistor 4 is active, it keeps the coil of relay 10 active, which keeps relay 10 open. Resistance of resistor 16 is designed to let transistor 2 go low before transistor 4 is low. Each time transistor 2 goes low [ie, when its turned OFF], it allows base current to flow through resistor 15 and 17 to the base of transistor 3, allowing transistor 3 to go high. When transistor 3 is high input 19 to comparator 9 is high, which again charges the capacitors 5 and 6. Thus, the first part of the cycle comprises a pulse to sensor A, resulting in a high input to comparator 9. This results in a logic high at the comparator output which charges capacitor 5 and 6, turning transistor 2 ON and depriving sensor A of current. As long as the capacitors are charged, no collector current flows at transistor 3, and the input 19 at comparator 9 is low. The second part of the cycle starts whereby the input to comparator 9 is at logic low and capacitor 5 discharges some of the charge such that it stops the flow of base current to transistor 2. This permits current from the power supply to flow to the base of transistor 3 for a millisecond, causing the output of the comparator 9 to go to logic high again, completing a full cycle. As capacitor 5 discharges, it maintains transistor 4 ON which provides current to coil of relay 10 assuring that the cut off valve CV will not be actuated during the part of the cycle where the output of comparator 9 goes to logic low. Thus, so long as there is no leak, transistor 4 is ON throughout the cycle keeping cutoff valve CV unactuated. Capacitor 6 is provided to divert current away from the base of transistor 2. In so doing, capacitor 6 slows down the cycle so that capacitor 5 will have time to charge up. Notice, since capacitor 5 shares a node with resistor 16 which has a very high value, the current will flow to capacitor 6 rather than to the base of transistor 2. Since capacitors 5 and 6 are in parallel, [ignoring diode 7] the voltage of the capacitors is all most equal. As capacitor 6 charges, so does capacitor 5. When capacitor 6 is charged enough it will cause base current to flow through resistor 16 to the base of transistor 2. Only then will transistor 3 be OFF, and the input to comparator 9 will be low. Even when the output of comparator 9 is low, transistor 4 is maintained high by the discharging voltage from capacitor 5. Therefore, relay 10 is maintained normally open during both parts of the cycle, regardless of the statutes of the comparator. Once the resistance between door 2 and seat 13 increases due to a drip, capacitor 5 will discharge into the potentiometer. If the potentiometer is set to a high resistance, capacitor's 5 discharge time will be longer, and thus transistor 4 will be maintained high longer, keeping the relay normally open for longer period and the cut off valve will remain unactuated. Once capacitor 5 has discharged enough such that it cannot provide the threshold base current to transistor 4, no DC current will be provided to coil of relay 10 and thus relay 10 will close, activating the cut off valve to shut off the fluid. Similarly, if the potentiometer is set to a low resistance, once sensor A senses a drip, capacitor 5 will discharge relatively quickly and turn relay 10 off. A LED 30 is connected to the cut off valve. When the cut off valve is activated, and fluid is shut off, LED 30 goes ON to notify the user. A resistor X can be placed in the circuit in order to divert the current of transistor 3 to the ground when sensor A is opened after detection of a fluid drop. A diode 7A has its anode connected to the output of relay 10, and its cathode connected to the base of transistor 2. Once relay 10 is closed to shut off the fluid supply, transistor 2 will have permanent base current, which disables transistor 3, depriving sensor A's contacts of current. Therefore, so long as fluid is shut off by cut off valve CV, the electric contacts at sensor A will not receive pulsating signals. To test the operability of the circuit, the cut off valve and sensor A, a switch SW1 is provided. In a first position, the switch is powering the cut off valve exclusively via relay 10. If the fluid is not turned off when the switch is in the first position, this means that something is wrong with the cut off valve. When the switch is in a second position, the system is armed. When switch SW1 is in a third position, the system is off. A switch button SW2 exists for testing the circuit and sensor A to the exclusion of the cut off valve. If following a push of test button SW2, LED 31 does not blink, either there is a drip, leak or fluid usage currently, or something is wrong with the circuit or with sensor A. An existing ordinary alarm panel can be adjusted to carry out the functions of switch SW1 and button SW2. Thus, an alarm can test, arm and disarm the system. By arming the alarm, simultaneously, the drip detector system will be armed as well. The circuit can be built in a way that the cut off valve CV will be normally activated, and in case of a flood, power to the cut off valve CV will be cut off. Also, safety features can be added to the electronic circuit such as a monitor for monitoring the cut off valve in real time. Whenever the cut off valve fails, it can notify the user. Also a buzzer can be installed to notify the user of the status of the cut off valve. It should be noted that the above is only one possible design for the electronic circuit system. For example, a counter can be used to produce the pulsating signals.
An electric resistance measuring device situated in fluids, comprising; a housing having a bore therethrough, the bore having a seat; a movable door means situated in the bore, engagable with the seat; whereby, fluid movement disengages the door from the seat, changing the electrical resistance between the seat and the door. Such a device can detect leaks which increase the electrical resistance between the seat and the door. A panel provides selector means which can be set at different modes, each mode providing a different period of time in which fluid can be used continuously without interruption. If fluid movement exists after such period, a cut off valve will shut off the fluid. Such a device can be installed in line with fire sprinklers, such that the device will detect a fire and will send a signal to a central station.
FIELD OF THE INVENTION This invention relates to the use of a selected strain of a low temperature basidiomycete LTB snow mould (.tbd.Coprinus psychromorbidus) as a bio-control agent of Calamagrostis canadensis and other related weed grasses which are hosts to LTB snow mould, and to a composition and method of delivery therefor. BACKGROUND OF THE INVENTION Calmagrostis canadensis (Michx.) Beauv. also known as bluejoint, is a grass plant that poses a serious threat to white spruce regeneration in reforestation areas. In cut-over. C. canadensis quickly spreads by means of rhizomes and seed to cover much of the site. The grass grows thick and tall thus out-competing white spruce for resources such as light, water and nutrients. To date site preparation techniques and grazing have failed to control C. canadensis. Herbicides may be effective but their use has been extremely limited due to environmental concerns. Other weed species have been successfully controlled with plant pathogens., for example northern jointvetch by Colletotrichum gloeosporiodes f.sp. aeschynomene. A variety of mycoherbicides have been proposed to control specific weeds. for example, Canadian Patent Number 1,224,055 (Watson et al, Jul. 14, 1987) describes the use of Colletotrichum coccodes for controlling velvetleaf and U.S. Pat. No. 4,643,756 (Cardina et al, Feb. 17, 1987) describes the use of C. truncatum for controlling Florida beggarweed. U.S. Pat. No. 4,776,873 (Caulder et al, Oct. 11, 1988) teaches a synergistic herbicidal composition comprising Altemaria cassiae and chemical herbicides for controlling sicklepod. C. canadensis has been shown to be controlled by the microbes C. calamagrostidis, F. nivalis and mutants thereof. See commonly assigned U.S. Pat. No. 5,472,690. A drawback of this technology is that it is somewhat reliant on environmental factors such as free moisture on the leaf surface, for infection to occur. The low temperature basidiomycete (LTB) fungus, was first described as a pathogen of C. by Lebeau and Logsdon (1958) Snow Mould of forage crops in Alaska and Yukon. Phytopathology, 48: 148-15. This fungus is psychrophilic and will only grow and parasitize plants under a snow cover. It is native to the boreal forest and so is not considered an introduced species. The fungus is not known to produce spores but spreads by vegetative growth. Bio-control of C. canadensis and other related monocot species which are known to be hosts of LTB through use of the LTB fungus presents a good opportunity for controlling these grasses. The fungus does not necessarily have to kill the grass for it to be effective as reduced growth of grass plants would allow white spruce seedlings time to grow above the grass canopy. Some preliminary work by our group with low temperature basidiomycete (LTB) fungii was described in Bio-control of bluejoint grass (Calamagrostis canadensis) using low-temperature basidiomycete (LTB) K. A. Schreiner et al, Canadian Journal of Plant Pathology, volume 17, 1995. There is no description in this abstract of the selected LTB strain, the delivery composition, or the delivery method according to the invention. SUMMARY OF THE INVENTION It is an object of the invention to provide a selected LTB snow mould strain effective against C. canadensis, and other related monocot species which are hosts to snow mould. It is another object of the present invention to provide a novel delivery composition and method for the delivery of LTB snow mould to a target plant. According to one aspect of the invention, a substantially biologically pure isolate of a low temperature basidiomycete fungus (.tbd.Coprinus psychromorbidus) having the identifying characteristics of Coprinus psychromorbidus (58719) ATCC Deposit accession no. 74407, is provided. The deposit was made with the American Type Culture Collection (ATCC), 10801 University Blvd., Manassas, Va. 20110-2209 on Apr. 9, 1997 under the provisions of the Budapest Treaty. According to another aspect of the invention, a composition for suppressing C. canadensis and other related monocot species which are hosts to LTB snow mould, comprising an effective amount of a substantially biologically pure isolate of a low temperature basidiomycete fungus having the identifying characteristics of ATCC Deposit Accession no. 74407, and an agriculturally acceptable carrier capable of supporting growth of the fungus, is provided. According to yet another aspect of the invention, a method is provided for suppressing the growth of C. canadensis and other related monocot species which are hosts to LTB snow mould, comprising applying thereto or to the locus thereof in the autumn, an effective amount of a substantially biologically pure isolate of a low temperature basidiomycete fungus having the identifying characteristics of ATCC Deposit Accession no. 74407, and an agriculturally acceptable carrier capable of supporting growth of the fungus, wherein prior to application, the fungus is grown in the dark on the carrier at about 15° C. until the carrier is substantially thoroughly colonized by the fungus. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a graph which illustrates the effect of different volumes of LTB fungus on field grown C. canadensis, as a percentage of cover for 1994 and 1995. FIG. 2 is a graph which illustrates the effect of different volumes of LTB fungus infested grain on field grown C. canadensis foliar dry weight at 1, 2 and 3 years after application. FIG. 3 is a graph which illustrates the effect of different LTB fungus inoculum on the foliar biomass of C. canadensis at two sites, 91 and 631. FIG. 4 is a graph which illustrates the effect of different LTB fungus inoculum on C. canadensis survival, height, tiller number and shoot dry weight, as a percentage of the check after 14 weeks incubation. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS It has been found that in order for the LTB snow mould to be effective, an agriculturally acceptable carrier capable of supplementing the growth of the fungus is required. The preferred carrier comprises sterilized cereal grains. A useful carrier composition comprises rye . . . 50-100%/w and oats . . . 0-50%/w. A 1:1 ratio of rye:oats is preferred. The LTB snow mould infested grain is distributed over the locus of C. canadensis in the autumn. After snowfall when the grass plants are dormant, the LTB snow mould grows onto the target plants and causes disease. Affected grass plants are either killed or show reduced vigor the following growing season. This process provides a rapid and dramatic increase in the amount of LTB snow mould fungus present at the site through the addition of the LTB snow mould infested grain and the distribution process, and is a major advantage over other known biological herbicides. More specifically, the LTB snow mould fungus previously isolated from nature in the boreal or subalpine forest is taken from pure culture and inoculated into a 1:1 mixture of sterilized rye and oat grains. The dimensions of the grain are in the range of 0.5-1.5×0.3-5 cm. The grain is sterilized in autoclavable plastic bags using an autoclave set at 121° C. and 1.4 kg cm -2 for 2 hours. After the oat/rye grain mixture is cooled to ambient the fungus is introduced to the sterile grain using aseptic technique and is grown in the dark at 12-18° C., preferably about 15° C., for about one month ie. until the grain is substantially thoroughly colonized by the fungus. The LTB snow mould infested oat/rye grain mixture is taken to the site of Calamagrostis infestation and is distributed over the locus in the autumn of the year. Distribution can be done either by hand or mechanically. Optionally, the grain may be ground into a flour (preferably to a size that will pass a 25 mm sieve) after colonization, and the flour may be suspended in water and applied as a foliar spray. A rate of 6-50 g. preferably about 30 g., of infested oat/rye grain or flour mixture per L of water per m 2 Is used. The LTB snow mould grows from the infested grain onto the Calamagrostis canadensis and causes disease, after snow fall. Experimental Data An isolate of the low temperature basidiomycete (.tbd.Coprinus psychromorbidus) (LTB-013) deposited with ATCC under accession no.-74407, was used for inoculations. Calamagrostis canadensis plants grown in the lab in 12.7 cm pots that had been "hardened off" were inoculated with 50 mL of LTB snow mould infested rye/oat grain distributed over the soil surface and covered with moistened cotton batten to simulate snow cover. Check ("uninoculated") plants had 50 mL of sterilized oat/rye mixture distributed over the soil surface before being covered with moistened cotton batten. The pots were placed individually in plastic bags and then incubated in a low temperature growth chamber at -5° C. for 12 weeks in the dark. The plants were then removed from the growth chamber and warmed to ambient in the dark for 48 hours. They were then placed on a bench in a glasshouse with 18 hours of light at 23° C. for 4 weeks. The plants were then evaluated for mortality and dry weight measurements were made for root and foliar biomass. There was 12% mortality in the LTB snow mould inoculated grass plants. Foliar biomass dry weight of the snow mould inoculated plants was 67% of the check grass plants. Root biomass dry weight was 64% of the check grass plants. The differences between the biomass dry weight of the inoculated versus the check plants were statistically significant (P=0.05). These results show that the LTB fungus is pathogenic to C. canadensis causing both mortality and growth loss. In further experiments, the LTB isolate LRS-013 (ATCC no. 74407) used throughout the field trial portion of the study, and a different (.tbd.C. psychromorbidus) isolate LRS-064, used in the growth chamber study, was obtained from Dr. D. Gaudet of the Lethbridge Research Station, Agriculture and Agri-food Canada. Inoculum was prepared by homogenizing a 2 week-old LTB culture grown on potato dextrose agar in 250 mL of sterile distilled water. Fifty mL of the homogenate was added to a 1.7 L jar containing equal parts of rye and oat grains that had previously been sterilized. The inoculated grain was incubated for at least a month at 15° C. and then stored at -10° C. until used. Field Plot Inoculations Field Trial 1 The trial was conducted on three sites in Alberta and two sites in Saskatchewan. The three sites in Alberta were located near Whitecourt and the two sites in Saskatchewan were located near Candle lake. Calamagrostis canadensis was the dominant plant species at all five sites. At each site, five replications consisting of 5 inoculum level treatments including a check (no LTB) were established. Replications were kept at least 50 m apart. Plant identification and density data were collected prior to inoculation in the summer of 1993 and post inoculation in the summer of 1994 and 1995. Stems and leaves of C. canadensis were collected in the fall of 1994, 1995, and 1996 to determine dry weight. Field Trial 2 A second field trial was established in the fall of 1994 at two sites near Whitecourt, Alberta to compare two different types of inoculum. One site had recently been harvested (in 1993) and the other was one of the same sites used in field trial 1 (Whitecourt 63). Two treatments were applied. The first consisted of the inoculum being applied as a flour (50 g/m 2 ), the check was sterile flour (50 g/m 2 ). The second treatment's inoculum consisted of aspen wood chips coated with fungus infested flour (50 g/m 2 ), the check was sterile flour coated wood chips (50 g/m 2 ). Five replicates of each treatment were established at each site. Plant identification and density data were collected prior to inoculation in the summer of 1994. Grass foliage was collected in the fall of 1995 and air dried before weighing. Growth Chamber Experiments Experiment 1 Plants from three clones of blue joint were dug from cutblocks located near Whitecourt, Alberta and brought back to the laboratory. Pieces of rhizomes with buds were placed in 12.7 cm flower pots, containing limed peat moss. The plants were grown under 18 hours of day length at day- and night-time temperatures of 25° C. and 20° C. respectively. The plants were watered twice weekly and fertilized with 20--20--20 fertilizer once a week. The grass plants were grown for ten weeks before being moved to outside the greenhouse to "harden-off" for three weeks. The LTB fungus was grown on rye/oat grains for two months and then ground into a flour using a Wiley mill. The flour (10 ml/pot) was applied directly on the grass plants. Infested flour was also used to coat pieces of sterile aspen wood chips. The flour-coated wood chips (10 ml/pot) were applied to the grass. The check treatments were sterile four and sterile flour-coated wood chips. The pots were then covered with moistened absorbent cotton to simulate snow cover and placed in 10 L polyethylene bags before being moved to a darkened low temperature growth chamber kept at -6° C. Grass plants were incubated for 14 weeks in the low temperature growth chamber before being removed and placed in the greenhouse. The grass plants were then grown for two weeks under the greenhouse conditions outlined above. After four weeks the plants were unpotted and foliage was separated from roots before drying in an oven at 60° C. for one week and then weighing. The experiment was designed as a randomized complete block with four replications. Experiment 2 Two clones of C. canadensis from the Whitecourt area were grown in pots in the greenhouse and hardened off as described above. The plants were inoculated with either LRS-013, LRS-064, NOF 001 and NOF-006 or a check treatment of sterilized oat/rye grains. Isolates. NOF-001 and NOF-006 were isolates of an unidentified snow mould fungus collected from C. canadensis near Whitecourt. Sixteen plants were inoculated with one of the five treatments, covered with moistened cotton batten, and placed in a low temperature growth chamber for 14 weeks. The plants were then placed in the greenhouse and grown for two weeks under the greenhouse conditions described above. After four weeks the plants were unpotted and foliage was separated from roots before drying in an oven at 60° C. for one week and then weighing. The experiment was designed as a randomized complete block with four replications. RESULTS Field Plot Studies Analysis of the data showed that there was no effect of the fungus on four of the five cutblocks. Poor inoculum or rodent feeding were the causes attributed for the failure of LTB to control grass on these sites. These sites were not examined in 1995 nor 1996. Whitecourt cutblock 63 had significant differences in the percent cover of C. canadensis in the first year after application but not in the second year, FIG. 1. The dry weight of the grass in all treatments except the 50 mL treatment was less than the check, FIG. 2. This trend has occurred for three years after application. The 50 mL treatment on this cutblock did not reduce dry weight as much as expected. This may have been caused by poor inoculum and/or rodent feeding on the inoculum. The forb/shrub biomass increased with LTB treatment in two of the five cutblocks, in the others there was no significant difference. Species diversity was variable; however, on the cutblock that LTB successfully reduced grass growth, species richness increased slightly as did forb dry weight as a percentage of the check. The second field trial indicted that the flour inoculum controlled the grass at least as well as the oat/rye grains, FIG. 3. The flour coated wood did not control the grass as well as the flour or the oat/rye grains. The flour inoculum reduced the grass biomass significantly on a site that had recently been harvested and the grass was just becoming established. Growth Chamber Studies The results of growth chamber experiment 1 are shown in FIG. 4. Both inoculum types reduced inoculated C. canadensis plants survival, height, tiller number and foliar dry weight significantly from that of the check plants. There was no difference in the incidence of mortality, height, tiller number, or foliar dry weight between plants treated with the LTB infested flour and the LTB infested flour-coated wood. The second growth chamber experiment showed that both LTB isolates were equally pathogenic to C. canadensis (Table 1). There was no significant difference between the two LTB isolates and their effect on C. canadensis foliar dry weight. The two unidentified snow mould isolates from Whitecourt were pathogenic to one clone of C. canadensis but not to the other. This is likely due to the fact that the clones of C. Canadensis may vary in their resistance to these isolates. Fruiting bodies of the unidentified snow mould have not been found. It is suspected, based on colony morphology, the fungus is a basidiomycete. TABLE 1______________________________________Dry weights of two greenhouse-grown Calamagrostiscanadensis clones treated with sterile grain (check),two LTB isolates (LRS-013 and LRS-064), and two isolatesof an unidentified snow mould (NOF-001 and NOF-006) Foliar dry Root dry Rhizome dry weight weight weightTreatment (in grams) (in grams) (in grams)______________________________________Clone 1 (Check) 0.87(±0.07)* 1.4(±0.3) 0.64(±0.08)Clone 2 (Check) 0.87(±0.09) 1.3(±0.3) 0.40(±0.08)Clone 1 (LRS-013) 0.18(±0.07) 1.4(±0.3) 0.47(±0.08)Clone 2 (LRS-013) 0.15(±0.07) 1.0(±0.3) 0.27(±0.08)Clone 1 (LRS-064) 0.23(±0.07) 1.9(±0.3) 0.35(±0.08)Clone 2 (LRS-064) 0.09(±0.08) 0.92(±0.3) 0.36(±0.08)Clone 1 (NOF-001) 1.07(±.07) 2.1(±0.3) 0.61(±0.08)Clone 2 (NOF-001) 0.46(±.07) 0.67(±0.3) 0.32(±0.08)Clone 1 (NOF-006) 0.80(±.07) 1.7(±0.3) 0.60(±0.08)Clone 2 (NOF-006) 0.55(±.07) 1.3(±0.3) 0.42(±0.08)______________________________________ *Standard error of the mean Discussion Field inoculations with the exception of one location were inconclusive. It is thought that this was likely due to rodents feeding on the inoculum as seed husks were found at some locations and/or poor inoculum. The treatments at site 63 near Whitecourt were found to provide some control. These plots have been monitored for three years and consistently show a reduction in foliar biomass compared to the check plots (FIG. 2.). It is our opinion that the 50 mL treatment most likely failed because of poor inoculum viability. The results indicate that the LTB fungus can reduce C. canadensis foliar biomass for up to three years after application. It appears that the 25 mL (15 g/L/m 2 ) and 75 mL (45 g/L/m 2 ) treatments give nearly the same level of control (40% versus 47% reduction in the biomass). This gives a measure of how much infested grain needs to be applied. Percent cover by C. canadensis was not measured in 1996 as this does not seem to give a consistent measure of the effect of the fungus on the grass, FIG. 1. This is most likely due to observer error in cover estimation. Observations on non-target plants indicated that the LTB at very least did not reduce the density or species richness. In several of the locations the non-target plant species increased in species richness as well as in cover. This is an indication of species selectivity. Because infested oat and rye grains appear to be attractive to rodents an alternative inoculum was sought. Results from the growth chamber study showed that both flour or flour coated wood is effective as inoculum. However, results from the second field trial indicate that inoculum applied as a flour may be just as effective as oat/rye grain inoculum in controlling the grass. Flour-coated wood was not as effective. The LTB infested flour is more attractive from an operational point of view than the oat/rye grain inoculum. In the study the flour was applied dry, however, it is expected that the flour could be suspended in water and applied as a spray. The second growth chamber experiment showed that LTB isolates were more pathogenic to C. canadensis than the unidentified snow mould from Whitecourt. In the limited number of C. canadensis tested there were no apparent differences in susceptibility to either LTB isolates. Conclusion These results show that a selected strain of LTB snow mould, (.tbd.Coprinus psychromorbidus) (ATCC Deposit no 74407) is an effective bio-control agent for C. canadensis. The fungus can reduce grass foliar biomass for up to three years after a single application. LTB infested flour is just as an effective inoculum source as oat/rye grains. The LTB isolates of (.tbd.C. psychromorbidus) tested appear to be equally as pathogenic to C. canadensis.
The invention disclosed relates to a substantially biologically pure isolate of a low temperature basidiomycelte (LTB) fungus, (.tbd.Coprinus psychromorbidus), to a delivery composition comprising the fungus and an agriculturally acceptable carrier capable of supporting growth of the fungus, and to a method for suppressing the growth of Calmagrostis canadensis and other related weed grasses which are hosts to LTB snow mould.
CROSS REFERENCE TO RELATED APPLICATIONS This application claims the benefit of priority under 35 U.S.C. §119 of German Patent Application DE 10 2011 075 252.8 filed May 4, 2011, the entire contents of which are incorporated herein by reference. FIELD OF THE INVENTION The present invention relates to a mixing element for a mixing device in an exhaust gas-conducting pipe of a combustion engine, in particular of a motor vehicle. The invention furthermore relates to a mixing device for an exhaust system of a combustion engine with at least one such mixing element. BACKGROUND OF THE INVENTION Mixing elements are frequently used components in exhaust systems for combustion engines. They are used, in particular with combustion engines of motor vehicles, in order to guarantee a homogeneous thermal and chemical distribution within an exhaust gas flow of the exhaust system. In particular, mixing elements play a fundamental role in the admixing of ammonia-containing additives, such as for example urea or “Adblue” to the exhaust gas. These additives are used in particular for the so-called selective catalytic reduction (SCR) of the exhaust gas, during which harmful nitric oxides are usually converted into nitrogen. Naturally, local concentrations and the local temperature play a decisive role for such reactions. It is therefore of great importance to guarantee a homogenous distribution of the additive and a homogeneous temperature distribution within the exhaust gas additive mixture, in order to have the mentioned reduction reactions in particular take place in a controlled and effective manner. This is usually ensured through mixing elements in the exhaust system. SUMMARY OF THE INVENTION The present invention deals with the problem of providing an improved or at least alternative embodiment for a mixing element of the type mentioned at the outset, which in particular fulfils the demanded mixing demands and is simple to produce. The present invention is based on the general idea of providing at least four vanes with a mixing element of the mentioned type, which are coupled by a connecting section. Here, the connecting section has two sides, which are formed by the surfaces of the connecting section facing away from each other. The invention now utilises the realisation that adjacent vanes, which are located in different space halves separated by the connecting section, achieve an improved mixing of an exhaust gas and an additive and/or an improved temperature distribution within the exhaust gas-additive mixture. Thus, through this arrangement of the vanes, a distribution which in particular is even, i.e. homogeneous, of an additive within the exhaust gas, and/or an improved thermal distribution, i.e. a homogeneous temperature within the exhaust gas-additive mixture is achieved. The invention furthermore utilises the realisation that the production of such a mixing element as shaped sheet metal part is possible, by means of which a particularly favourable production is achieved. In accordance with the inventive idea, a mixing element comprises four vanes, which are coupled together by a connecting section. Here, the connecting section has two sides, which are defined by the surfaces of the connecting section. The connecting section furthermore comprises a longitudinal axis, which because of the extension of the connecting section is defined corresponding to the direction with the greatest extension. The four vanes are now arranged in such a manner, that two vanes which are directly adjacent with respect to the longitudinal axis of the mixing element, are angled off towards different sides of the connecting section. Furthermore, two vanes which are located directly opposite each other with respect to the longitudinal axis of the mixing element, are angled off towards different sides of the connecting section. Furthermore, the mixing element is embodied as shaped sheet metal part, in particular through cutting and bending processes. In an advantageous embodiment, the mixing element is produced from a single continuous sheet metal piece. Here, the production comprises in particular a cutting process and a forming process. The production of the mixing element from a single sheet metal part results in a particularly cost-effective production. The use of sheet metal as material of the mixing element furthermore ensures that the mixing element achieves a long lifespan even with corresponding thermal loading. A further embodiment of the mixing element comprises six vanes. Here, it is likewise true for the vanes of this embodiment that two vanes which are directly adjacent with respect to the longitudinal axis of the mixing element are angled off towards different sides of the connecting section. Two vanes, which with respect to the longitudinal axis of the mixing element are located directly opposite, are likewise angled off towards different sides of the connecting section. As already mentioned, this embodiment can be configured as shaped sheet metal part. In particular, the embodiment can also be produced from a single continuous sheet metal piece, in particular through cutting processes and forming processes. The number of the vanes of a mixing element is governed, according to the laws of fluid dynamics, that a straight number should be preferentially selected. However, mixing elements with an uneven number of vanes likewise belong to the scope of this invention. According to a further advantageous embodiment, the mixing element at least partially contacts a pipe section associated with the mixing element. To this end, at least one of the vanes of the mixing element has an outer contour at a vane edge located on the outside associated with this vane, which is moulded complementarily to an inner contour of the pipe section associated with the respective vane, wherein the outer contour of the vane edge is moulded in such a manner that the associated vane, with the mixing element inserted in the pipe, contacts the pipe with the vane edge. In particular, this serves the purpose of being able to attach the mixing element in a suitable pipe section in a simple and retaining manner. The contact in this case can be both concentrated as well as linear. Through the lower heat transfer between mixing element and pipe section, a concentrated contact with the pipe section has a heat transfer that is different from that of a linear contact, during which the heat transfer between mixing element and pipe section is greater. Here, the exact embodiment of the contact can be adapted to the respective requirements. According to a further embodiment, the connecting section comprises a sweep with respect to the longitudinal axis of the mixing element, which extends transversely to the longitudinal axis of the connecting element. For example, the longitudinal axis of the mixing element in the installed state extends parallel to the main flow direction of the exhaust gas. The longitudinal direction of the connecting section then extends transversely to the main flow direction of the exhaust gas. The sweep is then orientated in the main flow direction or opposite the main flow direction. Such a sweep leads to a corresponding change of the vane orientations. Because of this, a better mixing-through of the exhaust gas-additive mixture is achieved in particular through the generating of an additional swirl. It is pointed out that the vanes and the connecting section can have any shape and size. Here, reference is made in particular to vanes having an elliptical shape of their outer edge. If the vanes each have a pointed shape of the associated vane edges, this results in an improved mixing-through of the additive with the exhaust gas in particular in the case of liquid additives, since the drops of the additive are reduced in size on the pointed vane edges. With an advantageous further development, at least one mixing element according to the invention is integrated in a mixing device for an exhaust system of a combustion engine, in particular of a motor vehicle. Here, the mixing element is arranged in an exhaust gas-conducting pipe of the mixing device or of the exhaust system. Thus, the mixing device ensures an improved mixing-through of the exhaust gas-additive mixture and/or an improved, i.e. homogeneous temperature distribution within the exhaust gas-additive mixture. With a further embodiment, the mixing device comprises an envelope, which envelopes at least one mixing element. Here, the envelope is such that at least one of the vanes of the mixing element has an outer contour on an associated vane edge located on the outside, which contacts this envelope. Here, both concentrated as well as linear contacts serve the objective. Thus, a hold of the mixing element in the envelope is ensured in particular. It is to be understood that with this embodiment a contact between the mentioned vane edge and a pipe section associated with the mixing element is not possible. In a further embodiment, the envelope can be inserted in a pipe section associated with the envelope. Furthermore, the envelope can contact this pipe section, wherein the contact can be concentrated or linear. With this arrangement, the exhaust gas preferably flows through the envelope. Here, in particular in the case of a concentrated contact between envelope and the pipe section associated with the envelope, a thermal insulation in particular between envelope or mixing element and pipe section is ensured. The envelope is optionally equipped with a flange radially arranged on the envelope. Because of this, different exhaust gas-conducting pipe sections, in particular, can be coupled. As flange, reference is made in particular to a beaded gasket, which additionally results in a sealing of the construction. If an envelope with at least one mixing element is located in a pipe section, this pipe section with a further embodiment can have a changed size and/or a changed shape compared to a pipe section without envelope or mixing element. This embodiment, in particular, serves the purpose of making available, through a suitable change of the size or shape of the pipe section with envelope or mixing element, a same cross section conducting exhaust gas in this pipe section. If a mixing device has a plurality of mixing elements, these mixing elements can be arranged one after the other. Here, an arrangement of the mixing elements is preferred for example, wherein the mixing elements in particular overlap one another axially or are arranged partially within one another. It is pointed out that the mixing elements are preferentially arranged in such a manner that their longitudinal axis is arranged parallel to a main flow direction of the exhaust gas-conducting pipe. Embodiments, wherein the longitudinal axes of the individual mixing elements are substantially arranged parallel to the main flow direction are also advantageous. Here respectively two vanes of such a mixing element can be arranged offset transversely to one another along the main flow direction. In addition, embodiments are advantageous in which opposite vanes of the mixing element along the main flow direction are angled in the main flow direction on different sides of the connecting section. This means that the surfaces of the vanes, in particular on the upflow side, are flowed against substantially frontally by the exhaust gas, in order to achieve an intensified mixing-through or respectively swirling of the exhaust gas. If the individual mixing elements have a sweep of their connecting section, this sweep is preferentially designed in such manner that it is positive or negative with respect to the main flow direction. It is to be understood, that the features mentioned above and still to be explained in the following cannot only be used in the respective combination stated but also in other combinations of by themselves without leaving the scope of the present invention. Preferred exemplary embodiments of the invention are shown in the drawings and are explained in more detail in the following description, wherein same reference numbers refer to same or similar of functionally same components. The various features of novelty which characterize the invention are pointed out with particularity in the claims annexed to and forming a part of this disclosure. For a better understanding of the invention, its operating advantages and specific objects attained by its uses, reference is made to the accompanying drawings and descriptive matter in which preferred embodiments of the invention are illustrated. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a lateral view of a mixing element according to the invention; FIG. 2 is a perspective view showing an embodiment of the mixing element; FIG. 3 is a perspective view showing another embodiment of the mixing element; FIG. 4 is a perspective view of a mixing device; FIG. 5 is a longitudinal sectional view through the mixing device showing one of different embodiments; FIG. 6 is a longitudinal sectional view through the mixing device showing another of different embodiments; and FIG. 7 is a longitudinal sectional view through the mixing device showing another of different embodiments. DESCRIPTION OF THE PREFERRED EMBODIMENTS Referring to the drawings in particular, according to FIGS. 1 to 7 , a mixing element 1 comprises at least four vanes 2 , which are coupled to one another through a connecting section 3 . As is shown in FIG. 1 and FIG. 5 to FIG. 7 , the connecting section 3 furthermore has two sides 4 ′, 4 ″, which are facing away from each other. According to the spatial position of the mixing element 1 reflected in FIG. 1 and FIG. 5 to FIG. 7 , the two sides 4 ′, 4 ″ can also be described as top 4 ′ and bottom 4 ″. The mixing element 1 shown in FIG. 1 comprises four vanes 2 , which are connected to one another through the connecting section 3 . Here, both the vanes 2 as well as the connecting section 3 have a plane flat shape. However, curved shapes of the vanes are also conceivable. Furthermore, all vanes 2 have the same size. The vanes 2 are now angled off from the connecting section 3 in such a manner that with respect to a longitudinal axis 5 (see FIGS. 2 to 4 ) of directly adjacent vanes it is true that one of the vanes 2 is angled off towards a side 4 ′ of the connecting section 3 and the other vane 2 is angled off towards the other side 4 ″ of the connecting section 3 . The same applies to vanes 2 directly located opposite with respect to the longitudinal axis 5 , i.e. that one of these vanes 2 is angled off towards a side 4 ′ of the connecting section 3 and the other vane 2 is angled off towards the other side 4 ″ of the connecting section 3 . Here, all vanes 2 have the same angle to the respective sides 4 ′, 4 ″ of the connecting section 3 to which they are angled off. The embodiment of the mixing element 1 shown in FIG. 2 shows four vanes 2 , which are connected to one another through the connecting section 3 . Here, the connecting section 3 has an elongated flat shape, wherein its longitudinal axis 5 runs along the long side. This mixing element 1 is produced from a single continuous work piece, preferentially of sheet metal, in particular through cutting and forming. Here, the sheet metal piece has a flat and round shape prior to the forming. Because of this, all vanes 2 have a straight inner edge which are directed towards the inside of the mixing element 1 and at a vane tip meet a round outer edge of the vane 2 . The respective vanes 2 which are directly adjacent with respect to the longitudinal axis 5 of the connecting section 3 are angled off in such a manner that one of these vanes 2 is angled off towards a side 4 ′ of the connecting section 3 and the other vane 2 is angled off towards the other side 4 ″. The vanes 2 , which are directly adjacent with respect to the longitudinal axis 5 , are also angled off in such a manner that one of the vanes 2 is angled off towards a side 4 ′ of the connecting section 3 and the other vane 2 towards the other side 4 ″ of the connecting section 3 . An embodiment of the mixing element 1 having six vanes 2 is shown in FIG. 3 . This mixing element 1 , too, can be formed of a single continuous flat and round sheet metal piece. Since the vanes 2 are arranged along the longitudinal sides of the connecting section 3 , the outer vanes 2 have straight inner edges, which in a pointed transition meet rounded outer edges of the respective vane 2 . The, on the respective long sides of the connecting piece 3 , middle vanes 2 each have two straight inner edges, which end on vane tips in round outer contours of these vanes 2 . The vanes 2 which with respect to the longitudinal axis 5 of the connecting section 3 are directly adjacent are angled off in such a manner that one of these vanes 2 is angled off towards a side 4 ′ of the connecting section 3 and the other vane 2 is angled off towards the other side 4 ″. The vanes 2 , which with respect to the longitudinal axis 5 are directly adjacent, are angled off in such a manner that one of the vanes 2 is angled off towards a side 4 ′ of the connecting section 3 and the other vane 2 towards the other side 4 ″ of the connecting section 3 . FIG. 4 shows two identical mixing elements 1 of a mixing device 6 . The mixing elements 1 in this case have a sweep 13 with respect to the longitudinal axis 7 of the respective mixing element 1 and are arranged in such a manner that they partially overlap one another radially. The sweep 13 is defined by a first connecting section portion 14 and a second connecting section portion 15 of the connecting section 3 . An angle a is defined by the first connecting section portion 14 and the second connecting section portion 15 . The connecting section 3 has a swept forward edge 17 or a back edge 16 with respect to the longitudinal axis 7 of the mixing element 1 . The longitudinal axis 7 forms a bend axis about which the first connecting section portion 14 and the second connecting section portion 15 are bent. The mixing elements 1 furthermore comprise four vanes 2 each. For the vanes 2 of the respective mixing elements 1 it is true, in this case, that vanes 2 which with respect to the respective longitudinal axis 5 are directly adjacent, are each angled off towards a side 4 ′, 4 ″ of the connecting piece 3 . The same applies to two vanes 2 located directly opposite with respect to the longitudinal axis 5 . The vanes 2 of both mixing elements 1 show straight inner edges, which in a tip meet rounded outer edges of the associated vane 2 . The mixing elements 1 are arranged in a pipe 8 (the pipe 8 is indicated as a line for reasons of representation), wherein the outer edges of the vanes 2 partially contact the pipe 8 . The mixing element 1 of a mixing device 6 shown in FIG. 5 comprises four vanes 2 , which with respect to a longitudinal axis 5 of the connecting section 3 are angled off towards different sides 4 ′, 4 ″ of the connecting section 3 . Here, it is also true that two vanes 2 which with respect to the longitudinal axis 5 are located directly opposite or two vanes 2 which with respect to the longitudinal axis 5 are directly adjacent, are angled off towards different sides 4 ′ and 4 ″ of the connecting section 3 . In the case of the mixing device 6 shown here, the vanes 2 of the mixing element 1 through their outside edges contact an envelope 9 enveloping them. In the process, the mixing element 1 partially fills the envelope 9 axially and in the middle. Furthermore, the envelope 9 is embodied in such a manner that it contacts a pipe 8 associated with this envelope 9 in a linear manner. Here, the envelope 9 contacts the pipe 8 only in a region, in which the mixing element 1 is not located, as a result of which in the region, in which the mixing element 1 is located, a gap between the envelope 9 and the pipe 8 is created. The envelope 9 , furthermore, is axially shorter than the pipe 8 , so that the envelope 9 only partially fills the pipe 8 . The envelope 9 and the mixing element 1 are now arranged in such a manner that the longitudinal axis 7 of the mixing element 1 is arranged parallel to a main flow direction 10 of the exhaust gas of the exhaust gas-conducting pipe 8 symbolised by arrows. Here respectively two vanes 2 of the respective mixing element 1 are arranged offset transversely to one another along the main flow direction 10 , whilst opposite vanes 2 along the main flow direction 10 are angled in the main flow direction 10 on different sides 4 ′, 4 ″ of the connecting section 3 . Therefore, the respective vane stands “in the way” of the onflowing exhaust gas with a substantial surface, so that an intensive swirling or respectively through-mixing of the exhaust gas is brought about. FIG. 6 and FIG. 7 each show a mixing device 6 , each of which comprises two pipe sections 8 ′ and 8 ″. Furthermore, both mixing devices 6 each comprise a mixing element 1 , wherein two vanes which are directly adjacent or located directly opposite with respect to the longitudinal axis 5 of the associated mixing element 1 are each angled off towards different sides 4 ′ and 4 ″ of the associated connecting section 3 . The respective mixing elements 1 are attached in the respective mixing devices 6 in such a manner that their longitudinal axis 7 runs parallel to the main flow direction 10 . Furthermore, the respective mixing elements 1 of the mixing devices 6 are arranged in an envelope 9 and in the process partially fill this envelope 9 axially. The envelope 9 of the mixing devices 6 is designed in such a manner that on one of its sides which corresponds to the left side of the spatial position shown in FIGS. 6 and 7 , it linearly contacts one of the pipe sections 8 ′ in a region in which the mixing element 1 is not located and with its ends facing away from the mixing element 1 is angled off from this pipe section 8 ′. On the other side, i.e. on the right side of the envelope 9 , the envelope 9 linearly contacts the pipe section 8 ′ in a region in which the mixing element 1 is not located. Thus, in the region in which the mixing element 1 is located, a gap is created between the envelope 9 and the pipe section 8 ′. Here, the envelope 9 protrudes over the pipe section 8 ′ axially towards the other pipe section 8 ″. The pipe sections 8 ′ and 8 ″ each have two flanges 11 ′ and 11 ″, which are arranged radially to the associated pipe section 8 ′, 8 ″. Here, the flanges 11 ′ of the pipe section 8 ′ are facing the other pipe section 8 ″ and the flanges 11 ″ of the pipe section 8 ″ are facing the pipe section 8 ″ in such a manner that in each case one of the flanges 11 ′ of the pipe section 8 ′ is located opposite one of the flanges 11 ″ of the pipe section 8 ″. The sleeve 9 furthermore comprises two flanges 12 , each of which are arranged radially to the envelope 9 and are located between the flanges 11 ′ and 11 ″ of the pipe sections 8 ′ and 8 ″. The flanges 12 of the envelope 9 in this case show the form of a beaded gasket. In particular, these embodiments serve for a simplified assembly of a mixing device 6 . The pipe section 8 ′ shown in FIG. 7 , with which the envelope 9 is directly contacted, additionally comprises a variation of the shape and size. Here, the radius of the pipe section 8 ′ in the region in which the envelope 9 or the mixing element is located, is larger than the radius of the other pipe section 8 ″. On the side of the pipe section 8 ′ facing away from the other pipe section 8 ″, i.e. along the main flow direction 10 , the pipe section 8 ′ tapers in a region in which the envelope 9 or the mixing element 1 is not located. Here, the pipe section 8 ′ tapers so far until its radius corresponds to the radius of the other pipe section 8 ″. In particular, this serves for the purpose of making available an adapted cross section of the pipe section 8 ′ for the exhaust gas in a region in which the mixing element 1 and/or envelope 9 is/are located, as in a region in which no mixing element 1 and/or envelope 9 is/are present. While specific embodiments of the invention have been shown and described in detail to illustrate the application of the principles of the invention, it will be understood that the invention may be embodied otherwise without departing from such principles.
A mixing element ( 1) for a mixing device in an exhaust gas-conducting pipe ( 8) of an exhaust system of a combustion engine provides advantageous intermixing of the exhaust gas with an additive and an advantageous temperature distribution within the exhaust gas-additive mixture. The mixing element ( 1) includes at least four vanes ( 2) and a connecting section ( 3). The vanes ( 2) are angled off from the connecting section ( 3). Two vanes ( 2) which, with respect to a longitudinal axis ( 5) of the connecting section ( 3), are directly adjacent or two vanes ( 2) which are located directly opposite, with respect to the longitudinal axis ( 5) of the connecting section ( 3), are angled off towards different sides ( 4', 4'') of the connecting section ( 3). The mixing element ( 1) as well as the connecting section ( 3) and the associated vanes ( 2) are embodied as shaped sheet metal part.
SUMMARY OF THE INVENTION This invention is concerned with a punch for removing a slug from relatively thin gauge material which is constructed to cut the slug into at least two pieces. Another object is a punch of the above type which is inexpensive to manufacture. Another object is a punch of the above type which is balanced laterally or radially so that shearing of the metal or material will occur approximately simultaneously on opposite sides of the punch. Another object is a punch that tends to form the material and bend it so that the material deforms more and the slug splits easier. Another object is a punch of the above type which has an operating face on one end thereof which includes working surfaces defined by four planes. Other objects will appear from time to time in the ensuing specification and drawings. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a top view of the punch; FIG. 2 is a right side view of FIG. 1; FIG. 3 is an end view of FIG. 1; FIG. 4 is a left side view of FIG. 1; and FIG. 5 is a section along 5,5 of FIG. 1. DESCRIPTION OF THE INVENTION In FIGS. 1 through 4 the punch body is indicated generally at 10 with a generally cylindrical exterior 12 defined about a generally upright axis 14 with a central opening 16 which may be threaded for at least a portion thereof, as shown at 18 in FIG. 5. For purposes of orientation herein the face 20 of the punch is the working face and will be referred to as the upper or top face while the opposite face 22 may be generally flat and is not a working face and shall be referred to as the bottom face. In use, however, the punch may be oriented with its axis 14 up, down or sideways. So the use of bottom and top are purely for purposes of orientation. Also, the exterior or circumference of the punch may be relieved as at 24 adjacent the bottom face so that when the punch is used the entire length of the punch will not be dragged or forced through the metal being separated. The working face includes a first working area or surface 26 which, as shown, is generally planar and extends around about half of the working face, i.e. about 180°. As shown in FIG. 3, this surface lies on a plane at a certain angle to the axis 14 so that, as viewed in FIG. 3, the surface rises from a low point 28 to a high point 30. The other side or half of the working face is formed into second and third working surfaces 32 and 34 which are shown as extending circumferentially approximately 90° each with each lying in a plane disposed at an angle to the axis and at oppositely disposed angles so that they intersect each other in what may be considered a trough 36 that is more or less radial. A fourth working surface 38 is separated by the central bore so that it in reality is two surfaces 38 and 40 in FIG. 2 which are defined by a generally vertical plane that coincides with the central axis 14. The line of intersection between the second and third incline surfaces 32 and 34 with the fourth surface 38 and 40, as indicated at 42 and 44, is inclined from the edge or periphery downwardly and inwardly on a diameter which is occasioned by the planes of working faces 32 and 34 being at a greater angle to the axis 14 and the first working surface 26. In addition, the lines 42 and 44 are machined off so as to provide radial flats 46 and 48 in FIG. 1. The result is a peripheral or circular cutting edge 50 which extends around the working face on the exterior of the first, second and third working surfaces as well as the exterior of the radial flats 46 and 48. In addition, it is important that the low point 28 of the first working surface 26 be generally in the same lateral plane as the low point 52 of the trough 36. This is to say that points 28 and 52 would lie generally on the same line or plane that is perpendicular to the axis 14. The use, operation and function of the invention are as follows. It is conventional to form a punch of this general character which is drawn into a cooperating cup or die which are brought together by a bolt and nut, such as in U.S. Pat. No. 2,237,069. With the cup, not shown here, placed on one side and the punch depicted here on the other with the bolt passing through a previously existing hole in the material, the working face of the disclosed punch will cut through the material when the bolt and nut draw them together. The working face of the presently disclosed punch will first penetrate and shear the material on the exterior peripheral high points 54 and 56 of the radial flats 46 and 48. Next, circumferential cutting will take place by the cutting edge of the second and third working surfaces starting at the high points 54 and 56 of the radial flats 46, 48 and moving circumferentially, in FIG. 1 for surface 34 counterclockwise and for surface 32 clockwise. When the point of cutting reaches a plane at right angles to the axis 14 and including line 30 of the first working face 26, the cutting edge of the first working surface 26 will start cutting through the material on opposite sides, clockwise from the top in FIG. 1 and counterclockwise from the bottom. At the same time, cutting will continue by the cutting edges of the second and third working surfaces 32 and 34, counterclockwise for 32 and clockwise for 34 in FIG. 1 but at a slower rate than the rate of cutting of the peripheral edge of the first surface 26. The cutting edge 50 of the first working face 26 will proceed at a more rapid rate than the cutting edge of either working surface 32 or 34 and the cuts on opposite sides of the slug will arrive at the low points 52 and 28 at approximately the same time. While this is happening, the slug is being split in two by the cutting edges of the flats 46 and 48 so that cuts extend radially inwardly to the center opening. These radial cuts start at the same time that the peripheral cuts start by the cutting edges of the second and third working surfaces 32 and 34 and will be completed before the cutting edge 50 of the first working surface 26 starts to cut. The result is a fast, efficient, thorough forming of an enlarged hole in the material with the resulting slug being divided into two parts or pieces so that they can be quickly and easily removed without the assistance of a prying tool after disassembly of the cup, the punch, and the nut and bolt. Whereas the preferred form and several variations of the invention have been shown, described, and suggested, it should be understood that suitable additional modifications, changes, substitutions and alterations may be made without departing from the invention's fundamental theme. It is therefore wished that the invention be unrestricted except as by the appended claims.
This is concerned with a punch sometimes referred to as a draw punch which is constructed and arranged for enlarging holes in a large variety of materials, such as sheet metal, for example in junction boxes and the like where large tools cannot be used. More specifically this is concerned with a punch of the above type which is constructed and arranged to cut the metal or slug into two pieces so that they may be removed from the die without the assistance of a prying tool.
FIELD OF THE INVENTION [0001] This invention relates generally to laminated fabrics, and in particular to a laminated fabric that is gas impermeable, insulated and stretchable. BACKGROUND [0002] Laminated fabrics formed by joining discrete sheets of materials with different properties are well-known in the prior art. Fabrics are generally laminated from multiple layers to create a composite that exhibits a synergy of the characteristics derived from each layer. For example, elastic materials may be combined with a layer that is substantially gas impermeable to achieve a laminate that is substantially gas impermeable with good elasticity. However, it has proved difficult to combine an elastomeric layer with one or more textile fabric layers unless the fabric layers are puckered or gathered when the elastomeric layer is in a relaxed state. Material in a gathered state is impractical for fabric which will be subjected to rigorous conditions because it is stretchable only to the limits of the fabric and assumes a height which may restrict movement and reduce design options. [0003] Similarly, it is known to combine an insulating layer with a layer having wind-breaking properties. Laminates have also been provided having excellent stretch characteristics that are breathable. [0004] There remains a need for a fabric that has good stretch and recovery properties, good insulating characteristics, and which is also gas impermeable. Ideally, such a material would also be flat (not gathered) in its relaxed state and durable. SUMMARY OF THE INVENTION [0005] A laminated fabric according to the invention comprises a composite consisting of four layers. An insulating layer provides excellent insulation, is highly breathable, has good hand properties, is durable, and has superior elastic qualities. [0006] A wicker layer adjacent the insulating layer acts primarily as a wicker, picking up moisture transferred from the insulating layer. Preferably it is comprised of a synthetic fleece material which provides excellent additional insulation and is stretchable. [0007] An elastomeric layer adjacent the wicker layer is highly impermeable to gases, yet retains good elastic behavior. The elastomeric layer preferably comprises an ether-based polyurethane elastomer. [0008] Finally, a cosmetic layer adjacent the elastomeric layer provides cosmetic design options, affords additional protection for the elastomeric layer, and has excellent elastic characteristics. [0009] Each of the layers is joined to the adjacent layer or layers using a polyurethane hot melt adhesive applied in a dot matrix pattern. Application of the adhesive in a dot matrix pattern allows formation of air pockets in the interstices between the points of adhesion, providing valuable added insulating qualities to the laminate. The polyurethane adhesive also is itself stretchable, allowing it to move when the adjacent laminate layers to which it is bonded move. [0010] The laminated fabric is impermeable to gas, warm, dry against the skin, comfortable, stretchable, durable, flat in a relaxed (unstretched) state, and highly resistant to hydrolysis. BRIEF DESCRIPTION OF THE ILLUSTRATIONS [0011] FIG. 1 is a perspective exploded view of the four layers of a preferred laminated fabric according to the invention. [0012] FIG. 2 is a side elevation view of a laminated fabric according to the invention. [0013] FIG. 3 is a perspective view of the wicker layer of the laminated fabric shown in FIG. 2 fully exposed to show the dot matrix pattern of the adhesive. DESCRIPTION OF THE PREFERRED EMBODIMENT [0014] A laminated, impermeable, insulated, stretchable fabric 10 according to the invention comprises four layers: an insulating layer 12 , a wicker layer 14 , an elastomeric layer 16 , and a cosmetic layer 18 . See FIG. 1 . Each layer is joined to its adjacent layer or layers by a stretchable adhesive 20 applied in a dot matrix pattern. See FIGS. 2 and 3 . [0015] In the preferred embodiment of the invention, the insulating layer 12 will be worn next to the skin. Therefore, it must be warm, comfortable, and breathable to moisture exuded from the wearer's body. In accordance with the invention, it must also have good elastic attributes. Materials suitable for the insulating layer include olefin sheets comprised of high density polyethylene or polyester fibers, nylon, and Kevlar® products. Preferably the insulating layer is composed of that fabric product identified as Style 1881C67F1 (the “7F1 fabric”) from Xymid, LLC, 220 GBC Drive, Newark, Del. 19702. The 7F1 fabric has good “hand” qualities making it comfortable to wear against the skin for extended periods, yet it is strong and highly puncture resistant exhibiting a toughness highly desired in challenging environments. High density polyethylene fiber fabrics like Xymid's 7F1 are breathable, allowing water vapor generated by the body to be transmitted away efficiently to the adjacent wicker layer. The 7F1 fabric also has a minimal absorption capacity of approximately one percent making it essentially hydrophobic. This is a significant advantage in the invention because so little of the water vapor generated by a person wearing the invention is retained in the insulating layer that it will be unnoticeable even after a full day's use. [0016] The insulating layer 12 according to the invention is composed of cross-fibers which create air spaces. Applicants have determined that under normal atmospheric pressures the 7F1 fabric exhibits a thermal coefficient that is approximately 33% higher than any product having a comparable weight and thickness. The cross-fibers will initially compress under pressures such as are experienced during deep water diving conditions. However, after about sixty feet the nature of the bonds between the cross-fibers prevents their further compression and corresponding collapse of the air spaces. This is a significant advantage because the insulating qualities of the 7F1 fabric are preserved undiminished at depths below sixty feet. [0017] Lastly, Xymid's 7F1 fabric also has very favorable two dimensional stretch coefficients of 200% in one direction and 160% in the other direction. Because it is stretchable, it need not be gathered when the laminate is in a relaxed state. This permits the laminate to be flat under all degrees of elongation. [0018] Thus, Xymid's 7F1 fabric provides excellent insulating properties, is comfortable to wear, yet tough and durable, breathable, and stretchable. It will be readily appreciated by one of skill in the art that any high density polyethylene sheet material that is breathable, stretchable, and comfortable would be suitable for the insulating layer in the invention. Particularly appropriate are DuPont™ Sontara® spunlaced fabrics, available from the DuPont Corporation, DuPont Building, 1007 Market Street, Wilmington, Del. 19898, in which staple fibers are entangled in a “hydraulic needling” process to form a strong, nonwoven, fabric-like structure which is soft, strong and durable. Another option is Tyvek® fabrics, also available from DuPont, made from high density polyethylene fibers. Tyvek® fabrics are strong, lightweight, flexible, and smooth. [0019] In a preferred embodiment of the invention, a wicker layer 14 is provided adjacent the insulating layer 12 . The wicker and insulating layers are joined with an adhesive 20 discussed in greater detail below. In a preferred embodiment of the invention, the wicker layer is comprised of a synthetic fleece which is breathable and provides good insulation. An excellent selection of synthetic fleeces is available from the Malden Mills Industries, Inc., 1645 Solutions Center, Chicago, Ill. 60677 under the Polartec® Power Stretch® brands in a variety of thicknesses and weights. The Polartec® Power Stretch® fabrics are highly breathable and very effective at drawing off moisture vapor. Thus, the wicker layer efficiently absorbs water vapor transmitted through the insulating layer. Polartec® Power Stretch® fabrics are highly adept at wicking water vapor to the outside edge of the fabric where, under conditions where the fabric is exposed to the air, the water from the water vapor is quickly evaporated. In accordance with the invention, the wicker layer is joined to the elastomeric layer which, being impermeable, will prevent vapors reaching the back side of the wicker layer from evaporating. Under normal conditions of use of the invention the wicker layer will be cooler than the insulating layer. Therefore, water vapor migrating from the insulating layer into the wicker layer will condense and accumulate as water. Applicants have determined that a wicker layer of Polartec® Power Stretch® fabric of a thickness and volume equivalent to that which would be appropriate for inclusion in an average wet suit will hold up to a quart and a half of water. Under normal levels of exertion, this absorption capacity is well in excess of the water vapor which would be generated by one diving in such a suit. [0020] The Polartec® Power Stretch® fabrics provide improved insulation without the weight and bulk of traditional fabrics. The insulating ability of Polartec® Power Stretch® fabrics is at its best when they are dry; their insulating capability diminishing as the material becomes saturated with water. However, the absorption capacity of the wicker layer is so much higher than the volume of water which it is anticipated would be generated during any single usage, that the insulating qualities of the wicker layer would be negligibly diminished. The combination of the wicker layer 14 adjacent the insulating layer 12 serves to maintain warmth adjacent the body while keeping the skin dry. [0021] Polartec® Power Stretch® fabrics, as suggested by their brand name, also have good two-dimensional stretch qualities and excellent hydrolytic properties. [0022] According to a preferred embodiment of the invention the elastomeric layer 16 is disposed adjacent the wicker layer 14 . The elastomeric layer is joined to the wicker layer with an adhesive 20 discussed in greater detail below. The primary function of the elastomeric layer is to provide good barrier properties to water and gases. It is known that polyester has good stretch properties, but its impermeability to gases diminishes undesirably as it is stretched. Nevertheless, in applications where stretch requirements are not stringent, polyester could comprise the elastomeric layer. [0023] In the preferred embodiment the elastomeric layer is comprised of an ether-based polyurethane sheet. Polyurethane is a cross-linked high polymer material with good elastic behavior. A distinct advantage to polyurethane is that it has excellent impermeability to gases even when stretched. Although polyurethane cannot be stretched as much as polyester, its good elasticity combined with its excellent gas barrier properties, high tensile strength, excellent abrasion resistance, and ease of lamination, make it an ideal material for combination with the insulating and wicker layers to achieve the purposes of the invention. A suitable product for the elastomeric layer is Dureflex™ polyurethane film number PT6300 (“PT6300”), a polyether-type polyurethane film which can be obtained from Deerfield Urethane, Inc., P.O. Box 186, South Deerfield, Mass. 01373, in a preferred thickness of 8 mils. Duraflex™ films have a tensile strength of 4000-10000 (as measured in accordance with ASTM Method D-412), an ultimate elongation of 350-800 (as measured in accordance with ASTM Method D-412), and tear strength of 300-700 (as measured in accordance with ASTM Method D-624), providing excellent tensile strength, superior elongation, and good tear strength compared to other materials such as neoprene, natural rubber and low density polyethylene. Depending on the intended application, other polyether type polyurethane films may be employed in the invention. However, PT6300 has very high tensile strength at 8000, superior ultimate elongation of 650, and good elasticity (ratings assume a material thickness of 5 mils). Additionally, it has the significant advantage that it is gas impermeable under all hydrolysis pressures experienced under normal sport scuba diving depths. [0024] The cosmetic layer 18 , according to the invention, preferably comprises spandex fabric, a material that is comprised of a long-chain synthetic polymeric fiber. Soft and rubbery segments of polyester of polyether polyols allow spandex fibers to stretch up to 600% and then recover to their original shape. In addition to its excellent stretch properties, spandex fabric has good tensile strength, is light weight, holds colors, and has favorable hydrolytic stability. Spandex fabric is available from DuPont and an increasing number of other manufacturers. The cosmetic layer therefore provides additional protection for the elastomeric layer, enhanced cosmetics, and durability in wet conditions. [0025] The cosmetic layer 18 is joined to the elastomeric layer 16 with an adhesive 20 discussed below. [0026] In another embodiment of the invention, the cosmetic layer is eliminated, leaving the insulating 12 , wicker 14 and elastomeric 16 layers. This embodiment retains most of the qualities of the four-layer preferred embodiment at the expense of cosmetic design options and a measure of protection for the elastomeric layer 16 . [0027] As shown in FIG. 2 , each layer in the laminate is adhesively bonded to its adjacent layer. According to a preferred aspect of the invention, each pair of layers is joined using an adhesive 20 applied in a dot matrix pattern. See FIGS. 2 and 3 . This results in three significant advantages. First, as adjacent materials stretch each point of adhesion separates from neighboring points, permitting the material in the layers interposed between the points to stretch unimpeded by the adhesive. Second, air spaces are formed between the points of adhesion. Accordingly, approximately fifty percent of the area between each pair of layers is occupied by air spaces thereby significantly enhancing the insular qualities of the laminate as a whole. Third, preserving spaces between points of adhesion promotes transfer of vapors from the insulating layer to the wicker layer. [0028] In the preferred embodiment of the invention the adhesive is itself stretchable. A suitable adhesive is a polyurethane hot melt adhesive available from Forbo Adhesives, LLC, P.O. Box 110447, Research Triangle Park, NC 27709-0497, under its Swift® Products brand, identified as Ever-Lock® 2U222 (“2U222”). 2U222 is specifically formulated as a laminating adhesive for membranes. In addition to being stretchable, 2U222 exhibits excellent hydrolytic stability and wash resistance. [0029] In tests by applicant, samples of the preferred embodiment have exhibited a stretch capacity in the length dimension of up to 79%, averaging 70%, and in the width dimension of up to 100%, averaging 94.5%.
A laminated sheet material comprises an insulating layer having a high thermal coefficient and efficient hydrophobic properties, a wicker layer adjacent the insulating layer having efficient hydrophilic properties, an elastomeric layer adjacent the wicker layer which is substantially impermeable to moisture and has good elasticity. An adhesive having good elastic properties substantially continuously joins the insulating, wicker and elastomeric layers together and is breathable between the insulating and wicker layers. In an alternate embodiment a cosmetic layer is joined to the elastomeric layer.
RELATED APPLICATION DATA This patent is related to U.S. Provisional Patent Application Ser. No. 60/335,994, which was filed on Nov. 15, 2001, now abandoned. FIELD OF THE INVENTION The present invention generally relates to valves, and more particularly to a replaceable valve seat ring for fluid flow valves. BACKGROUND OF THE INVENTION Fluid valves are used in a wide range of fluid process and control system applications for controlling various flow parameters of a process fluid. A wide variety of valve types are known and can include, for example, dump valves, control valves, throttling valves, and the like. Similarly, fluid process and control systems are utilized for handling a myriad of different fluid media. A typical valve has a fluid inlet coupled through a flow control or orifice region to a fluid outlet. A closure device of some kind is typically provided in the flow control region with a portion that is movable to control fluid flow from the valve inlet to the valve outlet. The movable portion is often a valve plug that can be moved to bear against a corresponding fixed seating surface of the closure device to selectively shut off flow of fluid through the valve. During continued use of such a valve, the seating surface of the closure device inevitably becomes worn or damaged. Inadequate flow shut off of the closure device will result, causing poor performance or failure of the valve. Thus, the valve seating surface must eventually be repaired or replaced, or the entire valve must be replaced to again achieve proper function of the valve. In one known example, a valve seat ring has a seating surface and is disposed within a flow control or orifice region of the valve. The seat ring is removable in order to replace the seat ring or to repair the seating surface. This type of removable seat ring has a hex-shaped head extending upward from a top surface of the ring. The hex head is adapted to accept a particular standard size hex socket or wrench for installing or removing the ring. However, the upwardly protruding hex head negatively interferes with fluid flow through the orifice region of the valve, and the technician must have the particular tool size on hand. In another known example, a pair of small blind bores formed into the top surface of the seat ring. These bores are adapted to receive two spaced apart prongs of a specialized tool to install or remove the ring. The technician must have access to this specialized tool at all times in order to service this type of valve. BRIEF DESCRIPTION OF THE DRAWINGS Objects, features, and advantages of the present invention will become apparent upon reading the following description in conjunction with the drawing figures, in which: FIG. 1 is a cross sectional view of one example of a dump valve having a replaceable valve seat ring constructed in accordance with the teachings of the present invention. FIG. 2 is a perspective view of the seat ring of the dump valve shown in FIG. 1 FIG. 3 is a top view of the seat ring shown in FIG. 2 . FIG. 4 is a side cross sectional view taken along line IV—IV of the seat ring shown in FIG. 3 . FIG. 5 is a side cross sectional view taken along line V—V of the seat ring shown in FIG. 3 . FIG. 6 is a side cross sectional view of the seat ring as shown in FIG. 4 and having a standard extension of a socket wrench installed in the seat ring for installation or removal from the dump valve shown in FIG. 1 . DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS A valve seat ring for a fluid valve or the like is disclosed herein that is easily installed, removed, and replaced utilizing conventional hand tools. The disclosed valve seat ring is suitable for many different types of valves. The example set forth herein is described with reference to what is known as a sliding stem type “dump” valve construction. However, the disclosed seat ring is equally well suited for many other types and constructions of valves, such as, for example, control valves, throttling valves, or the like. The present disclosure is not to be limited to any particular type of valve. The disclosed seat ring includes a region for accepting a standard size and shape socket wrench extension. The standard socket wrench can be utilized to remove and install a seat ring in the valve as desired. The valve seat ring also provides smooth flow characteristics in conjunction with the tool accepting region. Referring now to the drawings, FIG. 1 shows one example in cross section of a sliding stem type dump valve 10 constructed in accordance with the teachings of the present invention. The dump valve 10 has a valve body 12 with a fluid inlet 14 at one end and a fluid outlet 16 at an opposite end. The fluid inlet is in communication with an inlet passageway 18 and the fluid outlet is in communication with an outlet passageway 20 . Each of the inlet and outlet passageways 18 and 20 , respectively, meet generally within the valve body 12 and are in communication with one another through an orifice region 22 . The valve 10 has a valve plug 24 coupled to a valve stem 26 at one end. The valve stem 26 is coupled at its opposite end to an actuator (not shown) that can move the valve stem and plug along a longitudinal axis of the stem. The valve plug 24 has a seating surface 28 which comes in contact with and bears against a valve seat ring 30 when in a valve closed position. The valve seat ring 30 is installed in the orifice region 22 as is described in greater detail below. During operation of the dump valve 10 , the actuator (not shown) moves the valve stem 26 and valve plug 24 toward and away from a seating surface 32 of the seat ring 30 to close and open, respectively, the dump valve to permit fluid flow from the inlet 14 to the outlet 16 through the passageways. In accordance with the teachings of the present invention, the seat ring 30 disclosed in FIG. 1 is removably installed within the orifice region 22 of the valve body 12 . The orifice region 22 has a bore 34 extending between the inlet passageway 18 and the outlet passageway 20 . The bore 34 has female mechanical threads 36 formed axially along and circumferentially around at least a portion of the bore. As shown in FIGS. 2-5, the seat ring 30 has a circular cylindrical perimeter or circumferential surface 38 with male threads 40 that correspond to the female threads 36 of the bore 34 . As shown in FIG. 1, the seat ring 30 as installed is threaded into the bore 34 . By rotating the seat ring 30 in one direction relative to the bore 34 , the ring can be installed in the orifice region 22 . By rotating the seat ring in the opposite direction relative to the bore 34 , the seat ring 30 can be removed and replaced. As shown in FIGS. 2 and 3, the seat ring 30 defines a flow orifice 42 through the orifice region 22 when installed. Fluid passes through the orifice 42 of the seat ring 30 when the valve plug 24 is in the valve open position spaced from the seating surface 32 of the ring 30 . The disclosed flow orifice 42 of the ring 30 is a circular orifice, although the shape of the orifice can vary according to the needs of a particular valve design and to achieve desired flow characteristics. An inlet end of the orifice 42 corresponds with the seating surface 32 of the ring 30 . Further details of the disclosed seat ring 30 constructed in accordance with the teachings of the present invention are described with reference to FIGS. 3-5. The larger diameter portion of the perimeter surface 38 of the seat ring 30 , including the male mechanical threads 40 , is formed as a circular cylinder. The seat ring 30 also has a smaller diameter, necked-down end 44 adjacent the outlet end of the orifice 42 . The necked-down end 44 is received in a corresponding smaller diameter portion 45 of the bore 34 when installed. The purpose of the necked-down end 44 is to properly position the seat ring 30 in the orifice region 22 and to align the seat ring with the smaller diameter portion 45 of the bore 34 . An annular shoulder surface 46 extends between and connects the necked-down end 44 and the perimeter threaded surface 38 of the ring. When installed as shown in FIG. 1, the shoulder surface 46 of the ring 30 bottoms against a corresponding ledge or stop surface 48 within the bore 34 . The shoulder surface seats against the ledge surface to precisely position the installed seat ring 30 in the bore 34 of the valve body 12 . Though mechanical threads are disclosed herein as a mechanical engaging device for installing the valve seat, other mechanical means for securing the valve seat in place can also be utilized. For example, a key and way system can also be used where the seat and a part of the orifice region engage with one another by a twist-and-lock motion. Other alternative constructions are also certainly within the spirit and scope of the invention. As best illustrated in FIGS. 4 and 5, the flow orifice 42 in this example extends only part way through the thickness or height of the ring 30 . The inlet end of the orifice 42 opens into and communicates with a larger sized tool receptacle or recess 50 . The tool recess 50 is formed into a top surface 52 of the ring to a desired depth. The tool recess 50 in this example terminates at an intermediate surface 54 within the body of the ring 30 . The intermediate surface generally lies in the plane of the inlet end of the flow orifice 42 . The seating surface 32 is formed as a recessed annular surface in the intermediate surface and surrounds the inlet end of the flow orifice 42 . In the disclosed example, the tool recess or accepting region 50 is an essentially square opening having four sides 56 a , 56 b , 56 c , and 56 d . The four sides are generally vertically oriented, although the sides can be slightly tapered at a draft angle for casting or forming purposes. In this example, the four sides 56 a - 56 d and the intermediate surface 54 together define the tool recess 50 having a shape that corresponds to a standard socket wrench extension. In one example, a standard three-quarter inch socket extension can be inserted directly into the tool recess for removing or installing the seat ring 30 (see FIG. 6 and the description below). In other examples, the tool recess 50 can be configured to accommodate different sized socket extensions such as a standard half-inch or three-eighths inch extension size. In still other examples, the tool recess 50 can be configured to accommodate a different standard configuration tool head other than a socket extension, such as a TORX head, ALLEN wrench, hex head, or other standard configuration. Because the disclosed seat ring 30 requires only a standard socket extension, the seat ring eliminates the need for purchasing, maintaining, and storing a special tool or a particular sized tool for installing or removing the ring. Instead, only a standard socket extension, common to nearly every technician's tool box, is sufficient for installation and removal of the valve seat ring. FIG. 6 illustrates a a standard socket extension 58 including an extension head 60 received in the tool recess 50 of the seat ring 30 . Aside from the improved installation and removal aspects of the valve seat ring 30 , the ring also provides substantially improved, smooth fluid flow characteristics. For example, the tool recess 50 only extends part way into the valve seat ring 30 and includes no part or element that protrudes upward from the ring top surface or inward into the flow orifice 42 . This seat ring design is thus a substantial improvement over many prior designs which have a protruding feature adapted for accepting a particular tool configuration, as described above. The disclosed seat ring 30 provides improved, smooth fluid flow characteristics for additional reasons as well. As shown in FIGS. 4 and 5, the flow orifice 42 is tapered slightly radially outward moving from the inlet end to the outlet end. The radial outward taper of the orifice 42 can achieve certain flow characteristics through the orifice and can be varied, eliminated, or otherwise altered in order to achieve particular desired flow characteristics. Other alternative flow orifice size, and shape configurations are also within the scope and spirit of the present invention. As one example, the separate and discrete flow orifice 42 can be eliminated and the tool recess 50 can extend the entire depth of the seat ring 30 and act as a full length flow orifice. However, in such an example, the valve plug and tool recess must be configured so as to ensure proper seating of the plug to the valve seat to achieve flow shut off. The contours of the tool recess in such an example can be suitably smooth and gradual so as not to severely affect flow characteristics through the recess. In the disclosed example, as best illustrated in FIGS. 4 and 5, the top surface 52 of the seat ring 30 is tapered slightly downward moving from near the perimeter surface 38 toward the sides 56 a - 56 d of the tool recess 50 . The top surface transitions to the side surfaces 56 a - 56 d of the recess at smooth, rounded edges or surfaces 62 . The side surfaces 56 a - 56 d again smoothly transition at smooth, rounded interior bottom corners 64 into the intermediate surface 54 . The sides 56 a - 56 d also transition laterally into one another at smooth, rounded corners 66 . The intermediate surface 54 is also angled or tapered slightly downward moving from the tool recess sides 56 a - 56 d toward the seating surface 32 at the inlet end of the flow orifice 42 . The intermediate surface 54 and the seating surface 32 smoothly transition into the flow orifice 42 . The wall of the orifice 42 , as described above, also tapers radially outward moving toward the necked-down end 44 of the seat ring 30 . All of these smooth and slightly tapered surfaces and smooth corners provide smooth flow paths for fluid passing through the orifice region 22 of the valve 10 . The smooth flow surfaces prevent formation of unstable or turbulent pockets of fluid that can detrimentally affect flow characteristics and performance of the valve. The replaceable valve seat ring 30 as disclosed herein permits use of a standard socket wrench or other such standard tool for installation and removal of the seat ring from a valve 10 for repair or replacement when damage to the ring has occurred. In addition, the disclosed seat ring produces much improved fluid flow characteristics both over and through the seat ring as compared to prior known replaceable valve seat designs. As will be evident to those having ordinary skill in the art and as noted above, the tool recess 50 can vary from that disclosed. As a further example, the recess can be a six-sided recess for accepting a tool such as an ALLEN wrench and yet perform adequately as disclosed herein. Other variations to the seat ring are also possible. Although the seat ring disclosed herein can be fabricated from numerous different materials, one preferred material is a sufficiently hard and exceptionally durable material known as Alloy 6. Although certain replaceable valve seat rings have been disclosed and described herein in accordance with the teachings of the present invention, the scope of coverage of this patent is not limited thereto. On the contrary, this patent covers all embodiments of the teachings of the invention that fairly fall within the scope of permissible equivalents.
A replaceable valve seat ring for a valve assembly has an annular ring body and an open flow passage extending through the ring body. A seating surface is provided on the ring body adjacent one end of the flow passage. A tool accepting region of the flow passage is formed concentric with and at least partially along the flow passage. The tool accepting region is adapted to receive a standard tool head therein for installing and removing the valve seat ring.
BACKGROUND OF INVENTION 1. Field of the Invention The present invention relates generally to a system for minimizing the deleterious impact of oil-derived phosphorus containing compounds on automotive exhaust aftertreatment systems. 2. Background of the Invention Automotive oils typically contain a zinc dialkyldithiophosphate (ZDDP) additive which forms an antiwear coating on engine components and acts as an antioxidant in the oil. Although engines are designed to minimize the quantity of engine oil exiting the engine via the combustion chamber and exhaust system, it is inevitable that a small fraction of engine oil is released by this mechanism. The ZDDP additive of engine oil deleteriously affects catalytic converters due to phosphorus from the ZDDP interfering with active sites within the catalyst. These phosphorus containing species deposit onto, or react with washcoat components, such as aluminum oxide and cerium oxide, and remain there indefinitely. This phenomenon is commonly referred to as phosphorus poisoning. Measures to eliminate or reduce ZDDP in engine oils have been investigated. Alternatives to ZDDP have been produced which have been shown to provide antioxidant and antiwear properties similar to ZDDP. However, they are cost prohibitive. Engine oils may be formulated with a lesser amount of ZDDP with the consequences that engine wear and oil oxidation increase, the former limiting engine life and the latter reducing useful oil life. The inventor of U.S. Pat. No. 5,857,326 has disclosed an exhaust poison trap which comprises a helical wall dividing the exhaust chamber into longitudinal helical passages for exhaust gas flow and porous means covering the interior of the peripheral wall. The inventor of '326 teaches that exhaust gas is directed in a helical path causing particulate matter in the gas to be accelerated outwardly by centrifugal force and trapped in the porous means. The inventor of the present invention has recognized several limitations of the approach in '326. The helical passages cause the exhaust gases to be rotated and particles that have a diameter less than a certain size follow the flow and avoid being trapped in the porous means near the walls of the tube and larger particles impact the porous means near the walls. The device disclosed in '326 has the capability of causing only the largest particles to be removed. The figures in '326 indicate that the helical wall causes the flow to rotate through at least two revolutions and as many as four revolutions. The length of the exhaust poison trap is approximately two to four pipe diameters long with the disadvantages of complicating the packaging of the exhaust poison trap and increasing the weight of the trap, the thermal mass of which interferes with the desire to bring the catalytic converter to its operating temperature as soon as possible after starting the engine to control cold start emissions. SUMMARY OF INVENTION Disadvantages of prior art are overcome by an exhaust aftertreatment system for a spark-ignition, reciprocating internal combustion engine having a catalytic converter in an exhaust duct of the engine which receives an exhaust gas stream from the engine. The system comprises a trap in the exhaust duct located upstream of the catalytic converter. The trap is made of a porous ceramic or metallic material having an average pore size greater than about 80 micrometers. The porous material substantially fills the cross-section of the exhaust duct and has a volume of than 10% of a swept volume of the engine's cylinders coupled to the trap. Exhaust gases undergo multiple, random turns in traveling from an upstream side to a downstream side of the trap. The trap is located within 15 centimeters of the catalytic converter. An exhaust gas component sensor is placed downstream of the phosphorus trap. Also disclosed is an exhaust aftertreatment system for processing exhaust gases from a reciprocating internal combustion engine, which includes a catalytic converter disposed in an exhaust duct of the engine. The catalytic converter has channels for conducting exhaust gases from an upstream end to a downstream end. The channels are substantially parallel to each other and parallel to a direction of flow through the catalytic converter. The catalytic converter has a ceramic or metallic porous material disposed within the channels from the upstream end of the catalytic converter for a predetermined distance along the catalytic converter. The porous material has randomly oriented passageways causing the exhaust gases to undergo multiple turns in the course of being transmitted through the porous material. Also disclosed is an exhaust aftertreatment system for a reciprocating internal combustion engine comprising a phosphorus trap in an exhaust duct of the engine made of a porous material and substantially filling the cross-section of the exhaust duct. The porous material has an average pore size greater than a predetermined pore size and has randomly oriented passageways forcing exhaust gases passing through to undergo multiple turns. The system also has a catalytic converter disposed in the exhaust duct of the engine located downstream of the phosphorus trap and an electronic control unit operably connected to the engine. The electronic control unit provides an indication of an amount of phosphorous containing material trapped in the phosphorus trap and raises temperature in the phosphorous trap above a predetermined temperature when the amount of phosphorous containing material exceeds a predetermined quantity. The indication is based on time of operation or a value of an engine parameter since the predetermined temperature has been achieved. A primary advantage of the present invention is that phosphorus contamination of the exhaust aftertreatment system can be decreased by approximately 60% in the absence of taking other preventative measures, which are costly. Reduced phosphorus contamination, as provided by the present invention, allows the catalyst to operate at high conversion efficiency over the life of the vehicle. The inventors of the present invention have recognized that the effectiveness of the phosphorus trap is improved if it operates at a temperature close to the temperature of the catalytic converter. Thus, another advantage of the present invention is higher capture efficiency of deleterious phosphorus containing particles by placing the phosphorus trap in close proximity to the catalytic converter. Another advantage of the present invention is that it removes particles of smaller diameter than prior approaches and does so with a neglible pressure drop across the phosphorus trap. Yet another advantage of the present invention is that vehicles with unusual driving patterns may be operated in such a way to allow such vehicles to also benefit from the present invention. The present invention may also be used to advantage combined with quick warmup strategies such as cold start spark retard and exhaust port oxidation. The inventors of the present invention have recognized that the phosphorus trap may be much smaller than in prior approaches. The smaller size affects the warmup time of the exhaust system less than larger traps, a decided advantage in preventing cold start emissions. Another advantage of the present invention is that, if the phosphorus trap is placed upstream of an exhaust gas oxygen sensor or other exhaust component sensor, deterioration of the sensor is prevented or slowed. Without a phosphorus trap located upstream of a catalytic converter, the converter volume is chosen which provides sufficient conversion capacity over the targeted lifetime. An advantage of the present invention is that the volume can be reduced because the phosphorus trap protects the catalytic converter from phosphorus poisoning. Yet another advantage is that, if the phosphorus trap is coated with a washcoat, it can provide some additional conversion capability, particularly during cold start. The above advantages, other advantages, and features of the present invention will be readily apparent from the following detailed description of the preferred embodiments when taken in connection with the accompanying drawings. BRIEF DESCRIPTION OF DRAWINGS The advantages described herein will be more fully understood by reading an example of an embodiment in which the invention is used to advantage, referred to herein as the Detailed Description, with reference to the drawings wherein: FIG. 1 is a schematic of an engine equipped with a phosphorous trap according to an aspect of the present invention; FIG. 2 shows a portion of a cross-section of a catalytic converter with a phosphorus trap integrated into the channels of the catalytic converter according to an aspect of the present invention; FIG. 3 shows a representative structure of a phosphorus trap; FIG. 4 is a flowchart of a method for operating an internal combustion engine according to an aspect of the present invention; and FIG. 5 is a flowchart of a method for operating an internal combustion engine according to an aspect of the present invention. DETAILED DESCRIPTION An engine 10 equipped with a phosphorous trap 30 according to an aspect of the present invention is shown in FIG. 1 . Engine 10 is supplied air through intake manifold 12 past throttle valve 14 and is supplied fuel through fuel injectors 16 spraying fuel into intake manifold 12 . The configuration shown in FIG. 1 is commonly referred to as port fuel injection. The present invention also applies to direct fuel injection, in which fuel injectors 16 are installed directly in cylinders 32 , central fuel injection, in which a single fuel injector 16 is placed in intake manifold 12 closer upstream of where intake manifold 12 separates into individual runners supplying individual cylinders 32 , carburetion, and other fuel supplying devices. Ignition is provided by spark plugs 18 . The exhaust gases are expelled through exhaust manifold 28 , into phosphorus trap 30 , into catalytic converter 26 , and exhausted to the atmosphere. Sensor 24 is an exhaust gas component sensor, preferably an exhaust gas oxygen sensor. Alternatively, sensor 24 is a NOx sensor, HC sensor, CO sensor, or other component sensor. ECU 40 is provided to control engine 10 as shown in FIG. 1 . ECU 40 has a microprocessor 72 , called a central processing unit (CPU), in communication with memory management unit (MMU) 74 . MMU 74 controls the movement of data among the various computer readable storage media and communicates data to and from CPU 72 . The computer readable storage media preferably include volatile and nonvolatile storage in read-only memory (ROM) 76 , random-access memory (RAM) 80 , and keep-alive memory (KAM) 78 , for example. KAM 78 is used to store various operating variables while CPU 72 is powered down. The computer-readable storage media may be implemented using any of a number of known memory devices such as PROMs (programmable read-only memory), EPROMs (electrically PROM), EEPROMs (electrically erasable PROM), flash memory, or any other electric, magnetic, optical, or combination memory devices capable of storing data, some of which represent executable instructions, used by CPU 72 in controlling the engine or vehicle into which the engine is mounted. The computer-readable storage media may also include floppy disks, CD-ROMs, hard disks, and the like. CPU 72 communicates with various sensors and actuators via an input/output (I/O) interface 70 . Examples of items that are actuated under control by CPU 72 , through I/O interface 70 , are fuel injection timing, fuel injection rate, fuel injection duration, throttle valve 14 position, spark plug 18 timing, and others. Sensors 42 communicating input through I/O interface 70 may be indicating engine rotational speed, vehicle speed, coolant temperature, intake manifold 12 pressure, pedal position, throttle valve 14 position, air temperature, exhaust temperature, and air flow. Some ECU 40 architectures do not contain MMU 74 . If no MMU 74 is employed, CPU 72 manages data and connects directly to ROM 76 , RAM 80 , and KAM 78 . The present invention could utilize more than one CPU 72 to provide engine control and ECU 40 may contain multiple ROM 76 , RAM 80 , and KAM 78 coupled to MMU 74 or CPU 74 depending upon the particular application. Catalytic converter 26 is commonly called a three-way catalyst which can process NOx, hydrocarbons, and CO, although the invention can potentially be used with a wide variety of catalyst systems including those for lean-burn engines, diesel engines, and various alternatively fueled vehicles among others. Although only one converter is shown in FIG. 1, it should be appreciated that most vehicles contain multiple catalyst elements, sometimes in the same converter housing and sometimes in separate converters. V engines often contain separate catalytic converters coupled to each engine bank of engine cylinders. In addition, typical converter systems consist of a catalyst mounted close to the engine (light-off converter) and one or more converters located downstream in either so-called to-board or underbody positions. In the present invention, the converter of greatest inters is the light-off converter because this is the one in which the majority of the poison species are captured. Typical three-way catalysts are comprised of extruded ceramic or metallic material forming a myriad of parallel passageways of about 1 millimeter in hydraulic diameter. The extruded substrate is treated to provide precious metals on the surface of the passageways through the substrate via high-surface-area washcoat components such as aluminum oxide, cerium oxide, and zirconium oxide. In particular, the cerium and zirconium oxide materials, and combinations of the two, constitute oxygen storing species which improve the efficacy of the conversion process. When these oxygen storage sites are occupied by phosphorus containing compounds, the number of oxygen storage sites that can be used for aiding in converting CO, NOx, and hydrocarbons is decreased. Alternatively, phosphorus species can react with aluminum oxide to form aluminum phosphate, thereby causing densification of the washcoat structure, pore blocking, and occlusion of active noble metals. Yet another mechanism by which phosphorus species can interfere with catalyst effectiveness is through the formation of an overlayer on the surface of the washcoat. This overlayer generally consists of various phosphate compounds of zinc, calcium, and magnesium, and can impede the diffusion of the reactive gases from the bulk gas stream to the active sites within the washcoat layer. If phosphorus contamination continues, in time, the effectiveness of catalytic converter 26 is seriously impaired. In FIG. 1, catalytic converter 26 is shown separated from phosphorus trap 30 and exhaust gas oxygen sensor 24 is placed downstream of phosphorus trap 30 . Like catalytic converter 26 , exhaust gas oxygen sensor 24 is treated with precious metals bonded onto its surface to catalyze the reaction of CO, NOx, and hydrocarbons. Exhaust gas oxygen sensor 24 is also harmed by contamination by phosphorus containing species. Thus, an advantage of the configuration shown in FIG. 1 is that exhaust gas oxygen sensor 24 is protected from deterioration by phosphorus species. Alternatively, phosphorus trap 30 is placed within the catalytic converter 26 housing at the upstream end of catalytic converter 26 (configuration not shown). In this configuration, exhaust gas oxygen sensor 24 is located upstream of both catalytic converter 26 and phosphorus trap 30 and is not protected from phosphorus contamination. Referring now to FIG. 2, another alternative configuration is shown. As described above, catalytic converter 26 contains many parallel passageways along its length, as shown in FIG. 2 . According to an aspect of the present invention, the porous material, of which phosphorus trap 30 is comprised, is inserted into the upstream end of the passageways. The inventors of the present invention have recognized that unburned or partially oxidized engine oil containing ZDDP additive, condenses in the exhaust gas when the temperature is lower than about 200° C. Such condensable material is captured by the catalytic converter with high efficiency unlike more fully oxidized phosphorus containing species which exist in the vapor form and have greater likelihood of passing through the catalytic converter without being captured. The mechanism, by which catalytic converter 26 is harmed, is that the unburned or partially oxidized phosphorus containing species condense on the surfaces of catalytic converter 26 . Catalytic converter 26 contains high-surface-area components such as aluminum oxide and cerium oxide, on the surface. Unoxidized and partially oxidized phosphorus species (arising from ZDDP additive in the oil) adsorb onto these components. It is believed that the phosphorus species and the washcoat components form chemical bonds. Based on the present day state of the art, no in situ, cost effective measure of breaking those chemical bonds has been determined. Thus, oxygen storage sites that have been contaminated by phosphorus compounds are essentially unrecoverable, i.e., they are no longer able to participate in catalytic reactions. The inventors of the present invention have performed laboratory experiments showing contamination or capture efficiency of the partially oxidized or unoxidized phosphorus species of at least 50% and possibly up to nearly 100% in catalytic converter 26 . When exhaust temperature exceeds about 400-500° C., partially oxidized or unoxidized phosphorus species largely react to form fully oxidized phosphates or species, which are more oxidized, such as phosphoric acid, phosphorus pentoxide, and a dimer of phosphorus pentoxide. These species are vapor phase, even at temperatures below 200-250° C. These species do not normally condense until the exhaust gas temperature falls to levels below 80-100° C. where condensation occurs along with condensation of water from the exhaust gases. The inventors of the present invention have found that the capture efficiency of these vapor phase phosphorus compounds and the phosphate related particulates (eg., zinc phosphate) is less than about 20% in catalytic converter 26 and the phosphates are largely benign. Thus, the inventors of the present invention have recognized that if harmful condensable phosphorus species can be prevented from entering the catalytic converter when the temperature is less than 200-250° C., when the exhaust system subsequently achieves a temperature exceeding 400° C., harmful condensable phosphorus species react into the less harmful vapor species or to the nearly harmless phosphates. The probability of the phosphorus materials poisoning catalyst 26 reduces from 50% to 20% if reacted to the vapor species or to much less than 20% if reacted to phosphates. The poisoning risk to catalyst 26 is reduced by more than 60%. The oxidation temperature for the phosphorus species is in the range of 200-250° C. Below, the temperature 225° C. is used to indicate this range. In engines equipped with three-way, oxygen-storing catalytic converters exhaust temperatures are below 225° C. only during cold start and extended idle periods. Thus, if condensable phosphorus species are collected in trap 30 prior to entering catalyst 26 , these condensable phosphorus species convert to less harmful species when the temperature in trap 30 rises above 225° C. Thus, the trap regenerates spontaneously when exhaust temperature achieves normal operating temperature. The inventors of the present invention have recognized that only a small amount of the condensable phase phosphorus species is generated by the engine during any such operating interval with low exhaust temperatures, except for unusual operating patterns and thus, the desired volume of trap 30 capable of capturing the emitted material is small. On an exceptional basis, exhaust temperatures may remain low under unusual operating cycles, which is discussed in more detail below. The small size and mass of trap 30 , according to the present invention, overcomes the disadvantage of traps with large thermal inertia of prior approaches. Trap 30 is constructed of ceramic or metallic foam of pore size roughly 100 micrometers and a minimum pore size of 20 micrometers. Alternatively, trap 30 may be constructed of other porous materials, which provide pore sizes as mentioned above, random passages there through, and can withstand the temperatures encountered in the exhaust duct. Unlike catalytic converter 26 , which has parallel passageways through which the exhaust gases pass, trap 30 has random passageways causing the exhaust gases to twist and turn to pass through trap 30 . It is the inability of the droplets and aerosol particles to negotiate turns in trap 30 that causes them to impact onto the foam material itself. The inventors of the present invention have recognized that the volume of trap 30 is less than about 10% of the swept volume (or displacement) of engine 10 . Swept volume is found by multiplying the cross-sectional area of a piston times the travel distance of the piston during a single stroke times the number of cylinders in engine 10 . Trap 30 can be coated with a washcoat similar to that used in a three-way catalyst. Trap 30 would be beneficial in reducing tailpipe emissions during cold start, i.e., prior to when catalyst 26 has reached operating temperature. The washcoat of trap 30 would become poisoned over time and its ability to provide conversion hampered. Nevertheless, during the time that trap 30 is fresh, cold start emissions would be reduced. If engine 10 is a multi-bank engine, eg. V-8, in which catalysts are disposed in exhaust ducts coming from each bank of the engine, preferably a phosphorus trap 30 is placed in each exhaust duct upstream of the catalyst. In this case, the volume of phosphorus trap 30 is related to the displaced volume of the cylinders to which it is coupled. Each trap 30 is comprised, preferably, of a single, integral structure requiring little external support, except for being held in place at the periphery. This is in contrast to a pellet-type trap comprised of numerous pellets which must be retained within a container. It is known in the art to use a diesel particulate filter (DPF) to trap carbonaceous particles exhausted from a diesel engine. DPFs are designed such that they collect greater than 90% of all particles. To be able to collect the smallest particles (as small as several nanometers), the average pore size of a DPF is typically about 20 micrometers and a DPF has a volume roughly equal to 1-3 times the engine's displacement volume. Because of the DPF's small pore size and large volume, a DPF provides considerable resistance to exhaust gas flow, roughly 25 kPa when the trap is empty and roughly 50 kPa when the trap is full (these pressure drops occur at an engine condition generating peak engine power, i.e., when flow through the exhaust system is at highest). Typical DPFs are constructed of parallel channels along the direction of flow through the DPF. Every other channel is blocked on the upstream end. On the downstream end of the DPF those channels, which are unblocked on the upstream end, are blocked on the downstream end. This forces exhaust gases to traverse through channel walls. This has been found to allow high collection efficiency over a wide range of particle sizes. In contrast, the desire is for phosphorus trap 30 to collect only particles greater than about several micrometers in diameter and allow the passage of smaller particles. The inventors of the present invention have recognized that it is preferable to allow smaller particles to travel through trap 30 without being trapped because smaller particles permanently lodged in trap 30 ultimately occludes the trap, causing a significant pressure drop. According to the present invention, phosphorus trap 30 has an average pore size of at least 50 micrometers with a minimum pore size of greater than about 20 micrometers. For the purposes of the present invention, trap 30 need only be about 10% of engine displaced volume, if the trap is made of metallic foam, and about 15% of engine displacement volume, if the trap is made of ceramic foam. Because of the relatively large pore size and small volume of trap 30 , the pressure drop across trap 30 is negligible, less than about 1 kPa. Typically, DPFs have a porosity of about 50%; whereas, the phosphorus trap 30 , of the present invention, has a porosity greater than about 90%. Because of the high porosity and small volume of phosphorus trap 30 , for a typical automotive engine, the mass of the porous material in phosphorus trap 30 is roughly 50 to 200 g depending on the material of trap 30 . It is expected that the mass of phosphorus trap 30 be related to displacement of the cylinders to which trap 30 is coupled, eg., mass (in grams) of trap 30 is less than roughly engine displacement (in cubic centimeters) divided by 25. The length of trap 30 is about one-third of the diameter of the exhaust duct in which it is contained. In the preceding discussion, collection characteristics of a DPF and a phosphorus trap 30 are compared. It was stated that phosphorus trap 30 collects particles above one micrometer in diameter. It is known, however, to those skilled in the art, that filters of the types discussed do not have sharp cutoffs in the size of particles collected. Thus, phosphorus trap 30 , even though designed to collect particles greater in diameter than one micrometer, collects particles smaller than one micrometer, but at low efficiency. Furthermore, the collection efficiency, as a function of particle diameter, is affected by the velocity of the gases at the face of the filter or trap. Thus, the numbers given above are representative, but not limiting. Also, the specific characteristics of phosphorus trap 30 are given by way of example and are not intended to be limiting. An example of the structure of phosphorus trap 30 is shown in FIG. 3 . FIG. 3 is a drawing based on a photomicrograph of the face of a metallic foam suitable for use as phosphorus trap 30 . The magnification in the drawing is roughly 100×. The smaller pores, in FIG. 3, that are significantly smaller than the expected 100 micrometers are due to them being pores which are slightly below the surface, and thus partially occluded from view by portions of upper pores. It can be seen in FIG. 3 that the material is irregular causing gases to twist and turn randomly in passing through phosphorus trap 30 . As mentioned above, some vehicles with unusual operating patterns may operate for extended periods with the exhaust temperature less 225° C. As example is a taxicab, which may idle for extended intervals. The inventors of the present invention have recognized that phosphorus trap 30 is purged of condensable phase phosphorus species if the temperature is raised above 225° C. for a short period. According to the present invention, it is determined when trap 30 can no longer retain more droplets containing condensable phase phosphorus species. When that determination is made engine 10 operation is changed to cause the temperature in the exhaust to exceed 225° C. The method of purging phosphorus trap 30 , according to an aspect of the present invention, is shown in FIG. 4. A phosphorus trap purge routine begins in step 100 when engine 10 is started. In step 102 , RAM 80 memory location, t, is filled with the contents of a KAM 78 memory location, t resid , which is the operating time elapsed since the last purge of trap 30 . As engine 10 has just been started, the value of t resid is based on a prior operating interval of engine 10 . In step 104 , it is determined whether the temperature in trap 30 , T Ptrap , is greater than a threshold temperature, T thresh . T thresh is the temperature at which condensable phase phosphorus compounds oxidize to form less harmful phosphorus species. If step 104 yields a positive result, control passes to step 116 , where t is reset to 0, which means that trap 30 is purged of condensable phase phosphorus species. In normal, warmed up operation, the routine of FIG. 4 cycles between steps 116 and 104 . However, during unusual operating patterns and until engine 10 is warmed up, a negative result from step 104 occurs. Control then passes to step 106 in which memory location t is incremented by the time elapsed since the last time t was updated, Δt. In step 108 , t is compared to t thresh , which is a threshold time for which trap 30 has been operating long enough since the last purge to be substantially full. If a negative result from step 108 , control passes back to step 104 . If a positive result in step 108 , control passes to step 110 where operating conditions of engine 10 are altered to cause T Ptrap to exceed T thresh . Control passes to step 112 where a check whether trap 30 has had sufficient time to oxidize the condensable phase phosphorus species. If a negative result, engine 10 is maintained at the operating condition to keep T Ptrap above T thresh . When a positive results from step 112 , control passes to step 114 in which engine 10 is returned to normal operating conditions. Control passes to step 116 in which t is reset to 0. Also shown in FIG. 4 is an interrupt, step 120 , which is when engine 10 is shut off. The routine of steps 100 - 116 is diverted to step 120 , when the interrupt is received. Control passes to step 122 , in which the current value of t is stored in t resid , the latter of which is in KAM 78 . The routine is ended in step 124 . The routine discussed in regard to FIG. 4 is based on a time of operation since the last purge. An alternative is to model the amount of condensable phase phosphorus material that is released. The model could be based on engine speed, other engine operating parameters, which are known in ECU 40 , or a combination of such parameters. Such a routine is shown in FIG. 5 . The routine of FIG. 5 is identical to the routine of FIG. 4, except that rather than basing the purge on a time of operation, the purge is based on a modeled mass of condensable phase phosphorus species, m, in trap 30 . The method of purging phosphorus trap 30 , according to an aspect of the present invention, is shown in FIG. 5. A phosphorus trap purge routine begins in step 200 when engine 10 is started. In step 202 , RAM 80 memory location, m, is filled with the contents of a KAM 78 memory location, m resid , which is the time elapsed since the last purge of trap 30 . As engine 10 has just been started, the value of m resid is based resid on a prior operating interval of engine 10 . In step 204 , it is determined whether the temperature in trap 30 , T Ptrap , is greater than a threshold temperature, T thresh , T thresh is the temperature at which condensable phase phosphorus compounds oxidize to form less harmful phosphorus species. If step 204 yields a positive result, control passes to step 216 , where m is reset to 0, which means that trap 30 is purged of condensable phase phosphorus species. In normal, warmed up operation, the routine of FIG. 4 cycles between steps 216 and 204 . However, during unusual operating patterns and until engine 10 is warmed up, a negative result from step 204 occurs. Control then passes to step 206 in which memory location t is incremented by the time elapsed since the last time t was updated, Δt. In step 208 , t is compared to t thresh , which is a threshold time for which trap 30 has been operating long enough since the last purge to be substantially full. If a negative result from step 208 , control passes back to step 204 . If a positive result in step 208 , control passes to step 210 where operating conditions of engine 10 are altered to cause T Ptrap to exceed T thresh . Control passes to step 212 where a check whether trap 30 has had sufficient time to oxidize the condensable phase phosphorus species. If a negative result, engine 10 is maintained at the operating condition to keep T Ptrap above T thresh . When a positive results from step 212 , control passes to step 214 in which engine 10 is returned to normal operating conditions. Control passes to step 216 in which m is reset to 0. Also shown in FIG. 4 is an interrupt, step 220 , which is when engine 10 is shut off. The routine of steps 200 - 216 is diverted to step 220 , when the interrupt is received. Control passes to step 222 , in which the current value of m is stored in m resid , the latter of which is stored in KAM 78 . The routine is ended in step 224 . To cause the temperature of the exhaust to rise, as discussed in regards to step 110 of FIG. 4 and step 210 of FIG. 5, one or more of the following measures may be undertaken: retarding the spark timing for some or all cylinders, providing air and fuel to the exhaust such as by operating some cylinders rich and others lean or by introducing secondary air into the exhaust, loading engine 10 by causing the alternator to generate electricity for storage in the battery, loading engine 10 with a power consuming accessory such as air conditioning, reducing cooling water flow rate to engine 10 , turning off an engine cooling fan, raising engine speed, reducing exhaust gas recirculation, changing valve timing in engines equipped with variable valve timing mechanisms, and raising the temperature of the intake air. While several modes for carrying out the invention have been described in detail, those familiar with the art to which this invention relates will recognize alternative designs and embodiments for practicing the invention. The above-described embodiments are intended to be illustrative of the invention, which may be modified within the scope of the following claims.
An exhaust aftertreatment system for an internal combustion engine is disclosed which mitigates deleterious poisoning of a catalytic converter or exhaust gas oxygen sensor by phosphorus containing species.
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to an insulation covering stripping device for removing the insulation covering of wires, wherein the wires are assembled as an electrical harness with a contact-type connector at their one end, and with their other ends being free from the connector. The insulation covering stripping device, hereinafter referred to merely as the stripping device, is adapted for use in association with an automatic apparatus for manufacturing electrical harnesses. 2. Description of the Prior Art Recently semi-automatic or fully automatic apparatus for producing such harnesses have been developed, typical examples of which are disclosed in Japanese Patent Kokai (unexamined Publication) No. 58(1983)-145080, U.S. Pat. Nos. 4,136,440 and 4,310,967. The finished electrical harness has electrical conductors covering with an insulation covering at its connector-free end, and when the harness is used, it is necessary to remove the insulation covering so that the electrical conductors are connected to circuits and instruments. To remove the insulation covering automatically, a stripping device is provided in the system for manufacturing electrical harnesses. Under the conventional system, however, the stripping process is carried out independently of the other processes, such as the wire length measuring process and the connector attaching process. In order to shorten the operation time, it is required for the stripping process to be carried out at the same time as when other processes are performed. Furthermore, it is required that the uncovered electrical conductors have varying lengths. However, the conventional stripping device is constructed so as to remove the insulation covering to one predetermined length. This is sometimes inconvenient; for example, when the conductors are soldered they can be 5 mm or so in length, whereas, when they are wrapped, they must be as long as 20 to 30 mm; otherwise, the wrapping would be impossible. OBJECTS AND SUMMARY OF THE INVENTION The present invention aims at solving the problem pointed out with respect to the conventional stripping device, and has for its object to provide an improved stripping device which can vary the lengths of the insulation covering to be stripped from wire to wire in accordance with the purposes to which the electrical harness is applied. Other objects and advantages of the present invention will become more apparent from the following description when taken in conjunction with the accompanying drawings which show, for the purpose of illustration only, one embodiment in accordance with the present invention. According to the present invention, there is provided an insulating covering stripping device for use in combination with automatic apparatus for manufacturing electrical harnesses, wherein the apparatus includes a wire feed path extending and substantially horizontally and axially of the apparatus; a connector attaching device comprising a cooperating assembling punch and die pair disposed on respective sides of the wire feed path, and a wire cutting blade located adjacent to the punch and die pair; a connector supplying device for supplying the connectors to the assembling die; a first chuck reciprocally movable along the wire feed path for pulling out the wires in its advancing movement for a distance corresponding to a desired length of the electrical harness; a second chuck for holding the supplied wires laterally at equal intervals, and guiding the same to the connector attaching device along the wire feed path, the second chuck reciprocally movable in a small range during which movement to align the top ends of the wires, characterized in that the insulation covering stripping device comprises: a third moving chuck for adjusting the lengths of the insulation covering to be stripped, the third moving chuck being located between the connector attaching device and the second moving chuck; a stripping length varying device for varying the lengths of insulation covering to be stripped, the device being located between the second and third moving chucks, and including a plurality of varying plates which correspond to the individual wires so that when the varying plates are moved down to push the wires individually, the wires are slackened downward, thereby causing the top ends of the wires to withdraw in accordance with the slackened portion; and a stripping blade for slitting the insulation covering so that the insulation covering is removed from the slit when the wires are pulled backward, wherein the end portions of the wires are differentiated in length by the stripping length varying device. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a diagrammatic front view showing apparatus for making electrical harnesses including a stripping device embodying the present invention; FIG. 2 is a vertical cross-section on a larger scale showing the main section of the connector attaching device shown in FIG. 1; FIGS. 3 and 4 are cross-sectional views on a larger scale showing the operating states of the main section shown in FIG. 2; FIGS. 5(a) and 5(b) are partially cross-sectional views showing two aspects of the operating states of the first moving chuck shown in FIG. 1; FIGS. 6 and 7 are diagrammatic views showing the operating states of the stripping device mounted on the moving chuck of FIG. 5; FIGS. 8(a) to 8(f) are diagrammatic views showing the operating steps of a connnector attaching operation; FIGS. 9(a) and 9(b) are diagrammatic views showing the operating steps of a modified connector attaching operation; FIGS. 10 and 11 are schematic views showing finished electrical harnesses, and FIG. 12(a) and 12(b) are diagrammatic views showing the operating steps of a modified stripping device. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT Referring to FIG. 1, apparatus for manufacturing electrical harnesses includes a wire supplying device 10 which supplies wires 2 horizontally in parallel by means of a bundling device 5, the wires 2 being supplied along a wire feed path W through a straightener 6 and a feed roller 7; a connector attaching device 11 for attaching connectors 3 to the ends of the wires 2 by means of a punch 21 and a die 22 disposed on opposite sides of the wire feed path W, the punch and die having a cutting blade 23 located adjacent thereto; a connector supplying device (not shown) for supplying connectors to the die 22 one by one; a first moving chuck 12 which carries the wires 2 supplied to the connector attaching device 11 along the wire feed path W for a desired distance, whereby the desired length of the wires 2 is determined, and a second moving chuck 13 which holds the wires 2 horizontally at equal intervals in parallel and guide the same to the connector assembling device 11 at which the moving chuck 13 adjusts the positions of the wire ends. The second moving chuck 13 reciprocally moves in a predetermined relatively small range. In addition, the apparatus includes a third moving chuck 14 provided between the second moving chuck 13 and the connector attaching device 11 so that it moves in a predetermined range along the wire feed path W; a device for determining the lengths of insulation covering to be stripped, hereinafter referred to as the stripping length varying device 15, which is located between the second and third moving chucks 13 and 14; and a stripping device 17 for removing the insulation covering of the wires 2, the stripping device being mounted on the first moving chuck 12. The connector supplying device includes a conventional hopper feeder and a magazine of a usual type, the description of which will be omitted for simplicity. The punch 21 is mounted on a slider 24 which is raised and lowered by means of a pneumatic cylinder 24, and is lowered from the high position shown in FIG. 2 down to the lower position shown in FIGS. 3 and 4 where the connectors are attached to the wire ends. The cutting blade 23 is also mounted on the slider 25, and is operated by means of a pneumatic cylinder 26 fixed to the slider 25, independently of the punch 21. The cutting blade 23 cuts the wires at the preparatory position for connector attachment in cooperation with a cutting die 27. In addition, the slider 25 has a wire chuck 28 for holding the wires at the moment of connector attachment, and a wire guide 29. The die 22 is mounted on another slider 30, which is raised and lowered by two steps by means of a first pneumatic cylinder 31 and a second cylinder 32 coupled to the first one through a joint 33 in such a manner that they can move together. The die 22 moves together with the slider 30. More in detail, the die 22 is raised from the position shown in FIG. 2 together with the slider 30 by means of the first pneumatic cylinder 31 until it reaches the preparatory position for connector attachment shown in FIG. 3. From the preparatory position it is further raised to the position shown in FIG. 4 where the connectors are attached to the wire ends. There is provided a connector presser 34 at the release side of the die 22, the connector presser 34 securing the connector 3 under the pressure of a spring (not shown). The connector presser 34 has a further wire chuck 35 located adjacent to, which mates with the wire chuck 28. This wire chuck 35 is operated by means of a pneumatic cylinder 36 mounted on the slider 30, independently of the die 22; it is raised from the preparatory position shown in FIG. 3 in cooperation with the wire chuck 28, and pinches the wires pulled to a predetermined length by the moving chuck 12. As shown in FIG. 5, the first moving chuck 12 includes a moving frame 40, and two pneumatic cylinders 41, 42 fixed to the moving frame 40. The two pneumatic cylinders 41 and 42 are coaxially provided, wherein the piston rod 44 of the cylinder 42 is passed through a piston rod 43 of the cylinder 41. A pair of arms 45 are pivotally fixed to the moving frame 40 at their middle portions by means of pins 46. Each arm 45 is provided with a chuck tooth 47 at its top end, and with a link 48 at its tail end, which link is connected to the piston rod 43 so that the chuck teeth 47 are opened and closed by means of the pneumatic cylinder 41. The moving frame 40 is reciprocally moved along the wire feed path W. The stripping device 17 mounted on the first moving chuck 12 includes a slider 50, and a stripping blade 51 fixed to the top portion of the slider 50. The slider 50 is slidably provided in a dovetail groove 52 produced in an inner side of the arm 45, and the link 53 fixed to its end portion is coupled to a connecting member 54 provided in the top end of the piston rod 44. Under this arrangement the slider 50 is reciprocally moved along the dovetail groove 52 by means of the pneumatic cylinder 42. When the slider 50 is moved, the arms 45 are moved in association therewith, thereby enabling the chuck teeth 47 to open or close. In this way the stripping blades 51 are opened and closed. The second moving chuck 13 is opened and closed by means of a pneumatic cylinder 55, and is reciprocally moved along the wire fed path W as shown in dotted lines in FIG. 1, wherein the moving range is relatively small. The reference numeral 60 denotes a wire guide. The stripping length varying device 15 includes a plurality of varying plates 61, which are arranged laterally in such a manner that one plate corresponds to one wire, and which are individually capable of ascending and descending; a pneumatic cylinder 62 for moving the varying plates 61 as a whole up and down, and guide rollers 63, wherein the guide rollers 63 in pair and the varying plate 61 are juxtaposed on opposite sides of the wire feed path W. The reference numeral 64 denotes a straightener located adjacent to the stripping length varying device 15, so as to straighten up the wires bent by the varying plates 61. Likewise, the wire length varying device 16 includes a plurality of varying plates 65; a pneumatic cylinder 66 for moving the varying plates 65 up and down, and guide rollers 67, which are provided on a carrier 68 capable of moving up and down by means of a pneumatic cylinder 69. This enables the guide rollers 67 to descend below the path of the first moving chuck 12. An example of the operation will be described with reference to FIG. 8: FIG. 8 shows the steps of attaching the connectors to the wires. In FIG. 8(a) the wires 2 have been supplied to the connector attaching device 11 by means of the feed roller through the second and third moving chucks 13 and 14. At first the wires 2 are pulled backward by the chuck 13 so as to align the top ends thereof with a desired point R. At this stage the first moving chuck 12 is shifted to under the punch 21. The second moving chuck 13 is kept open, and the third moving chuck 14, while pinching the wires 2, is caused to advance for a distance corresponding to the longest insulation covering to be stripped (FIG. 8(b). Then, as shown in FIG. 8(c), the wires 2 are released from the third chuck 14, and pinched by the second moving chuck 13. At this stage the stripping length varying device 15 is operated, thereby causing the individual varying plates 61 to descend so as to slacken the wires 2 downward. As a result, the end portions of the wires are withdrawn differently in length in accordance with the slackening lengths. Then, the first moving chuck 12 is operated, and pinches the top ends of the wires 2 by means of the chuck teeth 47. At the same time the stripping blades 51 slit the insulation coverings of the wires (FIG. 8(d)). The first moving chuck 12 is advanced along the wire feed path W while pulling the wires to a desired length. At the middle of the pulling travel the stripping device 17 is operated to move the stripping blades 51 away from the chuck teeth 47, thereby stripping the insulation covering off the conductor (FIG. 8(e). As best shown in FIGS. 6 and 7, the lengths of the stripped conductors are varying, which is derived from the fact that the position of the top ends of the wires 2 are differentiated in accordance with the lengths to be stripped. Then, the connector attaching device 11 is operated. At the preparatory position shown in FIG. 3 the wire cutting blade 23 is lowered to cut the wires 2 in cooperation with the die 27, and the wires are pinched by the second moving chuck 13 until the cut ends thereof are positioned at the point R. Then the assembling die 22 is raised up to the connector assembling position shown in FIG. 4, and the connector 3 is attached to the cut ends of the advancing wires 2A (FIG. 8(f). Then the assembling punch 21 is raised, and the assembling die 22 is lowered, thereby allowing the connector 3 attached to the wire ends to be released from the die 22. The wires 2A are further withdrawn by the first moving chuck 12, and discharged out of the apparatus. The same procedure is repeated. As a result of the series of operation the wires 2A are cut to the predetermined lengths, and are provided with one connector 3 at one end, with the other ends being free from a connector, wherein the lengths of the stripped conductors are varied. The harness 9 1 shown in FIG. 11 is accomplished by slackening the wires under the action of the varying plates 65 in the aforementioned manner. In the above-mentioned embodiment the stripping device 17 is mounted on the first moving chuck 12, but as shown in FIG. 12, the stripping blades 51 can be provided adjacent to the connector attaching device 11 as done under the conventional apparatus for making electrical harnesses. In this modified version the stripping blades 51 are inserted into the insulation covering without cutting the conductors, wherein the top ends of the wires are differentiated. The wires 2 are pulled backward by the third moving chuck 14 while the stripping blades are inserted into the insulation covering (FIG. 12(a)). As a result of the backward movement of the wires 2 the insulation covering is removed as shown in FIG. 12(b).
An insulation covering stripping device for use in combination with automatic apparatus for manufacturing electrical harnesses, the device being included in a moving chuck for pulling out the insulation clad wires to a desired length so that the insulation covering stripping is carried out as the same time as when the lengths of the wires are measured, thereby shortening the operation time of manufacturing electrical harnesses.
CROSS-REFERENCE TO RELATED APPLICATIONS This application is a continuation of International Application PCT/DE98/01639, with an international filing date of Jun. 17, 1998, now abandoned. BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a fuel storage tank, and more particularly, to a fuel storage tank having separate compartments for fuel and a reducing agent. 2. Description of Related Art Using a reducing agent in an exhaust gas purification system is well known to those skilled in the art. A typical such system is discussed in a Siemens Aktiengesellschaft pamphlet entitled “SiNOx Nitrogen Oxide Reduction for Stationary Diesel Engines,” Order No. A96001-U91-A232, Siemens A G, Bereich Energieerzeugung (KWU), Freyeslebenstraβe 1, 91058 Erlangen, Germany (1994). This system is based on the SCR (Selective Catalytic Reduction) method, which makes use of the fact that nitrogen oxides are converted into nitrogen and water in the presence of urea and suitable catalysts. For this purpose, the diesel engine exhaust gases are passed through an SCR catalytic device which is integrated into the engine exhaust line and into which the reducing agent is introduced in a precisely metered manner. In a vehicle exhaust gas purification system, it is necessary to provide a tank for the reducing agent. Typically, the reducing agent is stored in a separate storage container or tank spaced from the primary vehicle fuel tanks. A solid reducing agent, such as urea, is dissolved in a liquid, for example, water, for various reasons, among them to facilitate its introduction in precisely metered amounts. Such aqueous solutions of reducing agents crystalize and solidify at relatively high temperatures. For example, a 32.5% urea/water solution solidifies at −11° C. (about 14° F.). If the reducing agent solution freezes, it cannot be pumped from the storage tank and used to purify the engine exhaust. The need to provide for storage of the reducing agent presents particular problems in a vehicle. First, a separate space must be made available in the vehicle. Then, a separate tank must be provided and brackets and other suitable mounting structure must be provided on the tank and in the vehicle to secure the tank within the space. Using vehicle space to accommodate the reducing agent storage tank reduces the amount of space available for other purposes, notably vehicle payload, and requires moving other vehicle components. Accordingly, a great deal of effort must be devoted to designing a storage tank that uses vehicle space as efficiently as possible and that simplifies mounting the storage tank. SUMMARY OF THE INVENTION The present invention relates to a structural unit for storing fuel for an internal combustion engine having an exhaust gas purification system, wherein the structural unit includes space for a reducing agent used in the purification system. It is an object of the invention to provide a fuel container in such a way that its fastening and the fastening of a container for a reducing agent are simplified. This object is achieved in accordance with the invention by combining the fuel container and the reducing agent container into a structural unit, so that the structural unit can be mounted as a whole to, say, a vehicle, thus simplifying the mounting thereof. Therefore, the present invention avoids the necessity of mounting an additional tank for the reducing agent by providing an embodiment particularly useful for a vehicle, in which the fuel tank and the reducing agent tank are connected to form a single structural unit which can be fastened as if the two tanks were a single tank. Combining the two hitherto separate tanks makes it possible efficiently to utilize the installation space, which in a vehicle is confined, and mounting becomes particularly simple. At the same time, the risk that the reducing agent solution will freeze is counteracted because the area of the reducing agent tank walls in direct contact with the ambient surroundings is reduced, as compared with the previous two-tank structure. In addition, the single unit can be constructed so that the fuel in the fuel tank will be in contact with at least one wall of the reducing agent space, or even so that the fuel tank surrounds the reducing agent tank, and heat in the fuel will be transferred to the reducing agent through the contiguous walls. This structure makes it possible to thaw a reducing agent that may have already frozen in its tank. In furtherance of those and other objects of the present invention, a structural unit for holding fuel for an internal combustion engine having an exhaust gas purification system using a reducing agent comprises a fuel space for holding the fuel, and a reducing agent space for holding the reducing agent, the reducing agent space having at least one common wall with the fuel space, wherein the common wall has a predetermined thermal conductivity promoting heat transfer between the fuel space and the reducing agent space. BRIEF DESCRIPTION OF THE DRAWINGS Exemplary embodiments of the invention are explained in more detail below with reference to three figures, of which: FIG. 1 shows a common housing, in which a reducing agent tank is separated by a partition from an adjacent fuel tank. FIG. 2 shows an alternate embodiment of the invention in which a reducing agent tank is disposed within a fuel tank. FIG. 3 shows a third embodiment of the invention in which a reducing agent tank is a flexible bladder or pouch within a fuel tank. In the drawings, the same components are given the same reference numbers or letters in the different figures. DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS According to FIG. 1, a common housing or a structural unit 2 has any suitable configuration, preferably a parallelopiped or cylinder. The housing 2 includes a fixed partition 4 that serves as a common wall dividing the housing into a fuel space 6 for storing a fuel F and a reducing agent space 8 for storing a reducing agent R. At the top of the fuel space a fill/feed pipe 10 provides an opening to the interior of the space 6 to enable it to be filled with fuel or to enable fuel F to be fed to an internal combustion engine (not shown). The top of the reducing agent space 8 has a similar fill/feed pipe 12 that provides an opening for supply and/or discharge of the reducing agent R. The fuel F powers an internal combustion system, in the present embodiment taking the form of diesel fuel for operating a diesel engine in a vehicle. The reducing agent R is injected into an exhaust gas purification system which works on the SCR principle, thus purifying the exhaust gas from the internal combustion system. Brackets 14 on the structural unit 2 enable it to be mounted to a vehicle V (shown schematically in FIGS. 1-3) in the same manner as would be a single tank. Such brackets and their interaction with cooperating mounting brackets or hangers on an operating unit (such as a motor vehicle) are well known to those skilled in this art and need not be described in additional detail. In the embodiment according to FIG. 1, the fuel space 6 and the reducing agent space 8 are arranged next to one another with a common wall 4 . The latter is preferably a metal with high thermal conductivity to promote heat ransfer between the fuel space and the reducing agent space. This ensures that the fuel F, which is warmer during operation or is heated during a period when the internal combustion engine is being started, can transmit heat to the reducing agent R, to prevent it from freezing or to thaw it out if it has frozen because the engine has not been operated for an extended period and is in a frigid environment. FIG. 2 illustrates a second embodiment of a structural unit 2 , in which the reducing agent space 8 is suspended substantially wholly within the fuel space 6 . The reducing agent space 8 has metal walls in this embodiment. The fuel space 6 and the reducing agent space 8 may be any suitable shapes, including a cylinder or parallelopiped. The reducing agent space 8 is suspended in the fuel space 6 with the aid of a tubular access passage 16 , at the end of which is disposed the fill/feed pipe 12 . In the embodiment according to FIG. 2, the arrangement of the reducing agent space substantially wholly within the fuel space 6 enhances good heat transfer between the two spaces. To enhance heat transfer further, at least one, and possibly all, of the walls of the reducing agent space 8 are made of a material, preferably a metal, with high thermal conductivity to promote heat transfer between the fuel space and the reducing agent space. A heating device 22 associated with the reducing agent space 8 assists in preventing freezing of the reducing agent R, or, if the reducing agent R has frozen, assists in thawing it out again. The heating device 22 may be electric and will be particularly advantageous on a vehicle in which the reducing agent R is an aqueous urea solution. FIG. 3 illustrates a third embodiment in which the reducing agent space 8 is disposed substantially wholly within the fuel space 6 , as in FIG. 2 . In the FIG. 3 embodiment the reducing agent space 6 is formed from a pouch or bladder having flexible walls. The bladder is held approximately in the middle part of the fuel space 6 by two lateral holding walls 18 and 20 . As in the FIG. 2 embodiment, the reducing agent space 8 is connected by an access portion 16 to the feed/fill pipe 12 on the top of the structural unit 2 . A heating device like that shown in FIG. 2 as heating device 22 can be associated with the reducing agent space 8 for the purposes described above in connection with FIG. 2 . Substantially enveloping the reducing agent space 8 with fuel K according to the embodiments shown in FIGS. 2 and 3 decreases the possibility that the reducing agent will freeze. In a vehicle with a diesel engine, the fuel K is often utilized for cooling engine components by recirculating the fuel, for example, to a feed pump or control unit. The temperature of the recirculated fuel is raised slightly by the heat transferred from the engine components, and thus there is a slight elevation of the temperature of the diesel fuel F in the fuel space 6 during operation. That contributes to raising the temperature in the entire structural unit 2 , including the reducing agent space 8 . Thus, in addition to the direct heating of the reducing agent R by a heating device 22 as discussed above, the temperature level of the entire contents of the structural unit 2 , including the reducing agent R, can be raised by the heat energy in the recirculated diesel fuel F flowing back to the fuel space 6 . This not only inhibits freezing of the reducing agent R, which is in solution form, during operation of the diesel engine, but also assists in thawing the reducing agent R if it has frozen during a lengthy period of nonoperation at low ambient temperatures. The embodiment illustrated in FIG. 3, with a flexible bladder as the reducing agent space 8 for a urea solution or the like, is also advantageous in that it allows for expansion in volume of the reducing agent aqueous solution if it freezes without causing damage to the reducing agent space 8 or other parts of the structural unit 2 . Although preferred embodiments of the invention have been depicted and described, it will be understood that various modifications and changes can be made other than those specifically mentioned above without departing from the spirit and scope of the invention, which is defined solely by the claims that follow.
A tank for a vehicle having a selective catalytic reduction exhaust gas purification system operating with a reducing agent includes a fuel space for the vehicle fuel and a reducing agent space for the reducing agent. The fuel space and the reducing agent space are combined into a single structural that can be fastened as a whole to the vehicle by brackets on the structural unit. This utilizes the limited space available in any vehicle as efficiently as possible and eliminates the need to provide mounting arrangements for separate fuel and reducing agent tanks.
CROSS-REFERENCE TO RELATED APPLICATION [0001] This application claims the benefit of U.S. provisional application Serial No. 60/326,867, filed Oct. 3, 2001. BACKGROUND OF THE INVENTION [0002] The present invention is directed to the art of surgical lighting and accessory systems and, more particularly, to a quick connect system for use with electrical wiring in medical appliance suspension arms. The present invention finds particular application in connection with surgical monitors and other medical devices and apparatus requiring power, data, video or other forms or types of electrical or electronic signals, and will be described with reference thereto. However, it is to be appreciated that the subject quick connect system can be used in applications other than medical accessory suspension arm systems such as, for example, in industrial, commercial, educational and other settings and uses. [0003] Presently, a wide range of patient monitoring equipment is available for use to track the medical progress of patients during surgery. The patient monitoring and support devices have typically crowded the surgical operating room floor. [0004] Overhead surgical device management systems provide one solution to the problem of cluttered operating room floors. In those systems, one or more patient monitoring apparatus are carried on a set of shelves suspended from overhead by one or more auxiliary support arms of a surgical lighting system. The support systems typically include one or more movable arm segments adapted to support the patient monitoring devices from overhead at a central hub member. Each of the arms includes jointed ends so that the shelf carrying the one or more monitoring devices can be manually moved into place to best accommodate the needs of the surgical personnel. [0005] Many current surgical device management systems with monitor support arms, however, offer only a single set of monitor support cabling and connectors. Many do not offer computer video capability such as SVGA. This often results in the inability to use certain monitors from overhead suspension arms and the inability to change monitor types because, simply, the appropriate video cables are not provided in the support arms. In those instances, monitors with computer video capability are placed on carts or other portable devices adjacent the surgical site, because it is impractical to disassemble the device management support arm to provide the necessary wiring needs to support the equipment. The carts and portables, of course, add to the undesirable operating room clutter. [0006] In order to support high-end video and data transfer needs, specialized cables must be installed in the overhead support arms during their manufacture. However, this adds additional time to delivery of the overhead suspension systems, adds to their cost, and is usually not upgradable after the system is installed. Further, when only a single video cable/connector of a particular type is initially provided, surgeons and operating room management personnel are unable to switch between different video sources and are compromised by the single source type supported by the cables/connector type provided. Upgrades to different video/data needs require disassembly of the support arm. SUMMARY OF THE INVENTION [0007] The present invention provides a new video quick connect system for use with suspension arms in a surgical operating room. The invention enables a single monitor suspension arm to be used to support and carry video signals, data signals, power cables and wire, and other types of electrical and electronic signals to a wide range of patient monitoring and video display devices without the need to remove the support arm from service for a hardware upgrade. [0008] In accordance with one aspect of the present invention, a video/data quick connect system is provided for a monitor suspension arm in a surgical operating room. The quick connect system includes a universal cable bundle extending along the monitor suspension arm, a hub harness for connecting a hub end of the cable bundle to a first associated source of power or video signals, and a monitor harness for connecting a lower end of the cable bundle to a first associated patient monitor or display device. The signal quick connect system further includes a second hub harness to be used in place of the first hub harness for connecting the hub end of the universal cable bundle to a second associated source of power or video signals. Still further, the video quick connect system includes a second monitor harness for use in place of the first monitor harness for connecting the lower end of the universal cable bundle to a second associated patient monitor or display device. [0009] One major advantage of the subject video quick connect system is that it allows users to select the type of data, power, and video connection needs shortly before installation of the surgical equipment suspension arm rather than months ahead of time of purchase of the arm. The connector kits are used to enable quick, simple, and inexpensive retrofits and upgrades of the patient monitors and display devices supported by the suspension arm and offer flexibility for different operating room needs. [0010] In accordance with another aspect of the invention, the video quick connect system enables surgical equipment suspension arm hardware to support video, signal, power, and data types and standards developed or implemented after the suspension system is physically installed by using existing cables in the universal cable bundle with the simple substitution of video quick connect kits assembled to support the new signal standard(s) or by selection of suitable connections on either end of the universal cable bundle. [0011] Still further advantages of the present invention will become apparent to those of ordinary skill in the art upon reading and understanding the following detailed description of the preferred embodiments. BRIEF DESCRIPTION OF THE DRAWINGS [0012] The invention may take form in various components and arrangements of components, and in various steps and arrangements of steps. The drawings are only for purposes of illustrating the preferred embodiments and are not to be construed as limiting the invention. [0013] [0013]FIG. 1 is a diagrammatic illustration of a surgical operating room showing a surgical equipment suspension arm connected to an operating room ceiling; [0014] [0014]FIG. 2 is a schematic illustration of the subject video/data quick connect system formed in accordance with the present invention; and, FIG. 3 is a schematic illustration of the subject video/data quick connect system of the present invention configured for use with a second set of monitor and source device. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS [0015] In accordance with the invention and with reference to the drawing FIGURES, a video/data quick connect system 10 is provided for a monitor suspension arm 12 in a surgical operating room 14 . The subject system provides a convertible electrical connection system to enable video, data and power equipment changes without the need to disassemble or retrofit the suspension arm. As shown best in FIG. 1, the monitor suspension arm 12 is connected to the ceiling 16 of the operating room 14 at a central hub 18 . As understood in the art, the monitor suspension arm 12 includes a horizontal arm member 20 , a vertical arm member 22 , and a lower arm and yoke system 24 . A plurality of joints 26 allow a monitor support shelf 28 carried on the lower end of the monitor suspension arm 12 to be moved as needed into position adjacent the surgical site 30 . It is to be appreciated that although a shelf is shown, the monitor may be coupled to the suspension arm by means of a suitable pivot joint or the like. [0016] As shown schematically in FIG. 2, the subject video/data quick connect system 10 includes a universal cable bundle 40 , a hub harness 50 , and a monitor harness 60 . The bundle of cables 40 extends along the monitor suspension arm 12 from the hub end 30 to the lower end 32 adjacent the monitor support shelf 28 . A monitor 34 is illustrated carried on the support shelf 28 . A set of hub connectors 42 are provided on the upper end of the bundle 40 at the hub area 18 . Similarly, a set of monitor connectors 44 are provided on the lower end of the cable bundle 40 at the lower end 32 of the monitor suspension arm 12 . The set of hub connectors 42 preferably includes at least two individual physical connectors as illustrated so that power signals remain separated from video and data signals. Similarly, the set of monitor connectors 44 include at least two individual physical connectors as the mirror image of hub connectors so that the video and data signals remain separated from the power signals. Further, preferably, the cable bundle 40 includes a plurality of individual cables to provide shielding and isolation between the power, video, data, and other signals that are carried on the universal cable bundle 40 . [0017] With continued reference to FIG. 2, the hub harness 50 includes a hub cable bundle 52 and first and second sets of connector members 54 , 56 on opposite ends of the cable bundle. Similarly, the monitor harness 60 at the lower end of the monitor suspension arm includes a monitor cable bundle 62 and first and second sets of connector members 64 , 66 on opposite ends of the cable bundle. It is to be appreciated that the first set of connector members 54 of the hub cable harness 50 is intermatable with the set of hub connectors 42 . Similarly, the first set of connector members 64 of the monitor cable harness 60 is intermatable with the set of monitor connectors 44 . Still further, it is to be appreciated that the second set of monitor connector members 66 is intermatable with the monitor 34 carried on the monitor support shelf 28 . Lastly, the second set of connector members 56 of the hub cable harness 50 is intermatable with an associated source 68 of power, video or data signals located above the ceiling 16 of the operating room 14 . [0018] In the preferred embodiment illustrated, the universal cable bundle 40 is pre-loaded within the monitoring suspension arm 12 before it is installed at the hospital site. Preferably, at least six (6) high quality video cables, eight (8) signal wires, and three (3) power wires comprise the preferred embodiment of the universal cable bundle 40 . All signals are tied to similar connectors at both the hub connector set 42 and the monitor connector set 44 . [0019] A video quick connect kit 70 includes the hub cable harness 50 and monitor cable harness 60 for adapting the cable bundle 40 within the suspension arm 12 for use with one of a plurality of monitor types and with a range of video, data and power sources. In the present invention, kits are selected based upon the desired monitor type. Each kit 70 consists of a hub cable harness 50 and a monitor cable harness 60 . One end of each harness is connected to the standard connector sets fixed to ends of the universal cable bundle 40 and the other end of each harness is connected to either the proper video/power/signal connection at the back of the monitor or the video/power signal/signal connection in the hub. The video quick connect kits 70 allow at least two high quality video connections (SVGA, RGBS, S-Video, composite), signal connections for serial data (e.g. touch panel) or SVGA, and AC or DC power. [0020] [0020]FIG. 3 is a schematic illustration of the subject video/data quick connect system 10 including the universal cable bundle 40 used with a second video quick connect kit 70 ′. The second video quick connect kit 70 ′ includes a second hub cable harness 50 ′ and a second monitor cable harness 60 ′ for adapting the universal cable bundle 40 within the suspension arm 12 for use with a second monitor 34 ′ and a second source 68 ′ of video/data/power signals. As noted, the video/data quick connect kits are selected based upon the desired monitor type and source. A comparison between the configuration of the present invention for use with the first monitor 34 and first source 68 and the one shown in FIG. 3 used with the second monitor 34 ′ and second source 68 ′ illustrates the manner in which the present invention provides for inexpensive retrofits and upgrades of patient monitors and display devices supported by the suspension arm and cable bundle without the need to assemble the suspension arm. [0021] The video quick connect system 10 allows users to select the type of video connection needs shortly before installation rather than months ahead of time. The connector kits are used to enable quick, simple, and inexpensive retrofits and upgrades of the monitors supported by the suspension arm and offer flexibility for different operating room needs. The pre-installed video and signal cable bundle allows for a very small profile suspension system, thereby reducing clutter in the operating room and making the system more reliable. In the preferred embodiment, video quick connect kits 70 support high quality signal formats including, but not limited to, SVGA and RGBS. Further, the video quick connect kits 70 enable the suspension arm 12 to support video, signal, and data types and standards developed after the suspension system is installed by using existing cables of the bundle 40 with a video quick connect kit 70 assembled to support the new signal standard. [0022] The invention has been described with reference to the preferred embodiments. Obviously, modifications and alterations will occur to others upon reading and understanding the preceding detailed description. It is intended that the invention be construed as including all such modifications and alterations insofar as they come within the scope of the appended claims or the equivalents thereof.
A video/data/power quick connect system is provided for use with medical appliance suspension arms. A universal cable bundle ( 40) extends along a monitor suspension arm ( 12). A first hub harness ( 50) adapts the cable bundle ( 40) for connection to a first associated source ( 68) of video/data/power signals. A first monitor harness ( 60) connects a lower end of the cable bundle ( 40) for connection to a first associated monitor device ( 34). A second hub harness ( 50 ') and second monitor harness ( 60 ') are provided for adapting the cable bundle ( 40) for connection to a second source ( 68) of associated video/data/power signals and a second associated monitor device ( 34 '), respectively.
This application is a continuation, of application Ser. No. 07/444,970, filed Dec. 4, 1989, now abandoned. BACKGROUND OF THE INVENTION The present invention relates to an electrostatic image developer production apparatus suitable for the production of an electrostatic image developer carrier. The two component developer consisting of a toner and a carrier is advantageous in that the electrification characteristic and the electrification amount of the toner can be controlled to a considerable extent and that the colors to be given to the toner can be selected from a relatively wide range of colors. For the carrier to be used in the two component developer, a coating carrier consisting of the core materials whose surfaces are covered with the coating resin for the higher durability and the improvement in the triboelectrification characteristic. The production apparatus of the coating carrier, the fluid layer type apparatus, immersion type apparatus and sintering type apparatus are commonly known, but the fluid layer type apparatus is most widely used because of its high productivity. The fluid layer type apparatus, however, has the drawbacks such as the high manufacturing cost due to the necessity of the affiliated devices such as the solvent recovery device to recover the waste solvent and the solvent burner and the safety problem due to using the inflammable solvent. In addition, the fluid layer type spray coating method has a certain limit in improving its productivity. For instance, increasing the number of sprays and the spray rate to increase the supply of the coating solution per unit time for higher productivity causes the gasification of the solution present among the core materials to be retarded to cause the solution to turn into the particles of unnecessarily large particle size. On the other hand, increasing the concentration of the solution results in the increase in the viscosity of the solution, which also causes the formation of the solution particles. Besides, in this method, it is essential for the coating resin to be dissolved into the solvent, so that the kinds of the usable resins are limited in terms of their molecular weights. Another drawback of this method is that it is difficult for the cores of the carrier to form the appropriate fluid layer because of their small individual masses resulting from their quite small particle size for realizing high-quality image and high developing efficiency, which results in poor coating efficiency. Thus, there has been an increasing demand for the development of a new method, and recently, a dry method characterized by applying the impact on the resin particles to cause the particles to adhere to the cores has been developed. The dry coating method has the following advantages: (1) The cleansing and drying processes can be eliminated to substantially shorten the time required for coating. (2) The granulating rate of the solution is relatively small, so that the carrier with the distribution corresponding to the cores which are provided in the form of the magnetic particles can be obtained as a high yield rate. (3) Unnecessitating the solvent recovery device and the solvent burner, both the reduction of the manufacturing cost and higher safety can be realized. (4) The quantitative ratio of the resin particles to the magnetic particles in the production apparatus can be reduced for using the materials with higher economy. (5) The magnetic particles are extended with resin particles adhered to the surfaces of the magnetic particles, so that the hard-to-remove coating films can be formed to obtain high durability and stable triboelectrification characteristic. (6) Permitting the use of the resins with relatively low solubility to the solvent, not only the resins can be selected within a much wider range but also the coating even with the fine particulate carrier can be made easily to obtain the coating carriers with different characteristics. The dry coating device not using the solvent can roughly be classified into one using the heat to effect the fused bonding and the other using the mechanochemical effect. As the examples of the former device, there are the furnace type device introduced in the Japanese patent laid open publication 118047/1980 and the Japanese patent publication 163544/1980, and the rotary furnace type device introduced in the Japanese patent laid open publication 170865/1985 and the Japanese patent publication 106475/1987. Another method of fused bonding using the high-speed stirrer to effect the bonding of the resin particles is disclosed in the Japanese patent laid open publication 27858/1988, through the construction of the device is not described in detail. In the fused bonding methods using the heater, the temperature of the coating resin is raised above the softening or melting point, so that the cores of the carrier are bonded to each other through the resin which serves as the binder, and this causes the granulating effect due to the increase in the particle size. When the particle size becomes too large, the effect of the developing agent in the developing device becomes uneven to adversely affect the formation of the uniform and high-quality image. Also, when the carrier obtained by breaking up the granulated carrier is not uniform in coating, thereby causing the unevenness in the triboelectrification characteristic of the toner and the resulting defect of the image such as the fog or the scattering of the toner. Furthermore, this method requires the processing at a high temperature, which poses the safety problem. On the other hand, as the examples of the latter device, there is one disclosed in the Japanese patent laid open publication 235962/1988, wherein the impact is given by a rotary body disposed in vertical direction to circulate the carrier by moving the carrier upward, and, in this process, unnecessarily large impact is given to the carrier. Thus, when the sintered carrier such as the ferrite carrier is used, the carrier tends to develop the internal cracks to reduce the durability of the carrier. If the carrier with internal cracks is used, the carrier will be broken up gradually as it is stirred in the developing device, and, as a result, the surfaces of the carriers not covered with the resin coating will be increased. Since the triboelectrification characteristic of the uncoated surfaces of the carrier differs from that of the surface of the carrier with resin coating, the triboelectrification characteristic of the toner will become unstable to deteriorate the image quality. Besides, the broken up particles have smaller particle sizes than the normal particles, so that the holding strength of smaller particles to the sleeve surface are weaker than those of the normal particles, and the smaller particles tend to move onto the photosensitive body (the electrostatic image holding body). As a result, the particles cause the deterioration of the image quality due to the adhesion of the carrier to the image or poor cleaning. As described above, any complete device has not been developed as far as the dry type coating device is concerned. Thus, an object of the present invention is to provide a carrier production apparatus capable of producing the carrier with the ability to form the even resin layer, high yielding rate and high durability. SUMMARY OF THE INVENTION The production apparatus according to the present invention comprises a horizontal rotary member to provide the impact to the material fed into a chamber and a heating and/or a cooling device to control the internal temperature of the chamber. Furthermore, the production apparatus according to the present invention is preferred to have a function for enabling the material subjected to the impact from the horizontal rotary member to collide against the internal wall of the chamber by the centrifugal force and then return within the rotary range of the rotary blades of the horizontal rotary member. The materials according to the present invention mean the materials to constitute the carrier such as the mixture of the core material of the carrier and the resin particles adhered to the surfaces of said core material, the surface-improving agent, which covers the surfaces of the core material, resin particles and the layer of coating, and the additives contained in the layer of coating but not limited to these materials. The apparatus according to the present invention comprises a heating and/or a cooling device. Normally, the apparatus is preferred to comprise both the heating and the cooling devices but may comprise only one of the devices depending on the conditions of installation and the operating condition. In the ordinary application, the heating device is used while the formation of the coating layer is in progress, whereas the cooling device is used after the formation of the coating layer is completed. The switching between the heating device and the cooling device is preferred to be made easily and promptly. The heat exchange is made through the wall and/or the bottom of the chamber. The heating and/or cooling device may have the jacket construction through which the hot water or the cold water can be made to pass, or the construction that permits the hot or cold air to be blown against the outside of the chamber or blown into the inside of the chamber, or heating the chamber with the high frequency or infrared rays. Of these constructions, the jacket construction that allows the quick heating or cooling and is simple in construction is preferred. The heating and/or cooling device is preferred to be installed on the wall of the chamber where the material is stirred well surrounding the outer circumference of the rotary member to rate in the horizontal and the upper part of the chamber wall. Also, said heating and/or cooling device is preferred to be installed on the bottom surface of the chamber. The transition point as which the resin particle to be used for the coating of the carrier turns into the glass is preferred to be 65° C. or more, so that the heating device is preferred to be capable of maintaining the temperature of the object in the chamber at 65° C. or more. The temperature of the object means the average of the approximate surface temperatures of the particles consisting of the cores and the resin particles covering the surfaces of the cores measured by a temperature measuring probe inserted into the mass of the particles fluidized due to the effect of the impact acting thereon so that the probe come into contact with the particles at random to measure the surface temperatures of the particles. The temperature measuring probe consists of a thermocouple and a resistance thermometer bulb and is capable of measuring the temperature of the object by electrically measuring its electromotive force and resistance. As the thermocouple, for example, a chromel-alumel thermocouple may be used. The horizontal rotary member delivers the impulsive force to the material. The impulsive force is given as an energy to enable uniform coating to be formed on the surface of the core material without damaging the core material, and the impulsive force is given repetitively so that the resin particles adhere to the surface of the core material. The apparatus according to the present invention is preferred to comprise a device capable of moving or blowing the material upward into the chamber so that the material collided against the internal wall of the chamber due to the effect of the impulsive force acting thereon is allowed to return within the rotary range of the blades of the horizontal rotary member. Hereafter, the constructions of the upcasting device and upblowing device will be described, but the means for moving the material according to the present invention is not limited to these devices. The upcasting device consists of the horizontal rotary member wherein the cross section of the blade is inclined at a specified angle or θ=20°-60° to the rounding direction. The upper portion of the chamber is preferred to have a smaller space than the rest of the portion. More specifically, the internal wall of the upper portion of the chamber is preferred to incline towards the center of axis of the horizontal rotary member. In this case, the internal wall of the lower portion of the chamber may be either parallel to or inversely inclined to the center of axis of the horizontal rotary member. The upblowing device consists of a horizontal rotary member disposed in the chamber and air is emitted from a slit located in the bottom of the chamber. In the case of the upblowing device having this construction, the air needs to be blown at a considerably high intensity, so that the resin deposited on the surface of the core material of the carrier tends to be separated from the surface and scattered about the system before forming the coating on the surface of the core material. Contrastingly, in the case of the upcasting device, the necessary intensity of the air blow is much smaller, since only the air blow with an intensity large enough to provide the air-sealing to the axis of rotation. Thus, in the case of the upcasting device, the coating can be accomplished at a greater ratio to the fed quantity of the material and at a greater efficiency compared with those in the case of the upblowing device. In addition, in the case of the former device, the fine particles of the carrier tend to be scattered about the system, so that the uniform coating can be formed more easily. Thus, the upcasting device is better suited for the production of the carrier in the form of fine particles and also for the formation of the effective coating at a greater ratio and a greater uniformity, so that the upcasting device is more widely employed. The apparatus according to the present invention may comprise a vertical rotary member for more efficient dry type coating. The average particle size of the core material by weight is 10-200 μm, whereas the size of the resin particle is preferred to be within the range of 0.01-2 μm. When the resin particles within this particles size range are used, the coating carrier can be obtained at a higher yield rate, but the most preferred size of the resin particle is within 0.01-0.5 μm. The production apparatus according to the present invention can also be used for the production of the carrier material consisting of the cores and the resin particles adhered to the surfaces of the cores. The particles of the toner to be used together with the carrier produced by the production apparatus according to the present invention consists of the positively or negatively electrified particles of the resin and/or the positively or negatively electrified toner particles including the coloring agent. The mixing ratio by weight between the carrier and toner particles to be produced by the production apparatus according to the present invention may be any ratio, but the preferred ratio of the toner particles to the carrier is within 1:99-10:90, and the most preferred ratio is within 2:98-8:92. The carrier and the toner may be mixed according to the normal procedure. The dry type coating device for electrophotography use comprises a horizontal rotary member and a heating and/or cooling device. The horizontal rotary device upcasts the material while exerting the impulsive force on the material. The upcast material collides against the inclined internal wall of the chamber to bounce back into the rotary range of the blade of the horizontal rotary member. Thus, the impulse force can be exerted on the material efficiently and evenly to facilitate the mechanochemical effect on the material. In this case, where the internal wall of the chamber is inclined inwardly, the upcast material can be brought back efficiently into the rotary range of the blade. The material upblown by the air from the slit can also be subjected efficiently and evenly to the impulsive force to facilitate the mechanochemical effect on the material. In the coat forming process, in order to obtain the carrier with high coat forming ability, it is preferred to appropriately soften the resin particles adhered to the surface of the core material by maintaining the temperature of the object at the level near the glass transition point. According to the present invention, the temperature of the object in the chamber can be varied by providing the heating and/or cooling device so that the appropriate temperature of the object matching with the conditions of the production environment can be selected depending on the kind of the resin particles. Besides, after completing the coat forming process, the inside of the chamber can be cooled quickly to the room temperature, so that the carrier can be prevented from being discharged while being subjected to the temperature near the temperature of the glass transition point and the coagulation among the carriers can also be prevented. As described above, the production apparatus according to the present invention is not only capable of facilitating the mechanochemical effect on the material to form the coat at an appropriate temperature of the object but also capable of producing the carrier with the uniform, highly effective and highly durable coating without the granulation, since the quick cooling can be effected following the completion of the coat forming process. BRIEF DESCRIPTION OF THE DRAWINGS FIGS. 1 and 5 show the cross-sectional views of the dry type coating carrier production apparatuses as the preferred embodiments of the present invention. FIG. 2 is a plan view of the horizontal rotary member 18 shown in FIG. 1. FIG. 3-a is a cross-sectional view of the horizontal rotary member 18 shown in FIG. 1. FIG. 3-b is an enlarged view of the essential part of the horizontal rotary member shown in FIG. 3-a. FIG. 4 is a plan view of the dry type coating carrier production apparatus shown in FIG. 1. FIGS. 6 and 7 are the sectional views of a different dry type coating material production apparatus. FIGS. 8 and 9 are conventionally known dry type coating apparatus. DETAILED DESCRIPTION OF THE INVENTION Hereafter, the preferred embodiments of the present invention will be described. However, it is to be understood that the invention is not intended to be limited to the specific embodiments. Embodiment-1 The dry type coating device represented by Embodiment-1 will be described referring to FIGS. 1, 2, 3 and 4. FIG. 1 is an explanatory drawing schematically illustrating the construction of the dry type coating device. FIGS. 2, 3-a and 3-b are the plan view, the cross-sectional view and the enlarged view of the essential part of the horizontal rotary member respectively. FIG. 4 is a plan view of the apparatus of the present invention. The top cover 11 of the main unit is provided with the material inlet 12 with the feed valve 13, the filter 14 and the inspection hole 15. The material fed from the material inlet 12 through the feed valve 13 is subjected to the impulsive force by the horizontal rotary member 18 driven by the motor 22. As illustrated in FIG. 2, the horizontal rotary member 18 comprises the central part 18d and the blades 18a, 18b and 18c. As illustrated in FIGS. 3-a and 3-b, each blade is disposed at an angle of 35° to the bottom 10a of the container 10 of the main unit, and thus the material can be cast upward. The front end of each blade of the horizontal rotary member 18 is disposed in the same direction as that of the internal wall of the lower portion of the chamber. The upcast material is made to collide against the internal wall of the upper portion of the chamber including towards the center of the horizontal rotary member 18 or the internal wall of the lower portion of the chamber to drop into the rotary range of the blades 18a, 18b and 18c of the horizontal rotary member. In this embodiment, the vertical rotary member 19 is disposed above the horizontal rotary member 18. The vertical rotary member 19 with two blades rotates in the up-and-down direction to collide against the material bounced back from the internal wall of the chamber. Thus, the vertical rotary member 19 facilitates the stirring of the material to break up the coagulated material. Although the horizontal rotary member 18 also breaks up the coagulated material, the breaking up can be made more efficiently by using the vertical rotary member. The material is subjected to the impulsive force exerted from the horizontal rotary member 18, the vertical rotary member 19, by colliding against the internal wall of the chamber and by the collision between the particles of the material, and, as a result, the resin particles are caused to adhere to the surface of the core material. The coated carrier is discharged from the product outlet 20 through the opened discharge valve 21. Jacket 17 covers up to three quarters of the height of the external wall of the chamber or up to the location of the vertical rotary member 19. The jacket 17 normally serves as a heating device when stirring the material and also serves as a cooling device after completing the stirring process, though the operating condition of the jacket varies depending on the glass transition point of the resin particles and the temperature of the object in the chamber. The temperature of the object is measured with the object temperature thermometer 16. The object temperature thermometer 16 is a chromel-alumel thermocouple (T40-K-2-6,4-100-U-304-KX-G-3000 manufactured by Hayashi Denko Co., Ltd.) with a stainless steel cover (SUS304) 10 cm in length and 6.4 mm in diameter. This object temperature thermometer is inserted into the container 10 at the point, at about one third of the height of the container, so as to be parallel to the bottom 10a of the container and towards the center of the horizontal rotary member 18, in order to be installed on the container 10. The object temperature thermometer is inserted so as to come above the blade of the horizontal rotary member covering about one fifth of the blade being measured from the end of the blade. In this embodiment, the vertical rotary body 19 is provided with two blades, but the three blades or more may be provided. Also, in this embodiment, the vertical rotary member 19 is provided, but this member may be omitted. Embodiment-2 FIG. 5 schematically shows the construction of the dry type coating device of Embodiment-2. In this embodiment, the construction consisting of the members 10 through 22 is the same as that of Embodiment-1. The shape of the container 10 in Embodiment-2 differs from that of Embodiment-1. In Embodiment-2, the internal wall of the lower portion of the chamber is inclined inversely in the direction of the center of rotation of the horizontal rotary member, whereas the internal wall of the upper portion of the chamber is inclined towards the center of rotation. That is, the above-described chamber is shaped so that the diameter is largest at the central portion between the top and the bottom of the chamber. For that reason, the material collided against the internal wall of the lower portion of the chamber is bounded back towards the internal wall of the upper portion of the chamber, and the material is likely to drop onto the central portion of the blades. The front end of the blade of the horizontal rotary member 18 faces the same direction as that of the internal wall of the lower portion of the chamber and is inclined inversely in the direction of the center of rotation of the horizontal rotary member. In this embodiment, the vertical rotary member 19 is provided, but this member may be omitted. Embodiment-3 This embodiment will be described referring to FIG. 6. FIG. 6 shows the horizontal rotary member 18 and the shape of the container 10. The container 10 has a cylindrical shape and contains the horizontal rotary member similar to that of Embodiment-1. The outer circumferential portion of the bottom of the chamber is bent upward to give the upward kinetic energy to the material cast outward by the centrifugal force for stirring the material. The front end of the blade of the horizontal rotary member 18, however, faces the same direction as that of the internal wall of the lower portion of the chamber, and the above-described front end is bent upward. The rest of the construction is the same as that of Embodiment-1. Embodiment-4 Embodiment-4 will be described referring to FIG. 7. The chamber contains the horizontal rotary member 18 and the air is blown upward through the slit 23 provided at the bottom to upcast the mixture by the air. The arrow indicates the direction of air flow. In this case, the desired heating can be accomplished effectively by heating the air. Comparative Example-1 A conventional dry type coating device will be described referring to FIG. 8. The numeral 51 denotes a material chute; 52, an inlet cover; 53, a product outlet; 54, an outlet cover; 55, a stirring motor; 56, a rotary blade; and 57A and 58B, the pipings for recycling. In this apparatus, the material fed from the material chute 51 is given the impulsive force from the rotary blade 56 to cause the resin particles deposited on the surface of the core material to adhere firmly to the surface. Then, the above-described particles pass through the recycling piping 57A or 58B to be struck again by the rotary blades. This process will be repeated to accomplish the desired dry type coating. Comparative Example-2 Another conventional dry type coating device will be described referring to FIG. 9. The numeral 61 denotes a material feed valve; 62, a material chute; 63, a recycling circuit; 64, a casing; 65, a rotary disc; 66, a blade; 67, a stator; 68, a cooling or heating jacket; 69, a material discharge chute; and 70, a material discharge valve. The material fed through the material chute 62 will circulate through the recycling circuit 63. In this recycling process, the material collides against the blades 66 to receive the impulsive force therefrom, whereby the resin particles deposited on the surface of the core material are caused to adhere firmly to the surface to provide the carrier with dry type coating. In order to control the internal temperature of the apparatus, the recycling circuit 63 and the material chute 69 may be cooled or heated by the jacket 68. Hereafter, the examples of the production of the carrier using the embodiments of the present invention and the production apparatuses of the comparative examples will be explained. In the production apparatus described in Embodiment-1, the dry type coating device not comprising the vertical member 19 was used. In this embodiment, the resin particles with the average particle size of 0.4 μm, 0.4 wt % in quantity, were added to the copper-zinc ferrite with the weight average particle size of 80 μm and stirred with a YGG mixer for 20 minutes to obtain the mixture of these materials. The mixture was fed into the production apparatus, and the mixture was subjected to the impulsive force for 15 minutes while hot water was circulated through the jacket to maintain the temperature of the mixture at 80° C. Then, cooling water was circulated through the jacket to cool the mixture down to 40° C. In this case, the circumferential speed of the rotary disc was 10 m/sec. The result of the observation of the obtained carrier by a scanning electron microscope indicated that a uniform resin coating was formed. Then, the example of the production using the production apparatus described in Embodiment-2 will be explained. In this example, the resin particles with the average particle size of 0.10 μm, 0.8 wt % in quantity, were added to the copper-zinc ferrite with the average particle size of 40 μm and stirred for 20 minutes with a YGG mixer to obtain the mixture of these materials. The mixture was then fed to the apparatus described in Embodiment-1 to produce the carrier under the same conditions as were described previously. The result of the observation of the obtained carrier by a scanning electron microscope indicated that the uniform resin coating was formed. Then, another example of the production using the apparatus described in Comparative example-2 will be explained. In this example, the resin particles with the average particle size of 0.40 μm, 0.4 wt % in quantity, were added to the copper-zinc ferrite with the weight average particle size of 80 μm and stirred with a YGG mixer for about 20 minutes to obtain the mixture. The obtained mixture was fed to the apparatus described in Comparative example-2 and subjected to the impulse force for 8 minutes while hot water was circulated through the jacket to maintain the temperature of the mixture at 80° C. Then, cooling water was circulated through the jacket to lower the temperature of the mixture to 60° C. In this case, the circumferential speed of the rotary disc was 20 m/sec (When the circumferential speed is lower than 20 m/sec, the carrier core cannot be upcast, and this causes the coating to be formed unevenly). In this production method, the carrier was subjected to too intensive impulsive force. In this case, therefore, the result of the observation of the obtained carrier by a scanning electron microscope indicated that the resin coating was formed unevenly. As discussed in the above, the production apparatus of the present invention was capable of producing the carrier with uniform resin coating at a high coating ratio, whereas the carrier produced by the apparatus described in Comparative example was found to have a little lower coating ratio. The result of the evaluation of the carrier using the commercial production apparatus indicated that the carrier produced by the method of the Comparative example formed defective images due to the blur and the scattering of the toner when several tens thousand copies were taken, whereas the carrier produced by the method described in the embodiment of the present invention proved to be free of any problems according to the result of the durability test conducted by taking 60,000 copies.
An apparatus for producing an electrostatic image developer carrier from a material, comprising; a chamber for providing an enclosed space in which the material is processed, a stirrer for stirring the material in a horizontal direction in the chamber, and at least one of: a heater for heating up the chamber to a predetermined temperature, and a cooler for cooling down the chamber to a predetermined temperature.
FIELD OF THE INVENTION This invention concerns a valve system for coupling a hose or other article to a swimming pool drain or supply pipe fitted to a swimming pool aperture for use in discharging and salvaging winterization fluid. BACKGROUND OF THE INVENTION In climates that have freezing seasonal temperatures, the maintenance of swimming pools includes a winterizing process. As part of the winterizing process, the system of conduits and pipes that support water flow into and out of the pool are drained because water left in those pipes and conduits will likely freeze and expand as the temperature drops below freezing, damaging the pipes and fittings. For in-ground pools, the winterizing process typically involves the steps of draining water from all pipes situated above the frost line (typically to three feet below ground level), partially filling the pipes with anti-freeze to prevent the freezing of residual water, closing exposed orifices in the pool side wall to prevent ingress of water, debris, rain or snow into the pipes and conduits, and removing or protecting outside mechanical equipment. It is necessary to prepare swimming pools for such temperatures in order to avoid extensive damage, particularly to those pipes and conduits that are buried in the ground but above the frost line. In most in-ground pool systems, the return and drain pipes are fitted through each respective aperture in the pool wall. The pool apertures are typically situated well below the normal water line, perhaps by as much as thirty to fifty centimeters. In prior art winterization procedures, one first reduced the water level to below the aperture levels so that the water remaining in the pipes above the frost line can be drained. Such a procedure requires extensive draining of pool water, which can take up to eight hours. Draining to that level can involve the removal of several thousand liters of water from a typical residential swimming pool, perhaps as much as twenty-five thousand liters. The drained water usually is wasted. After the pool is drained the pipe ends are capped so that water and moisture cannot enter the pipes. As a cautionary measure, antifreeze has been and continues to be (in most cases) added before the pipe ends are capped to prevent freezing and expansion of residual water. The winterization process further includes refilling of the pool to about the normal water level to protect the pool structure from collapsing as the surrounding ground freezes and expands. Referring to the drawings, a conventional swimming pool arrangement is illustrated by FIG. 1 ; the arrangement comprises generally the pool having a peripheral rectangular wall as shown at 11 and a circulation and filtration system, the several parts of which are encompassed within the area of the broken line 10 , is situated contiguous to one end of the pool 11 . Typically, the plumbing accessories include at least a main drain 12 which draws water and sediment from the bottom of the swimming pool and a skimmer 13 through which water to be filtered and re-circulated is withdrawn and debris is removed. In the circulation process, water withdrawn from the pool through the main drain and from the skimmer is filtered and optionally chemically treated and returned to the swimming pool through returns such as shown at 14 and 15 . A pump 24 withdraws water from the swimming pool, the water is filtered at 25 , and through use of appropriate valves, such as 21 and 23 , is reintroduced into the swimming pool at return points such as those illustrated at 14 and 15 . Suction piping, some of which is illustrated at 19 and 20 , and discharge piping, as shown at 16 , 17 and 18 , are utilized to move the water between the pump and the pool. The pool has a plurality of apertures to accommodate return piping 14 and 15 and main drain piping 20 . Likewise, skimmer 13 has an aperture to accommodate suction piping 19 . Portions of the piping referred to at 14 , 15 , 16 , 17 , 18 , 19 , and 20 are above the frost line and all water must be expunged therefrom to prevent freezing and damage to the piping system. As discussed above, antifreeze is introduced into the pool pipes to prevent residual water from freezing. At the conclusion of the winter dormancy, heretofore the antifreeze solution has been discharged into the pool water, i.e., water is not drained from the pool to below the pool aperture levels so that the antifreeze can be saved. It is an object of the present invention to eliminate the step of draining the pool water to below the pool apertures for emptying the pipes of pool water and adding an antifreeze solution thereto at the conclusion of a summer season. Another object is to salvage the solution, without lowering the water level, before the valves are removed at the start of the spring/summer season. SUMMARY OF THE INVENTION A valve system comprises a valve assembly and a valve adaptor. The valve assembly has a housing that is threaded or otherwise configured at one end so that it can be secured at a pool aperture to an inlet or outlet pipe-end. Internally, the assembly has a flow aperture adjacent a flow conduit so that water and air can flow through the valve. A ring circumscribes the flow aperture and provides a flow occlusion surface defining a gate plate. In a first, closed state, a valve gate having at least one gate-flow aperture is in contact with the flow occlusion surface. In this position, the flow occlusion surface obstructs liquid flow, preventing liquid from flowing through the gate-flow aperture(s). In a second, open state, the valve gate is forced away from the flow occlusion surface under the pressure of air or liquid flowing into the valve, through the flow conduit and the flow aperture. A containment lip fixed to the valve housing internally circumscribes the outlet of the valve, prohibiting further movement of the valve gate in the direction of flow. When the valve is open, the boundaries of a gate channel are defined by the location of the flow aperture and the gate-flow aperture(s). The valve thus allows fluid to flow in only one direction, that is out of the pool pipes in the direction of the pool. At the outlet, the valve system includes an adaptor component having threads for connection to a hose or other conduit. Pumped air or fluid may thus flow from the pool pipe and through the flow conduit, flow aperture, flow channel, gate-flow aperture, through the adaptor and into a hose. The pumped fluid, typically antifreeze or an antifreeze/water mixture, is allowed to flow from the pipe into the hose until the pump is turned off. The valve assembly may then be removed from the pool aperture, allowing pool water to flow into the pool pipe and conduit system. BRIEF DESCRIPTION OF THE DRAWINGS In describing the present invention, reference is made to accompanying drawings wherein: FIG. 1 is a schematic diagram illustrating by plan view a swimming pool equipped with a typical water circulating and filtration system. FIG. 2 is an exploded perspective view showing a first exemplary embodiment of the valve assembly component of the present invention. FIG. 3 is an exploded perspective view that shows a second exemplary embodiment of the valve assembly component of the present invention along with a valve cap and a valve socket. FIG. 4 is an exploded cross-sectional view taken along lines 5 - 5 of FIG. 3 . FIG. 5 is a cross-sectional view taken along lines 5 - 5 of FIG. 3 showing the valve gate in the valve closed position and showing in phantom the valve gate in the open position. FIG. 6 is a end view of the valve assembly component of the second embodiment of the present invention showing in phantom components of a socket as applied to the valve. FIG. 7 is an exploded cross sectional view of an adaptor coupled to the valve assembly (the valve gate not being shown for clarity) of the preferred embodiment. FIG. 8 is an exploded perspective view of the removable adaptor component of FIG. 7 . DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT According to the invention, a valve system for use in winterization management process has both valve assembly and adaptor components. The valve assembly is configured for fastening at a pool-wall aperture to a pipe end (inlet or outlet). In pool systems having a main drain, a valve assembly may be fastened thereto as well. In the preferred embodiment, the valve adaptor is configured at one end for attachment to the valve assembly and at the other end for attachment to a hose, or other accessory. As discussed in more detail below, the valve assembly opens to allow water, antifreeze, or mixture thereof to be pumped through a pipe/conduit and into the pool if the adaptor component of the invention is detached from the valve assembly. If the adaptor and valve components are coupled together, water/antifreeze will flow through the open valve and adaptor and, preferably, into a hose threaded to the adaptor. Conversely, the valve closes to prevent fluid from flowing back into the pipe/conduit. The valve assembly of the present invention thereby obviates the need to drain the pool to a water level below the pool-wall apertures (in FIG. 1 , associated with the returns 14 and 15 , skimmer 13 , and main drain 12 ) for emptying the pipes and conduits of all water when the pool is conditioned for winter conditions. For the reopening procedure in the warm weather season, the valve assembly provides that fluid in the pool pipes may be pumped into a hose and salvaged. The valve is then removed from the pool aperture and pool water enters and fills the pool pipes. Referring to FIG. 2 , a first embodiment of the valve assembly component of the present invention includes a main valve housing 50 and a valve gate 52 . The valve housing 50 includes a flow aperture 54 adjacent a flow conduit or cavity, not visible in FIG. 2 . The flow conduit continues through the opposite end 56 of the housing. The valve housing has threads 70 for securing the valve assembly at a pool aperture to the end of a pipe/conduit. A rim 72 is preferably molded to or part of the valve housing so the valve assembly can be tightened against the pool wall. A gasket is preferably utilized to form an airtight seal between the rim 72 and the pool wall/surface. The valve gate 52 preferably includes a conical plug 58 configured to rest against a housing seat 76 when the valve is closed, as discussed below. Preferably, the conical plug 58 is hollow, the outer shell configured to fit within flow aperture 54 allowing the plug to glide along the housing seat 76 . The valve gate 52 includes a ringed control plate 62 fixed to the conical plug 58 . Preferably, the dimension (diameter) of the area 68 within the ring 62 is uniform with that of the adjoining hollow portion of conical plug 58 . The control plate 62 further includes a plurality of small holes or gate-flow apertures 66 ( a ), 66 ( b ), 66 ( c ), and 66 ( d ) extending therethrough and circumferentially disposed around the plate. In one embodiment, the valve is assembled by pressing the control plate 62 onto and past a plastic semi-flexible containment lip 64 and into a gate channel defined by the containment lip 64 , a valve housing gate channel wall 78 , and a housing gate plate 60 . The gate channel confines movement of the valve gate between “valve open” and a “valve closed” positions. As noted, the main valve housing 50 has a circular containment lip 64 extending radially inward from a main valve housing gate channel wall 78 (partially shown). The containment lip catches the control plate 62 by a portion of its outer perimeter when the valve gate 52 moves, under the force of water or air flowing out of the pool pipe, away from the housing gate plate 60 to a “valve open” position. The containment lip 64 is far enough away from the housing gate plate 60 to allow the valve gate to move a limited distance (preferably one-half centimeter) from the housing gate plate 60 , thereby allowing water or air to flow through a flow channel formed between the housing gate plate 60 and the control plate 62 . Water or air may thus flow through the flow aperture 54 , through the gate-flow apertures 66 ( a ), 66 ( b ), 66 ( c ), and 66 ( d ), and out of the valve. In application, the valve assembly is tightened into an aperture of the pool leading to a drain or return. The pump and pump system valves are adjusted so that air is pumped through the corresponding pipe. Pressurized air in the pipe will push the water toward the orifice and will force the valve gate 52 away from the housing gate plate, allowing water to flow through and out of the valve. After all the water has exited the pipe, the pump is turned off. The pool water exerts sufficient force against the control plate 62 and the interior of the conical plug 58 to move the valve gate 52 to the closed position. In the closed position the control plate 62 is in contact with and pressed against the surface (occlusion surface) of the housing gate plate 60 , closing off the gate-flow apertures 66 ( a ), 66 ( b ), 66 ( c ), and 66 ( d ), thus preventing pool water from flowing into the valve and back into the pipe. In an alternate embodiment, the water in the pool forces the valve gate 52 against the housing gate plate 60 and prevents water from flowing through the flow aperture 54 , closing the valve. In such an embodiment, the control plate 62 includes slits spatially situated at its outer circumference (thus resembling a toothed wheel) so that pipe water may exit the valve therethrouh when the valve gate is in the open position. In another embodiment, the valve gate has flow apertures and slits, and both the gate-flow aperture and the flow aperture are closed off when the valve gate contacts the housing gate plate 60 . Depending upon the pumping capacity of pump 24 , each of the return conduits 14 and 15 , the skimmer conduit 19 , and main drain conduit 22 may be emptied individually/sequentially, in pairs, or all at the same time. In any case, it is preferred that one valve assembly be provided for each conduit. Preferably, both the main valve housing 50 and the valve gate 52 are molded from forty percent calcium-filled polypropylene. A second embodiment of the valve assembly component of the present invention is shown in FIGS. 3-6 . The valve assembly of this embodiment has a valve housing 80 and a valve gate 90 . As shown in the cross-sectional view of FIG. 4 , the valve housing 80 has a flow cavity 82 for directing water or air flow from a pipe or conduit to a flow aperture 84 . A containment lip 86 extends radially inward at one end of the valve housing. A gate channel 88 is defined on one side by the containment lip 86 and on the opposite side by a gate plate 106 . A valve gate 90 , having a plurality of gate-flow apertures 92 (see FIG. 3 ), is configured for reciprocating movement within gate channel 88 . Fluid or air pumped into the flow cavity 82 exerts force against a conical structure 108 of the valve gate 90 . This force moves the valve gate to an open position wherein the outer perimeter 110 of the valve gate is pressed against the containment lip 86 (shown in phantom as 110 ( b ) in FIG. 5 ) and the conical portion of the valve gate, shown as 108 ( b ), is removed from the flow aperture. In this position a flow channel exists between the gate plate 106 and the opposing surface 112 of the valve gate. In the preferred embodiment the flow channel is four centimeters wide. The plurality of gate-flow apertures 92 allow fluid or air to flow out of the valve assembly component. In the preferred embodiment, there are eight gate-flow apertures, each most preferably being circular in shape, having a diameter of seventy-eight one-thousands of an inch, and being disposed circumferentially about the valve plate. With reference to the winterization procedure discussed above, antifreeze may be introduced to the pool pipes at or near the pool pump by a compressor connected (temporarily or fixed) to the system. The compressor is turned on until a predetermined amount of antifreeze is input into the pipe(s) or until antifreeze just begins to exit the valve assembly. When the pool pump is turned-off and air or antifreeze is in the pool pipe, the water in the pool exerts force against the inner surface 94 of the conical plug and also against the surface 110 of the valve gate 90 adjacent the valve outlet, moving the valve gate to a closed position (shown in FIG. 5 ). In this embodiment, flow aperture 84 is shaped to receive conical structure 108 ( a ) and guide the valve gate as it moves between the open and the closed positions. In the closed position the opposing surface 112 of the valve gate is juxtaposed to the gate plate 106 , closing off the gate-flow apertures 92 , preventing pool water from flowing back into the flow cavity 82 . The valve housing is configured so that it may be secured at a pool aperture to a pipe end. Typically, the pipe end is internally threaded. Thus, the valve housing is preferably provided with a threaded outer surface 96 having a diameter of one and seven-hundred and fifty one-thousands inches, and a rim 98 for securing the valve assembly to a pool orifice. A one-eight inch diameter O-ring 100 is preferably utilized to ensure an air-tight seal between the valve assembly and the pipe end, as well as between the outer diameter of the valve assembly and inner diameter of the pool wall or pipe mounting plate. It is preferable to coat threaded surface 96 with several layers of a suitable tape, such as that sold under the trademark TEFLON®, to create a seal between the valve housing and the pipe end, and also to prevent the housing threads from burning (due to friction heat) to the pipe threads as the housing is screwed on. Alternatively, if the pool pipe end is not internally threaded but rather has another mating mechanism, the pool valve housing may be configured/molded with a reciprocating mechanism, or alternatively, a reciprocating mechanism may be threaded to the valve housing discussed above. To facilitate molding of the valve housing, in one embodiment components of the valve housing are tapered in accordance with the following: the diameter of the threaded surface of the housing is tapered down six one-hundredths of an inch from the O-ring to the end of the housing; the diameter of the flow cavity is increased one hundred and twenty five one-thousandths of an inch from the flow aperture 84 to the end of the housing; the diameter of the gate channel 88 is increased one one-hundredth of an inch from the flow aperture to the containment lip; the outer diameter of the housing is tapered three one-hundredths of an inch from groove 102 to the end of the valve (the end associated with the containment lip); housing surfaces defining other open spaces (such as shown at 104 ) in the valve housing may also be slightly tapered to facilitate the molding process. Referring again to FIG. 3 , optional but preferred winterization components for use with the valve assembly component are shown and include a rubber cap 116 . The rubber is provided for placement over the valve assembly after all the water is pumped out of a pipe and the valve closes. The rubber cap 116 functions to further ensure that water/moisture cannot enter the valve assembly. In the present embodiment, the valve housing has a groove 102 (see FIG. 4 ) configured for receiving a radially inward extending lip 118 of the rubber cap for securing the cap to the valve housing. Preferably, the rubber cap also has a raised surface 120 dimensioned to cover the outer surface 110 (see FIG. 4 ) and/or flow apertures 92 of the valve gate 90 when the valve housing receives the cap. The raised surface further serves to hold the valve gate against the gate plate. With the valve assembly in a closed state and the pipe having been emptied of all water the cap is preferably placed on the valve for the duration of the winter. To facilitate placement of the cap 116 over the valve assembly, marine grease may be rubbed along the lip 118 and over the raised surface 120 . The adaptor component 130 of the present invention is shown in FIGS. 7 and 8 . In the preferred embodiment, the adaptor component comprises a substantially cylindrical rubber housing 140 . Both ends of the housing have an aperture, as shown. An adaptor flow channel 132 traverses longitudinally through the rubber housing, comprising a tubular passage. In the present embodiment, the adaptor component is configured for attachment to the valve assembly 80 at groove 102 . For this purpose, the adaptor has a circumferential, inward extending lip 134 , dimensioned to fit snugly around groove 102 and forming a water-tight seal between the valve housing and the adaptor inlet 142 . In application, water or liquid pumped from the pool pipes flows through the valve assembly, through the adaptor flow channel 132 to the adaptor outlet 138 . The outlet 138 may be configured to serve one or several purposes. In the preferred embodiment, the outlet includes a threaded plastic external surface 136 for attachment to a hose. In a further embodiment, the outlet comprises a filtration device, such as mesh, for removing suspended matter. In application, the valve system provides a flow conduit so that liquid, typically antifreeze, can pass from the pool pipes to the inlet of a hose. Thus, at the end of the winter season, the rubber cap is first removed from the valve assembly. The adaptor is then coupled to the valve, and a hose is threaded to the opposite end of the adaptor. The outlet of the hose is preferably fed into a receptacle. The fluid (primarily antifreeze solution) in the pool pipes is thereby pumped out of the pool pipes into the receptacle and salvaged. In alternate embodiments, the adaptor assembly may be constructed of a material other than rubber, such as plastic or metal and/or the housing may take a form other than cylindrical, such as square or triangular, provided that the adaptor inlet is configured for attachment to the valve assembly. In other alternative embodiments, the valve assembly and adaptor are permanently attached and/or manufactured as one contiguous body. Referring once again to FIG. 3 , a valve assembly socket 122 is shown as an optional component. The valve assembly socket 122 is used to loosen the valve assembly from the pipe. The socket has a pair of arcuate dogs 124 configured to fit into an open space 104 of the valve housing 80 (shown in FIG. 6 ) having a catch 114 on both sides thereof. A preferably square-shaped aperture 126 (also shown in phantom in FIG. 6 ) receives a wrench, enabling one to generate sufficient torque to remove the valve assembly. Preferably, the valve assembly of the second embodiment and the socket are molded from forty percent calcium-filled polypropylene. It is to be understood that the above-described embodiments are merely illustrative of the principles of the invention and that many variations may be devised by those skilled in the art without departing from the scope of the invention. It is, therefore, intended that such and other variations be included within the scope of the claims.
A valve assembly for use in winterizing a swimming pool closes to prevent pool water from entering into a pool pipe situated below the water line and opens to allow fluid or air to be pumped out of the pool pipes, including an adaptor having threads for attachment to a hose so the pipe contents may be pumped directly into the hose and out of the pool.
BACKGROUND OF THE INVENTION 1. Field of the invention The present invention relates to a document pusher plate of an image forming equipment, by which document feeding and delivery can be smoothly carried out under a stabilized condition. 2. Description of the Prior Art A document copying machine (Japanese Pat. Application No. Sho-63-61333) shown in FIG. 2 is available as a representative example of the conventional image forming equipments which are in the background of the invention. A document pusher plate 3 which can be freely opened and closed is mounted on the machine body 2 of the document copying machine 1 by means of a hinge mechanism. Such document transfer means (in this case, a document transfer roller) 6 of an automatic document feeding unit 5 as shown in FIG. 3 is arranged at the side of a document feeding device 4 of the document pusher plate 3. Therefore, a document D which is fed from the document feeding device 4 to the automatic document feeding unit 5 pushes up a flexible thin plastic member 7 provided at a document feeding opening 7a and is sent to the document transfer roller 6. Consecutively, as the document transfer roller 6 rotates counterclockwise in the drawing centering around an axis 8, the document D is transferred to the required exposure position on a document set plate 9. At this time, the document transfer roller 6 retains the document D between the document transfer roller 6 itself and the document set plate 9 at all times. Then, as copying job is completed, the above document transfer roller 6 rotates reversely, reverses the document D by 180° by way of the thin plastic member 7 and the delivery channel 10 and delivers it through a delivery port 11. In such a conventional copying machine 1 as shown in the above, a hinge mechanism 12 shown in FIG. 4 is arranged at the side 13 of the document transfer roller 6 (FIG. 3) of the automatic document feeding unit 5 and at the opposite side 14 thereof. Thus, the resin-made document pusher plate 3 can be opened and closed by way of the hinge mechanism 12 for the machine body 2 of the document copying machine 1. The above hinge mechanism consists of a mounting plate 17 formed to be trough-like and fixed to the side of the document pusher plate 3, a hinge body 20 fixed to the side of the machine body 2 of the document copying machine 1 by way of an axis 18 and mounting plates 19a and 19b, and an axis 21 arranged betweena the mounting plate 17 and the hinge body 20, by which the mounting plate 17 can be rotatably supported for the hinge body 20. Hereupon, the document pusher plate 3 is a resin molded article for the sake of low cost and light weight, and the document pusher plate 3 itself constitutes a chassis and is not provided with any special reinforcement. In addition, as the mounting plate 17 of the hinge mechanism 12 is directly fixed to the protrusions 15 and 15 of the document pusher plate 3 by means of such fixing means 16 and 16 as screws, etc., the document pusher plate 3 is insufficiently supported. Moreover, as the document pusher plate 3 is made of resin as shown in the above, warping and deflection may be caused to be present through repeatedly opening and closing the document pusher plate 3 by way of the hinge mechanism 12. Subsequently, the force with which the document transfer roller 6 pushes a document especially at the side of the automatic document feeding unit 5 of the document pusher plate 3 may get into imbalance in the axial direction, thereby causing documents not to be transferred accurately and to be skewed in transfer. Therefore, it is an object of the present invention to provide an image forming equipment in which document can be accurately transferred by an automatic document feeding unit under a stabilized condition. SUMMARY OF THE INVENTION In order to accomplish the above object of the invention, an image forming equipment in which a document pusher plate that can be freely opened and closed by means of a hinge mechanism 12 is mounted on the upper portion of the document set plate of the image forming equipment proper and a document is fed to the position of exposure on the document set plate and is delivered from the position of exposure by rotating or reversing the document transfer means arranged at the side of the document feeding device in the document pusher plate comprises a reinforcement plate mounted at the side of document transfer means at the rear side of the document pusher plate and is characterized in that one end portion of the reinforcement plate is linked with the hinge mechanism. Therefore, according to the invention, a reinforcement plate is mounted at the side of the document transfer means at the rear side of the document pusher plate, and furthermore one end portion of the reinforcement plate is linked with the hinge mechanism, thereby causing the document pusher plate not to be directly linked with the hinge mechanism, force given to the hinge mechanism by opening and closing of the document pusher plate to be transmitted only to the reinforcement plate, and warping or deflection not to be produced on the document pusher plate. For this reason, the document transfer means arranged in the automatic document feeding unit of the document pusher plate can push a document with uniform force in the axial direction at all times, thereby causing the documents to be accurately transferred. This specification of the present invention specifically points out the subject thereof and is complete with the claims clearly claimed. The above, and other objects, features and advantages of the present invention, will become apparent from the following description taken in conjunction with the accompanying drawings. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a partially enlarged sectional view showing the vicinity of the hinge mechanism at the side of the document transfer means in one of the preferred embodiments of the invention which is shown in FIG. 5, FIG. 2 is a perspective view of a document copying machine as one representative example of the conventional image forming equipment which is in the background of the invention, FIG. 3 is an enlarged sectional view of the automatic document feeding unit of the conventional image forming equipment which is in the background of the invention, FIG. 4a is a partially enlarged sectional view showing the vicinity of the hinge mechanism at the side of document transfer means in FIG. 2, FIG. 4b is a sectional view cut off along with the line A--A in FIG. 4a, FIG. 5 is a rough perspective view of an automatic document feeding unit by which the invention is effected and an image forming equipment in which the automatic document feeding unit is mounted, FIG. 6 is a sectional view cut off along with the line A--A of the automatic document feeding unit in FIG. 5, FIG. 7 is a sectional view along the line A--A in FIG. 5 for explaining the states of the automatic document feeding unit with the document pusher plate opened, FIG. 8 is a perspective view of a document stopper, FIG. 9 is a sectional view of a conventional automatic document feeding unit by which the invention is effected, and FIG. 10 is a perspective view of another automatic document feeding unit. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS In the following description, the same reference numbers or symbols are given to the elements which are common to a conventional image forming equipment 1 (the description hereunder is made with reference to a document copying machine as representative example) shown in FIG. 2 through FIG. 4. In this embodiment, the point which is different from those in the conventional image forming equipment 1 is such that as shown in FIG. 1, a reinforcement plate 22 is mounted along with the side of the document transfer roller 6 at the rear side of the document pusher plate 3 and one end portion 23 thereof is linked with the hinge mechanism 12. Therefore, in the case that the document pusher plate 3 is opened or closed for the image forming equipment proper, firstly, the reinforcement plate 22 fixed in a body to the document pusher plate 3 by means of screw means 16 rotates, centering around the hinge mechanism 12 when lifting up the document pusher plate 3, and the mounting plate 17 of the hinge mechanism 12 rotates centering around the axis 21 of the hinge mechanism 12, thereby causing the document pusher plate to be opened. Therefore, force given to the hinge mechanism 12 is given to only the reinforcement plate 22, but the force is not given to the document pusher plate 3 which is composed together with the reinforcement plate 22 in a body. On the contrary, in the case that the document pusher plate 3 is closed, the mounting plate 17 of the hinge mechanism rotates in the reverse direction of the above rotation, centering around the axis 21 of the hinge mechanism 12, conversely of the case that the reinforcement plate 22 is opened, when the document pusher plate 3 is pushed downwards. Thereby the document pusher plate 3 is caused to be closed. Also, the reinforcement plate 22 may be tightened to the document pusher plate 3 together with the hinge mechanism 12 as component separate from the mounting plate 17 of the hinge mechanism 12 as shown in FIG. 1 or may be composed in a body together with the mounting plate 17 of the hinge mechanism 12. FIGS. 9 and 10 show the outline of an automatic document feeding unit 101a and 101b to which the invention can be effected. As the sectional view of one of the automatic document feeding unit 101a is shown in FIG. 9, a document receiving portion 108a in which an image formed document is delivered is formed to be plain on the upper portion of the document pusher plate 105a internally provided with a document transfer portion 105", and on the upper part of said document receiving portion, means for stopping the delivered document is provided. In this embodiment example, the means for stopping comprises a guide groove 120 which are formed lengthwise in the direction of delivery downwards on the surface of the document receiving portion 108a and the guide groove has stopping protrusions 119 on each edge 118 for the surface of the document receiving portion 108a, respectively, and a document stopper 111a whose leg portion 121 is protruded downwards and is slidable in the direction of delivery so that it can not slip off from the guide groove 120 and which are formed to be long with the right angle to the direction of delivery. As the document stopper 111a can easily slide in the direction of delivery on said automatic document feeding means 101a, the document stopper 111a can be applicable to various sizes of delivered documents. In addition, when opening and closing the document pusher plate 105a, the document stopper 111a does not slip off. Next, as shown in FIG. 10, in the other example of the embodiment of the invention, stopping means of delivered documents of automatic document feeding unit 111b comprises a magnet 122 internally built in to be long on the rear side of the document receiving portion 108b in the direction of delivery (the direction of an arrow 110) and a document stopper 111b which is slidably mounted on the upper surface of the document receiving portion 108b in the direction of delivery by means of magnetic force of the magnet 122. In this embodiment, as well as the above example of the embodiment, it is very easy to slide the document stopper 111b, and the document stopper 111b can not easily come off as using a magnet 122. However, it is removable if the necessity occurs. So, it is convenient, too. Actually however, in the automatic document feeding unit so composed as shown in the above, as the document stopper with which delivered documents are brought into contact and stop thereto is caused to slide, guide grooves formed downwards from the upper surface of the document receiving portio formed at the upper surface of the document pusher plate and a magnet internally built in on the rear side of the document receiving portion have been utilized. For this reason, the composition may become complicated, and space occupied by the guide grooves and the guide portion has been needed at the lower part of the document receiving portion. Besides, there is a still another problem if a magnet is used. Namely, the cost of material is increased and the material of the document stopper is limited to magnetic materials. Furthermore, in the stopping means of said automatic document feeding unit shown in the above, as the document stopper slides in the direction of delivery, the document receiving portion is to be plain due to the structure of the guide portion. Therefore, as the surface with which a document delivered to the document receiving portion is brought into contact is plain, there is another inconvenience, that is, it is difficult to collect the documents. Hereupon, the document pusher plate and the document stopper which are shown in FIGS. 5 to 10 have been invented. An automatic document feeding unit 51 shown in FIGS. 5 to 7 comprises; a document supplying portion 52 to supply a document for image forming processing, a document transfer portion 55', which is built in a document pusher plate 55 installed above the document set plate 54 of the image forming device 53, for transferring a document fed from the document supplying portion 52 to the position of exposure on a document set plate 54 at the body side of an image forming device 53 and for delivering the document after exposure, a delivery portion 57 composed integrally with the document pusher plate 55, whose delivery port 56 is so formed as to be an opening for delivering an image formed document toward the upper surface of the document pusher plate 55, and A document receiving portion 58 formed adjacent to the above delivery port 56 on the document pusher plate 55 for piling the image formed documents. In this embodiment, a plurality of ribs 59 are formed to be long in the direction of delivery (the direction of an arrow 60) so that they can be upwardly protruded vertically on the plain of the document receiving portion 58. At this time, the plurality of ribs 59 are formed with a required interval therebetween to the right angle in the direction of delivery. Furthermore, the document stopper 61 with which a document delivered from the delivery port 56 is brought into contact and stops thereto is placed on said document receiving portion 58 with its lenghtwise side at the right angle with the direction of delivery. In the document stopper 61 as shown in FIGS. 5 through 8, notches 63 so formed upwardly from the edge 62 of the document stopper to be plural with the appointed interval that it can be longer than the height of the ribs 59, wider than the width in the direction of the right angle to the direction of delivery of the ribs 59 and slidable for the ribs 59 and that when the document pusher plate 55 is opened (Two-dotted line B in FIG. 5 and in FIG. 7) the document stopper can be fixed on the ribs 59, and leg portions 64 formed to be parallel on the plane of the document receiving portion 58 at the edge portions 62 where the above notches 63 are not formed. Here, the above appointed interval is the interval between the adjacent notches of the document stopper 61. In other words, in the case that a unit interval is supposed to be "l", the appointed interval is "l" or the integral number of times of "l". Namely, in the case that the number of notches formed in the document stopper 61 is "n", the interval to the direction of the right angle to the direction of delivery of the adjacent ribs 59 may be (n-1)×l. However, in the case that the number of the notch 63 in the document stopper 61 is one (n=1), the interval between the adjacent ribs 59 is not limited if the document stopper 61 is housed between the ribs 59. In this example of the embodiments of the invention, as shown in FIGS. 6 through 8, as the number of notches 63 of the document stopper 61 is three, the interval between the adjacent ribs 59 may be 2l. Thereby, the number of the above ribs 59 may be omitted. In an automatic document feeding unit 51 according to the above embodiment, a document set on the document tray 65 attached to the document feeding portion 52 which is about to be image-formed is fed to the document transfer portion 55' built in the document pusher plate 55 by document feeding means (not illustrated) of the document feeding portion 52. Next, the document is transferred to the position of exposure between the document pusher plate 55 and the document set plate 54 at the body side of the image forming device 53 by transfer means (not illustrated) of the document transfer portion 55'. And a document for which image forming processing like exposure, etc. has been completed is transferred to the delivery portion 57 integrally formed in a body on the upper surface of the document pusher plate 55. Consecutively, the document is delivered from the delivery port 56 to the document receiving portion 58 provided on the upper surface of the document pusher plate 55. The delivery port 56 is formed to be opened toward the downstream in the direction of delivery at the side in the direction of the right angle to the direction of delivery of the delivery portion 57. At this time, as mentioned above, a plurality of ribs 59 are formed on the document receiving portion 58. Furthermore, the document stopper 61 at which the notches 63 are set over the ribs 59 thereof and the leg portions 64 thereof are placed on the upper surface of the document receiving portion 58 is set to the position in the direction of delivery according to the size of document to be delivered onto the document receiving portion 58 with its lengthwise direction thereof at the right angle with the direction of delivery. Therefore, the document delivered from the delivery port 56 is brought into contact with the document stopper 61 to stop there, and the document is piled on the ribs 59. For this reason, as clearance equivalent to the height of the ribs 59 is produced between the document piled in the above and the document receiving portion 58, it is very easy for an operator to collect the documents from the document receiving portion 58. Besides, in the case that the position of exposure of the document is biased toward the opening 66 of the document pusher plate 55 or to the corner portion of the hinge side 67 on the plane of the document set plate 54 (corner alignment case), the document is delivered on the position different from the central portion in the direction of delivery of the document receiving portion 58. In an image forming equipment like this type, the document stopper 61 can slide in the direction of the right angle to the direction of delivery, so that the documents may not be skewed on being piled after the documents are brought into contact with the document stopper 61. Namely, FIG. 6 shows an example (shown with the two-dotted line "C") in which the document stopper 61 placed at the central portion in the direction of the right angle to the direction of delivery of the document receiving portion 58 slides to the side of the hinge 67 of the document pusher plate 55. Thus, as the document stopper 61 can be detachably set at an adequate position on the upper surface of the document receiving portion 58, it is very easy to conform to changes of the size of documents or the position of delivery of the delivery port 56. The invention can be effected and/or carried out in other embodiments without departing from the spirits and substantial features thereof. Therefore, though the above embodiment is one of the preferred embodiments, the invention is not limited only to the embodiment mentioned in the above. An it can be easily understood that all the modifications which can be effected in the scope of the claims described hereinafter and the scope meant by the claims are included in the claims hereof.
The present invention relates to a document pusher plate to be used in an image forming equipment in which a document pusher plate which can be freely opened and closed by a hinge mechanism is mounted on a document set plate of the image forming equipment and a document is fed to the position of exposure on the document set plate or delivered from the position of exposure by rotating or reversing document transfer means arranged at the side of a document feeding device in the document pusher plate. This document pusher plate is provided with a reinforcement plate at the side of the document transfer means at the rear side thereof, and one end of the reinforcement plate is linked with the hinge mechanism, thereby causing warping or deflection of the document pusher plate to be prevented, accomplishing low cost of the production and light weight, and causing the document transfer to be accurate and stabilized by means of an automatic document feeding unit.
BACKGROUND OF THE INVENTION 1. Field of the Invention The invention relates to a workpiece holder. 2. Background Art A workpiece that is to be machined in several steps of working on various machine tools is fixed in the machine tools by means of chucking appliances for being machined on a workpiece holder. For an ensuing step of working on the following machine tool to be performed, it is detached from the workpiece holder and transported to the following machine tool where it is again fixed on a workpiece holder. U.S. Pat. No. 3,606,300 teaches a workpiece rest with a workpiece aligned and fixed on it. To this end, the workpiece rest has quite a number of holes. The workpieces and the workpiece rest that carries them are transported together from one machine tool to the next, nothing being said on how fixing takes place on the individual machine tools. It is known from U.S. Pat. No. 5,558,784 to fix a work that is to be machined on a workpiece rest. The workpiece rest possesses chucking elements in the form of twistlocks which simultaneously constitute centering elements for at least roughly centering and distinctly aligning the workpiece rest on the processing machine tool, it being absolutely necessary to fit the workpiece rest accurately into the machine tool. In the machine tool, the coordinate values i.e., the position of the workpiece rests in the three-dimensional system of coordinates, are measured and offset against the data stored in the machine tool in order for the precise position of the workpiece to be obtained in relation to the coordinate systems of the machine tool. This familiar method exhibits several drawbacks in installations with various machine tools, for instance transfer machines, or short-cycle flexible manufacturing systems, because the periods needed for accurately fitting in the workpiece rests add to the cycle times of the entire installation. SUMMARY OF THE INVENTION It is an object of the invention to embody a workpiece holder that does not require any accurate fitting of the workpiece during or after the chucking process. According to the invention, this object is attained in a workpiece holder, comprising a workpiece holding fixture; and a workpiece rest; wherein the workpiece rest is provided with arrangements for fixing a workpiece in a given position relative to the workpiece rest; wherein the workpiece holding fixture is provided with bearing surfaces and the workpiece rest with counterpart surfaces that rest on the bearing surfaces for the workpiece rest to have an accurately given position in a first, second and third coordinate direction; and wherein chucking appliances are provided, chucking the workpiece rest relative to the workpiece holding fixture in the first, second and third coordinate direction. The workpiece takes an accurately defined position on the workpiece rest and keeps this position throughout the steps of machining. When transported from one machine tool to the other, the workpiece rest is chucked accurately in all the three coordinate directions, there being no need for renewed fitting of the workpiece into a machine tool. Further features, advantages and details of the invention will become apparent from the ensuing description of an exemplary embodiment, taken in conjunction with the drawing. BRIEF DESCRIPTION OF THE DRAWING FIG. 1 is a plan view of a workpiece holder with a workpiece rest mounted on a workpiece holding fixture; FIG. 2 is a vertical cross-sectional view through the workpiece rest on the line II—II of FIG. 1 with a workpiece mounted on the workpiece rest; FIG. 3 is a perspective diagonal view of the workpiece holder; FIG. 4 is another perspective diagonal view of the workpiece holder; FIG. 5 is a perspective diagonal view of the workpiece holding fixture in accordance with the representation of FIG. 4; FIG. 6 is a perspective diagonal view of the workpiece rest; FIG. 7 is a cross-sectional view through the workpiece holder on the line VII—VII of FIG. 1; FIG. 8 is a cross-sectional view through the workpiece holder on the line VIII—VIII of FIG. 1; and FIG. 9 is a partial view of a holding element on an enlarged scale. DESCRIPTION OF THE PREFERRED EMBODIMENT The workpiece holder seen in the drawing is fundamentally comprised of two parts. It consists a box-type workpiece holding fixture 1 and a workpiece rest 2 . The workpiece holding fixture 1 has the shape of an angular column with a foot 3 and a rear supporting wall 4 . The workpiece holding fixture 1 is arranged in a given position on the machining side of a machine tool, for instance a universal machine center. The workpiece rest 2 has a basic shape of a flat cuboid and may be comprised of several pieces for manufacturing reasons. It has a workpiece 6 supporting surface 5 which faces away from, and is approximately parallel to, the supporting wall 4 , lying on a x-y plane. It is provided with two index pins 7 which stand out from the supporting surface 5 in the z direction, engaging with index holes 8 of identical diameter in the reference surface 9 of the workpiece 6 that is turned towards the supporting surface 5 , thereby defining the position of the workpiece 6 relative to the workpiece rest 2 in the plane given by the supporting surface 5 . The workpiece 6 is clamped against the supporting surface 5 of the workpiece rest 2 by means of fasteners 11 . The fasteners 11 reach through drilled holes 12 in the workpiece rest 2 into the workpiece 6 . In the way described, the workpiece 6 takes an accurately defined position relative to the workpiece rest 2 . Means are provided on the workpiece rest 2 and the fixture 1 , enabling the fixture 1 and the rest 2 to be connected rapidly and positionally accurately so that the workpiece 6 , when transported together with the workpiece rest 2 from a machine tool to another machine tool linked thereto and from there to yet another machine tool linked thereto, has an accurately defined position relative to each machine tool once the workpiece rest 2 has been fixed to an identical fixture 1 allocated to each machine tool. Two lateral holding elements 13 of identical design are arranged one above the other on the supporting wall 4 , each possessing a lower bearing surface 14 , these surfaces 14 being arranged in parallel to each other and approximately parallel to the supporting surface 5 i.e., in an x-y plane. The two bearing surfaces 14 , 15 of each holding element 13 open in the form of a wedge toward the workpiece rest 2 . The upper bearing surface 15 inclines from the workpiece rest 2 downwardly toward the lower bearing surface 14 . Mounted on the work-rest- 2 side wall 16 that is turned toward the lateral holding elements 13 are two counterpart holding elements 17 which have counterpart surfaces 18 , 19 arranged in the shape of a wedge one relative to the other; upon correct insertion of the counterpart holding elements 17 into the lateral holding elements 13 , these counterpart surfaces 18 , 19 rest planely and tightly on the bearing surfaces 14 and 15 , respectively. Mounted on the supporting wall 4 of the fixture 1 is a lower support 20 which has a lower bearing surface 21 . Allotted to this support 20 is a counterpart support 22 which is formed on the lower side wall 23 of the workpiece rest 2 and has a counterpart surface 24 , by means of which the workpiece rest 2 takes an accurate position on the lower support 20 . On the side of the workpiece rest 2 that faces away from the lateral holding elements 13 , two bearing elements 25 are provided on the supporting wall 4 of the fixture 1 , lying opposite the holding elements 13 . They each have a bearing surface 26 extending parallel to the lower bearing surfaces 14 i.e., in the x-y plane. On the associated side wall 27 of the workpiece rest 2 , counterpart bearing elements 28 are formed, having a counterpart surface 29 that rests planely on the respective bearing surface 26 . When the workpiece rest 2 rests by its counterpart surfaces 18 , 19 , 24 , 29 on the bearing surfaces 14 , 15 , 21 , 26 of the fixture 1 , then it has a precisely defined position—any time reproducible—toward each identical workpiece holding fixture 1 in all the three coordinate directions, namely the x direction, y direction and z direction. The job of detachably fixing the workpiece rest 2 on the fixture 1 in the precisely defined position mentioned takes place as follows: An oblique chucking appliance 30 is provided on the supporting wall 4 of the fixture 1 , working on the x-y plane diagonally of the x direction and diagonally of the y direction. It comprises a pressure-fluid actuated piston-cylinder drive 31 , the cylinder 32 of which is mounted on the supporting wall 4 and on the piston rod 33 of which is mounted a chucking hook 34 that bears against an abutment 35 in the vicinity of the side wall 27 of the workpiece rest 2 . When the drive 31 is pressure-fluid actuated, then the workpiece rest 2 is forced by this single oblique chucking appliance 30 into the lateral holding elements 13 on the one hand and against the lower support 20 on the other i.e., a single chucking appliance 30 is sufficient for aligning and fixing the workpiece rest 2 relative to the fixture 1 in the x direction as well as in the y direction. In this case, the workpiece rest 2 is aligned and fixed in the lateral holding elements 13 in the z direction. Provision is made for two holding-down appliances 36 which are allocated to the bearing elements 25 and the counterpart bearing elements 28 and by means of which the workpiece rest 2 is held down on the fixture 1 . They comprise pressure-fluid actuated pivot drives 37 which are arranged in the supporting wall 4 and the pivoted shaft 38 of which stands out from the supporting wall 4 in the z direction and is equipped with a chucking lever 39 . The chucking lever 39 has a chucking surface 40 which inclines in the z direction and cooperates with a counterpart chucking surface 41 on the respective counterpart bearing element 28 . The chucking surface 40 and the counterpart chucking surface 41 slope from the workpiece rest 2 away toward the supporting wall 4 so that, when the workpiece rest 2 is chucked, pressure is exercised by the counterpart surfaces 29 on the bearing surfaces 26 in the direction toward the lateral holding elements 13 for tightly bearing fit to take place there. The pressure-fluid actuated drives 31 , 37 , which are preferably hydraulically actuated, can be operated very rapidly so that the production of a locking connection between the workpiece rest 2 and the fixture 1 as well as a detachment thereof may take place within fractions of seconds. Furthermore, arrangements are provided, ensuring that the workpiece rest 2 is being accurately aligned and fixed on the fixture 1 in the way described. Machining a workpiece 6 causes chips or other dirt particles to crop up which might place themselves between a bearing surface and a counterpart surface, causing the position of the workpiece rest 2 relative to the fixture 1 not to correspond precisely to the nominal position. For this to be avoided, pressure-fluid actuated bearing-contact testing ducts 42 are provided in the bearing surfaces 14 , 15 , 21 , 26 , of which the drawing only illustrates those in the lower bearing surfaces 14 and 15 of a lateral holding element 13 . Once the workpiece rest 2 has been fixed on the fixture 1 by the oblique chucking appliance 30 and the holding-down appliances 36 , compressed air is supplied from a compressed-air piping 43 via this bearing-contact testing duct 42 . Any pressure drop occurrence means that the respective counterpart surface 18 , 19 , 24 , 29 does not rest planely and tightly on the bearing surface 14 , 15 , 21 , 26 . This is detected by a manometric switch that is connected to the piping 43 . For possible cleaning of the bearing surfaces and the counterpart surfaces, rinsing ducts 45 are provided in the bearing surfaces 14 , 15 , 21 , 26 ; rinsing liquid is supplied via a rinsing pipe 46 , cleaning the bearing surfaces and the counterpart surfaces. Control takes place via a solenoid valve 47 in the pipe 46 . Suitably, such a cleaning job is performed prior to the chucking operation by means of the oblique chucking appliance 30 and the holding-down appliances 36 . In order to prevent a film of rinsing liquid on the bearing surfaces 14 , 15 and the counterpart surfaces from hampering any tight contact between these surfaces, a groove-type drain 48 is provided, leading from the respective rinsing duct 45 outwards through the bearing surfaces 14 and 15 .
A workpiece holder comprises a stationary workpiece holding fixture and a workpiece rest which is releasably attachable thereon and on which can be mounted a workpiece. In all the three coordinate directions, the workpiece rest bears by counterpart surfaces on bearing surfaces formed on the workpiece holding fixture and is aligned and fixed by an oblique chucking appliance in two coordinate directions and by at least one holding-down appliance in the third coordinate direction.
TECHNICAL FIELD [0001] This invention relates to venting a gas, e.g., hydrogen, from the interior of water-tight battery-operated devices, such as small appliances for personal care use. BACKGROUND [0002] Under certain conditions, hydrogen can accumulate in the interior of battery-powered appliances. The hydrogen may be released from the battery, or may be created by electrolysis outside the battery. Mixing of this hydrogen with ambient oxygen can form an explosive gas, which could potentially be ignited by a spark from the motor or switch of the device. [0003] This problem has been addressed in various ways. In appliances and devices that do not need to be water-tight, the housing of the device will often contain an opening through which gases can vent. [0004] In the case of devices that are operated in wet environments, for example electric toothbrushes and battery powered razors, the opening may be covered by a microporous membrane. The membrane is permeable to hydrogen gas, but impermeable to water. While this approach is generally effective in venting hydrogen from the interior of the device, the use of a membrane tends to be expensive and to increase the complexity of the product assembly process. [0005] Another approach involves reacting the hydrogen gas rather than venting it. In this case, a hydrogenation catalyst is provided within the device to cause the hydrogen gas to react with oxygen and form water, and a silica dessicant is provided to absorb the water. Water-tight cameras utilizing this approach are described in U.S. 2002/0045093. SUMMARY [0006] In general, the present invention features battery-powered devices in which venting of gases (e.g., hydrogen) is provided through an aperture that is sealed by a seal that is normally closed and remains closed when pressure is applied from outside of the device, but opens when a predetermined internal pressure is exceeded. In some cases, the opening in the device housing that is sealed is an opening that serves another purpose in the device, e.g., an opening through which an actuator extends. [0007] In one aspect, the invention features a water-tight, battery powered appliance including (a) a housing, having a generally hollow interior and an aperture through which gas can be vented from the interior; and (b) a seal, positioned to normally cover the aperture, the seal being configured to flex in response to pressure within the hollow interior and move away from the aperture when a predetermined pressure, for example about 0.1 to 2 bar, is exceeded. [0008] The appliance may be a personal care appliance, such as a razor or toothbrush. Thus, the appliance may further include a razor cartridge or toothbrush head mounted on the housing. [0009] The functionality of the appliance may be turned on and off using an actuator. The actuator may in some cases extend from the aperture. In such cases, the seal may surround a stem portion of the actuator. [0010] In some instances, the seal includes an elastomeric member, for example a bellows-type seal or a ring-shaped elastomeric gasket. The aperture may be positioned within a circumferential groove in an outer surface of the housing, and the seal may include a ring-shaped element, e.g., an elastomeric gasket, positioned in the groove to cover the aperture. The ring-shaped element may be pre-stressed to hold the ring-shaped element taut against the outer surface of the housing. [0011] In another aspect, the invention features a water-tight, battery powered appliance including (a) a housing, having a generally hollow interior defining an inner wall, the housing having an aperture through which gas can be vented; (b) a carrier, disposed within the housing and configured to hold a battery, the carrier having an aperture through which gas can be vented; (c) a first seal, positioned between the carrier and the inner wall of the housing, allowing fluid communication between the aperture in the housing and the aperture in the carrier, but sealing against passage of fluid past the first seal into the housing; and (d) a second seal, positioned to normally cover the aperture in the carrier, the seal being configured to flex in response to pressure within the hollow interior and move away from the aperture when a predetermined pressure is exceeded. [0012] In some cases, the first and second seals each comprise an elastomeric member. The housing and carrier may both be generally cylindrical, in which case the first seal may include a pair of ring-shaped gaskets, positioned one on each side of the apertures in the carrier and housing to isolate the apertures from the rest of the housing. [0013] The details of one or more embodiments of the invention are set forth in the accompanying drawings and the description below. Other features and advantages of the invention will be apparent from the description and drawings, and from the claims. DESCRIPTION OF DRAWINGS [0014] FIG. 1 is a partial axial cross-sectional view of an appliance according to one embodiment of the invention. FIG. 1A is a radial cross-sectional view taken along line A-A in FIG. 1 . FIG. 1B is an enlarged cross-sectional view of the sealing member used in the appliance of FIG. 1 . [0015] FIG. 2 is a diagrammatic view of a portion of an appliance according to another embodiment of the invention. FIG. 2A is a radial cross-sectional view taken along line A-A in FIG. 2 . FIG. 2B is an enlarged diagrammatic view of the upper portion of FIG. 2 , illustrating the gas flow path in this embodiment. [0016] FIG. 3 is a diagrammatic view of a portion of an appliance according to a further embodiment of the invention. FIG. 3A is an enlarged detail view of area A in FIG. 3 . [0017] FIG. 4 is a diagrammatic view of a portion of an appliance according to yet another embodiment of the invention. FIG. 4A is an enlarged diagrammatic view of the lower portion of FIG. 4 , illustrating the gas flow path in this embodiment. DETAILED DESCRIPTION [0018] Referring to FIGS. 1-1A , an appliance 10 , for example a razor or toothbrush, includes a generally cylindrical handle housing 12 . A battery (not shown) is disposed within the housing when the appliance is used, resulting in the possible generation of hydrogen gas. The housing 12 is sealed in a water-tight fashion. An actuator button 14 is exposed at the outer surface 16 of the housing, allowing a user to depress the button to activate the functionality of the device, for example the brushing function of a power toothbrush head, or a vibrating function of a battery-powered razor for wet shaving. The actuator button extends from a stem 18 . Stem 18 is press-fit into a sleeve 20 which slides down when the button is depressed, activating the functionality of the device (how this occurs will not be discussed, as it is not relevant and will differ from device to device). The actuator button is returned to its normal, non-depressed position by a return spring 22 . [0019] The opening around the sleeve 20 and the adjacent wall 24 of flange 25 of the housing 12 must be sealed in order for the device to be completely water-tight. Sealing is provided by a bellows seal 26 having an upper sealing ring 28 , a lower sealing ring 30 , and an outwardly bowed bellow 32 . Upper sealing ring 28 seals against the intersection of the lower surface of the button with the upper end of the stem, while lower sealing ring 30 seals against the outer surface of flange 25 . Under normal interior pressure conditions, the bellow 32 resiliently biases the sealing rings against the surfaces they seal against. Preferably, bellows seal 26 is an elastomeric seal that is pre-stressed into its sealing configuration by stretching it over the flange 25 . [0020] When a predetermined interior pressure within the housing is exceeded, e.g., 0.1 to 2 bar, indicating the presence of hydrogen gas, the bellows seal flexes, temporarily breaking the seal at the upper and/or lower sealing ring (generally at the lower sealing ring) and allowing the gas to vent from the device. Normally, the path of gas is through a gap between the sleeve 20 and the flange 25 , into the chamber defined by the inner wall of the bellow 32 , and then, as the seal is broken, past the lower sealing ring and through a gap between the button 14 and the housing 12 . [0021] As soon as pressure within the housing normalizes, the bellows seal returns to its normal, unflexed position in which it renders the device water-tight. Because the seal is only broken for a very short time and to a very small extent, and because the interior pressure is higher than the external pressure, it is unlikely that any water will penetrate into the device during venting. [0022] The resiliency of the elastomeric seal, and the extent to which it is pre-stressed (i.e., the extent to which the diameters of the upper and lower sealing rings are smaller than the diameters of the parts they seal against), will depend on the predetermined pressure threshold that is acceptable in a particular device. [0023] In one example, the bellows seal is formed of a liquid silicone rubber (LSR) having a hardness of about 50 Shore A and a pre-stress of about 15 to 40%, and is constructed to vent when the internal pressure exceeds 0.3 bar. The geometry of this seal is shown in detail in FIG. 1B . [0024] If desired, the bellows seal may be replaced by a seal having a single sealing ring, rather than two sealing rings as described above. For example, the upper sealing ring 28 may be omitted. [0025] The appliance may include an opening that does not have an actuator button extending therethrough. For example, as shown in FIGS. 2-2B , the housing 12 may include a groove 50 in which a vent aperture 52 is recessed. A ring-shaped sealing element 54 , e.g., an elastomeric gasket, is positioned in the groove 50 to seal aperture 52 . The sealing element is pre-stressed to seal it against the surface of groove 50 . Pre-stressing is generally achieved by stretching the sealing element 54 onto the groove; i.e., the diameter of the sealing element is slightly smaller than that of the housing in the groove. It is generally necessary that the material of the sealing element be sufficiently flexible so that the sealing element can be stretched over the larger-diameter areas of the housing when assembling it into the groove. [0026] As shown in FIG. 2B , when battery B outgases, the increased internal pressure within housing 12 will slightly stretch the sealing element 54 , forcing it away from the surface of the groove in the area of the aperture 52 . This will allow the gas to vent around the sealing element 54 , as indicated by the arrows in FIG. 2B . As discussed above, venting is so brief, and the extent to which the seal is displaced is so small, that it is very unlikely that water will enter the device during venting. [0027] In one example, sealing element 54 is formed of an elastomer commercially available under the tradename VITON, having a hardness of about 70 Shore A and a pre-stress of about 10 to 20% and being contructed to vent when the internal pressure exceeds 0.3 bar. [0028] Referring now to FIGS. 3 and 3 A, in some cases a small raised island 56 may be provided around aperture 52 in groove 50 . This raised island will tend to concentrate the pre-stress force of the gasket around the perimeter of aperture 52 , increasing the sealing force so that, for a given sealing ring design, a higher internal pressure will be required to break the seal. This is particularly helpful for think sealing rings. [0029] In some cases, the battery may be contained in a carrier within the housing. For example, as shown in FIGS. 4-4A the battery B may be contained in a carrier 60 having a groove 62 , a venting aperture 64 within the groove, and a ring-shaped sealing element 66 sealing the aperture, similar to the embodiment discussed above. It is important that, when gas exits the venting aperture 64 , it exits the housing, rather than becoming trapped in the interior of the housing. Thus, the housing includes a venting aperture 68 , disposed relatively close to the venting aperture 64 . If desired, the venting aperture 68 may be a seam line along which two parts of the housing may be separated from each other, for example the main body of the housing and the battery compartment cover. To prevent ingress of gas from the aperture 64 or water from the aperture 68 into the interior of the housing, a seal 70 is provided between the inner wall 72 of housing 12 and the outer wall 74 of carrier 60 on either side of the apertures. The seal may be in the form of a pair of elastomeric o-rings, as shown, or any other suitable type of seal. Seal 70 is designed to remain unbreakable at any foreseeable pressure within the area between walls 72 and 74 , preventing any leakage from this area into the housing. The gas flow path during venting is first out through aperture 64 and then out through aperture 68 , as shown in FIG. 4A . In this embodiment, the sealing member 66 may be visually hidden and protected by one part of the housing (e.g., the main housing body, shown on the right hand side in FIG. 4 ). The carrier 60 may be permanently locked and sealed to the main housing body by one of the o-rings, so that the sealing member 66 is shielded by the main housing body, while the battery compartment cover can be removed to allow replacement of the battery. [0030] A number of embodiments of the invention have been described. Nevertheless, it will be understood that various modifications may be made without departing from the spirit and scope of the invention. For example, the venting aperture and sealing member may be provided in other areas of the housing and may have different relative geometries. As but one example, the circumference of the groove discussed above may be generally elliptical. Moreover, while razors and toothbrushes have been mentioned above, the seals discussed herein may be used in any type of water-tight appliance, for example flashlights, battery powered scrub brushes, cameras and the like. Accordingly, other embodiments are within the scope of the following claims.
Water-tight battery powered appliances, for example personal care appliances such as razors and toothbrushes, are provided. The appliances include a housing having a seal that allows gases, e.g., hydrogen, to vent from the appliance without allowing water to enter.
BACKGROUND OF THE INVENTION The present invention relates to a positive-working photoresist composition used in the photolithographic fine patterning works in the manufacture of semiconductor devices and the like in the electronics industry or, more particularly, to a positive-working photoresist composition of high sensitivity and high resolution capable of exhibiting high stability of the latent images formed by the pattern-wise exposure of the resist layer to actinic rays before the post-exposure baking (PEB) treatment. As is well known, the photolithographic fine patterning work is a well established technology in the manufacture of various kinds of semiconductor devices such as ICs, LSIs and the like by using a photoresist composition. Namely, a photoresist layer of a photoresist composition is formed on the surface of a substrate such as a semiconductor silicon wafer and the photoresist layer is exposed pattern-wise to actinic rays through a pattern-bearing photomask to form a latent image of the pattern in the resist layer followed by a development treatment to form a patterned resist layer which serves as a protective layer in the processing of the substrate surface by an etching treatment or other means. The photoresist composition of the most conventional type is the positive-working photoresist composition comprising an alkali-soluble novolac resin as a film-forming agent and a naphthoquinonediazide group-containing compound as a photosensitizing agent. Along with the rapid progress in recent years in the semiconductor technology toward a higher and higher degree of integration of the semiconductor devices with corresponding fineness in the patterning works leading to a submicron or quater-micron order of fineness in the photolithographic patterning for the manufacture of VLSIs and the like, the wavelength of the actinic rays used for the pattern-wise exposure of the photoresist layer should be shorter and shorter to shift from the so-called g-line to the wavelength regions of the so-called i-line and deep ultraviolet light and further to excimer laser beams such as KrF laser beams and so on. Deep ultraviolet light and excimer laser beams are used as a major current of the actinic rays for the pattern-wise exposure of resist layers in the photolithographic patterning works. Conventional photoresist compositions comprising a novolac resin and a quinonediazide group-containing compound developed for use with g-line and i-line ultraviolet lights are not suitable for use with deep ultraviolet light or excimer laser beams due to the large absorptivity of ultraviolet having a shorter wavelength than g-line and i-line so that the so-called chemical sensitization-type photoresist compositions are now highlighted by virtue of the smaller ultraviolet absorption by the poly(hydroxystyrene)-based resin as the film-forming agent therein. The above mentioned chemical sensitization-type photoresist composition utilizes a principle that the acid-generating agent contained therein as an essential ingredient releases an acid when the resist layer is pattern-wise exposed to actinic rays and the thus released acid catalytically acts on the resinous ingredient to alter the solubility thereof in a developer solution. Characteristically, the chemical sensitization-type photoresist compositions are advantageous in respects of their high pattern resolution and high sensitivity to actinic rays because even a small amount of an acid generated from the acid-generating agent exhibits a catalytic activity on the resinous ingredient. The chemical sensitization-type photoresist compositions can be classified into two classes of the positive-working photoresist compositions, in which the resinous ingredient is imparted with increased solubility in an aqueous alkaline solution as the developer solution by the catalytic activity of an acid, and negative-working photoresist compositions, which comprises an acid-generating agent, alkali-soluble resinous ingredient and crosslinking agent so that crosslinking of the resinous ingredient by the crosslinking agent is promoted by the acid generated by the irradiation with actinic rays to decrease the solubility of the resinous ingredient in a developer solution. In view of the mechanism for the formation of a latent image by the activity of an acid released from the acid-generating agent on the solubility-reducing substituent groups in the resinous ingredient, a proposal is made for a chemical sensitization-type positive-working photoresist composition that an acid-capturing agent such as an amine compound is admixed in the composition with an object to prevent diffusion of the acid released from the acid-generating agent by the irradiation with actinic rays so as to improve the performance of the photoresist composition (see, for example, Japanese Patent Kokai 5-127369, 5-232706, 5-249662, 5-289322, 6-317902, 7-92678 and 7-120929). Although addition of an amine compound with a chemical sensitization-type positive-working photoresist composition is in fact effective to some extent for the improvement of the pattern resolution, the effectiveness thereby is still insufficient to meet the fineness requirement in the patterning works for the manufacture of semiconductor devices of an extremely high degree of integration such as 64 megabits to 1 gigabit if not to mention the problem of an inherent decrease in the photosensitivity. An alternative proposal is made in Japanese Patent Kokai 5-181279 and 7-92679 to admix the composition with a carboxylic acid compound with an object to increase the photosensitivity of the composition and to improve the cross sectional profile of the patterned resist layer obtained from the composition. This proposal, however, is not practicable because the improvement in the cross sectional profile of the patterned resist layer is insufficient in addition to the problem of a decrease in the pattern resolution. Besides, a trouble is sometimes encountered in the photolithographic patterning of a resist layer that the patterned resist layer has a cross sectional profile which is not orthogonal but has trailing skirts, especially, when the substrate surface is provided with a high-reflectivity coating film of silicon nitride, titanium nitride, aluminum-silicon-copper alloys, tungsten and the like so that development is eagerly desired for a chemical sensitization-type positive-working photoresist composition which is not affected by such an undercoating thin film on the substrate surface. SUMMARY OF THE INVENTION The present invention accordingly has an object to provide a novel and improved chemical sensitization-type positive-working photoresist composition of high sensitivity and high resolution which is free from the adverse influences by the admixture of an amine compound mentioned above and capable of exhibiting high post-exposure stability of the latent image even by a prolonged standing of the resist layer after the pattern-wise exposure of the resist layer to actinic rays before the PEB treatment not to cause a deterioration in the cross sectional profile of the patterned resist layer so as to give a patterned resist layer of high fidelity to the photomask pattern. Thus, the chemical sensitization-type positive-working photoresist composition, which is in the form of a uniform solution in an organic solvent, comprises: (a) an acid-generating agent which is a compound capable of releasing an acid when irradiated with actinic rays; (b) a resinous compound capable of being imparted with increased solubility in an aqueous alkaline solution in the presence of an acid; (c) an amine compound; and (d) a carboxylic acid compound. In particular, the amine compound as the component (c) is an aliphatic amine compound and the amount thereof is in the range from 0.01 to 1% by weight based on the amount of the component (b) while the carboxylic acid compound as the component (d) is preferably an aromatic carboxylic acid compound and the amount thereof is in the range from 0.01 to 5% by weight based on the amount of the component (b). DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS As is understood from the above given description, the most characteristic feature in the inventive chemical sensitization-type positive-working photoresist composition is the combined admixture of an amine compound as the component (c) and a carboxylic acid compound as the component (d) to a composition comprising an acid-generating agent as the component (a) and a resinous ingredient to be imparted with increased alkali solubility in the presence of an acid as the component (b), although, as is mentioned above, separate admixture of an amine compound alone or a carboxylic acid compound alone is known in the prior art. This unique formulation of the photoresist composition has been developed on the base of an unexpected discovery by the inventors that the problems and disadvantages in the amine-admixed chemical sensitization-type positive-working photoresist composition can be overcome by the combined addition of a carboxylic acid compound with the amine compound so as to give a photoresist composition of high sensitivity and high resolution capable of giving a patterned resist layer having an orthogonal cross sectional profile with high fidelity to the photomask pattern. The acid-generating agent as the component (a) and the resinous ingredient as the component (b) are each rather conventional and can be freely selected from those conventionally formulated in chemical sensitization-type positive-working photoresist compositions without particular limitations. The acid-generating agent as the component (a) is exemplified by several classes of compounds including: i) bis(sulfonyl)diazomethane compounds such as bis(p-toluenesulfonyl)diazomethane, methylsulfonyl p-toluenesulfonyl diazomethane, cyclohexylsulfonyl 1,1-dimethylethylsulfonyl diazomethane, bis(1,1-dimethylethylsulfonyl)diazomethane, bis(1-methylethylsulfonyl)diazomethane, bis(cyclohexylsulfonyl)diazomethane, bis(2,4-dimethylphenylsulfonyl)diazomethane, bis(4-ethylphenylsulfonyl)diazomethane, bis(3-methylphenylsulfonyl)diazomethane, bis(4-methoxyphenylsulfonyl)diazomethane, bis(4-fluorophenylsulfonyl)diazomethane, bis(4-chlorophenylsulfonyl)diazomethane, bis(4-tert-butylphenylsulfonyl)diazomethane and the like; ii) sulfonyl carbonyl alkane compounds such as 2-methyl-2-(p-toluenesulfonyl)propiophenone, 2-cyclohexylcarbonyl-2-(p-toluenesulfonyl)propane, p-methylthiophenyl(1-methanesulfonyl-1-methyl)ethyl ketone, 2,4-dimethyl-2-(p-toluenesulfonyl)pentan-3-one and the like; iii) sulfonyl carbonyl diazomethane compounds such as p-toluenesulfonyl cyclohexylcarbonyl diazomethane, 1-diazo-1-methylsulfonyl-4-phenyl-2-butanone, cyclohexylcarbonyl cyclohexylsulfonyl diazomethane, 1-cyclohexylsulfonyl-1-diazo-3,3-dimethyl-2-butanone, 1-diazo-1-(1,1-dimethylethylsulfonyl)-3,3-dimethyl-2-butanone, 1-acetyl-1-(1-methylethylsulfonyl)diazomethane, 1-diazo-1-(p-toluenesulfonyl)-3,3-dimethyl-2-butanone, 1-benzenesulfonyl-1-diazo-3,3-dimethyl-2-butanone, 1-diazo-1-(p-toluenesulfonyl)-3-methyl-2-butanone, 2-diazo-2-(p-toluenesulfonyl)cyclohexyl acetate, 2-benzenesulfonyl-2-diazo tert-butyl acetate, 2-diazo-2-methanesulfonyl isopropyl acetate, 2-benzenesulfonyl-2-diazo cyclohexyl acetate, 2-diazo-2-(p-toluenesulfonyl)tert-butyl acetate and the like; iv) nitrobenzyl sulfonate compounds such as 2-nitrobenzyl p-toluene-sulfonate, 2,6-dinitrobenzyl p-toluenesulfonate, 2,4-dinitrobenzyl p-trifluoromethylbenzenesulfonate and the like; and v) esters of a polyhydroxy compound and an aliphatic or aromatic sulfonic acid such as pyrogallol methanesulfonates, pyrogallol benzenesulfonates, pyrogallol p-toluenesulfonates, pyrogallol p-methoxybenzenesulfonates, pyrogallol mesitylenesulfonates, pyrogallol benzylsulfonates, esters of an alkyl gallate and methanesulfonic acid, esters of an alkyl gallate and benzenesulfonic acid, esters of an alkyl gallate and p-toluenesufonic acid, esters of an alkyl gallate and p-methoxybenzene sulfonic acid, esters of an alkyl gallate and mesitylene sulfonic acids, esters of an alkyl gallate and benzylsulfonic acid and the like. The alkyl group forming the above mentioned alkyl gallate has 1 to 15 carbon atoms and is preferably an octyl or lauryl group. Besides, vi) onium salts such as bis(p-tert-butylphenyl)iodonium trifluoromethane sulfonate, triphenylsulfonium trifluoromethane sulfonate and the like can also be used as the component (a). Among the above named compounds, particularly preferable are the bis(sulfonyl)diazomethane compounds of which bis(cyclohexylsulfonyl)diazomethane and bis(2,4-dimethylphenylsulfonyl)diazomethane are more preferable. These compounds can be used either singly or as a combination of two kinds or more according to need. The component (b), which is imparted with increased solubility in an aqueous alkaline solution in the presence of an acid, can also be selected from the synthetic resins conventionally formulated in a chemical sensitization-type positive-working photoresist composition. Examples of such a resinous ingredient include poly(hydroxystyrene)-based resins, of which the hydrogen atoms of the hydroxy groups in a poly(hydroxystyrene) resin are at least partly substituted by certain protective groups having susceptibility to the attack of an acid. Examples of such a protective substituent group include tert-butoxycarbonyl group, tert-butyl group and tert-amyloxycarbonyl group as well as acetal groups such as alkoxyalkyl groups, tetrahydropyranyl group, tetrahydrofuranyl group and the like. The above mentioned poly(hydroxystyrene)-based resin suitable as the component (b) can be prepared by the copolymerization of a hydroxystyrene monomer and a substituted hydroxystyrene monomer having one of the above named protective groups substituting for the hydrogen atom of the hydroxy group by a known method of polymerization or, alternatively, by a polymer reaction in which the above named protective groups are introduced into a poly(hydroxystyrene) resin to substitute for a part of the hydrogen atoms of the hydroxy groups. In particular, disclosures are found in Japanese Patent Kokai 2-209977 for a copolymer of a tert-butoxycarbonyloxy styrene and p-hydroxystyrene, in Japanese Patent Kokai 2-19847 for a copolymer of p-tetra-hydropyranyloxy styrene and p-hydroxystyrene, in Japanese Patent Kokai 2-62544 for a copolymer of a tert-butoxystyrene and p-hydroxystyrene, in Japanese Patent Kokai 3-282550 for a poly(hydroxystyrene)-based resin having acetal groups as the protective groups, in Japanese Patent Kokai 5-249682 for a poly(hydroxystyrene)-based resin having alkoxyalkyl groups as the protective groups and so on. These poly(hydroxystyrene)-based resins can be used either singly or as a combination of two kinds or more according to need. A particularly preferable resinous ingredient as the component (b) is a combination of a first poly(hydroxystyrene)-based resin, which is a poly(hydroxystyrene) resin substituted by tert-butoxycarbonyl groups for from 10 to 60% or, preferably, from 20 to 50% of the hydrogen atoms of the hydroxy groups, and a second poly(hydroxystyrene)-based resin, which is a poly(hydroxystyrene) resin substituted by alkoxyalkyl groups for from 10 to 60% or, preferably, from 20 to 50% of the hydrogen atoms of the hydroxy groups, in a weight proportion in the range from 10:90 to 70:30 or, preferably, from 20:80 to 50:50. The above mentioned alkoxyalkyl group, of which the alkoxy group and alkyl group each have 1 to 4 carbon atoms, is exemplified by 1-methoxyethyl, 1-ethoxyethyl, 1-n-propoxyethyl, 1-isopropoxyethyl, 1-n-butoxyethyl, 1-isobutoxyethyl, 1-(1,1-dimethylethoxy)-1-methylethyl, 1-methoxy-1-methylethyl, 1-ethoxy-1-methylethyl, 1-n-propoxy-1-methylethyl, 1-isobutoxy-1-methylethyl, 1-methoxy-n-propyl and 1-ethoxy-n-propyl groups, of which 1-ethoxyethyl and 1-methoxy-n-propyl groups are preferred in respect of the good balance between the sensitivity and pattern resolution. In the component (b) of this type, the acid generated from the component (a) attacks the tert-butoxycarbonyl groups in the first resin and the alkoxyalkyl groups in the second resin to cause dissociation thereof so that a good balance is established between the alkali solubility of the resinous ingredient and the solubility-suppressing effect by the tert-butoxycarbonyl groups resulting in high sensitivity and high resolution of the resist composition and high heat resistance of the patterned resist layer. The above mentioned first poly(hydroxystyrene)-based resin can be obtained according to a known reaction of di-tert-butyl dicarbonate and the like with a poly(hydroxystyrene) resin to substitute tert-butoxycarbonyl groups for a part of the hydrogen atoms of the hydroxy groups. When the degree of substitution thereby is too low, the patterned resist layer formed from the composition formulated with such a resin does not have an excellently orthogonal cross sectional profile while, when the degree of substitution is too high, the photoresist composition suffers from a decrease in the sensitivity. The above mentioned second poly(hydroxystyrene)-based resin can be obtained according to a known reaction of 1-chloro-1-ethoxy ethane, 1-chloro-1-methoxy propane and the like with a poly(hydroxystyrene) resin to substitute the respective alkoxyalkyl groups for a part of the hydrogen atoms of the hydroxy groups. When the degree of substitution thereby is too low, the patterned resist layer formed from the composition formulated with such a resin does not have an excellently orthogonal cross sectional profile while, when the degree of substitution is too high, the photoresist composition suffers from a decrease in the sensitivity. It is preferable that the resinous ingredient as the component (b) has a weight-average molecular weight in the range from 3000 to 30000 as determined by the gel permeation chromatographic method making reference to polystyrene resins having known molecular weights. A photoresist composition prepared by using a resinous ingredient having a molecular weight smaller than the above mentioned lower limit cannot exhibit good film-forming behavior while, when the molecular weight of the component (b) is too large, a decrease is caused in the alkali solubility of the resist layer in an aqueous alkaline developer solution. The amount of the component (a) in the inventive photoresist composition is in the range from 1 to 20 parts by weight or, preferably, from 2 to 10 parts by weight per 100 parts by weight of the component (b). When the amount of the component (a) is too small, the desired effect of chemical sensitization cannot be fully exhibited as a matter of course while, when the amount thereof is too large, difficulties are encountered in the preparation of a uniform solution by dissolving the ingredients in an organic solvent to prepare a photoresist composition. The component (c) used as one of the essential ingredients in the inventive photoresist composition is an amine compound used in the photoresist compositions of the prior art and can be selected from aliphatic amine compounds, aromatic amine compounds and heterocyclic amine compounds without particular limitations. Aliphatic amines include dimethyl amine, trimethyl amine, ethyl amine, diethyl amine, triethyl amine, n-propyl amine, di-n-propyl amine, tri-n-propyl amine, isopropyl amine and the like; aromatic amines include benzyl amine, aniline, N-methyl aniline, N,N-dimethyl aniline, o-methyl aniline, m-methyl aniline, p-methyl aniline, N,N-diethyl aniline, diphenyl amine, di-p-tolyl amine and the like; heterocyclic amines include pyridine, o-methyl pyridine, o-ethyl pyridine, 2,3-dimethyl pyridine, 4-ethyl-2-methyl pyridine, 3-ethyl-4-methyl pyridine and the like, of which those having strong basicity and low boiling point are preferred including aliphatic amines such as dimethyl amine, trimethyl amine, ethyl amine, diethyl amine, triethyl amine and the like. Although these amine compounds named above can be used either singly or as a combination of two kinds or more according to need, it is preferable to use triethylamine alone as the component (c) in respect of the good post-exposure stability of the latent image and excellent cross sectional profile of the patterned resist layer obtained from the photoresist composition. The amount of the component (c) compounded in the inventive photoresist composition is usually in the range from 0.01 to 1% by weight or, preferably, from 0.05 to 0.5% by weight based on the amount of the component (b). The amine compound as the component (c) contained in the inventive photoresist composition serves to prevent undue diffusion of the acid generated from the acid generating agent as the component (a) when the resist layer formed on the surface of a substrate is exposed pattern-wise to actinic rays so as to enhance the fidelity of the resist patterning to the photomask pattern and also increase the pattern resolution and post-exposure stability of the latent image of the pattern. When the amount of the component (c) is too small, the above mentioned desirable effects cannot be fully obtained as a matter of course while, when the amount thereof is too large, a decrease is caused in the photosensitivity of the resist layer of the composition to actinic rays. As is mentioned before, the most characteristic feature of the inventive photoresist composition is admixture of a carboxylic acid as the component (d) in combination with the amine compound as the component (c). Though not particularly limitative, the carboxylic acid can be selected from saturated or unsaturated aliphatic carboxylic acids, alicyclic carboxylic acids, oxy carboxylic acids, alkoxy carboxylic acids, keto carboxylic acids, aromatic carboxylic acids and others. The saturated aliphatic carboxylic acid is exemplified by monobasic- and polybasic caboxylic acids such as formic acid, acetic acid, propionic acid, butyric acid, isobutyric acid, oxalic acid, malonic acid, succinic acid, glutaric acid, adipic acid and the like. The unsaturated aliphatic carboxylic acid is exemplified by acrylic acid, crotonic acid, isocrotonic acid, 3-butenoic acid, methacrylic acid, 4-pentenoic acid, 2-butynoic acid, maleic acid, fumaric acid, acetylene carboxylic acids and the like. The alicyclic carboxylic acid is exemplified by 1,1-cyclohexane dicarboxylic acid, 1,2-cyclohexane dicarboxylic acid, 1,3-cyclohexane dicarboxylic acid, 1,4-cyclohexane dicarboxylic acid, cyclohexane 1,1-diacetic acid and the like. The oxy carboxylic acid is exemplified by glycolic acid and the like. The alkoxy carboxylic acid is exemplified by methoxy acetic acid, ethoxy acetic acid and the like. The keto carboxylic acid is exemplified by pyruvic acid and the like. The aromatic carboxylic acid, which may be substituted by a hydroxyl group, nitro group, vinyl group and the like, is exemplified by benzoic acid, 4-hydroxy benzoic acid, 2-hydroxy benzoic acid, i.e. salicylic acid, 2-hydroxy-3-nitro benzoic acid, 3,5-dinitro benzoic acid, 2-nitro benzoic acid, 2,4-dihydroxy benzoic acid, 2,5-dihydroxy benzoic acid, 2,6-dihydroxy benzoic acid, 3,4-dihydroxy benzoic acid, 3,5-dihydroxy benzoic acid, 2-vinyl benzoic acid, 4-vinyl benzoic acid, phthalic acid, terephthalic acid, isophthalic acid and the like. Among the above named carboxylic acid compounds, in particular, aromatic carboxylic acids are preferred in respect of their adequate acidity and low volatility. Salicylic acid is most preferable in respect of its good compatibility with the other ingredients and solvents of the composition as well as good adaptability to various kinds of substrate materials to form a satisfactory patterned resist layer. The amount of the carboxylic acid as the component (d) compounded in the inventive photoresist composition is usually in the range from 0.01 to 5% by weight or, preferably, from 0.05 to 2.0% by weight based on the amount of the component (b). Further, it is preferable that the amount of the component (d) is in the range from twice to 20 times by weight of the amount of the amine compound as the component (c). The carboxylic acid compound as the component (d) contained in the inventive photoresist composition serves to prevent an undue decrease in the photosensitivity of the composition due to the component (c) and also to increase the pattern resolution along with an improving effect in the adaptability of the resist composition to various kinds of substrates to give a satisfactory patterned resist layer. When the amount of the component (d) is too small, the above mentioned desirable effects cannot be fully obtained as a matter of course while, when the amount thereof is too large, an adverse influence is caused in the film thickness reduction by the development treatment in the areas unexposed to actinic rays. Though not well understood, the mechanism by which the above described advantageous effects can be obtained by the admixture of an acid as the component (d) is presumably due to the buffering action between the weak acid as the component (d) and the strong base as the component (c). The photoresist composition of the invention is used usually in the form of a solution prepared by uniformly dissolving the above described essential ingredients and other optional additives, such as auxiliary resins, plasticizers, stabilizers, coloring agents, surfactants and the like to improve the properties of the resist layer, in an organic solvent. Examples of suitable organic solvents include acetone, methyl ethyl ketone, cyclohexanone, methyl isoamyl ketone and 2-heptanone as the examples of ketone compounds, polyhydric alcohols, e.g., ethyleneglycol, propyleneglycol, diethyleneglycol and dipropyleneglycol, and derivatives thereof such as monoalkyl, e.g., monomethyl, monoethyl, monopropyl and monobutyl, or monophenyl ethers as well as monoalkyl or monophenyl ether monoacetates, dioxane as the example of cyclic ether compounds and methyl lactate, ethyl lactate, methyl acetate, ethyl acetate, butyl acetate, methyl pyruvate, ethyl pyruvate, methyl methoxypropionate and ethyl ethoxypropionate as the examples of ester compounds. These organic solvents can be used either singly or as a mixture of two kinds or more according to need. The concentration of the solution relative to the dissolved ingredients can be selected according to the thickness of the resist layer formed from the composition and other factors. The procedure of the photolithographic patterning works by the use of the inventive positive-working photoresist composition can be generally the same as the procedures by using a conventional photoresist composition. For example, a substrate such as a semiconductor silicon wafer is coated with the inventive photoresist composition in the form of a solution by using a suitable coating machine such as a spinner followed by drying to form a dried photoresist layer which is exposed pattern-wise to actinic rays such as deep ultraviolet light, excimer laser beams and the like through a pattern-bearing photomask on a minifying projection exposure machine to form a latent image of the pattern followed by a post-exposure baking treatment. Thereafter, the latent image formed in the resist layer is developed by using an aqueous alkaline solution such as an aqueous solution of tetramethylammonium hydroxide in a concentration of 1 to 10% by weight to dissolve away the resist layer in the areas exposed to the actinic rays so that a patterned resist layer having high fidelity to the photomask pattern can be obtained. By virtue of the unique formulation of the inventive photoresist composition which is of the chemical sensitization type, the photoresist composition of the invention exhibits excellent post-exposure stability of the latent image in the resist layer or, namely, stability of the latent image kept standing prolongedly after exposure to actinic rays before the post-exposure baking treatment to greatly improve the workability of the photolithographic patterning process along with high pattern resolution and high photosensitivity. In the following, the positive-working photoresist composition of the invention is described in more detail by way of examples, in which the photoresist compositions were evaluated for the following items by the testing procedures respectively described there. The term of "parts" in the formulation of the compositions always refers to "parts by weight". (1) Photosensitivity A silicon wafer was coated with the photoresist composition in the form of a solution by using a spinner followed by drying for 90 seconds on a hot plate kept at 90° C. to give a uniform resist layer of the composition having a thickness of 0.7 μm. The thus formed resist layer was exposed patternwise to ultraviolet light on a minifying projection exposure machine (Model NSR-2005EX8A, manufactured by Nikon Co.) with an exposure dose varied stepwise with 1 mJ/cm 2 increments followed by a post-exposure baking treatment at 110° C. for 90 seconds and a development treatment in a 2.38% by weight aqueous solution of tetramethylammonium hydroxide at 23° C. for 60 seconds to give a patterned resist layer after rinse with running water for 30 seconds and drying. Recording of the photosensitivity was made for the minimum exposure dose by which the residual film thickness in the areas exposed to light was substantially zero after development. (2) Pattern resolution Recording was made for the critical line width resolved at an exposure dose by which resolution could be obtained for a line-and-space pattern of 0.25 μm line width. (3) Fidelity to photomask pattern Patterning of a line-and-space pattern of 0.25 μm line width was performed in the same manner as in (1) above and recording was made in three ratings of "A", "B" and "C" according to the following criteria. A: good pattern reproduction with high fidelity to the photomask pattern B: slight narrowing detected in patterned lines C: noticeable narrowing detected in patterned lines (4) Adaptability to substrate surfaces The photolithographic patterning work was conducted in substantially the same manner as in (1) above to form a line-and-space pattern of the resist layer having a line width of 0.25 μm on three silicon wafers having respectively different surface coating layers of silicon nitride, titanium nitride and BPSG insulation, referred to as substrate S-I, S-II and S-III, respectively. The cross sectional profile of the patterned resist layer was examined with a scanning electron microscope to record the results as "A" for an orthogonal cross sectional profile and "B" for a cross sectional profile having trailing skirts. (5) Post-exposure stability The procedure down to the pattern-wise exposure of the resist layer to light for a line-and-space pattern of 0.25 μm line width was substantially the same as in (1) above for the test of sensitivity but the pattern-wise exposed resist layer was kept standing for 60 minutes at room temperature before the post-exposure baking treatment and development. The thus obtained patterned resist layer was examined with a scanning electron microscope for the cross sectional profile to record the results in two ratings of "A" for a pattern with good fidelity to the photomask pattern relative to the width of the patterned line and orthogonality of the cross section and "B" for a pattern having an apparently smaller width than the photomask pattern. EXAMPLE 1 A positive-working photoresist composition was prepared by dissolving, in 490 parts of propyleneglycol monomethyl ether acetate, 30 parts of a first poly(hydroxystyrene)-based resin having a weight-average molecular weight of 10000 and having tert-butyloxycarbonyloxy groups substituting for 39% of the hydroxy groups in a poly(hydroxystyrene) resin, 70 parts of a second poly(hydroxystyrene)-based resin having a weight-average molecular weight of 10000 and having ethoxyethoxy groups substituting for 39% of the hydroxy groups in a poly(hydroxystyrene) resin, 7 parts of bis(cyclohexylsulfonyl)diazomethane, 0.1 part of triethylamine and 0.5 part of salicylic acid followed by filtration of the solution through a membrane filter having a pore diameter of 0.2 μm. The results obtained in the evaluation tests of this photoresist composition are shown in Table 1 below. EXAMPLES 2 TO 5 AND COMPARATIVE EXAMPLES 1 TO 3 The experimental procedure in each of these Examples and Comparative Examples was substantially the same as in Example 1 described above except that the amine compound and/or carboxylic acid compound as well as the amounts of addition thereof were modified or omitted in some cases as shown in Table 1. The results obtained in the evaluation tests of these photoresist compositions are shown in Table 1 below. It was noted that the film thickness reduction was large in the unexposed areas in Comparative Example 3. In Table 1 below, the names of the amine compounds and carboxylic acid compounds are abridged as shown below and the numerical values given in brackets show the amount of the amine or carboxylic acid compound in part added to the composition. TEA: triethylamine TMA: trimethylamine DMA: dimethylamine SA: salicylic acid NBA: nitrobenzoic acid PA: phthalic acid TABLE 1__________________________________________________________________________ Carboxylic Pattern Fidelity Adapt- Post-Amine acid Sensitivity, resolution, to mask ability to exposure(parts) (parts) mJ/cm.sup.2 μm pattern substrate stability__________________________________________________________________________Example 1 TEA SA 15 0.20 A S-I: A A (0.1) (0.5)Example 2 TEA NBA 16 0.20 A S-III: A A (0.1) (0.7)Example 3 TMA SA 20 0.20 A S-I: A A (0.2) (0.5)Example 4 TMA NBA 16 0.20 A S-I: A A (0.1) (0.2) S-II: AExample 5 DMA PA 15 0.21 A S-I: A (0.1) (0.1) S-II: A A S-III: AComparative TEA None 14 0.23 B S-I: B BExample 1 (0.1)Comparative None SA 5 0.30 C S-III: A BExample 2 (0.5)Comparative TEA SA 15 0.20 B S-III: A AExample 3 (0.1) (20.0)__________________________________________________________________________
Proposed is an improved chemical sensitization-type positive-working photoresist composition of high sensitivity and high pattern resolution for the photolithographic patterning works in the manufacture of semiconductor devices, which exhibits excellent post-exposure stability of the latent image formed by the pattern-wise exposure of the resist layer to actinic rays not to be affected relative to the fidelity of pattern reproduction and sensitivity even by standing for a length of time after the exposure to actinic rays before the subsequent processing treatment. The composition is characterized by the formulation of, in addition to an acid generating compound to release an acid by the irradiation with actinic rays and a resinous ingredient capable of being imparted with increased solubility in an aqueous alkaline developer solution by the presence of an acid, an amine compound such as triethylamine and a carboxylic acid such as salicylic acid in combination.
This is a continuation of copending application Ser. No. PCT/AT99/00127 filed May 20, 1999, which is incorporated by reference herein. BACKGROUND OF THE INVENTION The invention relates to a process for processing residual substances containing at least one nonferrous metal, preferably selected from the group comprising zinc, lead, nickel, copper, and cadmium, and/or compounds thereof. Both the production of nonferrous metals and the recycling of nonferrous-metal-containing secondary raw materials, such as residual substances from steel works, in particular dusts from steel works, involve considerable amounts of nonferrous-metal-containing products and/or residual substances (Ullmann's Encyclopedia of Industrial Chemistry, 5 th edition, volume A28, page 512). Particularly important in terms of quantity are the amounts of zinc-containing residual substances resulting from the production of zinc and from the recycling of residual substances from steel works. These residual substances may be subdivided into three groups: a) sludges resulting from the electrolytic production of zinc b) slags resulting from the pyrometallurgical production of lead and residues resulting from the pyrometallurgical production of zinc c) dusts containing zinc and lead, mainly resulting from the processing of scrap. The great annual charges of these residual substances-group c) alone makes up about 880,000 t per year in Europe, with the charges as well as the nonferrous-metal content tending to rise (M. Hoffmann: Die Rüickgewinnung von Zink und Blei aus Staüben der Elektrostahlerzeugung, VDI Bildungswerk, BW 43-20-04, Sep. 22 and 23, 1997)—have already at an early stage led to considerations and to the development of processes which aim at a purifying processing of the above-named residual substances. DE-650 256 for instance describes a process for processing lead sulfate and lead-sulfate-containing material. Later, the process was also further developed with a view to the recovery of zinc from residual substances of the above-mentioned group a), and it has been used over decades (Ullmanns Enzyklopädie der technischen Chemie, 3 rd edition, volume 19, page 99). EP-A-0 581 995 describes a process which aims at the processing of all three groups of residual substances, a), b), and c), in particular at the processing of dusts from steel works and so-called consumer batteries. The pyrometallurgical process step of this process is based on the process rule known from DE-650 256. Since dusts from steel works make up the biggest quantitative share of zinc-containing residual substances of groups a), b), and c), there has been a large number of considerations about subjecting this group of residual substances to far-reaching processing. Among these processes, only the so-called rolling process economically stands its ground up to now, even though also this process is technically difficult to control (Ullmanns Enzyklopädie der technischen Chemie, 4 th edition, volume 24, page 599). The problem of the zinc contamination of airborne dust in the off-gases of iron-scrap converters and electric furnaces is described in the metallurgical literature (F. Pawlek, Metallhüttenkunde, deGruyter, 1983, page 688). Both in the processing according to DE-650 256 and in the rolling process, the iron of the feed material forms into slag, and it thereby completely escapes recirculation to iron processing, despite a quantitative share of up to 30% in the feed material. There are attempts to work up dusts from steel works in a hydrometallurgical way, the object being the recycling of both the zinc and the iron-containing residue (M. Hoffmann: Die Rückgewinnung von Zink und Blei aus Staüben der Elektrostahlerzeugung, VDI Bildungswerk, BW 43-20-04, Sep. 22 and 23, 1997). Here, a solution containing ammonium chloride is used. Due to the high solubility of iron in the electrolyte and the limited possibility of recirculating the electrolyte, this process involves a considerable amount of resources used and only a limited recycling. In Europe, all available processing capacities can process only about half of the quantities of residual substances of groups a) to c) produced every year, the processing furthermore being technically difficult to control. Only a partial recirculation of the valuable substances is achieved, so that a considerable portion of the valuable substances has to be dumped. And it simply will be impossible to manage the increases in the above-named residual substances, which are expected in the short run. SUMMARY OF THE INVENTION The object of the present invention is to overcome the above-identified disadvantages and difficulties and to provide a simple process for processing residual substances containing at least one nonferrous metal and/or compounds thereof, which allows a selective separation of the feed material into the various substances and fractions of valuable substances while requiring a cery limited use of technology. Moreover, the process is supposed to bear high variations in the composition of the feed materials. In a process of the initially described kind, this object is achieved in that the nonferrous metal is extracted from the residual substances by means of an extracting agent containing a carboxylic acid and/or a substituted carboxylic acid and/or their alkali and/or ammonium salts and/or mixtures thereof. In the residual substances the nonferrous metal may be present in metallic or oxidic form or salt-like. For the purpose of the present description, “extraction” means separation of the nonferrous metal from the residual substances, the nonferrous metal after separation from the residual substances being present in ionic form. A Preferably, a fatty acid having 1 to 18 C atoms in the molecule, in particular acetic acid or propionic acid, is used as a carboxylic acid. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS The process according to the invention is very advantageously used for the processing of residual substances containing iron and nonferrous metal, in particular residual substances from steel works, and the processing of residual substances resulting from the thermal untilization of so-called consumer batteries. The principle of the process according to the invention lies in the physicochemical interaction of nonferrous metals and, optionally, iron with carboxylic acids, substituted carboxylic acids, their alkali and ammonium salts or mixtures thereof, which interaction leads to big differences in the solubility of the various metal species as a salt in the carboxylic acid used. The following table shows representative solubility data for various metal species in an aqueous solution, related to an extraction with acetic acid. Solubility in Water [g/l] at Species Room Temperature Zinc acetate 300 Fe-III-acetate Traces Lead-II-acetate 443 Nickel acetate 166 Copper-II-acetate  72 Above all, a big difference in the distribution of iron and nonferrous metals between an extract phase and a solid phase can be deduced from this list. This property corresponds to one of the basic requirements for the recycling of large quantities of feed materials having a heterogeneous composition. This extraction process meets the demand for a technologically simple procedure in that it may be applied in both a very large range of concentration of the reactive component, i.e., the carboxylic acid, substitued carboxylic acid, their alkali and ammonium salts or mixtures thereof, in an aqueous solution and a very large temperature range up to the boiling point of the extracting agent. This behaviour is confirmed for instance by the dissoluting behaviour of zinc in acetic acid, which is nearly independent of the concentration (Gmelins Handbuch der anorganischen Chemie, System No. 32, Zinc, Supplement, Verlag Chemie, 1956, page 584). The concentration and composition of the extracting agent and the operating temperature are optimization parameters, which have an influence on the economic efficiency and the desired result of the separation, but not on the process rule. The composition of the extracting agent and the concentration of the reactive components can be analysed and set according to usual prior art techniques. In contrast to the processes known from prior art, tramp elements, such as the alkali metal portion in the feed material, do not interfere because the extraction is also possible with the salts of the corresponding carboxylic acids of these elements. The essential difference to the processes applied according to prior art is, apart from the further direct-for instance metallurgical-usability of all product streams of the extraction process, that the extraction is carried out in the low-temperature range (which for the purpose of the present description means temperature range up to the boiling temperature of the extracting agent used), while metallurgical processes known from prior art have to be carried out at a very high temperature so as to allow the desired splitting of the feed material into a valuable-substance phase and a residue phase which can not be further utilized metallurgically. In metallurgical processes, the necessary operating temperature is often very close to the melting temperature of the feed material, in particular in the case of a relatively high alkali load. However, when a melting phase occurs, the separation to the desired extent is not possible any more, let alone the high material stress. A preferred embodiment of the process according to the invention is characterized in that an extraction residue remaining in the course of the extraction and possibly containing iron is subjected to further processing. In particular, processing is carried out in an metallurgical (iron) works. Hereby, a significant increase in economic efficiency of the process can advantageously be achieved. Especially in the extraction of zinc from dusts coming from steel works, the process according to the invention is of advantage, given that the extraction residue is enriched iron oxide, which in the form in which it is present can again be worked by iron metallurgy. For this reason, the residue-free improvement of the residuary product of dust from steel works is possible by obtaining pure zinc and other metals and by recovering iron. Preferably, the process according to the invention uses the carboxylic acid and/or substituted carboxylic acid and/or their alkali and/or ammonium salts and/or mixtures thereof in a concentration of 5 to 100% by weight. It is further preferred to use solutions of the carboxylic acid and/or substituted carboxylic acid and/or their alkali and/or ammonium salts and/or mixtures thereof in solvents, such as water, alcohols, aldehydes, ketones, esters, and mixtures thereof, as extracting agents so as to influence (above all suppress or prevent) the co-extraction of undesired matrix elements of the feed material. Here, matrix means portion of the feed material, whose extraction is, according to the inventive process, not desired. Advantageously, substances such as oxides, halogenides, sulfates, nitrites, nitrates, sulfides, or organosulfides are used together with the extracting agent, again to influence the co-extraction of matrix elements of the feed material. In the process according to the invention, the extraction is preferably carried out in a temperature range in which the extracting agent is present in liquid form or, under liquefaction in the residual substance to be extracted, is introduced into the same in vapour form. According to a preferred embodiment, the nonferrous metal by way of solid-liquid-extraction is extracted from residual substances which are present in the form of solids. However, it is also possible to extract the nonferrous metal from residual substances present in the form of suspensions and/or solutions, the suspension or solution having the same extraction behaviour in the above-named extracting agent as with an extraction from solids. According to another preferred embodiment, the nonferrous metal extracted from the residual substances is separated from the extract formed in the extraction. Preferably, the extracting agent is recirculated after separation of the nonferrous metal. The possibility of working up the extract completely and the possibility of reusing the extracting agent are substantial features of the process according to the invention. The separation can be carried out according to a large number of techniques. According to one embodiment of the inventive process, the separation of the nonferrous metal from the extract takes place by crystallization, possibly by fractional crystallization, this being the simplest form of further processing the nonferrous-metal compound from the extract. The direct industrial utilization of the crystalline product of the extraction or the various fractionally prepared products is possible. However, the crystalline solid can also be decomposed thermally at a later moment, and the product of this separating operation may be subjected to pyrometallurgical or hydrometallurgical further processing according to prior art (Ullmanns Enzyklopädie der technischen Chemie, 4 th edition, volume 24, pages 601 to 611). According to another preferred embodiment of the inventive process, the separation is effected in an electrolytic way. Here, the charged extracting agent can be regenerated directly in an electrolytic way by non-selective deposition of metals. From obtaining zinc by hydrometallurgy it is known that a very strong purification effort has to be made for the electrolyte so as to allow an electrolytic separation of the base metal of zinc from aqueous electrolyte solutions to take place at all. Already traces of impurities are enough to lower the hydrogen overvoltage in the electrolysis of zinc to such a level that a redissolution of already deposited zinc from the cathode takes place (Ullmann's Encyclopedia of Industrial Chemistry, 5 th edition, volume A28, page 524). However, it has been found that in the process according to the invention the separation of zinc in the non-selective electrolytic deposition of the metals from the extract is possible even at a very high concentration of so-called interfering elements. In the process according to the invention, this property allows the use of various electrolytic separating techniques which on the one hand allow the processing of unrefined and refined extracts and on the other hand allow the direct electrolytic extract regeneration with a metal deposition as well as membrane electrolytic processes or diaphragm electrolytic processes with extract-like or alien anolytes with a chemical oxygen linkage as an oxide or under formation of per-compounds having an oxidizing effect in the extraction or under release of gaseous oxygen. The electrolytic separation from the extract may be effected completely or partially. Optionally, individual metals, such as zinc, may also be separated in a fractional electrolytic way, for instance after a previous purifying separation of co-extracted other metal species; here, the purification may be effected by precipitation, cementation on zinc dust, or other suitable purifying processes, such as fractional crystallization or liquid-liquid-extraction. In the process according to the invention, the electrolytic extract regeneration with a metal separation may be influenced by various known additives. By way of example, the influence of methanol (Gmelins Handbuch der anorganischen Chemie, System No. 32, Zinc, Supplement, Verlag Chemie, 1956, page 527) or the influence of ammonia on the electrodeposition behaviour of zinc (Gmelins Handbuch der anorganischen Chemie, System No. 32, Zinc, Supplement, Verlag Chemie, 1956, page 247) are mentioned. According to another preferred embodiment of the inventive process, the separation is effected chemically, for instance by precipitation. Here, purification of the extract by known techniques is possible. As an example, the zinc/lead separation by precipitation of lead as a sulfate is mentioned (Gmelins Handbuch der anorganischen Chemie, System No. 32, Zinc, Verlag Chemie, 1932, page 93). The separation of zinc and the metals of copper, cadmium, nickel, and cobalt is also documented and has proved its value in practice (Ullmanns Enzyklopädie der technischen Chemie, 4 th edition, volume 24, page 599; Gmelins Handbuch der anorganischen Chemie, System No. 32, Zinc, Supplement, Verlag Chemie, 1956, pages 222 to 229). These separating processes can also be applied to the process according to the invention. According to another embodiment of the process, the separation is effected thermally, the indicated possibilities being a thermal separation by cleavage or sublimation, a thermometallurgical or chemical separation of the solvent from the extracted species under conversion of the same into oxides or, by adding additives having a reducing effect, into the metal, and a recirculation of the extracting agent or extracting-agent mixture. A decisive property of salts of the carboxylic acids used according to the invention is thermal cleavability, a process step in which the extracting agent may be completely recovered from the extract. This demand for the possibility of recirculating the extracting agent is met for instance in the extractive separation of iron and nonferrous metals with acetic acid, because the acetates are cleaved at relatively high temperatures. Thus, zinc acetate is transformed into acetic acid and zinc oxide at temperatures above 200° C. Iron acetate is decomposed into iron oxide and acetic acid as well. The processing of the metal compounds obtained by one of the above-named techniques and obtained from the extract as a solid can be effected after thermal separation of the extracting agent by a complete or partial dissolution in a true electrolyte, such as sulfuric acid or hydrochloric acid, or electrolyte mixtures, with a subsequent process-specific electrolyte purification by precipitation, cementation, or other suitable separating processes, and an electrolytic deposition of the metal. According to another preferred embodiment, an acid stronger than the acid contained in the extracting agent is added to the extract, after separation from the extraction residue, and the extracting agent is separated from the thus treated extract by way of distillation. In the following, preferred embodiments of the invention will be explained in more detail by way of exemplary embodiments. EXAMPLE 1 Quantities of 10 g of dust from steel works were extracted at room temperature with quantities of 100 ml of acetic acid having different concentrations (25 to 100% by weight), respectively. After an extraction time of one hour, the extract was separated from the solid refined product and/or extraction residue. Both phases were analysed, and the following results were found: Composition in [g/kg] of the steel-works dust used: Zinc 273.00 Iron 179.00 Lead 31.70 Copper 4.52 Nickel 0.61 Cadmium 0.19 Cobalt 0.03 Sodium 8.50 Potassium 6.30 Extraction degree for zinc and iron, related to the quantity used and under variation in the concentration of acetic acid in the extracting agent: Degree of Degree of % by weight of extraction of zinc extraction of iron acetic acid (%) (%) 25 92.30 6.10 50 93.40 6.70 80 90.80 5.00 100 35.50 0.60 The results show that in a large concentration range of the extracting agent of acetic acid the extraction of zinc gives a high yield. At room temperature, the degree of extraction decreases only when the extracting agent is anhydrous. The more the concentration of the extracting agent increases, the more the degree of extraction of Fe-III decreases. EXAMPLE 2 320 g of the same dust were extracted at room temperature with 800 ml of acetic acid having a content of 20% by weight. The course in time of the concentration of various elements in the extract was examined. t Zn Pb Cd Fe Cu Ni Na K [min] [g/l] [g/l] [g/l] [g/l] [g/l] [g/l] [g/l] [g/l] 30 79.00 7.20 0.13 1.55 0.53 0.16 7.00 3.60 60 82.00 7.20 0.12 1.15 0.54 0.17 7.00 3.60 75 83.00 7.60 0.13 1.10 0.54 0.20 7.00 3.60 90 82.00 7.40 0.13 1.01 0.56 0.22 7.00 3.70 105 83.00 7.40 0.13 0.90 0.56 0.24 7.00 3.60 120 83.00 7.60 0.13 0.78 0.57 0.25 7.00 3.60 It can be seen in the table that the extraction behaviour of the metals is in accordance with the dissolution behaviour of the corresponding acetates in an aqueous solution. With the exception of Fe-III, metals having a low solubility show a slightly increasing concentration in the extract phase. Metal acetates having a high solubility in an aqueous solution are already extracted within 30 minutes. EXAMPLE 3 The extracting agent of Experiment 2 was separated from the remaining solid after 120 minutes. After an ageing phase of two hours and the separation of a concentration precipitate by filtration the electrolyte was subjected to a non-selective direct electrolysis in an electrolysis cell. An aluminium tube was used as cathode to achieve constant cathode-current densities. A net-shaped electrode made of platinum was used as anode. Electrolysis was carried out at a constant cathode-current density of 930 A/m 2 . At the beginning of the electrolysis, the charged extracting agent had a temperature of 22° C. At the end of this examination, a bath temperature of 35° C. was measured. Electrolysis was carried out over a period of 100 minutes. The current/voltage curve was recorded. Data are compiled in the following table: t [min] U [V] I [A] 00 5.8 2.20 10 5.0 2.20 25 4.7 2.20 50 4.4 2.20 75 4.3 2.20 100 4.1 2.20 The time change in the bath voltage was caused by the change in the temperature and the change in the distance between the electrodes. During the experiment, samples were taken from the electrolytic bath, and the course in time of the decrease in the individual elements in the electrolytic bath was determined by analysis. The results are compiled in the following table: t Zn Pb Cd Fe Cu Ni Na K [min] [g/l] [g/l] [g/l] [g/l] [g/l] [g/l] [g/l] [g/l] 00 89.00 7.70 0.15 0.47 0.58 0.05 6.70 3.85 10 82.00 5.00 0.15 0.44 0.50 0.05 6.80 3.65 25 74.00 2.60 0.14 0.41 0.43 0.05 6.80 3.70 50 59.00 0.90 0.09 0.40 0.28 0.06 6.90 3.80 75 48.00 0.30 0.05 0.36 0.16 0.06 6.80 3.90 100 35.00 0.19 0.03 0.35 0.08 0.06 6.70 3.90 As can be seen in the table, a considerable amount of iron and nickel was separated together with the precipitate from the extract by the second filtration. As refers to the examined bath composition, it has to be said that despite the extremely high nickel content in the extract the hydrogen overvoltage for the deposition of zinc could be kept at such a high level that hardly any formation of hydrogen could be observed at the cathode. Usually, for the separation of zinc, the nickel content has to be below 3 mg/l (Ullmanns Enzyklopädie der technischen Chemie, 4 th edition, volume 24, page 606). The high content of iron did not have any measurable influence on the electrodeposition behaviour, either. EXAMPLE 4 Concentrated sulfuric acid was pipetted dropwise to 10 ml of a sample of extraction experiment 2, which was double-filtrated and aged over two hours, until a lead sulfate precipitate formed. After separation of the precipitate, a lead content of 6.70 g/l was measured. The experiment shows that the extract can be purified before the electrodeposition of zinc. EXAMPLE 5 42 ml of a sample of Experiment 1, prepared by way of an extraction with acetic acid having a content of 50% by weight, were subjected to electrolytic metal deposition at room temperature, a current density of 400 A/m 2 , and a constant current intensity of 0.63 A, the time of electrolysis being 25 minutes. A flat aluminium cathode and a flat net-shaped anode made of platinum were used. In this experiment, the zinc was separated from the extract from an initial zinc concentration of 25 g/l to a residual concentration of 18 g/l. The experiment shows that it is possible to directly separate zinc from a diluted unrefined extract solution by electrolysis. EXAMPLE 6 7 g of steel-works dust containing 35.8% by weight of Zn, 5.41% by weight of Pb, and 13.35% by weight of Fe were treated in an extractive way with 70 g of an extracting agent consisting of 14 g of acetic acid and 56 g of water at 20° C. The extract phase obtained contained 82.7% by weight of Zn, 65.9% by weight of Pb, and 8.7% by weight of Fe. EXAMPLE 7 Example 6 was repeated; however, an extracting agent was used which consisted of 14 g of acetone, 14 g of acetic acid, and 42 g of water. The extract phase obtained contained 79.2% by weight of Zn, 43.1% by weight of Pb, and 7.5% by weight of Fe. EXAMPLE 8 Example 6 was repeated; however, an extracting agent was used which consisted of 14 g of isopropanol, 14 g of acetic acid, and 42 g of water. The extract phase obtained contained 79.1% by weight of Zn, 45.5% by weight of Pb, and 6.5% by weight of Fe. Examples 6-8 document that the yield of individual extractants may be significantly influenced by a modification of the extracting agent.
The invention relates to a method for processing residues containing at least one non-ferrous metal, preferably chosen from the group comprising zinc, lead, nickel, copper and cadmium and/or compounds thereof. According to this method, the non-ferrous metal is extracted from the residues by an extracting agent containing a carboxylic acid and/or a substituted carboxylic acid and/or its alkali salts and/or ammonium salts and/or mixtures thereof.
CROSS-REFERENCE TO RELATED APPLICATION This application is a continuation-in-part application of our copending application Ser. No. 06/642,713, filed on Aug. 20, 1984. BACKGROUND OF THE INVENTION The invention relates to a process for preparing blanks for sabot bodies and the sabot arrangement obtained thereby. A sabot arrangement of the afore-described type is generally manufactured as follows: A first rotational symmetrical body, having the contour of the sabot, is severed by means of longitudinal axial cuts into the segments in such a way that the material loss due to a corresponding arrangement of the severing cuts is taken into consideration. Thus, with cutting into three parts, a first divided portion forms with one or more segments of a second divided portion, a rotational symmetrical sabot body, to form jointly one sabot arrangement. To achieve this result, the contacting surfaces of the segments must be precisely finished and must have smooth surface characteristics. These segments are arranged about the projectile and are maintained thereabout by means of a foreign element, for example a guide band or sealing element having fracture zones, which maintain the segments in assembly until its disintegration upon leaving the muzzle of the gun barrel. Generally the projectile, as well as the segments, in their mutual contacting regions, are provided with corresponding interacting form-locking means. The known process for manufacturing a segmented sabot is disavantageously complex and cost intensive relative to the prospective material consumption as well as the required working. Additionally, each segment must be separately marked in accordance with its corresponding disposition on the preselected sabot arrangement. This is necessary in order to eliminate as much as possible a mistake due to an unintended interchange of the segments. Exemplary of the state of the art are U.S. Pat. No. 3,262,391, Luxembourg published patent application Ser. No. 57539, and British Pat. No. 20 27 855. The sabot arrangement of U.S. Pat. No. 3,262,391 includes a plurality of metal discards which have radially outwardly increasing wall thicknesses. These same metal discards have a toothed inner contour and an even outer periphery. The segments contact the sub-caliber projectile approximately at its middle region, which sub-caliber projectile has a toothed outer peripheral surface in that region which matingly engages the toothed inner peripheral surface of the metal to form a form-locking connection. The rear surface of the sabot is provided with sealing means. The sub-caliber projectile is formed as an arrow-stabilized high-alititude probe and is fired out of a gun barrel having an elevation of about 90 degrees. The sabot of U.S. Pat. No. 3,262,391 is designed to mitigate a particular dangerous condition. When a sabot is formed by only three or four receiving members and to facilitate the conventional introduction of the sabot into the loading chamber of a weapon. The spin is achieved by frictional forces that act via the propellant bottom on the projectile and the fracture zones bring about the severance of the projectile into several segments via the centrifugal forces brought about by the spin of the projectile. The parts that fall off the projectile do not cause the afore-described danger to the personnel servicing the weapon because of their reduced mass. This projectile has, however, a significant drawback because it is designed to be used exclusively with spin-stabilized projectiles. There is disclosed in British Patent No. 20 27 855 a process for producing a sabot out of metal and synthetic material. The arrow projectile of this patent has a sabot consisting of metal alloy and a shell of a plastic material directly cast on the projectile body. The casting of the peripheral form-locking means onto mating means on the projectile body is carried out in such a way that the metal melt forms in a predetermined peripheral region three separate bodies which interengage with the form-locking means on the projectile body. Such an arrangement is then involved in an injection molding process step whereby a synthetic material jacket surrounds the projectile and the three metallic bodies forming the sabot so that only a nose needs to be mounted on the sabot arrangement. While the arrow-projectile of the British Patent No. A 2027 855 is arrow-stabilized, repeated reference is made to a rifled bore. Taking the teachings of the British patent as a whole, the routineer skilled in the art would conclude that this patent refers to a sabot that operates under spin-stabilization. Moreover, the manufacturing process of the British patent is not suitable for ammunition of large caliber gun barrels. The volume of the metal melt that must be cast in the first mold requires substantial preheating steps. Even if such steps are supplied a secure form-locking between the projectile body and the sabot would not suffice to provide for a proper functioning of the sabot. SUMMARY OF THE INVENTION It is an object of this invention to provide a process for manufacturing a sabot of the afore-described type which, with relatively reduced production input achieves nevertheless flaw-free operation of the sabot while providing for a reduced average material density which is particularly significant with ammunition for large caliber weapons. As the description of the method of the invention is set forth in greater detail hereinbelow, it will become obvious that the unitary construction and pre-segmenting steps of the manufacturing method result in a simplification of the manufacture. The method of the invention favors advantageously the object that the number of segments can be increased over the conventional number (which was also previously determined by manufacturing costs). The teachings of the invention also covers the design of the corresponding coating blank for the sabot body, whereby these teachings as well as the corresponding teachings regarding the manufacturing method are designed to simplify the entire construction in the sense to achieve the afore-mentioned reduction in dead weight. BRIEF DESCRIPTION OF THE DRAWING With these and other objects in view, which will become apparent in the following detailed description, the present invention, which is shown by example only, will be clearly understood in connection with the accompanying drawing, in which: FIG. 1 is a plan view of a first embodiment of the sabot arrangement in accordance with the invention, wherein the sabot has three segments; FIG. 2 illustrates an end view of the first embodiment of a sabot arrangement in the direction of arrow II in FIG. 1; FIG. 3 is a longitudinal axial sectional view of the first embodiment of the sabot arrangement along lines III--III in FIG. 2; FIG. 4 is a side-elevational view of an element adapted to be inserted in a corresponding separating groove of the first embodiment of the sabot arrangement in accordance with FIGS. 1 through 3; FIG. 5 is a side-elevational view of a sabot arrangement, partially in section, in accordance with a second embodiment of the invention, wherein the arrangement has three segments, one of which is shown in longitudinal section; FIG. 6 illustrates an end view of a sabot arrangement in accordance with a third embodiment of the invention, which sabot arrangement has a total of six segments; FIG. 7 illustrates the sabot arrangement of the third embodiment in section along the longitudinal axis and along lines VII--VII in FIG. 6; FIG. 8 is a sectional view transverse to the longitudinal axis along lines VIII--VIII of FIG. 7 of a fourth embodiment of the sabot arrangement having six segments, which is similar to the third embodiment; FIG. 9 illustrates a fourth embodiment of the sabot arrangement in cross-section, transverse to the longitudinal axis along lines IX--IX in FIG. 7; FIG. 10 illustrates a fifth embodiment of a sabot arrangement having six segments shown in an end elevational view; FIG. 11 is a longitudinal axial cross-sectional view along line XI--XI of FIG. 10 of the fifth embodiment of the sabot arrangement of the invention; FIG. 12 is an end elevational view of the sixth embodiment of the sabot arrangement of the invention which has four segments; FIG. 13 illustrates a longitudinal axial sectional view of a sixth embodiment of a sabot arrangement along line XIII--XIII of FIG. 12; FIGS. 14 and 15 illustrate in longitudinal axial section the constructional details of a rear flange in the middle or rear region of the sabot arrangement, which sabot arrangement is also shown in longitudinal axial section, which constructions form two additional embodiments of the sabot arrangement of the invention; FIG. 16 illustrates in cross-section the front region of the sabot arrangement illustrated in FIGS. 14 or 15. DETAILED DESCRIPTION According to FIGS. 1, 2 and 3 there is illustrated a rotational-symmetrical sabot body 1 having an axial central bore 26 and three separating grooves 60, which are cut into the periphery of the sabot body 1, preferably by means of a non-illustrated slot milling machine. For purposes of clarification there is illustrated in FIG. 3 the outline 58 of such a slot cutter in dot-dash lines. Three equal segments 2, 4, and 6 are formed by means of the separating grooves 60. In front of a frontal region 10 there is disposed an air pocket 12 having an exterior wall 14 and an air-stream receiving surface 16 which terminates in a front edge 18. The exterior wall 14 is of unitary construction with respect to a central hub portion 22. This central hub portion 22 extends along a central longitudinal axis A between a front edge 28 and a rear edge 48. A flange 34 is of unitary construction with respect to the central hub portion 22; the flange 34 has on its periphery a double receiving groove 36 for receiving a guide ring and/or sealing element 37. A rear-facing gas pressure receiving surface 38 terminates at the rear edge 48. The separating grooves or slots 60 are arranged in such a way that in the region of the front edge 18 of the air pocket 12, in the region of the front edge 28 of the central hub portion 22, and in the region of the rear edge 48, there remains material which form material bridges 20, 30 and 50 making for a unitary construction, which material bridges also form fracture zones for the sabot assembly. The central bore 26is provided wtih an internal thread 54 for form-lockingly joining the sabot arrangement with a non-illustrated projectile. In order to prevent an impermissible passage of the propellant gases during firing through the sabot arrangement, each one of the separating grooves or slots 60 are advantageously provided with an element 3, which is illustrated in FIG. 4. The element 3 is stamped out of sheet metal and has a contour which complements the contour of the sabot body 1 in the region of the corresponding separating grooves or slots 60. This stamped element 3 has narrow limit surfaces 20', 30' and 50', which bear against the mating material bridges 20, 30 and 50 and which complement the internal threaded part 54 in the region of a narrow surface 54' by means of a threaded part 54", not illustrated in detail. A guide band 37 is introduced into the double groove 36 and is also provided with fracture zones, which guide band 37 fixes each element 3 into the corresponding separating groove or slot 60. In the second embodiment of the sabot arrangement, which is illustrated in FIG. 5, there is provided an enclosing peripheral portion 57, made of synthetic material, which is joined with a central hub portion 22 along an exterior surface 23 thereof (by means of casting, spraying, or the like) so as to form a unitary construction therewith. In this embodiment the advantage of reduced dead weight is combined with the advantage of simplified manufacture. Elements 3, as is described hereinabove, can also be in this embodiment inserted into separating grooves or slots 60, which have been cut into the sabot body 1 by means of a slot cutter (the periphery of which is indicated by dot-dash line 58). The material bridges 20 in the embodiment of FIG. 5, are made out of synthetic material. The sabot arrangement illustrated in FIGS. 6 and 7 constitutes a third embodiment wherein six segments 2, 4, 6, 7, 8 and 9 form the sabot arrangement. The sabot body has a contour which mates with the shape of the stamped sheet metal parts 62, 62' and 63, and is also made out of a synthetic material 57, the stamped sheet metal parts being adapted to the contour of the sabot body 1. The arrangement includes a central bore 26'; the afore-mentioned sheet metal parts 62, 62' and 63 are arranged in such a way along a longitudinal axis A, that each one of the segments 2, 4, 6, 7, 8 and 9 are defined by the surfaces of a stamped sheet metal surface 62 and 62'. Stamped part 62 (respectively 62') are shaped similarly as element 3 (see FIG. 7). Stamped part 63 extends, at least in its forward region less radially, compared to the contours of parts 62, 62'. The shape of stamped part 63 is shown in FIGS. 6, 8, 9, 10 and 11. The stamped sheet metal part 62 (for example of stamped metal segment 9) and the stamped sheet metal 62' of stamped metal segment 2 are arranged immediately adjacent to each other along the separating slot 66. Reference number 64 indicates a so-called "butt-joint area" which encompasses the region in which the sabot segments 2, 4, 6, 7, 8 and 9 "push" the respective adjacent segments. From this follows that a symmetrical plane, defined by the separating slot 66, extends through the "butt-joint area" 64. In the region of the front edge 18 of the air pocket 12 as well as in the region of the front edge 28 in the vicinity of the central bore 26' the stamped sheet metal members 62 and 62' are shaped in such a way, that the material bridge 20 and 30, made out of synthetic material 57, are formed. As is illustrated in FIG. 7, the peripheral surface 35, the rear propellant gas receiving surface 38, the rear edge 48 as well as the double receiving groove 36 for receiving the sealing band 37 can be covered by means of a cover element 74, which is provided with non-illustrated fracture zones, and which forms a rear closure of the sabot arrangement. Advantageously, the cover element 74 can be formed as a so-called built-in template for the stamped sheet metal members 62, 62' and 63 and as such is disposed directly in a non-illustrated casting or spraying mold. The surfaces of the sheet metal member 62, 62' and 63 which confront the synthetic material 57 are treated with a chemical or other treatment, for example by immersion, to provide a protective layer, so as to be capable of being particularly intimately glue-joined with the hardened aforementioned filler material (made of synthetic material 57). In the region of their narrow surfaces with which the stamped members confront the central bore 26, they are provided wtih non-illustrated recesses, which mutually complement each other with portions of the threaded part 54. Advantageously, during the casting respectively spraying with hardened filling material 57 in the central bore 26', there is inserted a body provided with an external thread which corresponds to that of the projectile and which forms a forming core. In this manner the thread 54 can be formed without interruptions. The fourth embodiment of the sabot arrangement, as illustrated in FIGS. 8 and 9, is provided with six segments and differentiates itself from the third embodiment in particular in that the stamped sheet metal members 62, 62' and 63 are provided with bores or openings 68. These bores serve in the frontal region and in the region adjacent to the periphery of the stamped sheet metal members for receiving schematically illustrated smooth joining elements 70. These elements 70 form, in a simple manner, the corresponding previously described material bridges. In the tail region, the bores 68 serve for receiving the hardened synthetic material 57, so as to permit the formation of a particularly intimate joining between sheet metal and synthetic material. With the comparatively even more progressive material exchange, as described hereinabove, there is advantageously achieved a dead weight reduction. An advantageously even more progressed material exchange is effected in a fifth embodiment of the sabot arrangement in accordance with FIGS. 10 and 11. The stamped sheet metal members are also not different in this embodiment even in the corresponding butt-joint area 64. In a radially extending continuation of the separation slot 66 there is provided, for example, as separating means 72 a thin metal foil. This metal foil is cut in such a way that it permits the formation in the region of the front edge 18 of the material bridges 20 made out of hardened synthetic material 57. As has been described hereinabove, the shape of the stamped members 63 in the region of the front edge 28, permits again the formation of material bridges 30 made out of hardened synthetic material 57 (FIG. 11). There is illustrated a sixth embodiment of a sabot arrangement in accordance with the invention in FIGS. 12 and 13, wherein in a frontal region 10 there is formed an air pocket 12 having an exterior wall 14 and an air stream receiving surface 16 which terminates in a front edge 18. The exterior wall 14 is of unitary construction with respect to a wall 24 of a central hub portion 22, the central hub 22 extends along a central longitudinal axis A and has a central bore 26. There is disposed a flange 34 in the middle region 32 of the sabot arrangement, which flange is integral with the wall 24 of the hub 22. This flange 34 has at its exterior periphery, a double receiving groove 36 for a guide and/or sealing element 35. In the tail region of the arrangement there is again provided a pressure receiving surface 38 which extends towards an exterior surface 44 of a tail section 42 of the central hub 22. The separating slots 45, 45' and 46, 46' divide the sabot body into four segments 2, 4, 6 and 8, whereby in the region of the front edges 18 and 28, in the flange periphery 35, and in the rear edge, there form material bridges 20, 30, 40 and 50. These material bridges join the abutting segments in regions which have not been further illustrated in detail and safeguard the unitary construction of the sabot body. The inner peripheral surface 52 of the bore 26 is provided with a thread 54 and at its rear side ends in an internal recess 56; this arrangement serves for a form-locking between the sabot and a projectile which is disposed within the bore 26. The material bridges 20, 30, 40 and 50 are so dimensioned that they form fracture zones (between adjacent segments) in such a way, that they are fractured after the assembly leaves the muzzle of a gun barrel under the action of streaming air which acts on the assembly in the direction of the arrow L, so that the segments 2, 4, 6 and 8 now singly separate from the non-illustrated projectile and fall to the ground. The illustrated embodiment has advantageously an even number of segments. This feature advantageously provides the possibility to introduce two separating slots (they are disposed in a lane parallel to a diameter D 1 (D 2 ) by means of one corresponding cutting step, for example by means of a band saw (FIG. 12). The foregoing description clarifies a further advantage flowing from this embodiment, to wit: there is dispensed with the requirement of a high input in connection with the corresponding separating, respectively dividing slots between adjacent segments as was the case with the conventional processes; a mininum precision requirement is substantially only necessary for the dimensioning of the corresponding material bridges. The afore-mentioned desideratum to increase the number of sabot segments, is based on the assumption that thereby the mass of the corresponding segments is reduced. Also the separation of segments from the projectile is facilitated. Additionally, the disturbing influence on the projectile due to the non-intended mutual contacting between projectile and one or more of the segments after the predetermined separation from the sabot, is reduced. In order to block the propellant gases from passing through the separating block 45, 45', 46, 46', there can be provided a cover 38' which covers the gas-receiving surface 38 and the adjoining exterior surface 44 at least in the region of the separating slots, which cover is illustrated in FIGS. 1, 3, 5, 7, 11 and 13 and is analogous to the cover element 74. FIGS. 14, 15 and 16 illustrate material bridge constructions which constitute further embodiments of the sabot arrangement of the invention. In accordance with FIG. 14 the central part or hub 22 made out of light metal alloy has on the front side of the rearwardly disposed flange 34 a surface 76 which has a dove-tailed groove 78. A ring 80, made out of synthetic material 57, covers the surface 76 and extends within the dove-tailed groove 78. The ring 80 forms jointly with the part 34.2, made out of light metal alloy, the rearwardly disposed flange 34. There is provided in the flange periphery 35 in the ring 80 a receiving groove 39, whereas in the part 34.2 there is provided a receiving groove 36 for a non-illustrated guide band. The gas pressure receiving surface 38 continues in a recess 82 which is on its exterior side limited by the projection 84. The front portion of the sabot arrangement can be constructed in accordance with FIG. 16, which front construction can be combined with the construction of FIG. 14 as well as with the construction of FIG. 15. The central hub portion 22 is made out of a light metal alloy and has the stepped parts 23.1 to 23.3 which form the exterior surface 23 having dove-tailed grooves 25.1, 25.2. The forward portion 10 is made out of synthetic material 57, whereby an exterior wall 15 is defined by the air stream receiving surface 16, which limits the exterior extent of the air pocket 12 and extends rearwardly over the periphery to the non-illustrated middle region and is secured on the stepped parts 23.1 to 23.3 and in the dove-tailed grooves 25.1 and 25.2 on the central hub part 22. The presegmenting and formation of material bridges results in the embodiments of FIGS. 14, 15 and 16 analogously to the previously described embodiments. The formation of the stamped metal blanks is also evident from the prior description of the different sabot arrangements. The object of the invention is to reduce as much as possible the work input for producing sabot arrangements. for example, in the arrangement of the sabot body, its central hub portion can be of unitary construction and be made out of metal, the correpsonding blank can be preperforated, and can be permanently deformed. A stress-working is advantageously reduced to a minimum because with arrangements of sabot bodies being made entirely out of metal the finishing working of the surfaces, the formation of grooves for a corresponding guide band, and the presegmenting, when joining by means of synthetic material, is carried out by introduction into the dove-tailed grooves of such synthetic material for improving the joining between the metal and the synthetic material and the presegmenting of the assembly. Whereas in the arrangement of FIGS. 12 and 13 there is illustrated a sabot body being made out of a uniform material, the sabot body can also be manufactured in the sense of the embodiments of FIGS. 14, 15 and 16. The selection of material is determined by the demands of having sufficient mechanical strength with as much as possible reduced density. With arrangements wherein the central portion is of unitary construction and made out of metal, it is recommended to select a light metal alloy, for example an alloy having an aluminum or titanium base. In those arrangements having shaped sheet metals, such parts can be at least partially made out of steel. As synthetic material there is recommended, for example, an epoxy resin which is reinforced by means of fiber glass, carbon or synthetic materials. Moreover, the separating slots 45, 46, 60 can be advantageously hermetically sealed by pouring into them a hardenable substance which is capable of being cast. In order to adapted the arrangements of the invention the projectile contours and concomitant sabot arrangements other than those described hereinabove in connection with the illustrated embodiments, other structures can be selected and thereby further inventive combinations can be realized. In this connection all of the afore-described features of the invention are considered to be inventive. The sheet metal parts can be made out of conventional sheet metal having a thickness 0.35 mm and are delivered as a semifinished product. A particularly advantageous material is an AA7075 wrought alloy having aluminum as a main constituent. The densities of the synthetic material which are suitable for the casting step (sealing of slots 45, 46, 60 by pouring synthetic material into them) fall within narrow limits. These densities are, however, less than half the density of the sheet metal material. The apparent average density of combined material is therefore substantially less than that of the material for a conventional prior art sabot, for example, wrought aluminum alloy. The total dead load of the projectile, being armed, wtih a sabot in accordance with this invention is therefore significantly lowered. The "dead load" means the mass which is being accelerated up to the muzzle exit velocity during its travel through the gun barrel, but which is not the target effective mass. There now follow examples of materials for the sheet metal elements and parts. Aluminum wrought alloy AA7075 1) having a density of 2.8 grams/cm 3 (1) International Registration number, Aluminum Association Washington, D.C. Example of synthetic materials for pouring and casting into slots 45, 46, 60: (1) non-modified epoxy resin (density/25 grade C:1.17 grams/cm 3 ) amine hardener (specific gravity/20 grade C:0.92 grams/cm 3 ) (2) polymer-modified polyamide 6 (3) glass-fortified nylon 6/10 and nylon 6/12; THERMOCOMP® IF Series: IF-100 glass content: 30% specific gravity 1.3 (4) Carbon Fiber reinforced thermoplastic composites LNP THERMOCOMP® Base Resin: Nylon 6/10 and nylon 6/12 (e.g. grade IC-1006); carbon fiber content 30%, specific gravity 1.22 (5) Elastomer-modified polyamide 6; glass fiber reinforced, glass content: 25%. The expression "large length/diameter ratio" projectile refers to a subcaliber projectile of the type described in U.S. Pat. No. 4,075,946 wherein said ratio is at least 10. Although a limited number of embodiments of the invention have been illustrated in the accompanying drawings and described in the foregoing specification, it is to be especially understood that various changes, such as in the relative dimensions of the parts, materials used, and the like, as well as the suggested manner of use of the apparatus of the invention, may be made therein without departing from the spirit and scope of the invention, as will now be apparent to those skilled in the art.
A sabot arrangement is produced in accordance with an improved manufacturing method. The sabot arrangement includes a rotational symmetrical sabot body having a central axial bore and radial separating grooves or slots. The radial grooves or slots divide the sabot body into a plurality of equal segments. These slots nearly sever the sabot body into separate segments, so that a material bridge remains near a forward edge and a material bridge remains near a rear edge of the sabot body, which material bridges function as fracture zones.
CROSS-REFERENCE TO RELATED APPLICATION [0001] This application is a division of U.S. patent application Ser. No. 11/502,336, filed on Aug. 9, 2006, the disclosure of which is incorporated herein by reference. STATEMENT REGARDING FEDERALLY SPONSORED RESEARCH OR DEVELOPMENT [0002] Subject matter disclosed in this specification was supported at least in part through governmental grant no. DAAB07-02-C-P613 awarded by the Defense Advanced Research Projects Agency, and is subject to certain governmental rights and interests. FIELD [0003] The present disclosure relates to nanoresonators and methods for the large-scale integration of nanoresonators with electronics. BACKGROUND [0004] The use of quartz substrates in a microelectromechanical systems (MEMS) process provides for the fabrication of high quality (Q) factor and thermally compensated resonators. For thickness shear mode resonators, the thickness of the substrate determines the resonant frequency of the resonator. The thinner the quartz substrate, the higher the resonant frequency. Therefore, by varying the thickness of the substrate over a broad range, the resonant frequency can be adjusted over a broad range. Having a quartz substrate with a thickness on the order of 10 microns or less can result in resonant frequencies greater than 100 MHz, which is desirable for high frequency applications. [0005] By combining several quartz based resonators having different resonant frequencies with a radiofrequency (RF) MEMS switch on the same chip, frequency hopping and filter reconfiguration can occur on the microsecond time scale. In frequency hopping and filter reconfiguration the desired frequency in a band of frequencies is selected by using the RF MEMS switch to activate the quartz resonator having a resonant frequency equal to the desired frequency. The spectral band for most radio frequency hopping and filter reconfiguration applications is 20 MHz to 3 GHz. The low frequency part of the band is extremely difficult to cover with conventional capacitive-based filters since capacitive-based filters are larger in size. Frequency hopping and filter reconfiguration applications would benefit from temperature compensated, stable, high-Q (in the amount of about 10,000), arrays of resonators and filters. [0006] However, present quartz fabrication techniques for oscillators or filters do not allow quartz resonators to be integrated on a chip with other electronics. The inability to integrate the quartz resonators on a chip with other electronics is a significant contributing factor to the size and cost of a device due to the need to use separate off-chip components. [0007] MEMS devices which consist of silicon-based nanoresonators have been fabricated in an attempt to integrate nanoresonators or microresonators with other electronics. Nanoresonators and microresonators are resonators which have linear dimensions on the order of nanometers and micrometres or microns, respectively. These silicon-based nanoresonators have shown resonant frequencies as high as 1 GHz, and quality factors in the range of 1000-2000. However, the problem with silicon-based nanoresonators is that they have high electrical impedances and high temperature drift. [0008] An alternative solution is known which makes use of non-MEMS quartz resonators. Such resonators usually consist of shear strip individual resonators operating in ranges of about 10 MHz to about 250 MHz. These resonators are packaged as discrete devices and mounted as hybrids to other RF circuits. The problem with non-MEMS quartz resonators is that they are non-integrable, they have higher costs, lower frequencies, and they are physically larger in size. [0009] U.S. patent application Ser. No. 11/426,931 for “Quartz-Based Nanoresonators and Method of Fabricating Same,” published as 2004/0211052 A1, is co-owned with and a parent to the current application. The disclosure of U.S. patent application publication 2004/0211052 is hereby incorporated by reference in this specification for all purposes allowed by law and regulation. This application is addressed to a method for fabricating a quartz nanoresonator that can be integrated on a substrate along with other electronics. It teaches a method for fabricating and integrating quartz-based resonators on a high speed substrate for integrated signal processing that utilizes a combination of novel bonding and etching steps to form ultra thin quartz based resonators. Thinning the quartz substrate in the quartz resonator provided the desired resonant frequency. The quartz resonators made by this process may achieve a frequency in excess of 1 GHz. [0010] The first embodiment of the process for forming quartz nanoresonators disclosed in U.S. patent application publication 2004/0211052 is shown in general outline in the diagrams of FIGS. 1-13 of that patent application publication. Referring now to the drawings of the present specification, FIGS. 1-8 summarize this process. The step shown in FIG. 1 shows the provision of the starting materials, namely, wafers or substrates of single-crystal quartz 2 and silicon 4 (the “silicon handle”). The quartz wafer 2 has a first surface 3 and a second surface 5 . [0011] The next step is shown in FIG. 2 , which is to define and etch a cavity 7 in the silicon handle wafer 4 . A third step, shown in FIG. 3 , is to deposit the top-side electrode 10 and the interconnect bond metal 8 metal onto the quartz wafer 2 using known methods of patterning and metalizing. The electrode 10 is a “top-side” electrode because ultimately first surface 3 will be the top surface and the electrode 10 will be on top. [0012] In a fourth step, shown in FIG. 4 , the quartz wafer 2 is reversed and then brought together with the silicon handle wafer 4 using a direct bonding process. [0013] A fifth step, shown in FIG. 5 , uses lapping and reactive ion etching to thin and polish at least part of the quartz wafer 2 into a to a precisely measured thickness suitable for the desired resonant frequency. It will be appreciated that second surface 5 is now closer to first surface 3 due to the thinning of the quart wafer 2 . Next, in a sixth step, shown in FIG. 6 , photolithography techniques are used to pattern and metallize via holes 12 in the quartz wafer. In a seventh step, shown in FIG. 7 . bottom-side bonding pads 14 , and bottom side electrode 16 , are deposited. [0014] The contact vias 12 will provide electrical access to the top-side electrodes of the resonator from the bottom-side bonding pads 14 that will be in contact with probe pads on the substrate or host wafer 6 that will support the quartz resonator. The host wafer 6 could contain high-speed RF electronics, thus eliminating the need for lengthy bond wires and facilitating on-chip integration. [0015] In an eighth step, see FIG. 8 , the quartz wafer 2 is patterned and etched into a modified quartz substrate 2 a , thus forming the final resonator 20 . The resonator 20 is still attached to the silicon handle 4 . [0016] In a later step shown in U.S. patent application publication 2004/0211052, but not shown in the drawings of this application, the quartz resonator is later transferred and attached to a base substrate of about the same diameter. Since quartz wafers are typically grown in sizes up to four to five inches (10.2 to 12.7 centimeters), bonding a four inch quartz wafer to a twelve inch (30.5 centimeters) CMOS wafer would not utilize all the electronic components on the CMOS wafer. One would prefer to bond a twelve inch quartz wafer to a twelve inch CMOS wafer but twelve inch quartz wafers are not available. FIGS. 10 and 11 of U.S. patent application publication 2004/0211052 show the shaping and addition of probe pads to the base substrate and FIG. 12 of that publication shows the attachment of the quartz resonator to the probe pads on the base substrate. In a still later step (shown in FIG. 13 of U.S. patent application publication 2004/0211052) the silicon handle wafer 4 is removed from the quartz resonators 20 . [0017] The purpose of the first and second bonding metals 8 and 14 is to receive an electrical signal from the probe pads which can bias or drive the resonator 20 with an electric field. The electrical signal is preferably an AC signal. When the electrical signal is received by the first and second electrodes 10 and 16 a stress is placed on the modified quartz substrate 2 a . This stress stimulates the mechanical resonant frequency of the modified quartz substrate 2 a by the piezoelectric effect, thereby causing the modified quartz substrate 2 a to oscillate at its resonant frequency. Additionally, it is also possible to use the first and second electrodes 10 and 16 to sense the movement of the modified quartz substrate 2 a relative to a specified plane (not shown). Once the modified quartz substrate 2 a is oscillating at its resonant frequency, it can be used to drive other electrical components at a frequency equal to its resonant frequency. a 8 and testable. By ablating a portion of the bottom electrode 16 , the resonant frequency of the quartz resonator 20 can be adjusted before final integration with the CMOS substrate. This ablation can be performed with known techniques such as focussed ion beam milling or laser ablation. finally, the wafer is diced for final assembly onto the electronic substrate. [0018] U.S. patent application publication 2004/0211052 addressed direct wafer-to-wafer bonding of quartz devices to electronics and was only applicable to electronics wafers with diameters of about four inches or less. Since there is low demand for large quartz wafers, wafers of crystalline quartz larger than about four to five inches in diameter are not available. In addition, the packaging density of the quartz resonators on the final CMOS wafer will not in general provide for optimal useage of the quartz wafer and can result in wasted quartz. [0019] Present quartz fabrication techniques for oscillators or filters do not allow the resonators to be integrated on-chip with associated electronics. Only individual oscillators can be purchased for hybrid integration with RF circuits for wireless applications. U.S. patent application 2004/0211052 describes a process to integrate the quartz devices on electronic substrates, but only for wafers which are four to five inches in diameter. This limits the large volume production on CMOS wafers since most high voltage CMOS fabrication is performed on larger wafers. Only compound semiconductor processing of Group III-V electronics is currently being manufactured with four inch wafers. Precise wafer-to-wafer bonding can only be performed in current aligners with wafers of similar size. In addition, no solutions for integrating quartz devices or pre-testing and screening individual resonators for optimized yield were known. [0020] As a result, a new process for integrating quartz-based resonators with electronics on a large area wafer is desired in order to solve the aforementioned problems. SUMMARY [0021] The methods disclosed and claimed herein provides for attaching quartz-based devices such as quartz resonators to host electronics wafers of any size. This may be accomplished through direct pick-and-place and flip-chip bonding or handle wafer bonding. [0022] The methods disclosed and claimed herein for integrating quartz-based devices such as quartz resonators to large area electronic wafers have the following advantages: [0023] 1. a fabrication process which allows on-chip integration of quartz-based devices, such as quartz resonators, with electronics made on large area substrates (diameter greater than four inches) through direct pick-and-place and flip-chip bonding or handle wafer bonding; [0024] 2. the ability to pre-test and select known-good-dies from the quartz-based devices wafer and bin together devices of similar performance; and [0025] 3. the ability to integrate quartz-based devices of different characteristics such as frequencies onto the same electronic wafer. This is useful for frequency selection applications. [0026] 4. The ability to reduce the cost of fabrication by optimizing the packaging density of the quartz resonators on the quartz substrate while still allowing for arbitrary placement on the CMOS wafer. [0027] The method leads to the integration of quartz-based devices with electronics wafers or substrates of any size. The quartz-based devices may be resonators of any frequency. [0028] In an aspect of the methods disclosed herein, a method for large scale integration of quartz-based devices with an electronic host wafer is provided comprising the steps of: selecting a plurality of quartz-based devices according to their possession of desired characteristics, wherein each selected quartz-based device is attached to an individual handle wafer; attaching the quartz-based devices to the electronics host wafer to form an array of quartz-based devices on the electronics host wafer; bonding the quartz-based devices to the electronics host wafer; and removing the individual handle wafers from the quartz-based devices. The quartz-based devices may be attached serially to the electronics host wafer using pick-and-place and flip-chip techniques. Alternatively, the quartz-based devices may be attached to a group handle. The group handle may be moved so that the quartz-based devices are in position to be attached to the electronics host wafer. The group handle may be removed afterwards. [0029] In another aspect of the methods disclosed herein, a method for large scale integration of quartz-based resonators with an electronics host wafer is provided comprising the steps of: providing a plurality of quartz-based resonators possessing desired resonant frequencies, each of the resonators having at least one electrode formed on a first surface of the quartz-based resonator and being attached to an individual handle wafer; placing the plurality of quartz-based resonators, each attached to an individual handle wafer, in contact with the electronics host wafer to form a predetermined array of quartz-based resonators on a surface of the electronics host wafer wherein the electrode of each quartz-based electrode is in electrical communication with an electrode disposed on the surface of the electronics host wafer; bonding the plurality of quartz resonators to the electronics host wafer; and removing the individual handle wafers from the plurality of quartz-based resonators. The quartz-based resonators may be attached serially to the electronics host wafer using pick-and-place and flip-chip techniques. Alternatively, the quartz-based resonators may be attached to a group handle. The group handle may be moved so that the quartz-based resonators are in position to be attached to the electronics host wafer. The group handle may be removed afterwards. [0030] In an aspect of the devices disclosed herein, a composite of a plurality of quart-based devices bonded to a surface of an electronics host wafer is provided. [0031] In further aspects of the devices disclosed herein, large scale integrations of quartz-based devices with an electronics host wafer formed by the methods disclosed herein are provided. BRIEF DESCRIPTION OF DRAWINGS [0032] The present invention will be understood and appreciated more fully from the following detailed description taken in conjunction with the drawings in which: [0033] FIG. 1 shows a quartz substrate and a silicon substrate, to be used in accordance with a known process for making a quartz resonator; [0034] FIG. 2 shows the silicon substrate with a cavity used in the known process; [0035] FIG. 3 shows the electrodes and a bond metal formed on the quartz substrate used in the known process; [0036] FIG. 4 shows the quartz substrate bonded to the silicon individual handle wafer in the known process; [0037] FIG. 5 shows the quartz substrate of the known process after it is thinned while being attached to the silicon individual wafer handle; [0038] FIG. 6 shows the patterning and metallizing via holes in the quartz substrate of the known process; [0039] FIG. 7 shows the patterning and metallizing of electrodes and bond metal on the quartz substrate of the known process; [0040] FIG. 8 shows the patterning and etching of the quartz substrate of the known process; [0041] FIG. 9 shows the pick-and-place and flip-chip bonding of quartz-based resonator arrays to a electronic host wafer according to an embodiment of the process of the current invention; [0042] FIG. 10 shows the released quartz-based resonator array on the electronic host wafer after the steps of pick-and-place and flip-chip bonding have taken place as shown in FIG. 9 ; [0043] FIG. 11 shows a temporary silicon group handle wafer having receptacles formed using silicon deep reactive ion etching; [0044] FIG. 12( a ) shows the population of the temporary silicon group handle wafer with quartz-based resonators using a pick-and-place system; [0045] FIG. 12( b ) shows the temporary silicon group handle wafer fully populated with quartz-based resonators; [0046] FIG. 13 shows the assembly of the quartz-based resonator array held by the temporary silicon group handle wafer onto the electronic host wafer using wafer-to-wafer bonding; [0047] FIG. 14 shows the removal of the temporary silicon group handle wafer from the quartz-based resonators, leaving the quartz-based resonators on the electronic host wafer; and [0048] FIG. 15 shows the released quartz-based resonator array on the electronic host wafer. DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS [0049] The present invention will now be described more fully hereinafter with reference to the accompanying drawings, in which preferred embodiments of the invention are shown. This invention may be embodied in many different forms and should not be construed as limited to the embodiments set forth herein. [0050] In a preliminary step quartz-based devices are formed. In this detailed description of embodiments the quartz-based devices are quartz-based resonators 20 that are formed by methods such as by the methods taught in U.S. patent application publication 2004/0211052 and described above in the background section in connection with FIGS. 1-8 . Just as in FIGS. 1-8 , the quartz-based resonators 20 have first electrodes 10 and second electrodes 16 connected by metallized vias 12 to the electronic circuit and are provided with first and second bonding metals 8 and 14 . At this point, the quartz-based resonators 20 are attached to their silicon handle wafers 4 , which will be designated “individual handle wafers 4 ” to distinguish them from the group handle wafer 40 described below. [0051] In a second step (not shown) the resonators 20 are diced and electrically characterized and tuned while attached to their individual handle wafers 4 . Known good dies are sorted and binned according to their resonant frequencies. At this point, the individual quartz-based resonators 20 are ready to be transferred to the large area electronics host wafer 30 . [0052] The next or third step is the attachment of the quartz-based resonators 20 to the electronics host wafer. [0053] In a first embodiment of the method disclosed herein, quartz-based resonators of the desired frequencies are precisely placed and bonded to the electronics host wafer 30 serially to form the resonator array as shown in FIG. 9 . A flip chip bonder such as Datacon's “2200 apm” multi chip die bonder has a pick and place tool 50 that may be used for this purpose. [0054] In the step shown in FIG. 9 , the electronics host wafer 30 is heated to the desired temperature and the metal bond pads 14 of the quartz-based resonators 20 are individually compressed and bonded to electrodes on electronics wafer 30 in the form of the solder bumps 32 using a low temperature thermal compression bond such as Au/In, Au/Sn or Cu/Sn. Alternatively, the die bonder can be used to apply a small amount of conducting epoxy to the metal bond pads or the electronics host wafer and this conducting epoxy forms the electrical contact to the electronics host wafer after curing. [0055] In the first embodiment of the method disclosed herein, once the electronics host wafer 30 is fully populated with the planned number of quartz-based resonators 20 , the individual handle wafers 4 can be removed by a process such as a plasma dry etch to release the quartz-based resonators 20 , as shown in FIG. 10 . [0056] The method disclosed herein has the advantage of being able to build, for example, RF filter arrays with quartz-based resonators 20 of various frequencies that were necessarily fabricated on different wafers. It also enables the assembly of quartz-based resonators 20 of different thickness on the same electronics host wafer 30 . [0057] A second embodiment of the method provides variant steps for the assembly of the quartz resonators onto the electronics host wafer 30 . A temporary group handle wafer 40 , preferably made of silicon, has pre-etched receptacles 45 . The receptacles 45 in the group handle wafer may be made using silicon deep reactive ion etching (DRIE). [0058] The quartz-based resonators 20 are placed into the pre-etched receptacles 45 of the group handle wafer 40 using the pick-and-place tool 50 as shown in FIGS. 12 a and 12 b. [0059] The group handle wafer 40 with the attached quartz-based resonators 20 is aligned with the electronics host wafer 30 . The quartz-based resonators 20 are then bonded to the electronics host wafer 30 using a wafer-to-wafer bond, as shown in FIG. 13 . A bonder (not shown), such as EV Group's “EVG520” Semi-Automated Wafer Bonding System, may be employed for this purpose. [0060] The group handle wafer 40 is then removed, as shown in FIG. 14 , by simply lifting off since no strong bond is formed between the individual handle wafers 4 and the group handle wafer 40 . The group handle wafer 40 is re-usable while the individual handle wafers 4 in FIG. 2 are consumed during final release. [0061] Finally, the individual handle wafers 4 on the individual quartz-based resonators 20 are removed, preferably by dry plasma etching, leaving only the quartz-based resonators 20 attached to the electronics host wafer 30 as shown in FIG. 15 . [0062] The second embodiment has the advantage of bonding all of the quartz-based resonators 20 simultaneously to the electronics host wafer 30 , to improve throughput. It also enables the bonding of the quartz-based resonators 20 in a controlled environment (a wafer bonder) when vacuum or backfilling of a process gas is required for the assembly. The disadvantage is that all the quartz-based resonators 20 , with individual handle wafers 4 attached, must have the same overall thickness, so using well-known mesa design technology would be necessary to define the thickness of an active part of the quartz-based resonator 20 while allowing the bonding regions to have the same or uniform thickness. [0063] While several illustrative embodiments of the invention have been shown and described in the above description, numerous variations and alternative embodiments will occur to those skilled in the art and it should be understood that, within the scope of the appended claims, the invention may be practised otherwise than as specifically described. Such variations and alternative embodiments are contemplated, and can be made, without departing from the scope of the invention as defined in the appended claims.
Methods for integrating quartz-based resonators with electronics on a large area wafer through direct pick-and-place and flip-chip bonding or wafer-to-wafer bonding using handle wafers are described. The resulting combination of quartz-based resonators and large area electronics wafer solves the problem of the quartz-electronics substrate diameter mismatch and enables the integration of arrays of quartz devices of different frequencies with the same electronics.
CROSS-REFERENCE TO RELATED APPLICATIONS This application claims priority from German Patent No. 10 2006 037 754 filed on Aug. 11, 2006, entitled “Verfahren and Vorrichtung zum Explosionsumformen” (Method and Device for Explosive Forming), the disclosure of which is incorporated herein by reference for all purposes. FIELD OF THE INVENTION The invention concerns a method and a device for explosive forming. BACKGROUND OF THE INVENTION During explosive forming, a work piece is arranged in a die and deformed by igniting an explosive, for example, a gas mixture, in the die. The explosive is generally introduced to the die, and also ignited here. Two problems are then posed. On the one hand, the die or ignition mechanism must be suitable for initiating the explosion in targeted fashion and withstanding the high loads that occur during the explosion and, on the other hand, good forming results in the shortest possible setup time must be achieved repeatedly. In a method known from EP 0 830 907 for forming of hollow elements, like cans, a hollow element is inserted into a die and the upper opening of the hollow element closed with a plug. An explosive gas is introduced to the cavity via a line in the plug, which is then ignited via a spark plug arranged in the plug. In a method described in U.S. Pat. No. 3,342,048, a work piece to be deformed is also arranged in the die and filled with an explosive gas mixture. Ignition occurs here by means of mercury fulminate and a heating wire or filament. Both methods are particularly suited for single part production and have not been able to gain acceptance in practice for mass production. SUMMARY OF THE INVENTION The underlying task of the invention is to improve a method and device of the generic type just mentioned, so that an ignition mechanism that is technically easy to handle is formed, permitting the most precise possible ignition of the explosive with time-repeatable accuracy, despite short setup times. This task is solved according to the invention with the method with the features of Claim 1 . By ignition by means of induction, the explosion can be readily controlled in the die. A voltage and the corresponding heat can be induced technically simply and relatively precisely in a desired ignition site. Depending on the flow density, ignition of the explosive can also be controlled in time relatively well and precisely. By varying the flow density, the induced voltage and therefore the forming heat can be adjusted well technically. These factors permit good predictability and reproduction accuracy of the forming result. In one variant of the invention, an induction element can be cooled at least temporarily. Because of this, heat development in the induction element and therefore the ignition can be controlled more precisely. In addition, overheating of the induction element can be avoided. Advantageously, cooling can occur between subsequent ignitions. The cooling phase of the induction element can be accelerated on this account. It is therefore ready to be used again more quickly. Cycle times can thus be shortened. In another embodiment of the invention, the explosive can be ignited at several ignition sites of a die. For example, several detonation fronts can thus be produced within a die. Depending on the site at which the explosive is situated within the die, and the site at which it is ignited, the course of the detonation fronts can then be adjusted to the requirements of the forming process. The explosive can advantageously be ignited at at least one ignition site of several dies each. Thus, several forming processes can occur simultaneously, increasing the efficiency of the process and the corresponding device. In one variant of the invention, the explosive can be simultaneously ignited at several ignition sites. If simultaneous ignition occurs at several sites of an individual die, several detonation fronts can be produced within a die. If simultaneous ignition, on the other hand, occurs in several dies, the efficiency of the device can be increased. In an advantageous embodiment of the invention, the explosive can be ignited at several ignition sites with time offset. If time-offset ignition occurs in an individual die of the device, several detonation fronts can be produced within the die on this account. The time offset then permits adjustment of the time response of the individual detonation fronts within the die. If time offset ignition occurs in different dies of the device, for example, all the dies of the device can be ignited in succession. This helps to shorten the cycle times when the parallel forming processes overlap in time. In principle, any combinations of simultaneous and time offset ignition are possible in one and/or several dies of the device. The method can be readily adapted to different production requirements. The basic idea of controlling propagation of the detonation fronts via time-variable ignition at one or more sites of the die and thus influencing the forming result would also be attainable independently of the type of ignition, whether with induction or otherwise. The task is further solved by the features of Claim 8 . By ignition with at least one induction element, the explosion can be controlled in the die, both locally and in time. The induction element is technically easy to implement and permits control of the induced voltage and therefore the produced heat via the flux density. This permits a good forming result with simultaneously good predictability and reproduction accuracy of the results. In another variant of the invention, the induction element can be arranged in a wall of the die. This permits a compact design and is easy to achieve technically. Advantageously, the induction element can have at least one ignition device arranged in an explosion chamber of the die, in which a voltage can be induced. The ignition device can be adjusted well to its task, namely, induction and ignition. In one variant of the invention, the ignition device can contain tungsten and/or copper. Because of this, good inductance of the ignition device and good stability relative to the explosion forces can be achieved. In an advantageous embodiment of the invention, the ignition device can be arranged extending into the explosion chamber at least in areas. The voltage and the heat required for ignition can thus be directly induced in the explosion chamber. The ignition device can advantageously be arranged in annular fashion around an explosion chamber of the die. A type of ignition ring can be formed in the explosion chamber. In another embodiment of the invention, the ignition device can be arranged flush with the wall of the explosion chamber. The ignition device can be integrated well in the die with in a space-saving way. By flush arrangement, the explosion forces acting on the ignition device can also be kept low. Advantageously, the inside diameter of the ignition device can correspond approximately to the inside diameter of the explosion chamber. Thus, the ignition device can be integrated well in the explosion chamber. In one variant of the invention, the inside diameter of the ignition device can be about 20 to 40 mm, preferably about 25 to 35 mm, and especially about 30 mm. This has proven advantageous, in practice, and guarantees good forming results. In an advantageous embodiment of the invention, the induction element can have at least one coil arrangement to induce a voltage in an ignition device, which is arranged outside the explosion chamber of the die. The coil is thus readily accessible from the outside and protected from the explosion. Advantageously, the coil arrangement can be arranged on an area of the ignition finger lying outside the die. This permits simple assembly, for example, by simple pushing of the coil arrangement onto the ignition finger. In another embodiment of the invention, the coil arrangement can be arranged approximately in annular fashion around an explosion chamber of the die. By radial arrangement of the coil, the voltage and therefore the heat can be directly induced in the explosion chamber. In one variant of the invention, the induction element can have an insulator that insulates the ignition device relative to the die. The die therefore remains voltage-free. Advantageously, the induction element can have an insulator that insulates the coil arrangement relative to the die. The die is thus protected from voltage and heat induction. In an advantageous embodiment of the invention, the induction element can have a cooling device to cool the ignition device and/or the coil arrangement. Because of this, the induction element is protected from overheating. In addition, the cooling times of the induction element can be reduced. In one variant of the invention, the cooling device can have water as coolant. This is an advantageous and readily available coolant. The cooling device could advantageously have nitrogen as coolant. This guarantees good cooling performance. In a further embodiment of the invention, the induction element can be arranged with at least one seal in the die, which seals the explosion space relative to the surroundings. The surroundings can thus be protected from the direct effects of the explosion, like an abrupt pressure and temperature increase, and also from the explosion products, for example, exhaust gases. The seal advantageously can contain copper. Copper, especially copper-beryllium alloys, have proven to be advantageous in practice, since they offer good sealing properties with simultaneously good stability. In an advantageous embodiment of the invention, the induction element can contain at least one heating point. The induction heat can thus be concentrated on a point from which the explosion is to proceed. This helps to control the explosion with local precision. In a variant of the invention, the heating point can extend into the explosion chamber. This layout of the heating point permits a greater heating and ignition surface. The heating point can advantageously be arranged approximately flush with a wall of the explosion chamber. Loads acting on the heating point during the explosion can thus be kept low. BRIEF DESCRIPTION OF THE DRAWINGS Embodiments of the invention are described below with reference to the accompanying drawing. In the drawing: FIG. 1 shows a perspective view of a device for explosive forming according to a first embodiment of the invention, FIG. 2 shows a section II-II through the die of the device from FIG. 1 in the area of the induction element, FIG. 3 shows a section through the induction element according to a second embodiment of the invention, FIG. 4 shows a section through the induction element according to a third embodiment of the invention, and FIG. 5 shows a schematic view of a device with several dies according to a device with several dies according to a fourth embodiment of the invention. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS FIG. 1 shows a perspective view of a device for explosive forming according to a first embodiment of the invention. The device 1 has a multipart die 2 with a forming device 3 and an ignition tube 4 . The forming device 3 has a cavity 42 corresponding to the later work piece shape, which is indicated here with a dash-dot line. A work piece 5 , indicated by a dotted line, is arranged in cavity 42 . The ignition tube 4 is made from a poorly heat-conducting material or only moderately heat-conducting material, like 1.4301 steel, and has an explosion chamber 6 in its interior. In the assembled state of the multipart die 2 shown here, the explosion chamber 6 is connected to cavity 42 in the forming device 3 . The explosion chamber 6 of the ignition tube 4 can be filled with an explosive 8 via a connection 7 . In this embodiment of the invention, the explosive 8 is an explosive gas mixture, namely, oxyhydrogen gas. As an alternative, depending on the application, any different explosives, also fluids or solids, can also be used. The connection 7 is then designed accordingly. An induction element 10 is arranged in the wall 9 of ignition tube 4 . This functions as ignition mechanism for explosive 8 . It has an ignition device 11 and a coil arrangement 12 . In this embodiment of the invention, the ignition device 11 is made from an alloy containing tungsten and copper and designed as an ignition finger 13 . It extends through wall 9 of ignition tube 4 into explosion chamber 6 . As an alternative, the ignition device 11 can also consist of a material that contains only one of the two elements copper or tungsten. In principle, inductively heatable materials that are preferably hydrogen-resistant and ignition-free are suitable for ignition device 11 . The coil arrangement 12 is arranged here outside the die, on the ignition finger 13 . FIG. 2 shows the layout of the induction element 10 more precisely. In this embodiment of the invention, the die 2 has only one ignition tube 4 . As an alternative, however, it could also have several ignition tubes, for example, an additional ignition tube 4 ′, as shown here with a dashed line. The additional ignition tube 4 ′ corresponds in design to the first ignition tube 4 . However, as an alternative, it could also deviate from this, for example, in which the induction element 10 ′ is arranged on another location of ignition tube 4 ′, or in which the induction element 10 ′ is designed differently, for example, according to FIG. 3 . In another embodiment of the invention, several induction elements can also be provided on one ignition tube. FIG. 2 shows a section II-II through the induction element 10 of device 1 from FIG. 1 . The reference numbers used in FIG. 2 denote the same parts as in FIG. 1 , so that the description of FIG. 1 is referred to in this respect. The ignition device 11 of induction element 10 is designed approximately bar-like as an ignition finger 13 and is arranged to extend, at least in areas, into explosion space 6 . The ignition finger 13 is formed approximately mushroom-shaped on its end 14 facing explosion chamber 6 . Ignition finger 13 is arranged shape-mated and/or force-fit in wall 9 via a shoulder 15 . Induction element 10 also has an electric insulator 19 , which insulates the ignition finger 13 relative to ignition tube 4 of die 2 . In this case, the insulator 19 is arranged between ignition finger 13 and wall 9 and simultaneously formed as a heat insulator. The coil arrangement 12 in this variant is arranged approximately in annular fashion around an area 16 of ignition finger 13 lying outside of die 2 and wall 9 . A voltage can be induced in ignition finger 13 via coil arrangement 12 . The field strength of the coil can be adjusted by the number of windings 22 . Between coil arrangement 12 and die 2 and wall 9 , the induction element 10 also has an electric insulator 17 , which insulates the coil arrangement 12 relative to die 2 . This insulator can also simultaneously be designed as a heat insulator. In another embodiment of the invention, the insulators 17 , 19 could also be designed in one piece. The coil arrangement 12 is tightened force-fit against shoulder 15 of ignition finger 13 by means of a nut 18 . The induction element is therefore fastened force-fit and/or shape-mated in ignition tube 4 . The induction element 10 is arranged in wall 9 with a seal 20 . This seals the explosion chamber 6 in the interior of ignition tube 4 relative to the surroundings. The seal 20 contains copper and is made, in this embodiment, from a copper-beryllium alloy. It is arranged here between insulator 19 and wall 9 and seals this interface gas-tight. The interface between ignition finger 13 and insulator 19 has a press-fit and is also gas-tight. The induction element 10 in this embodiment of the invention also has a cooling device 43 . The cooling device 43 can be supplied a coolant via a cooling line 44 . Depending on the application, different coolants, like water or nitrogen, can be used for this purpose. Coolant mixtures or fluids with a coolant additive are also possible. FIG. 3 shows a section through an induction element 10 according to a second embodiment of the invention. The reference numbers used in FIG. 3 refer to the same parts as in FIGS. 1 and 2 , so that the description of FIGS. 1 and 2 is referred to in this respect. The induction element 10 is arranged here approximately in annular fashion around explosion chamber 6 . It also has an ignition device 11 in this embodiment, a coil arrangement 12 , as well as insulators 21 . The induction element 10 is also arranged here with a seal 20 in die 2 and wall 9 of ignition tube 4 , which seals the explosion chamber 6 relative to the surroundings. The ignition device 11 in this embodiment of the invention is designed approximately in the form of a sleeve and arranged in annular fashion around explosion chamber 6 . The longitudinal axis 23 of ignition device 11 then coincides approximately with the longitudinal axis 24 of explosion chamber 6 . The internal surface 25 of ignition device 11 facing explosion chamber 6 is approximately flush with wall 9 , which limits the explosion chamber 6 . This means the inside diameter 26 of ignition device 11 approximately corresponds to the inside diameter 27 of explosion chamber 6 . The inside diameter 26 is 30 mm here. This diameter has proven to be advantageous, in practice. As an alternative, the inside diameter 26 can lie in the range from 20 to 40 mm, and especially in the range from 25 to 35 mm. Here again, the ignition device 11 is made from an alloy containing tungsten and/or copper. The coil arrangement 12 also surrounds the explosion chamber 6 in annular fashion. It is arranged approximately concentric to the explosion chamber 6 and ignition device 11 . The ignition device 11 and the coil arrangement 12 are electrically insulated by means of at least one electric insulator relative to wall 9 . In this embodiment of the invention, two insulators 21 are provided. They are each arranged between wall 9 and ignition device 11 and coil arrangement 12 . This means the ignition device 11 and the coil arrangement 12 are situated between the two insulators 21 . The interfaces between ignition device 11 and insulators 21 each have a seal 37 that seals the explosion space 6 relative to the surroundings. This seal is also made from a copper-beryllium alloy. As an alternative, other copper-containing materials are considered for this. The entire induction element 10 is arranged in wall 9 in similar fashion to the first embodiment with a copper-beryllium seal 20 , which seals the explosion chamber 6 relative to the surroundings. The seal 20 here is formed in two parts. The sealing parts are provided between an insulator 21 and wall 9 . FIG. 4 shows a section through an induction element according to a third embodiment of the invention. The reference numbers used in FIG. 4 refer to the same parts as in FIGS. 1 to 3 , so that FIGS. 1 to 3 are referred to in this respect. The induction element 10 in FIG. 4 is also arranged in wall 9 of ignition tube 4 via a copper-beryllium seal 20 . The ignition device 11 is designed here with relatively small dimensions as a heating point 28 . The heating point 28 in this embodiment has an approximately round, disk-like shape with relatively small diameter. However, it need not necessarily have this shape. In other embodiments of the invention, the heating point 28 can also be angled, oval or of any other shape. The inner surface 25 of ignition device 11 and the heating point 28 facing the explosion chamber also runs in this embodiment approximately flush with wall 9 . As an alternative, the heating point 28 could also extend, at least on areas, into explosion chamber 6 . For example, the inner surface 25 is designed in an arched manner, as indicated by the dotted line. The coil arrangement 12 is connected after the heating point 28 . It is situated on the side 29 of heating point 28 facing away from the explosion chamber 6 . In this embodiment of the invention, the coil arrangement 12 is arranged approximately concentric to heating point 28 . The coil arrangement 12 is supplied with energy via line 30 . The coil arrangement 12 and the heating point 28 are surrounded by an insulating layer 31 that electrically insulates the heating point 28 and coil arrangement 12 relative to die 2 . In addition, the induction element 10 in this embodiment of the invention has a receiving element 32 arranged in the wall 9 of ignition tube 4 . The arrangement described above, of a heating point 28 , coil arrangement 12 and insulating layer 31 , is arranged in the receiving element 32 . The receiving element 32 has at least one conical surface 34 on its end 33 facing explosion chamber 6 , which lies against at least one corresponding, conically-shaped surface 35 in wall 9 of ignition tube 4 . The conical surface 34 increases the periphery of the receiving element 32 in this area. The interface between the conical surfaces 34 , 35 is sealed with the copper-beryllium seal 20 , with which the induction element 10 is arranged in wall 9 . The two conical surfaces 34 , 35 form a type of conical seat. In one variant of the invention, the receiving element 32 can also function as a valve element. For this purpose, the receiving or valve element 32 is arranged movable in wall 9 along its longitudinal axis 45 . By axial movement of receiving element 32 in the direction of explosion chamber 6 , a valve, consisting of the two conical surfaces 34 , 35 , can be opened, among other things. Via this path, for example, the explosive 8 or any other material required for the forming process can be introduced into the explosion chamber 6 and therefore into die 2 . The surface 33 of receiving element 32 facing explosion chamber 6 is arranged approximately flush with wall 9 and the inner surface 25 of heating point 28 . Although the device 1 has been described thus far by means of one die, the device 1 can also have several dies. FIG. 5 shows a schematic view of a device 1 with several dies 2 a to 2 d . The reference numbers used in FIG. 5 denote the same parts as in FIGS. 1 to 4 , so that the description of FIGS. 1 to 4 is referred to in this respect. Dies 2 a to 2 d of device 1 correspond in their design to the die 2 shown in FIG. 1 , and the induction elements 10 a to 10 d correspond in their design to the induction element 10 shown in FIG. 2 . FIG. 5 shows a possible arrangement of dies 2 a to 2 d . These are positioned here, so that the induction elements 10 a to 10 d point to a central area enclosed by dies 2 a to 2 d . Lines 30 here are connected to a central power supply 36 . Resources, like space, electrical and other connections, etc., that are available can be readily utilized. The indicated cooling lines 44 can also be supplied centrally. Other variants of the invention can also have a different number of dies in a user-defined arrangement adapted to the corresponding production requirements. In particular, one or more dies can also have several induction devices. The induction devices 10 , as shown with the dashed line in FIG. 1 , can be arranged on different ignition tubes 4 , 4 ′ or on an individual ignition tube 4 . The method of function of the variants depicted in FIGS. 1 to 5 is described below. The work piece 5 is arranged in the cavity 42 of forming device 3 . The die 2 is then brought into the closed state depicted in FIG. 1 . For explosive forming of work piece 5 in die 2 , the die 2 is initially filled with explosive 8 . This can occur via the connection 7 shown in FIG. 1 , through which, in this case, oxyhydrogen gas is introduced to the explosive chamber 6 of ignition tube 4 . In other embodiments of the invention, for example, in the third embodiment depicted in FIG. 4 , filling of the die 2 with explosive 8 can also occur via induction element 10 . For this purpose, the receiving element 32 designed as a valve element is moved in the direction of explosion chamber 6 . The conical surface 34 is separated from the conical surface 35 and seal 20 on this account. Through the resulting opening, the explosive 8 can be introduced to explosion chamber 6 . If the die 2 is filled with a predetermined amount of explosive 8 , the connection 7 in FIG. 1 is closed and the surfaces 34 and 35 in FIG. 4 are brought into contact and the explosion chamber 6 is closed gas-tight. To ignite the explosive 8 in explosion chamber 6 , a voltage is generated in ignition device 11 via coil arrangement 12 . For this purpose, the coil arrangement 12 is supplied with current via electric line 30 . The voltage induced in ignition device 11 leads to heating of ignition device 11 . When a certain temperature is reached, the explosive 8 or the oxyhydrogen gas ignites in the explosion chamber 6 and explodes. During explosion of explosive 8 , a relatively large pressure change is produced within a short time, which exerts relatively large forces on ignition tube 4 and induction element 10 , as well as a relatively large temperature increase. The interface of induction element 10 with ignition tube 4 is also sealed by seal 20 during this abrupt dynamic loading. The interfaces between the individual components of induction element 10 are also sealed gas-tight. The interfaces of ignition device 11 with insulator 19 in FIG. 1 , like the interfaces of ignition device 11 and the coil arrangement 12 with insulating layer 31 , as well as insulating layer 31 with the receiving element 32 in FIG. 4 , are sealed by press-fitting. As an alternative, the individual components can also be connected gas-tight to each other, for example, by thread, gluing, welding or a similar means. The interfaces of the ignition element 2 with insulators 21 in FIG. 2 are sealed by seals 37 . This guarantees, on the one hand, good pressure buildup in ignition tube 4 , and, on the other hand, protects the surroundings outside of die 2 from the direct effects of the explosion, like pressure and temperature changes, as well as from possible harmful explosion products, like exhaust gases. By detonation, depending on the design of ignition tube 4 and ignition device 11 , one or more detonation fronts 38 are formed. The detonation front 38 propagates, in principle, starting from an ignition site 39 , spherically. If ignition occurs point-like in wall 9 , as shown in FIGS. 2 and 4 , this means that part 40 of the detonation front 38 moves in the direction of work piece 5 , starting from ignition site 39 . Another part 41 of the detonation front 38 , on the other hand, moves away from work piece 5 , as shown in FIG. 2 . Propagation and the course of the detonation fronts can be determined by the formation and position of the ignition device 11 in the die 2 and ignition tube 4 . If the ignition tube 5 is designed so that the second part 41 of the detonation front 38 is reflected when it reaches the end of ignition tube 4 , two detonation fronts 40 , 41 , for example, can be produced, which move over the work piece 5 with a time offset. Time offsetting of the two detonation fronts 40 , 41 can be controlled by the position of ignition device 11 and the shape of ignition tube 4 . If, on the other hand, the die 2 has several induction devices 10 and therefore ignition devices 11 , as indicated with the dashed line in FIG. 1 , ignition of the explosive 8 can occur at several sites of die 2 . For this purpose, all induction elements 10 can be supplied with currents simultaneously or with a time offset. For example, several detonation fronts can be generated within a die 2 . In the embodiment depicted in FIG. 1 with the additional ignition tube 4 ′, shown with a dashed line, two detonation fronts can be generated, for example, which move toward one another and meet at a predetermined site in die 2 . The forming result can thus be influenced. Through the explosion, the work piece 5 is pressed into cavity 42 of the forming device 3 of die 2 and deformed. The explosion products, for example, exhaust gases, can then be discharged via connection 7 or via a receiving element 32 designed as a valve element, or via a separate connection from the explosion chamber 6 . Between the individual ignition processes, the induction element 10 can be cooled by cooling device 43 . For this purpose, a coolant is passed through cooling line 44 into cooling device 43 . Cooling can occur, for example, directly after ignition of the explosive 8 . Because of this, the cooling time of the induction device 10 can be shortened and it can be ready for use again more quickly. The time, within which two subsequent ignitions are possible, can thus be shortened. Depending on the embodiment of the invention, the ignition device 11 and possibly the coil arrangement 12 are then cooled.
With the invention, a method and device for explosive forming of work pieces, in which at least one work piece is arranged in at least one die and deformed by means of an explosive to be ignited, is to be improved, in that an ignition mechanism that is technically simple to handle, is produced with the shortest possible setup time, which permits the most precise possible ignition of the explosive with time-repeatable accuracy. This task is solved by a method and device, in which at least one work piece is arranged in at least one die and deformed by means of an explosive to be ignited, in which the explosive is ignited by means of induction.
CROSS-REFERENCE TO RELATED APPLICATIONS [0001] This application is a continuation of U.S. patent application Ser. No. 11/276,524 filed Mar. 3, 2006, which is a continuation-in-part to U.S. patent application Ser. No. 11/164,005 filed Nov. 7, 2005, both incorporated by reference herein. BACKGROUND OF THE INVENTION [0002] The present invention relates generally to a catalytic device for reducing the pollution content of an exhaust gas. [0003] Exhaust systems perform several functions for a modern engine. For example, the exhaust system is expected to manage heat, reduce pollutants, control noise, and sometimes filter particulate matter. Generally, these individual functions are performed by separate and distinct components. Take, for example, the exhaust system of a typical gasoline engine. The engine exhaust system may use a set of heat exchangers or external baffles to capture and dissipate heat. A separate muffler may be coupled to the exhaust outlet to control noise, while a catalytic converter assembly may be placed in the exhaust path to reduce non-particulate pollutants. Although today particulates are not generally the pollutants focused upon in the gasoline engine, it is likely that more restrictive regulations may soon apply. [0004] An exhaust system for a modern gasoline engine is nearly universally required to remove or eliminate some of the non-particulate pollutants from the exhaust gas stream, and therefore might employ a known emissions control device, such as three-way catalytic converter. Such a three-way converter uses chemical oxidation and reduction processes to remove non-particulate pollutants from the exhaust gas stream. The known catalytic (or metal) converter holds a catalytic material that, when sufficiently heated, reacts with exhaust gases to lower the chemical potential to react non-particulate pollutants into non-pollutants. More particularly, the known converter uses a flow-through design where exhaust gases enter one end of the converter, flow through open parallel channels, come into contact with a catalyst for converting some of the pollutants in the exhaust gas stream into non-pollutants before ultimately flowing out into the atmosphere. As the exhaust gas flows through the channels, laminar flows are created which cause the exhaust gases to flow down the channel and, due to concentration gradient and mass-transfer effects, come into contact with the catalyst residing on the channel walls. The channel walls have the catalytic material disposed on their surfaces, and as the hot exhaust gas contacts the channel walls, the walls are heated to elevate the catalytic material to the a threshold temperature above which the catalyzed reactions readily occur. This is colloquially known as the ‘light-off’ temperature. Likewise, the time it takes for the light-off temperature to be reached is known as the ‘light-off’ period. Then, as the exhaust gas continues to flow, the catalytic material interacts with the pollutants in the exhaust gas to facilitate the conversion thereof into non-polluting emissions. About 50% of the pollution generated from and emitted by modem engines equipped with catalytic converters occurs during this light-off period when the converter is essentially non-operational. In certain vehicle applications, such as stop and go traffic and short trips in cities, the overall usefulness of the catalytic converter to reduce pollution is mitigated since the converter spends a significant amount of time at temperature below catalyst light-off or relating to low conversion efficiencies. [0005] The action of moving the exhaust gas through open channels and transporting the pollutants to the channel walls occurs via a gaseous diffusion mechanism. Once the catalyst has reached its activation temperature, the reaction rate is dependant on the rate of mass transfer from the bulk of the gas stream (center of the laminar gas flow) to the walls. As the catalyzed pollutant-eliminating reactions occur at the wall-gas interface (where the catalyst is typically located), a concentration gradient of pollutants is generated in the exhaust gas stream. A boundary layer develops and, being the slowest process under such conditions, mass-transfer principles dictate the overall rate of the reaction. Since bulk diffusion is a relatively slow process, the number of open channels is typically increased to compensate, and increase the overall reaction rate. The effect is essentially to reduce the distance that the gas molecules have to travel to diffuse from the bulk into the boundary layer. Additionally, the relatively limiting bulk diffusion step may be compensated for by making the converter in a honeycomb design or by otherwise increasing the effective catalytic surface area. By simultaneously reducing the size of the open channels and increasing the number of channels, the bulk diffusion rate may effectively be increased and the efficiency of the converter improved. However, making such a “closed-cell” honeycomb design results in a decrease in the thickness, and thus the strength, of the cell walls and an increase in the backpressure to the engine. Thus, the converter is made more fragile while the fuel economy of the vehicle is simultaneously decreased. Accordingly, there are practical limits on the minimum size of the open channels that restrict the ability to significantly improve the bulk transfer rate of traditional monolithic honeycomb converters past a certain point. [0006] Thus, due to the inefficiency of the bulk transfer process the converter is typically made quite large and is therefore heavy, bulky and relatively slow to heat to the threshold catalytic operating temperature. Typically, several catalytic converters may be arranged in a sequential order to improve overall emission control. [0007] Known three-way gasoline catalytic converters do not filter particulate matter. Recent studies have shown that particulates from a gasoline ICE (internal combustion engine) may be both dangerous to health and generated at quantities roughly equal to post-DPF (diesel particulate filter) PM (particulate matter) emission levels. As PM emissions standards are tightened, both diesel and gasoline engines will have to be further modified to reduce PM emissions. Some European agencies are already considering the regulation of gasoline PM emissions. [0008] Most, if not all, catalytic systems do not efficiently or effectively operate until a threshold operational temperature is reached. During this “light-off” period, substantial amounts of particulate and non-particulate pollution are emitted into the atmosphere. Accordingly, it is often desirable to place a catalytic device as close as possible to the engine manifold, where exhaust gasses are hottest and thus light-off time is shortest. In this way, the catalyst may more quickly extract sufficient heat from the engine exhaust gasses to reach its operational temperature. However, materials, design and/or safety constraints may limit placement of the catalytic converter to a position spaced away from the manifold. When the catalytic converters are spaced away from the manifold, light off time is increased, and additional pollutants are thus exhausted into the atmosphere. [0009] The most popular design for catalytic converters is currently the monolithic honeycomb wherein the monolithic material is cordierite and silicon carbide. In order to be increasingly effective, the cell density of the cordierite monolithic honeycomb design has been increased by making the individual channel walls thinner and increasing the number of channels per unit area. However, the strength of the walls (and, thus, the monolithic converter) decreases with decreasing wall thickness while the backpressure increases (and engine efficiency and mileage correspondingly decreases) with increasing cell density; thus, a practical limit for increasing converter efficiency exists and is defined by a minimal monolith strength and a maximum allowable backpressure provided by the unit. Another approach to addressing increasingly stringent emission standards is to utilize known three-way gasoline catalytic converters arranged in multiple stages to obtain reasonable emission control of multiple pollutants. However, this approach also adds to cost, weight, fuel penalty and engineering complexity. Thus, in an increasingly stringent emissions regulatory environment, there is a need to find an effective way to reduce harmful emissions from a typical ICE. [0010] Thus, air pollution standards, particularly in regard to vehicle exhaust gasses, are coming under increased pressure from governments and environmental organizations. The consequence of continued emissions is well recognized, and additional regulations are being added while existing regulations are being more aggressively enforced. However, reduced emissions and more stringent emission regulations may have a short-term negative impact on the overall economy, as additional monies must be spent to meet higher standards. Indeed, governments have been relatively slow to adapt tighter regulations, citing competitive and economic consequences. Accordingly, a more cost effective and effective catalytic device may ease the transition to a cleaner world, without substantial detrimental economic effects. In particular, it would be desirable to provide a cost effective catalytic device for removing both particulate pollutant matter and non-particulate pollutants from an exhaust stream that is capable of easy installation on vehicles, small engines, and in industrial exhaust stacks. It would also be desirable for such a device to be able to catalyze chemically important reactions that may not be considered as pollution control, such as chemical synthesis, bioreactor reactions, gas synthesis etc. The present invention addresses this need. BRIEF SUMMARY OF THE INVENTION [0011] Briefly, the present invention provides an internal combustion engine exhaust system for catalytically converting carbon monoxide, nitrous oxide, and hydrocarbon pollutant species into non-pollutant species (such as carbon dioxide, molecular nitrogen, and water) and for trapping particulate matter. In general the device is capable of separating condensed material from a fluid stream and at the same time supporting reactive agents (such as membranes, polymers, hydrophobic materials, hydrophilic materials, catalysts, etc) that can enhance reaction rates of constituents in the fluid stream. The engine system includes an internal combustion engine exhaust (such as from a gasoline, diesel or other fuel engine), a catalytic converter having a housing, an inlet port formed in the housing and fluidically connected to the engine exhaust and an outlet port formed in the housing and fluidically connected to the atmosphere. The catalytic converter also includes a plurality of inlet channels in the housing, a plurality of outlet channels arranged adjacent to the inlet channels, a plurality of substantially fibrous non-woven porous walls separating the inlet channels from the outlet channels, and typically a waschoat disposed on the porous walls, a first reactive agent or catalyst material disposed on the porous walls, and a second reactive agent or catalyst material disposed on the porous walls. [0012] In a more specific example, the catalytic device itself is constructed as a having a plurality of inlet channels and outlet channels arranged in an alternating pattern, a substantially fibrous non-woven porous wall between respective adjacent inlet and outlet channels, a surface area enhancing washcoat with stabilizers and additives disposed on the fibers constituting the substantially fibrous non-woven porous walls, a catalyst portion disposed on the substantially fibrous non-woven porous walls, an inlet port coupled to the inlet channels, an outlet port coupled to the outlet channels, an inlet block in at least some of the inlet channels, each inlet block positioned in respective inlet channels and between the inlet port and the outlet port, and an outlet block in at least some of the outlet channels, each outlet block positioned in respective outlet channels and between the inlet port and the outlet port. [0013] In another specific example, the catalytic device is constructed as a monolithic nonwoven substantially fibrous block having an inlet end and an outlet end. The inlet channels and outlet channels are arranged in an alternating pattern in the block with a porous wall positioned between adjacent inlet and outlet channels. The inlet and outlet channels may run parallel to each other, perpendicular to each other or in some other configuration. A catalyst is disposed on the porous walls, such that the walls of the pores inside the porous wall contain catalyst for reaction with gases and solid particulates, and an inlet block is included in each respective inlet channel and positioned at the outlet end while an outlet block is included in each respective outlet channel and positioned at the inlet end. The blocks force the fluid stream through the substantially fibrous non-woven porous refractory material. [0014] Advantageously, the catalytic device provides a method for removing particulate matter and carbon monoxide, nitrous oxide, and hydrocarbon pollutants and for trapping particulate matter from the exhaust stream of an engine. This is done by directing an exhaust gas stream from an engine through a substantially fibrous nonwoven filter, catalyzing the conversion of hydrocarbon pollutants into carbon dioxide and water, catalyzing the conversion of carbon monoxide into carbon dioxide, catalyzing the conversion of nitrogen oxide into molecular nitrogen gas, and extracting particulate matter from the exhaust gas stream via filtration. The particulate matter may later be burnt off during regeneration process in the presence or absence of catalysts, heaters and other devices. [0015] These and other features of the present invention will become apparent from a reading of the following description, and may be realized by means of the instrumentalities and combinations particularly pointed out in the appended claims. BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWING [0016] The drawings constitute a part of this specification and include exemplary embodiments of the invention, which may be embodied in various forms. It is to be understood that in some instances various aspects of the invention may be shown exaggerated or enlarged to facilitate an understanding of the invention. [0017] FIG. 1 is a diagram of a catalytic device in accordance with the present invention. [0018] FIG. 2 is a diagram of a catalytic device in accordance with the present invention. [0019] FIG. 3 is a diagram of a catalytic device in accordance with the present invention. [0020] FIGS. 4A, 4B , 4 C, and 4 D are charts showing light-off time reductions due to use of a catalytic device in accordance with the present invention. [0021] FIG. 5A is an end view of a catalytic device having a monolithic substrate in accordance with the present invention. [0022] FIG. 5B is an enlarged partial end view of FIG. 5A . [0023] FIG. 5C is a cross sectional mid view of the catalytic device shown in FIG. 5A . [0024] FIG. 5D is an elongated cut-away view of adjacent channels of the catalytic device shown in FIG. 5A . [0025] FIG. 5E is a plan cut-away view of adjacent channels of the catalytic device shown in FIG. 5A . [0026] FIG. 5F is a schematic illustration of the device of FIG. 5A as positioned in an exhaust stream flowing from a gasoline engine to the atmosphere. [0027] FIGS. 6A and 6B is a diagram of a catalytic exhaust system in accordance with the present invention. [0028] FIGS. 7A and 7B represent a diagram of a replacement catalytic device in accordance with the present invention. [0029] FIG. 8 is a diagram of a cross-flow catalytic device in accordance with the present invention. [0030] FIG. 9 is a diagram of a catalytic device in accordance with the present invention. [0031] FIG. 10 is a diagram of a catalytic device in accordance with the present invention. [0032] FIG. 11 is a cross sectional diagram of channels for a catalytic device in accordance with the present invention. DETAILED DESCRIPTION OF THE INVENTION [0033] Detailed descriptions of examples of the invention are provided herein. It is to be understood, however, that the present invention may be exemplified in various forms. Therefore, the specific details disclosed herein are not to be interpreted as limiting, but rather as a representative basis for teaching one skilled in the art how to employ the present invention in virtually any detailed system, structure, or manner. [0034] The drawing figures herein illustrate and refer to an exhaust system pathway that is specifically described as a component of an internal combustion engine exhaust system. However, it should be appreciated that the exhaust pathway may be used with other types of exhaust systems. For example, the exhaust system pathway may be used in petrochemical, air-filtration, hot-gas filtration, chemical synthesis, biomedical, chemical processing, painting shops, laundromat, industrial exhaust, generation plant, or commercial kitchen exhaust applications. [0035] Generally, a catalytic converting device consists of a host or a structural substrate support, and a catalyst that at least partially coats the support. Often the catalyst components reside on a washcoat that includes surface area enhancers, surface modifiers, stabilizers and oxygen storage components. A catalytic device contains the appropriate type and mass of support and catalyst so that it can fulfill a precise catalytic function under the desired operating conditions and environment. For example, the catalytic device may facilitate a chemical conversion, such as that of a first gaseous species into a second gaseous species, a first liquid species into another liquid species, a liquid species into a gaseous species, or the like. Typically, the chemical conversion reaction or set of reactions are deliberate and well-defined in the context of a particular application, e.g. simultaneous conversion of NOx, HC, and CO into N2, H2O, and CO2, the conversion of MTBE to CO2 and steam, the conversion of soot to CO2 and steam, and the like. [0036] FIG. 1 shows a 4-way catalytic conversion device 10 capable of facilitating multiple catalyzed reactions as well as capable of filtering particulate or condensed matter from a fluid stream. Catalytic device 10 has housing 12 that has inlet port 14 and outlet port 16 . For convenience, catalytic device 10 will be described in connection with a gasoline internal combustion engine, but it will be appreciated that it may be used in other types of engines and in industrial, commercial, or residential exhaust applications. Catalytic device 10 features a wall 25 in housing 12 . Wall 25 is typically porous, and more typically has a layer of catalytic material 26 disposed on its surface. The positioning of wall 25 arranges inlet channel 19 adjacent to outlet channel 21 . When exhaust gas (i.e., a gas having a relatively high pollutant content) from an exhaust gas source (i.e., a gasoline engine or the like) enters inlet port 14 , the gas is received in inlet channel 19 , and at least some of the gas is moved through porous wall 25 . The exhaust gas is typically a product of gasoline combustion and as such is typically relatively hot. In other cases, the gas could be heated externally to bring the catalysts to operating temperatures. The exhaust gas thus first heats porous wall 25 sufficiently to activate the catalyst 26 , and, after the activation temperature has been reached, pollutants in the exhaust gas are then catalytically reacted upon contact with the catalyst layer 26 . More particularly, the non-particulate gases interact with the catalyst 26 via a pore diffusion 30 mechanism arising from the flow of gas through wall 25 . Since the exhaust gas is forced through the walls, the bulk-flow limitation to the reaction is removed and the gas reaction rate is primarily limited by the diffusion of the gas in the pores which is a much smaller distance than the diameter of the channels. The exhaust gas may also experience laminar flow as it flows from inlet channel 19 to outlet channel 21 and in the outlet channel 21 . These laminar flows in the outlet channel 21 lead to a bulk diffusion process 32 , which further removes non-particulate pollutants. In some constructions, the walls of the housing 12 may include porous wall material 27 (like wall material 25 ) and a catalyst layer 26 , which further improves conversion efficiency and thus allows for a reduction, or even substantially total elimination, of the need for multiple filters/converters arrayed in series in order to sufficiently remove pollutant species from an exhaust gas stream. [0037] Some constructions may have gap 29 between inlet channel 19 and outlet channel 21 . The gap 29 enables a flow-through exhaust path from inlet port 14 to outlet port 16 . Accordingly, catalytic device 10 may use a combination of wall-flow (i.e., the gas passes through a porous wall) and flow-through (i.e., the gas interacts with the wall but does not pass therethrough) processes to provide catalytic effect. The size and placement of any gap 29 may be set according to backpressure requirements, filtration efficiency required, expected gas flows, and required conversion levels. [0038] The pore size in wall 25 and wall 27 may be selected to trap particulate matter and to catalyze particular reactions. The overall porosity, pore-shape and pore-size distribution may also depend on the washcoat and the catalyst material being used to coat the walls of the substantially fibrous non-woven porous refractory material. The wall(s) 25 , 27 may have a pore-size gradient. The highly porous and fibrous nature of the wall(s) 25 , 27 allow for the device 10 to be made smaller and lighter than the prior art converters and allow for faster heating and ‘light off’. The intertangled refractory fibers making up the walls 25 , 27 further contribute to the toughness of the walls 25 , 27 , making them able to withstand mechanically harsh conditions, such as those close to the engine. This combination of properties allows the device 10 to be positioned closer to the engine than known converter devices, such that the device 10 may be heated to it ‘light off’ temperature more quickly by the engine gasses and thus begin to function sooner with less pollutants passing therethrough unconverted during its light off phase. [0039] The use of pore diffusion wall flow dramatically increases the efficiency of the catalytic device 10 , particularly during light off. As a result of the wall flow design, the exhaust gas is forced to go through the wall and hence the bulk diffusional limitation is severely reduced. Thus, the exhaust gas only needs to diffuse in the pores to reach the catalyst residing on the walls of the pores. That distance is much shorter, and hence the overall conversion efficiency is much higher. The efficiency is further enhanced due to the lower thermal mass of the highly porous walls 25 , 27 enabling them to be heated more quickly. The increased efficiency and lower thermal mass enable the catalytic device to be made smaller, or to have less catalytic material and still maintain effective catalytic processes. Such a size and mass reduction saves space, material, and cost, while significantly reducing emission of pollutants due to shorter light off delays. Additionally, the emittance/emissivity of the material can be altered, such as with the application of emittance agents, such as to affect the conversion efficiency and/or for thermal management. [0040] FIG. 2 shows a catalytic device 50 similar to catalytic device 10 , except that the inlet channel 59 is fully blocked by fibrous wall 65 . In this way the only exhaust path from inlet 54 to outlet 56 is through porous wall 65 via a wall flow, pore-diffusion mechanism 70 . The length and porosity of the plugs or blocking material can be altered to meet application requirements. [0041] FIG. 3 shows a catalytic device 75 similar to catalytic device 10 , except that multiple porous walls 83 , 84 , 85 , 86 are positioned between the inlet channel 79 and the outlet channel 81 . [0042] FIG. 4 is a chart 100 which compares a typical known catalytic converter, such as is discussed in the above background section, to a catalytic device such as catalytic device 50 . It will be understood that the chart may not be to scale, and may show certain effects in exaggerated form to ease explanation. Chart 100 has a y axis 108 showing “% conversion”, while the x axis 106 shows time. Light-off time is defined as the time it takes for the catalysts to reach a conversion efficiency of a defined value (example 50% or 90%). Alternatively, the x axis 106 may indicate temperature of the exhausted outlet gas. More particularly, in the absence of external heating elements, the initial exhaust gas is used to heat the catalytic converter to fully operational temperatures. In other cases, external heating elements may be needed to raise the temperature of the catalysts to the operating range. As the catalytic converter reaches full operational temperature, a steady state temperature is achieved where the heat flow into the system is equivalent to the heat flow out of the system. If the reactions occurring in the catalytic converter are exothermic, the outlet temperature may be higher than the temperature of the inlet gas. For consistency of explanation, FIG. 4 will described with reference to time. [0043] Referring to FIG. 4A , three areas of the chart are indicated. In a first area 101 , the conversion rate is mostly a function of the characteristics of the catalyst 66 , particularly its activation temperature. Of course, the thermal properties (thermal mass, thermal conductivity, heat capacity and the like) of the substrate 65 also play a part, as it will take longer to heat a larger thermal mass so that the deposited catalyst 66 reaches activation temperature. In area 101 , as the exhaust gas heats the catalyst 66 , pollutant molecules contacting the catalyst 66 begin to undergo conversion reactions into non-pollutant species; overall, however, such conversion is quite inefficient below the light-off temperature threshold. As the exhaust gas continues to heat the substrate 65 , the conversion reaction rates become limited by pore diffusion in area 103 . As exhaust gas is pushed into the pores of the substrate 65 , more pollutants are brought into contact with the catalyst 66 , and the rate of the catalyzed reactions increases. As more of the substrate 65 is heated, the process continues to become more efficient. As the substrate 65 becomes fully heated, the pollutant conversion process becomes limited by bulk diffusion in area 105 . As exhaust gasses flow through the typical catalytic device 50 , it takes time for laminar flow to fully come to equilibrium. Over time, sufficient concentration gradients are generated which act to pull pollutant molecules into contact with the channel walls 65 , 67 . Stated somewhat differently, exhaust gas near the walls 65 , 67 have reacted with the catalyst 66 and so have a lower concentration of pollutants than gas more near the center of the exhaust channel. This concentration gradient creates an effective urging force that moves the portion of the gas in the center with a higher pollutant concentration toward the lower pollutant concentration wall area. This bulk diffusion effect in laminar flow conditions takes time to reach a steady state, so the curve gradually approaches its conversion limit. [0044] FIG. 4A compares the time it takes a typical prior art catalytic converter to reach a fully operational time 110 to the time it takes a catalytic device 50 to reach a fully operational time 111 . The difference is shown as time reduction 115 . [0045] FIG. 4B compares the time 117 it takes to first activate the catalyst in a typical prior art converter to the time 116 it takes to first activate the catalyst 66 in a catalytic device 50 . The difference is shown as time reduction 118 . The reduced time 118 is primarily a function or effect of the reduced thermal mass of the porous wall substrate in catalytic device 50 , which allows the catalytic material 66 to more efficiently reach activation temperature. [0046] After the catalyst first activates, a catalytic converter goes through a period of time where the rate of the catalyzed reaction is limited primarily by the pore diffusion processes. In other words, once the temperature threshold of the first portion of the catalyst is reached, the rate of the catalyzed reaction is now limited by how fast the gas heating the catalyst may be transported to the remaining catalyst after it has already entered the pores and how fast the gaseous species to be reacted at the catalyst interface can be transported through the porous walls thereto. The pore diffusion effect dominates the reaction rate until sufficient amounts of substrate/catalyst has been heated; at this time, bulk diffusion of the pollutant species to the catalyst on the surface of the substrate becomes the dominant and limiting process. FIG. 4C compares the time 125 when bulk diffusion dominates in a typical converter to the time 131 when pore diffusion dominates in catalytic device 50 . The difference is shown as time reduction 132 . The reduced time 132 is primarily due to the exhaust path enabled through the porous wall. In catalytic device 50 , all exhaust gas is required to pass through porous wall 65 . Since the individual fibers in the porous wall 65 are coated with catalyst 66 , the reaction rate is substantially increased as pollutant species are transported therethrough via pore diffusion. Further, since the wall 65 is highly porous, and has a low thermal mass, it is more quickly heated to the catalyst activation temperature. [0047] When sufficient substrate material 65 , 67 has been heated, the catalytic device 50 has its bulk transfer characteristics dominate and limit conversion efficiency. However, the impact of bulk transfer rate is typically very small. Since the typical catalytic converter has a relatively large thermal mass, it takes time 141 to approach its final conversion efficiency. Since catalytic device 50 has a lower thermal mass and a more effective pore diffusion process, the time 139 to approach its final conversion efficiency is shorter. The difference is shown as time reduction 149 . The total time reduction 115 ( FIG. 4A ) is a summation of time reduction 118 ( FIG. 4B ), time reduction 132 ( FIG. 4C ), and time reduction 149 ( FIG. 4D ). This reduction in time to reach maximum conversion efficiency results in significant pollution prevention, and allows the emission control engineers to design smaller and less expensive devices to meet emissions regulations. [0048] FIGS. 5A and 5B show a catalytic device 150 incorporating fibrous monolithic honeycomb 155 in housing 151 . The honeycomb 155 has a set of inlet channels 157 and outlet channels 159 arranged in an alternating pattern. In this embodiment, the alternating pattern is a checkerboard pattern, although other embodiments may incorporate other patterns. Each respective channel 157 , 159 defines an open end and an oppositely disposed blocked end. The blocked ends each include a respective blocking member or block 156 disposed therein to impede the flow of gas therethrough. FIG. 5A shows the inlet side 153 of the catalytic device 150 . In this way, the open cells function as inlet channels 157 . On the inlet side, the other channels 159 are blocked with a blocking material so that no exhaust gas may enter from the inlet side. At the outlet 154 side, the inlet channels 157 are blocked, while the outlet channels 159 are open. FIG. 5B shows in greater detail the channels 157 , 159 and the walls 161 separating and defining the channels 157 , 159 , the blocking material 163 disposed in the ends of the outlet channels 159 , and the fibrous material making up the block 155 . Typically, the block 155 and blocking material 163 are both made up of non-woven substantially fibrous material; more typically, the block 155 and blocking material 163 have substantially the same composition. However, the block 155 and blocking material 163 may have different compositions and/or even substantially different structures. [0049] FIG. 5C shows a cross section at a point between the inlet side 153 and the outlet side 154 . Here, inlet channels 157 are arranged adjacent to outlet channels 159 , with porous walls 160 disposed therebetween. In this way, gas from the inlet channels 157 is urged through walls 160 into adjacent outlet channels 159 , and then transported out the outlet port 154 . [0050] FIG. 5D shows that inlet channels 167 , 168 are separated from adjacent outlet channels 170 , 171 by porous walls 173 A-E. Also, the inlet channels 167 , 168 are blocked at the outlet side 154 by blocks 175 , 177 , while the outlet channels 170 , 171 are blocked at the inlet side 153 by blocks 179 , 181 . This construction enables gas to move from a respective inlet channel 167 , 168 to an adjacent outlet channel 170 , 171 for substantially the entire length of the fibrous block 155 . [0051] FIG. 5E shows that laminar flow is established inside of channels 167 , 168 , 170 , 171 to facilitate bulk diffusion, while wall flow or pore diffusion is established between channels to facilitate higher reaction rates. [0052] It will be appreciated that the catalytic device 150 may be designed in many physical arrangements. The diameter, length, channel density, blocking pattern, blocking material, blocking material placement, catalytic material, catalyst placement, wall porosity, pore-size, pore-shape, and wall thickness may all be adjusted for application specific needs. Each of these characteristics may affect conversion rate, backpressure, and light off time. The effect of each of these propertied is generally discussed below. a. In catalytic device 150 , improved wall flow enables significant decrease in light-off time by increasing the efficiency and dependence of overall reaction rate on pore diffusion rate. Accordingly, the properties of the channel walls 160 are selected to facilitate a desired rate of pore diffusion activity. For example, it has been found that exhaust gas is more effectively catalyzed when it takes from about a few microseconds to about 2 seconds for the exhaust gas to pass through the channel walls 160 . In a typically gasoline engine exhaust, gas may flow at about 180 cubic feet per minute. Accordingly, if the channel wall 160 is formed of a substantially fibrous nonwoven material having a porosity of between about 60 and about 90 percent and a thickness of about 20 mil, then it will take on the order of microseconds for the gas to pass through. Of course, it will be understood that many factors are considered in determining wall thickness, such as wall porosity, permeability, backpressure limitations, required conversion rate, and overall length. The longer residence time of the gasses passing through the converter 10 and the tortuosity of the gasses as they pass therethrough combine to increase the probability of a pollutant species coming into contact with the catalyst 166 , and thus being converted into a non-pollutant species. However, excessive tortuosity can also increase the backpressure substantially. b. The porosity and permeability of the walls 160 is selected to accommodate backpressure limitations, as well as to provided a sufficiently tortuous path so that exhaust gas is urged into contact with catalyst 166 . In practice, a porosity of between about 60% and about 90% has provided effective conversion rates, while still enabling sufficiently low backpressure characteristics. This porosity range also contributes to a relatively low thermal mass, which in turn contributes to faster heating and shorter light-off times. It will be understood that other porosities may be selected to support specific backpressure and conversion requirements. c. The mean pore size and pore size distribution is selected to accommodate required backpressure limitations, as well as to capture particulate pollutants of specific, predetermined sizes, if desired. Typically the washcoat and catalysts are placed inside the pores, and more typically such that they do not block the pores. In a specific construction, the pore diameter is selected to optimize the capture of particulate matter of a size characteristic of that found in a gasoline engine exhaust, which typically range from about 5 nanometers to about 1 micron. Additionally, the mean pore length is also a factor in determining the ability of the porous substrate 155 , 161 to capture particulate matter of a given size. Moreover, the pore size distribution may be manipulated to maximize the capture of particles of different sizes. For example, if an exhaust gas contains particle populations characterized by two discrete mean particle sizes, the pore size distribution may be manipulated such that two populations of pores are present, each sized to optimize the capture of a particles of a respective mean size. Such a pore structure could lead to a more efficient depth filter where the particles are captured inside the wall of the substrate and not just on the wall of the substrate. Typical pore-sizes range from 1 micron to 100 microns, and more typically 20-50 microns. [0056] The particles filtered from the exhaust stream would need to be removed from the filter (i.e., the filter would need to be regenerated) at periodic intervals to keep the filter clean, its permeability high, and its conversion efficiency high. In such cases, ‘active’ or ‘passive’ regeneration strategies can be employed. In passive regeneration, the particulates captured are burnt of periodically in the presence of the oxidizing catalyst as the temperatures go higher than the soot burning point. In active regenerations, heat has to be supplied to such a catalytic converter to increase the temperature of the soot sufficiently to burn off into primarily CO2 and H2O. Active regeneration also employs fuel-borne catalysts and mechanical devices, such as heat traps, pressure valves, etc. In the case of particulates that have been captured using depth filtration, the efficient contact between the particulates, catalyst and the incoming gas allows for fast, efficient and more complete burn off of particulates and regeneration. [0057] In one configuration, the catalytic device 150 is fluidically connected to the exhaust stream coming from a gasoline engine 190 and also to a fuel injection port 152 that, from time to time, is used to inject fuel into the catalytic honeycomb monolith 155 . (See FIG. 5F ). The injected fuel immediately burns and heats the catalytic device 150 sufficiently to substantially oxidizelburn off collected particulate matter. This regeneration process may be done periodically, or may be initiated response to a measured parameter, such as a threshold temperature or backpressure. The increased toughness contributed by the tangled fibrous nature of the honeycomb monolith 155 material facilitates more frequent regenerations; the toughness and highly refractory nature of the honeycomb monolith 155 material allows for placement of the device 150 closer to the engine (and in a higher-temperature portion of the exhaust stream or where larger thermal shock to the material may be expected) than otherwise would be possible with known converter devices. This allows for a faster light-off time for the device 150 and thus for a reduction in emitted pollution. d. The blocking pattern and block position is selected according to the physical arrangement of the catalytic device 150 , as well as backpressure and conversion requirements dictated by its operating environment. By adjusting the blocking pattern or the blocking position, the relative volume or shape of the input or output channels 157 , 159 may be adjusted. For example, by making more inlet channel volume available, backpressure may be reduced. In another example, the blocks 156 may be arranged to adjust how much area is used for wall flow, and how much area is used for channel flow. This allows the device designer to adjust the relative level of pore diffusion as compared to bulk diffusion. In this regard, the designer may, for example, position blocks 156 in an arrangement that provides more channel flow and less wall flow. This provides for more laminar flow (bulk diffusions), with less wall flow (pore diffusion), but may decrease backpressure. Similarly, the channel can have a variety of size and shapes, depending on back-pressure, nature of reactions, and the ash storage capacity needed. e. Channel density is selected to maximize exhaust gas passage and such that laminar flow transport of pollutant species to the catalyst interface is optimized while back pressure increases are minimized. The fibrous nature of the monolith material (i.e., tangled, interconnected fibers sintered or otherwise bonded at most, if not substantially all, of their intersection points) allows for an exceptionally strong and tough substrate material having a relatively high degree of porosity (at least about 50 percent porous, and more typically between about 60 percent and about 90 percent porous) while simultaneously remaining lightweight and defining a relatively low thermal mass. These properties result in a tough and relatively non-brittle material having sufficient inherent porosity and permeability so as to not contribute as significantly to backpressure as traditional sintered cordierite substrates, especially if the wall flow varieties of cordierite substrates were employed). Likewise, the lengths of the channels may be relatively short, since the combination of wall-flow and high porosity make exposure to catalyst more likely. Thus, relatively short channels 157 , 159 may be formed in the present material at a relatively high channel density (i.e., many channels of smaller cross-sectional areas) without substantially increasing backpressure to an engine fluidically connected thereto. Likewise, less channel density (cell density ) substrates with thicker walls may also be constructed for increasing residence time of exhaust gas in the pores of the wall. f. Catalytic material is selected to facilitate the desired reactions of pollutant species into non-pollutant species at relatively high rates at low temperatures. Typically, for internal combustion engine applications, those species are nitrogen oxides (NOx), carbon monoxide (CO) and various hydrocarbons (HC) present in gasoline or other ICE exhaust stream. Typically, the number of discrete catalysts present is equal to the number of pollutants desired to be eliminated from the exhaust stream, although if one catalyst can function to catalyze the reactions of two or more pollutants into non-pollutants, a lower number of catalysts may be required. For example, a combination of platinum and rhodium may be present on the substrate surface and/or pore walls to catalyze the reaction of NOx into N2 and O2, to catalyze the reaction of CO into CO2, and to catalyze the reaction of HCs into CO2 and H2O. More complex catalysts that include perovskite structures, precious metals, base-metal oxides, rare-earths, and the like may also be used. For other reactions, the catalysts may even consist of biological molecules such as enzymes. The catalysts may be applied as discrete and spaced coatings, as a physical mixture, as discrete stripes or strips, or in any convenient way that results in catalytic interfaces present on wall and pore surfaces. Thus, particular channels or channel portions may be coated with one type of catalyst, while other channels or channel portions may be coated with another type of catalyst. The washcoat and the catalysts may also typically be disposed onto individual fibers and at the junctions between the individuals fibers in the wall of the substrate. g. It will be appreciated that the design criteria discussed in a-f above is provide only as a set of general guidelines. It will be understood that many tradeoffs and compromises are typically made during the design of a catalytic device. The catalytic device 150 is a highly flexible design, and may be built in many specific constructions. [0062] FIGS. 6A and 6B show an exhaust system 200 operationally coupled to a catalytic device 202 that operates as described above. Exhaust gas is generated by engine 201 and urged through exhaust gas pathway 203 and through catalytic device 202 , which is fluidically connected as part of the pathway 203 . Exhaust gas inlet 204 and exhaust gas outlet 205 are defined by housing 206 . Exhaust gas enters the catalytic device 202 via exhaust gas inlet 204 , interacts with fibrous walls 207 therein, and exits through exhaust gas outlet 205 . [0063] FIGS. 7A and 7B show a catalytic device 225 configured for application as an aftermarket or repair device. The device 225 includes an inner fibrous wall 227 confined within a housing 228 . The housing 228 defines an exhaust inlet 231 and an exhaust outlet 233 . The housing 228 further defines an inlet coupler 235 and an outlet coupler 237 that are configured to connect to an existing exhaust system. The couplers 235 , 237 can be constructed to support any convenient coupling type, such as a welded, frictional, and/or threaded coupling. [0064] FIG. 8 schematically illustrates a cross-flow filter 250 having layered sets of perpendicular channels 252 . A set of inlet channels 254 receives a liquid or gas having at least two components (herein given as ‘A’+‘B’). The walls between the inlet channels 254 and outlet channels 262 are constructed from porous substantially fibrous material and are coated with a catalyst to facilitate the separation of or reaction of substance B in to a new, non-B species, while simultaneously passing substance A therethrough substantially unchanged. In this way, at least some of the B material is removed from the fluid flow through the filter 250 . The fluid emerging from the filter outlet 260 thus has a lower concentration of the B species and a higher concentration of the A species. It will be understood that additional B material may be removed (i.e., the concentration of B may be still further reduced) by increasing the length of the filter, by increasing the number of channels, or by increasing the amount of reactive coating. [0065] FIG. 9 shows a catalytic device 275 similar to catalytic device 10 , except that the inlet channels and outlet channels are randomly provided. More particularly, a fibrous block 285 has been positioned within a housing 277 and is characterized by a high porosity, thereby enabling a random flow of gas through the block 285 . The housing 277 may optionally feature a fibrous wall 279 (of the same or different composition as the block 285 ) connected to the housing interior. The block 285 typically has a porosity gradient to encourage a longer or more tumultuous gas flow path. Housing 277 further includes a gas inlet port 281 and a spaced gas outlet port 283 , defining the endpoints of the gas flow path through the block 285 . [0066] FIG. 10 shows a catalytic device 300 similar to catalytic device 10 , except that the inlet channel 310 is larger than the outlet channel 311 . Housing 302 includes an inner fibrous wall coating 204 and defines spaced inlet and outlet ports 306 , 308 that further define the endpoints of the gas flow path through the device 300 , including through the fibrous wall 315 positioned therein. Backpressure may be reduced by providing larger, or more, inlet channels 310 as compared to the outlet channels 311 . [0067] FIG. 11 shows a catalytic device 350 similar to catalytic device 150 , except blocks 379 , 381 for the respective outlet channels 371 , 370 are positioned spaced away from the channel ends/exhaust outlet 354 . Channels 367 , 368 , 370 and 371 are still defined by walls 373 and fluidically connected between exhaust inlet 353 and exhaust outlet 354 . However, by positioning blocks 379 , 381 spaced away from the channel ends, additional capacity is provided in adjacent inlet channels 367 , 368 , thus allowing for a reduction in backpressure. Also, the area provided for laminar flow and bulk diffusion is increased. [0068] In addition to the faster light-off time and more efficient conversion of pollutants to nonpollutants afforded by the fibrous and porous nature of the catalyst support substrate materials used herein, the fibrous and porous nature of the devices described hereinabove also tend to dampen and attenuate sound and noise generated by the associated engine and gas flow. Thus, the devices are additionally attractive as their use tends to reduce or minimize the need for extraneous sound muffling or baffling devices. [0069] While the invention has been illustrated and described in detail in the drawings and foregoing description, the same is to be considered as illustrative and not restrictive in character. It is understood that the embodiments have been shown and described in the foregoing specification in satisfaction of the best mode and enablement requirements. It is understood that one of ordinary skill in the art could readily make a nigh-infinite number of insubstantial changes and modifications to the above-described embodiments and that it would be impractical to attempt to describe all such embodiment variations in the present specification. Accordingly, it is understood that all changes and modifications that come within the spirit of the invention are desired to be protected.
A method for catalytically cleaning an exhaust gas, including receiving the exhaust gas in an inlet channel, blocking the exhaust gas in the inlet channel, diffusing the exhaust gas through a porous substantially fibrous nonwoven wall of the inlet channel, reacting the exhaust gas with at least one catalyst material to at least partially remove nitrous oxides, hydrocarbons and carbon monoxide therefrom, the at least one catalyst material being disposed on the porous wall, trapping particulate matter in the porous substantially fibrous nonwoven wall, receiving the diffused exhaust gas into an outlet channel, and transitioning the exhaust gas from the outlet channel to the atmosphere.
RELATED APPLICATIONS This application is a continuation of application Ser. No. 09/377,182, filed Aug. 19, 1999, now U.S. Pat. No. 6,584,482, which is a continuation of Ser. No. 08/857,596, filed May 16, 1997, now U.S. Pat. No. 5,953,241, which claims priority to Provisional Application Ser. No. 60/021,132, filed May 17, 1996, and also is a Continuation-in-Part of application Ser. No. 08/516,036, filed Aug. 16, 1995, now U.S. Pat. No. 5,742,840. FIELD OF THE INVENTION The present invention relates to an instruction set and data paths of processors which perform fixed-point and floating-point multiply and add operations, and particularly processors which perform both multiply and add operations as a result of a single instruction. BACKGROUND OF THE INVENTION A general-purpose processing system which performs multiply and add operations may allow these arithmetic operations to be performed at varying precision. High-precision operations generally consume greater circuit resources than low-precision operations. For example, in order to double the precision of a multiply operation, about four times as many circuits are required if the same performance is to be achieved. A multiplier array which is capable of performing a multiply of two 64-bit operands, without reusing the array in sequential fashion, must generate the equivalent of 64 2 , or 4096 bits of binary product (a 1-bit multiply is the same as a boolean or binary “and” operation), and reduce the product bits in an array of binary adders which produces 128 bits of result. As a single binary adder (a full adder) takes in three inputs and produces two outputs, the number of binary adders required for such an array can be computed 64 2 -128, or 3968. There are well-known techniques for reducing the number of product bits, such as Booth encoding. There are also well-known techniques for performing the required add operations so as to minimize delay, such as the use of arrays of carry-save-adders. These techniques can reduce the size of multiplier arrays and reduce the delay of addition arrays, however, these techniques do not appreciably change the relation between the size of the operand and the size of the multiplier and adder arrays. Using the same arithmetic as before, a multiply of 32-bit operands generates the equivalent of 32 2 , or 1024 bits of binary product, and use the 32 2 -64, or 960 full adders to generate a 64-bit product. This clearly is approximately one fourth the resources required for a multiply of 64-bit operands. Because the product of 32-bit operands is 64-bits, while the product of 64-bit operands is 128-bits, one can perform two 32-bit multiples which produce 2 64-bit products, giving a 128-bit result. As such, because the 32-bit product uses one-fourth the resources of the 64-bit product, these two 32-bit products use one-half the resources of the 64-bit product. Continuing this computation, four 16-bit products use one-quarter of the 64-bit multiplier resources, eight 8-bit products use one-eighth of the resources, and so forth. Thus, while this technique produces results with the same number of bits as the 64-bit product, decreasing the symbol size results in a proportionately decreasing utilization of the multiplier and adder array resources. Clearly, a design that has sufficient resources for a 64-bit multiply will be under-utilized for multiplies on smaller symbols. Accordingly, there exits a need for a method, instruction set and system in which a set of multiplier and adder circuit resources may be employed in a manner that increases the utilization of these resources for performing several multiply and add operations at once as a result of executing an instruction, and which also permits the expansion of the multiplier and adder circuit resources to an even higher level so as to further increase overall performance. SUMMARY OF THE INVENTION The present invention relates to a method, instruction, and system which improves the utilization of a multiplier and adder array for performing multiply and add operations at a lower precision than the full word size of the processor and particularly the multiplier and adder array. In accordance with an exemplary embodiment of the present invention, a novel group-multiply-and-sum instruction is performed wherein operands which are the word size of the processor, for example, 128-bits, are divided into symbols where the symbols are 64, 32, 16, 8, 4, 2, or 1 bit. Multiplier and multiplicand symbols are then multiplied together, and the products are added together so as to produce a single scalar result. The instruction performs twice as many multiplies as a group-multiply-and-add instruction (as described in related U.S. patent application Ser. No. 08/516,036, hereinafter referred to as the parent application) of the same symbol size. The instruction also avoids fixed-point overflows, because in the current example, the 128-bit result is large enough to hold the sum. In another embodiment of the present invention, a novel group multiply-and-sum-and-add instruction is performed, wherein two operands are divided into symbols and then multiplied together. All the products resulting therefrom are then added together, along with a third operand value so as to produce a single scalar result. The instruction performs twice as many multiplies as a group-multiply-and-add instruction (as described in the parent application) of the same symbol size. In another embodiment of the present invention, a novel group-complex-multiply instruction is performed, wherein the 64-bit multiplier and multiplicand operands are divided into symbols. Alternate symbols are taken to represent real parts (a and c) and imaginary parts (b and d) of a complex value, and a computation (a+bi)*(c+di)=(ac−bd)+(bc+ad)i is performed. The instruction performs twice as many multiples as a group-multiply instruction (as described in the parent application) of the same symbol size, and in the current embodiment generates a result which is a 128-bit value. In another embodiment of the present invention, a novel group-complex-multiply-and-add is performed, wherein two 64-bit operands are divided into complex-valued symbols, and a third 128-bit operand is divided into complex-valued symbols of twice the symbol size. The computation (a+bi)*(c+di)+(e+fi)=(ac−bd+e)+(bc+ad+f)i is performed. The result is a 128-bit value. In yet another embodiment of the present invention, a novel group-convolve instruction is performed, wherein all but one symbol of a 128-bit value is multiplied with symbols of a 64-bit value. Certain of these products are summed together to form a 64-bit-by-64-bit slice of a convolution. The result is a 128-bit value. As described in detail below, the present invention provides important advantages over the prior art. Most importantly, the present invention optimizes both system performance and overall power efficiency. The present invention performs a greater number of multiply operations and add operations in a single instruction without increasing the size of the result of this single instruction. The present invention arranges these operations in a manner which is advantageous both for implementation of digital signal processing algorithms, as the instructions perform these operations with greater parallelism and greater avoidance of arithmetic overflow, and which is advantageous for implementation of the multiplier itself, as these multipliers are formed from a partitioning of a single multiplier array, thereby overcoming significant disadvantages suffered by prior art devices as detailed above. Additional advantages of the present invention will become apparent to those skilled in the art from the following detailed description of exemplary embodiments, which exemplify the best mode of carrying out the invention. The invention itself, together with further objects and advantages, can be better understood by reference to the following detailed description and the accompanying drawings. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 illustrates a group fixed-point multiply instruction, as described in the parent application. FIG. 2 illustrates a group fixed-point multiply and add instruction, as described in the parent application. FIG. 3 illustrates a group floating-point multiply instruction, as described in the parent application. FIG. 4 illustrates a group floating-point multiply and add instruction, as described in the parent application. FIGS. 5A and 5B illustrate group fixed-point multiply and sum instructions of the present invention. FIG. 6 illustrates a group floating-point multiply and sum instruction of the present invention. FIG. 7 illustrates one embodiment of a group fixed-point or floating-point convolve instruction of the present invention. FIG. 8 illustrates a second embodiment of a group fixed-point convolve instruction of the present invention. FIG. 9 illustrates an embodiment of a group 16-bit fixed-point convolve instruction of the present invention. FIG. 10 illustrates a second embodiment of a group floating-point convolve instruction of the present invention. FIG. 11 illustrates how the instructions of FIGS. 1-4 can be produced from partitions of a single multi-precision multiplier array. FIG. 12 illustrates how the instructions of FIGS. 5-6 can be produced from partitions of a single multi-precision multiplier array. DETAILED DESCRIPTION A multiplier array processing system is described wherein numerous specific details are set forth, such as word size, data path size, and instruction formats etc., in order to provide a thorough understanding of the present invention. It will be obvious, however, to one skilled in the art that these specific details need not be employed to practice the present invention. In other instances, well known processor control path and data path structures have not been described in detail in order to avoid unnecessarily obscuring the present invention. FIGS. 1-4 illustrate instructions from the instruction set forth in the parent application Ser. No. 08/516,036 filed Aug. 16, 1995. FIGS. 1 and 2 relate to fixed-point multiplication instructions, wherein groups of symbols of 64-bit total size are multiplied together, thereby producing groups of products of 128-bit total size. The individual symbols are of sizes from 1 bit to 64 bits, i.e., 64×1-bit, 32×2-bit, 16×4-bit, 8×8-bit, 4×16-bit, 2×32-bit or 1×64-bit. The products of the multiplication are twice the size of the input symbols, which reflects the size the result must be to avoid fixed-point overflow in the computation of the product. One measure of the complexity of the instruction is the size of the result. It is preferable to limit the size of the result to 128 bits for each of the instructions, as this reduces the number and width of write ports to register files and the number of gates required to bypass results around the register file. FIG. 2 illustrates a fixed-point multiply-and-add instruction, in which the product is added to a third value on a symbol-by-symbol basis. The instruction performs twice as many operations per instruction as the instruction shown in FIG. 1 , as it performs an add operation for each multiply operation. FIGS. 3 and 4 illustrate the same operations, as illustrated in FIGS. 1 and 2 , respectively, when floating-point operations are specified. In this case, as the size of the product is the same as the size of the input symbol (in this example—128 bits), 128 bits of source operand is allowed. Thus, for equal size of symbols, the floating-point instructions of FIGS. 3-4 perform twice as many operations as the fixed-point instructions of FIGS. 1-2 . There are many applications for the multiply and multiply-and-add instructions of FIGS. 1-4 . One application, which is typical of a class of applications, is called FIR (Finite Impulse Response) filters. FIR filters are particularly easy to implement using the multiply-and-add instructions because adjacent results are independent, meaning that they can be computed separately and therefore in parallel. The group multiply-and-add instruction performs the computation for several adjacent results in parallel. However, one problem that arises with the instruction shown in, for example, FIG. 2 , is that the addition operations can suffer overflow, because the result symbols are the same size as the add source operand. This is generally avoided by scaling the values of the symbols so as to avoid overflow (i.e., making the multiplier operand smaller), so that the products which are added together are not larger than can be represented in the result symbol. This scaling results in a limit on the accuracy of the computation, as the multiplier generally has a value which must be rounded off to scale to the required precision. Accordingly, in order to overcome this limitation, it is a goal of the present invention to provide instructions which perform a greater number of multiplies in a single operation, without increasing the size of the result to be greater than the size of an operand, which in the current example is 128 bits. FIG. 5A illustrates a novel instruction which satisfies this goal. In accordance with the instruction, which is referred to as a group-fixed-point-multiply-and-sum, two 128-bit operands are divided into groups of bits, forming equal-sized symbols which may have sizes of 1, 2, 4, 8, 16, 32 and 64 bits. The groups of symbols are multiplied together to form a plurality of products, each of which are of twice the size as the operands, and then the products added together. The addition of all the products together reduces the size of the result such that the result size does not exceed 128 bits. Specifically, a 1-bit multiply-and-sum produces 128 1-bit products, which can be represented in as little as 8 bits, since the largest sum is 128; a 2-bit multiply-and-sum produces 64 4-bit products, each valued 0, 1, 4, or 9, for which the largest unsigned sum is 576, and the largest signed sum is 64*(−2 to +4)=−128 to 256, which can be represented in as little as 9 bits. In general, an n-bit multiply-and-sum produces 128/n 2n-bit products, which can be represented in log 2 (128/n)+2n bits. For 64-bit symbols the products require 128 bits, and the sum of the two products would require 129 bits; the result is truncated in the same manner that the multiply-and-add operations must truncate the sum of the product with the addend, specifically, by truncating the high-order bit. As such, the group-fixed-point-multiply-and-sum instruction of FIG. 5A can accept two 128 bit groups as operands. Whereas, the group-fixed-point multiply-and-add instruction can accept only two 64-bit groups due to the limit of the total result size of 128 bits. In fact, for all sizes of symbols from 1-16 bits, the result is no larger than 64-bits, which in some architecture designs is the width of a single register. For symbols of 32 bits, the 4 products are 64 bits each, so a 128-bit result is used, which cannot overflow on the sum operation. For symbols of 64 bits, the 2 products are 128 bits each and nearly all values can be added without overflow. The fact that this instruction takes 128-bit groups rather than 64-bit group means that twice as many multiplies are performed by this instruction, as compared to the instructions illustrated in FIGS. 1 and 2 . More specifically, referring to FIG. 5A , this instruction takes two 128-bit operands specified by ra and rb and multiplies the corresponding groups of the specified size, producing a series of results of twice the specified size. These results are then added together, after sign or zero extending as appropriate, producing a scalar result. The size of the scalar result is 64 bits when the element size is 16 bits or smaller, and 128 bits when the element size is 32-bits or larger. For 64-bit elements, only two products are summed together, but as the result is only 128 bits, an overflow is still possible (for group signed multiply octlets and sum, the only case that overflows is when all elements equal −2 63 ), and an overflow causes truncation on the left and no exception. For element sizes 32-bits or smaller, no overflow can occur. In summary, the group multiply-and-sum instruction does not result in a reduction of precision, and as a result, provides for greater precision and computation. In addition, the instruction multiplies twice as many operands as the group multiply and add instruction of the parent application, as only a scalar result is required, so that 128-bit result limitation (in the foregoing example) does not restrict the number of operands of the instruction. The 64-bit version of this instruction uses two 64×64 multiplier arrays, and smaller versions uses one-half of the arrays for each halving of operand size. A related instruction, group-fixed-point-multiply-and-sum-and-add, is illustrated in FIG. 5B . As shown, this instruction takes the two 128-bit multiplier and multiplicand operands and divides each operand into groups, multiplies the groups thereby generating a plurality of products, and then sums the plurality of products with a third source operand. The third source operand is labelled “i”, and it flows into the summation node. The result of the instruction is ae+bf+cg+dh+i. Because the 1-16 bit versions of these multiply-and-sum-and-add instructions perform the additions with 64-bit precision, many instances of this instruction may be used repeatedly before the concern about overflow of the addition operations becomes a problem. Specifically, because the sum of the products requires at most 9 bits for the 1-bit version, 10 bits for the 2-bit version, 13 bits for the 4-bit version, 20 bits for the 8-bit version, and 35 bits for the 16-bit version, there are (64−9)=55 to (64−35)=29 additional bits for which the third source operand may repeatedly grow as further products are accumulated into a single register by repetitive use of the multiply-and-sum-and-add instruction. Thus from 2 55 to 2 29 multiply-and-sum-and-add instructions may be performed to a single register without concern of overflow. Thus, the instructions of the present invention permit the multiplier operand to be scaled to use the full precision of the multiplier symbols, which improves the accuracy of computations which use this instruction rather than the multiply-and-add instructions. The multiply-and-sum and multiply-and-sum-and-add instructions of the present invention are particularly useful for implementing IIR filters (Infinite Impulse Response) filters, in which each output sample is a weighted sum of several previous output values. In such a case, the value of each output sample is dependent on the value computed for each previous output value, so the parallelism available in a FIR filter is not available in the IIR filter. Parallelism of a different form, however, can be used, in that several multiplies of weights (multipliers) with several previous output values can be performed at once, and the summing node itself can be implemented with a great deal of parallelism. FIG. 6 illustrates a novel group-floating-point-multiply-and-sum instruction. This instruction is useful because the sum operation can be carried out with greater precision than that of the result, when the precision is sufficiently small that more than two products are added together. This greater precision allows a more accurate result to be computed, as there is less rounding of the add result, particularly if the exponent values differ significantly for each of the products. The result does not need to be rounded until the complete sum has been computed. FIG. 7 illustrates one embodiment of a group fixed-point or floating-point convolve instruction of the present invention. There are two subtypes of this instruction, each of which use one-half of a fixed-point multiplier array. The shaded values indicate the location of products which are formed by multiplying multiplicand symbols directed from the top of the array with multiplier symbols directed from the right side of the array. Each of the indicated products connected with a dotted line are added together, yielding sums of products as the result. Each of the unshaded locations in the array are configured to generate zero values into the multipliers product accumulation array. For the fixed-point convolve instruction, the size of the result symbols are twice the size of the multiplier and multiplicand symbols. For a floating-point convolve instruction, the size of the result symbols are the same as the size of the multiplier and multiplicand symbols. As each of the subtypes use one-half of the array, it is apparent that halving the symbol size quadruples the number of multiplies. FIG. 8 illustrates a second embodiment of a group fixed-point convolve instruction of the present invention. In accordance with the second embodiment, a 128-bit group of symbols (ra) is multiplied with a 64-bit group of symbols (rb) in the pattern shown, and the resulting products, shown as small black circles, are added together in the pattern shown by the connecting lines, producing a 128-bit group of result symbols (rc) (of twice the size as the operand symbols, as the fixed-point products are twice the size of the multiplier and multiplicand symbols). The instruction illustrated in FIG. 8 is an 8-bit version; a 16-bit version is illustrated in FIG. 9 , as the 16-bit version takes 16-bit operand symbols (ra) and (rb), and produces 32-bit result symbols (rc). As in FIG. 7 , the products in FIGS. 8 and 9 are formed by multiplying together operands at the locations indicated by the black dots, where the multiplicand operand is directed from above the array, and the multiplier operand is directed from the right of the array. FIG. 10 illustrates a group-floating-point-convolve, which is the same as the fixed-point convolve in structure, except that the result symbols (rc) are the same size as the operand symbols (ra) and (rb). Thus, the result of this floating-point instruction need be only 64 bits, as the floating-point product symbols are rounded to become the same size in bits as the operand symbols. An extension of this instruction can be made into one that performs four times as many multiplies, as the result size shown here is 64 bits, half of the maximum 128-bit result size limit. Such an extension would have 256 bits of operand ra and 128 bits of operand rb. In accordance with the foregoing group convolve instructions of the present invention, the efficiency of use of the multiplier array does not decrease with decreasing operand size. In fact, the instruction provides a quadrupling of the number of effective operands each time the operand size is halved. Referring again to FIG. 8 , the group convolve instruction takes a 128-bit operand specified by ra and a 64-bit operand specified by rb, and treating the operands as ordered vectors, performs a convolution on the two vectors, truncating the computation so as to produce a 128-bit result. The result is an ordered vector of twice the specified precision. Overflow may possibly result from the summation of the products. The group convolve instruction is designed to utilize the summation-tree of the multiplier array in a close approximation to the manner required for a scalar multiply. For this reason the ra operand is specified as 128 bits and the low-order element of the operand is not used. The rb operand uses 64-bit in the particular order required to enable the use of the existing summation tree. The result is 128-bit for fixed-point convolve and 64-bit for floating-point convolve. As shown in FIG. 8 , the result is essentially formed from portions if the multiplier array that are normally added together when performing a 64×64 multiply, although portions of the addition tree must be separated into two parts, and the result either uses both 64×64, multiplier arrays, or uses a single array which can be partitioned to multiply different operands in the upper-left triangular and lower-right triangular portions of a single 64×64 multiplier array. It is apparent in both FIG. 8 and FIG. 9 that one-half of a 128-bit by 64-bit multiplier array is used by this instruction, and that by dividing the array into two 64-bit by 64-bit arrays in the center of the figures (as shown by dotted lines) and superimposing the two halves, that the portions of the half-arrays which are used in the left half are not used in the right half, and the portions of the half-arrays which are used in the right half are not used in the left half. Thus this instruction can be implemented with a single 64-bit by 64-bit multiplier array with appropriately partitioned operands and accumulation arrays. FIG. 11 shows how the multiplies required for group-multiply and group-multiply-and-add instructions can be produced from a single multi-precision structure. As shown, 1×1, 2×2, 4×4, 8×8, and 16×16 multiplies are illustrated; the preferred design extends up through 32×32 and 64×64 multiplies with the same structure or pattern. The smaller multipliers are formed from subsets of the larger multipliers by gating off (forcing to zero) portions of the multiplier and multiplicand array. The resulting products are added together in a classical carry-save multiplier-accumulation tree. FIG. 12 shows how multiplies required for group-multiply-and-sum and group-multiply-and-sum-and-add instructions can be produced from a single multi-precision structure. As shown, 1×1, 2×2, 4×4, 8×8, and 16×16 multiplies are illustrated; the preferred design extends up through 32×32 and 64×64 multiplies with the same structure or pattern. In the same fashion as FIG. 11 , the smaller multipliers are formed from subsets of the larger multipliers by gating off (forcing to zero) portions of the multiplier and multiplicand array. In this case, the gating is in the reverse of the pattern of FIG. 11 , so that each of the products so formed are added together by the multiplier-accumulation tree. FIGS. 7-10 also illustrate the product and accumulation patterns indicated for each of the two embodiments of group-convolve instructions, producing these operations from a single-multi-precision structure as previously detailed. The following operational codes and psuedo-code of the foregoing instructions are intended to assist in the understanding thereof. Group These instructions take two operands, perform a group of operations on partitions of bits in the operands, and catenate the results together. Operation codes G.CONVOLVE.1 1 Group signed convolve bits G.CONVOLVE.2 Group signed convolve pecks G.CONVOLVE.4 Group signed convolve nibbles G.CONVOLVE.8 Group signed convolve bytes G.CONVOLVE.16 Group signed convolve doubles G.CONVOLVE.32 Group signed convolve quadlets G.MUL.1 2 Group signed multiply bits G.MUL.2 Group signed multiply pecks G.MUL.4 Group signed multiply nibbles G.MUL.8 Group signed multiply bytes G.MUL.16 Group signed multiply doublets G.MUL.32 Group signed multiply quadlets G.MUL.64. 3 Group signed multiply octlets G.MUL.SUM.1 4 Group signed multiply bits and sum G.MUL.SUM.2 Group signed multiply pecks and sum G.MUL.SUM.4 Group signed multiply nibbles and sum G.MUL.SUM.8 Group signed multiply bytes and sum G.MUL.SUM.16 Group signed multiply doublets and sum G.MUL.SUM.32 Group signed multiply quadlets and sum G.MUL.SUM.64 Group signed multiply octlets and sum G.U.CONVOLVE.2 Group unsigned convolve pecks G.U.CONVOLVE.4 Group unsigned convolve nibbles G.U.CONVOLVE.8 Group unsigned convolve bytes G.U.CONVOLVE.16 Group unsigned convolve doublets G.U.CONVOLVE.32 Group unsigned convolve quadlets G.U.MUL.2 Group unsigned multiply pecks G.U.MUL.4 Group unsigned multiply nibbles G.U.MUL.8 Group unsigned multiply bytes G.U.MUL.16 Group unsigned multiply doublets G.U.MUL.32 Group unsigned multiply quadlets G.U.MUL.64 5 Group unsigned multiply octlets. G.U.MUL.SUM.2 Group unsigned multiply pecks and sum G.U.MUL.SUM.4 Group unsigned multiply nibbles and sum G.U.MUL.SUM.8 Group unsigned multiply bytes and sum G.U.MUL.SUM.16 Group unsigned multiply doublets and sum G.U.MUL.SUM.32 Group unsigned multiply quadlets and sum G.U.MUL.SUM.64 Group unsigned multiply octlets and sum 1 G.CONVOLVE.1 is used as the encoding for G.U.CONVOLVE.1. 2 G.MUL.1 is used as the encoding for G.UMUL.1. 3 G.MUL.64 is used as the encoding for G.CONVOLVE.64. 4 G.MUL.SUM.1 is used as the encoding for G.UMUL.SUM.1. 5 G.MUL.SUM.1 is used as the encoding for G.UMUL.SUM.1. class op size signed MUL MUL.SUM 1 2 4 8 16 32 64 multiply CONVOLVE unsigned U.MUL U.MUL.SUM 2 4 8 16 32 64 multiply U.CONVOLVE Foprmat G.op size rc=ra, rb DESCRIPTION Two values are taken from the contents of registers or register pairs specified by ra and rb. The specified operation is performed, and the result is placed in the register or register pair specified by rc. A reserved instruction exception occurs for certain operations if rc 0 is set, and for certain operations if ra 0 or rb 0 is set. Definition def Group (op, size, ra, rb, rc) case op of G.MUL, G.U.MUL: a ← RegRead (ra, 64) b ← RegRead (rb, 64) G.MULSUM, G.U.MULSUM: a ← RegRead (ra, 128) b ← RegRead (rb, 128) G.CONVOLVE, G.U.CONVOLVE: a ← RegRead (ra, 128) b ← RegRead (rb, 64) endcase case op of G.MUL: for i ← 0 to 64-size by size c 2*(i+size)−1..2*i ← (a asize−1+i size ∥ a size−1+i..i ) * (b asize−1+i size ∥ b size−1+i..i ) endfor G.U.MUL: for i ← 0 to 64-size by size c 2*(i+size)−1..2*i ← (0 size ∥ a size−1+i..i ) * (0 size ∥ b size−1+i..i ) endfor G.MUL.SUM: csize ← (size 2 16) ? 64 : 128 p[0]← 0 csize for i ← 0 to 128-size by size p[i+size] ← p[i] + (a asize−1+i csize−size ∥ a size−1+i..i ) * (b size−1+i csize−size ∥ b size−1+i..i ) endfor c ← p[128] G.U.MUL.SUM: csize ← (size 2 16) ? 64 : 128 p[0] ← 0 csize for i ← to 128-size by size p[i+size] ← p[i] + (0 csize−size ∥ a size−1+i..i ) * (0 csize−size ∥ b size−1+i..i ) endfor c ← p[128] G.CONVOLVE: p[0] ← 0 128 for j ← 0 to 64-size by size for i ← 0 to 64-size by size p[j+size] 2*(i+size)−1..2*i ← p[j] 2*(i+size)−1..2*i + (a asize−1+i+64−j size ∥ a size−1+i+64−j..i +64−j ) * (b size−1+j size−size ∥ b size−1+j..j ) endfor endfor c ← p[64] G.U.CONVOLVE: p[0] ← 0 128 for j ← 0 to 64-size by size for i ← 0 to 64-size by size p[j+size] 2*(i+size)−1..2*i ← p[j] 2*(i+size)−1..2*i + (0 size ∥ a size−1+i+64−j..i+64−j ) * (0 size ∥ b size−1+j..j ) endfor endfor c ← p[64] endcase case op of G.MUL, G.UMUL, G.CONVOLVE, G.U.CONVOLVE: RegWrite (rc, 128, c) G.MUL.SUM, G.U.MUL.SUM: Reg Write (rc, csize, c) endcase enddef As stated above, the present invention provides important advantages over the prior art. Most importantly, the present invention optimizes both system performance and overall power efficiency, thereby overcoming significant disadvantages suffered by prior art devices as detailed above. Thus, a multiplier array processing system is described. Although the elements of the present invention have been described in conjunction with a certain embodiment, it is appreciated that the invention may be implemented in a variety of other ways. Consequently, it is to be understood that the particular embodiment shown and described by way of illustration are in no way intended to be considered limiting. Reference to the details of these embodiments is not intended to limit the scope of the claims which themselves recite only those features regarded as essential to the invention.
A multiplier array processing system which improves the utilization of the multiplier and adder array for lower-precision arithmetic is described. New instructions are defined which provide for the deployment of additional multiply and add operations as a result of a single instruction, and for the deployment of greater multiply and add operands as the symbol size is decreased.
STATEMENT REGARDING GOVERNMENT RIGHTS The present invention was made with government support under MDA 972-95-3-0032, awarded by ARPA. The Government has certain rights in this invention. FIELD OF THE INVENTION The present invention relates to computer printed circuit boards and more specifically to a method and apparatus for adequately cooling high power integrated circuits mounted on a printed circuit board. BACKGROUND OF THE INVENTION High speed electronic digital computers of the type produced by Cray Research, Inc., the assignee hereof, generally utilize densely packaged or stacked banks of circuit modules. In general there are clock modules and processor element modules (PEM) of a computer. A typical PEM may include a liquid cooled heat sink or "cold plate" sandwiched between a pair of printed circuit boards carrying thereon a number of circuit, logic and memory devices including numerous integrated circuits. If the computer has an air cooling system, a typical PEM has only one of such printed circuit boards mounted adjacent an air cooled cold plate. A typical clock module includes only one printed circuit board and may be mounted to either an air or liquid cooled cold plate. In computer designs of this type, such as the Cray Research, Inc. C-90 model, the integrated circuits are mounted on the exposed surfaces of each circuit board having both the electrical connections and the thermal path for cooling coming off the bottom of the package. Heat generated by the integrated circuits and other elements is dissipated through the bottom of each element, through the printed circuit board, and finally to the cold plate. Integrated circuits of this type are usually provided in packages uniquely designed for a particular computer application and hence identified as custom packages. As technology continues to advance, computers are becoming much more complex with ever increasing capabilities and becoming increasingly faster and smaller with more densely packaged electronic devices and components. It is desirable to continue to reduce the size and increase the speed of computer components. The higher speeds and smaller, more densely packed components, however, result in much higher power dissipation and thus higher generation of waste heat. Excess heat build-up within a computer causes malfunction and failure of components such as the integrated circuits. The use of custom packages is a way to address the unique cooling problems associated with any particular computer design. Today's competitive marketplace, however, has necessitated the use of standardized components to reduce manufacturing and production costs. Standardizing components helps achieve this goal by simplifying assembly procedures and reducing the number of parts and component variations. To eliminate the need to use custom packages, integrated circuits can be placed in standard "off the shelf" packages available from many package manufacturers. Standard packages are different than custom packages in that they typically have electrical connections off the bottom surface of the integrated circuits and cooling off the top. Adequate cooling of the standard integrated circuit packages is critical but may vary from computer design to computer design. To provide better cooling, standard packages may be mounted to the circuit board between the board and the cold plate with the top of each package facing the cold plate. This construction more effectively and efficiently dissipates waste heat generated by the standard packages to improve computer operation and durability. Mounting the standard integrated circuit packages on the bottom of a printed circuit board has created a whole new set of problems. One problem associated with using standard packages is the variability of the spacing or gap between the printed circuit board and cold plate caused by the dimensional tolerances associated with the various components of the package. It is desirable for standard packages to intimately contact the cold plate with no air gap between the two through a direct heat conductive path. This is difficult to accomplish since a board assembly usually incorporates more than one type of standard integrated circuit package with each type having different heights. Additionally, the height variation from one standard package to another of the same type is dependent on the height of each individual subcomponent of the package, each of which varies in height within its own dimensional tolerance limits. It is necessary for proper heat dissipation that the integrated circuits have a direct thermal conductive path to the cold plate. To accommodate the height differences between different standard package types, a pedestal or bump may be formed on the cold plate at each location where the shorter elements of the circuit board will confront. The bumps have dimensional tolerance variations of their own so they alone are not sufficient to completely eliminate the gaps. What is needed is a method and apparatus for cooling high power integrated circuits mounted on the bottom of a printed circuit board which provides a direct heat conductive path by eliminating the gap between the integrated circuits and a cold plate caused by dimensional tolerance variations. What is also needed is a method and apparatus which achieves such a result in conjunction with use of standard integrated circuit packages. What is further needed is a method and apparatus for cooling standard integrated circuit packages used on either air or liquid cooled PEM applications. What is still further needed is a method and apparatus which permits repairing or replacing an individual standard package mounted to a printed circuit board while consistently producing a direct thermal conductive path from the circuit to the cold plate without having to re-evaluate the tolerance stack up of each component of the module. SUMMARY OF THE INVENTION The present invention is for a method and apparatus for consistently providing adequate waste heat dissipation to a cold plate for a plurality of electronic components mounted on the bottom of a circuit board. The invention is effective in eliminating spacial gaps between a cold plate and standard integrated circuit packages providing a direct heat conductive path from the circuit package to the cold plate. At least one printed circuit board assembly is provided having a printed circuit board which carries a plurality of electronic elements including various types of high performance integrated circuit standard packages on a bottom surface of the board. A cold plate is produced and is adapted to have one or more of the printed circuit board assemblies mounted thereon with the electronic elements sandwiched between the cold plate and the board. The gap that would result between the electronic components and the cold plate when assembled is determined for each component prior to assembly of the module. A plurality of, compressible, thermal shims are provided in a variety of predetermined thicknesses constructed from a highly thermally conductive material. One of the shims is selected for each of the gaps wherein the thickness of each shim, when compressed, is sufficient to eliminate the gap for which it is selected. Each shim is then positioned such that it is sandwiched between the cold plate and the appropriate electronic component upon assembly of the printed circuit board to the cold plate. The present invention accommodates the height tolerance variation between high power integrated circuits of the same type. The invention also provides a direct heat conductive path from the electronic components to the cold plate to adequately dissipate waste heat generated by the components. Additionally, the invention permits use of standard integrated circuit packages within computer modules of any number of designs and configurations for both air and liquid cooled computer applications. Also, the invention aids in repair or replacement of individual electronic components by providing a simple way to achieve a proper heat conductive path from the new component to the cold plate. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a partially exploded perspective view of a computer PEM constructed in accordance with the present invention including a liquid cooled cold plate, FIG. 2 is a perspective view of the partially exploded circuit module of FIG. 1 rotated 180° on the longitudinal horizontal axis, FIG. 3 is a perspective view of the circuit module of FIG. 1 rotated 180° on the vertical axis and having daughter cards assembled thereto, FIG. 4 is a partially exploded top perspective view of a computer PEM module of the invention including an air cooled cold plate, FIG. 5 is a perspective view of the circuit module of FIG. 4 rotated 180° on the vertical axis and having daughter cards assembled thereto, FIG. 6 is a schematic view of a printed circuit board assembly indicating by number the locations for a plurality of electronic components mounted thereon, FIG. 7 is a schematic view of a cold plate assembly indicating by number the corresponding mating locations for the electronic components of FIG. 6, FIG. 8 is a side schematic view of the PEM of FIG. 1 illustrating two constructions utilizing the method of the invention, and FIG. 9 is a partial side view of an integrated circuit package mounted on a printed circuit board being serviced. DESCRIPTION OF THE PREFERRED EMBODIMENT In the following detailed description of the preferred embodiments, reference is made to the accompanying drawings which form a part hereof, and in which are shown by way of illustration specific embodiments in which the invention may be practiced. It is to be understood that other embodiments may be utilized and structural changes may be made without departing from the scope of the present invention. Referring now to the drawings, FIGS. 1 and 2 illustrate a computer processor element module 10 (PEM) in a partially exploded view having a pair of printed circuit board assemblies 12 and 13 constructed in accordance with the present invention mounted to a liquid cooled cold plate 14 of a typical construction. Each printed circuit board assembly 12 and 13 includes a printed circuit board 16 and 17, respectively, carrying thereon electronic devices and components including a plurality of high performance standard integrated circuit packages 18. The devices, components and circuits are electrically connected in accordance with conventional printed circuit board assembly techniques. Cold plate 14 is intended to dissipate waste heat generated by the electronic devices and components during operation of the computer. Damage to the edge connector assemblies during repair of individual integrated circuits is another problem associated with mounting integrated circuits on the bottom of a printed circuit board. The mounting rail and edge connector arrangement described below addresses the problem and is disclosed in co-pending U.S. patent application Ser. No. 08/605,356, filed herewith and assigned to the assignee of the present invention. The description of the mounting rail and edge connector arrangement therein is incorporated herein by reference. In one embodiment, a pair of connector mounting rails 20, 21 are attached to each circuit board 16 on opposite edges 22, 23 thereof, respectively. A pair of edge connector assemblies 24, 25 are mounted on each board assembly 12 for conductively connecting circuit board 16 to other printed circuit boards and components of the computer. Each connector assembly 24, 25 has a plurality of female blocks 26 carried on mounting rails 20, 21 for receiving therein a series of male connector pins (not shown) which are conductively received in stationary connector blocks carried on the computer side panels (not shown). FIGS. 1 and 2 illustrate a typical construction of a computer PEM or circuit module 10. It is common for cold plate 14 to be sandwiched between a pair of printed circuit board assemblies 12 and 13 to form module 10. For simplicity of illustration and description, the invention will be described herein referring to only printed circuit board assembly 12 with the intention that the present invention be applicable to printed circuit board assembly 13 as well. As shown in FIG. 2, standard integrated circuit packages 18 and 19 are mounted to the bottom of printed circuit board 16 and will be sandwiched between the circuit board and cold plate 14 when assembled into circuit module 10. There are several different types of standard circuit packages 18 which are available such as ceramic column grid array (CCGA) or pin grid array (PGA). An additional type of package 18 is tape automated bond (TAB) package such as is shown in FIG. 3. Each type of package may have a different height and more than one type may be used on any given circuit board assembly. In one embodiment, a standard TAB package 18 may include a cooling cap 30 as its outer most surface, the details of which are described in co-pending U.S. patent application Ser. No. 08/604,918, filed herewith and assigned to the Assignee of the present invention. The description of the cooling cap therein is incorporated herein by reference. Without solving the problems addressed by the present invention, cooling cap 30 of TAB package 18 provides improved conductive cooling capacity for the smaller and hotter running TAB type integrated circuit. As can be seen in FIGS. 1 and 2, a series of spacers 32, alignment pins 34, circuit pedestals or bumps 36, and processor element pull down pins 38 are also sandwiched between the circuit board 16 and cold plate 14. Spacers 32 are of a predetermined height and intended to spatially align cold plate 14 and board assembly 12 so that all circuit packages 18 have an adequate clearance or gap 40, as best illustrated in FIG. 8, between each package and the cold plate. Spacers 32 therefore must provide clearance for the tallest of standard packages 18 leaving a larger gap between the shorter packages and cold plate 14. The height of spacers 32 must account for the maximum height dimension within tolerance limits for even the tallest of the circuits. Therefore a gap may exist for even some of the tallest components. Bumps 36 are integrally formed on cold plate 14 and intended to eliminate most of the gap 40 for the shorter packages. A pair of power connectors 42 are assembled to module 10 for providing mechanical and electrical connection to the power supplies for each board assembly 12 and 13 and module 10. It is necessary that high performance standard packages 18 are in intimate or direct contact with cold plate 14 to provide adequate cooling for the integrated circuits of the package. Processor element pull down pins 38 and screws 39 are positioned and constructed to pull board assembly 12 and cold plate 14 together during assembly of module 10 to insure such intimate contact. Merely screwing the two components together is not always sufficient for liquid cooled PEM's because the liquid cooled cold plate is not very thick and another board assembly 13 is mounted on the opposite side of the cold plate. Though it is desirable to have intimate contact between packages 18 and cold plate 14, it is undesirable to apply a severe compressive force on the standard packages. It is equally undesirable to apply bending and torsional stress to circuit board assembly 12 which could otherwise cause malfunction or failure of the components. Each standard package 18 of the same type has inherent dimensional variation or tolerance characteristics causing part to part size variations. The size of gap 40 between cold plate 14 and printed circuit board assembly 12 will vary according to the thickness and/or surface flatness of at least printed circuit board 16, mounting rails 20 and 21, cold plate 14, bumps 36, spacers 32, and assembled standard packages 18. As circuit module 10 is assembled, each gap 40 will vary within a range which is determined by the tolerance stack up of each of the individual components effecting the particular gap. In order to insure that there is precise intimate contact between each of standard packages 18 and cold plate 14, spacers 32 not only must be designed to accommodate the tallest component on board assembly 12, they must be designed taking into consideration the worst case tolerance scenario. Hence, when module 10 is assembled, gap 40 will vary even as to standard packages 18 of the same type. The method of the invention solves this problem and insures intimate contact between cold plate 14 and each circuit package 18 therefore insuring adequate cooling of each. According to one embodiment of the method of the invention, a series of thermal conductor shims 50 are provided. One shim 50 is selected and then positioned between each standard package 18 and cold plate 14 to fill each gap 40 therebetween. Thermal shims 50 are preferably manufactured from a material which has significantly high thermal conductivity and is somewhat compliant meaning the shim may be compressed slightly without inhibiting or affecting its thermal conductivity. However, because gap 40 varies based on the tolerance stack up of a number of components, the series of shims are produced in incremental varying thicknesses. As an illustrative example of the present invention, the series of thermal shims 50 are produced having thicknesses which vary over a range of about 0.010 inches to about 0.040 inches and in increasing increments of 0.005 inches. The shim material must be compliant enough to adequately conduct thermal energy when compressed in thickness from between zero to about 0.005 inches. When module 10 is assembled and pull down pins 38 are set, it is preferred that each gap 40 is completely eliminated and each shim 50 completely contacts cold plate 14 and standard packages 18 over its entire surface area. As will be evident to one of ordinary skill in the art, any number of shim size ranges and thickness increments may be incorporated without departing from the scope of the present invention. It is preferable that the thickness of a particular shim 50 of the series is easily and readily identifiable so that an operator may consistently and accurately select the proper shim for a particular gap 40. Any number of identification means may be used without departing from the scope of the present invention such as by marking actual thickness on each shim, or by some series of names or numbers, or by a series of shapes. The preferred method of identifying a particular shim is by using a color coding system. Each group of shims 50 of the same thickness is preferably fabricated having an easily identifiable color unique to that shim thickness. Cold plate 14 and printed circuit board 12 of circuit module 10 are measured at critical points to determine the gap between each standard package 18 and cold plate 14. Since both the cold plate 14 and printed circuit board 16 will have a thickness that varies over its relatively large surface area, it is preferred that the subassemblies first be constructed. Thus, individual components mounted to circuit board 16 are preferably preassembled into circuit board assembly 12 including standard packages 18 and mounting rails 20, 21. Spacers 32 and bumps 36 are preferably formed integral with cold plate 14 but if not, they are preferably mounted to cold plate 14 prior to making any measurements. Circuit board assembly 12 is then placed in a fixture having a structure constructed to simulate assembly into circuit module 10 for the purpose of determining the appropriate height dimension or board measurement of each standard package 18. Additionally, cold plate 14 is mounted in another structure fabricated for simulating module installation and precisely determining the dimension or plate measurement at each point where a standard package 18 will confront the plate when module 10 is assembled. For precise measurement of the required dimensions, it is preferred that the measuring process of the fixture be automated so that each and every necessary dimension is measured repeatedly and accurately to determine the average height dimension at each critical point. As schematically illustrated in FIGS. 6 and 7 for example, each board measurement taken from printed circuit board assembly 12 has a corresponding plate measurement taken from cold plate 14 which when compared will yield each gap 40 between the cold plate and each standard package 18. For illustrative purposes, if the particular module 10 is to include fifteen standard packages, the measurements for each standard package are given a number from one to fifteen. A module assembly operator may then select from the various thermal shims 50 the proper thickness of shim for each gap 40 determined for locations one through fifteen. Preferably, one side of each thermal shim 50 has an adhesive layer or film thereon for attaching the shims to cold plate 14 at each location that will confront a standard package 18 mounted on printed circuit board assembly 12. To facilitate disassembly and repair of individual high performance standard packages 18, thermal shims 50 are not adhered directly to the top of each package. Removing a thermal shim 50 which is partially or completely adhered to a standard package 18 may damage or destroy the integrated circuit package whereas it is much easier to remove a thermal shim from cold plate 14 without damaging any computer component. Additionally, circuit packages 18 get very hot while the computer into which they are installed is running. Such an application of shims 40 would require a higher quality, more expensive adhesive. To simplify initial assembly and to facilitate disassembly and repair of circuit module 10 it is desirable that the automated gap measuring system produce a recorded output or printout of each measurement and its corresponding location (one through 15 in the example) for both the printed circuit board 12 and cold plate 14 subassemblies. As in the example, a circuit module may include fifteen standard packages carried on each printed circuit board assembly and have fifteen corresponding locations on one side of cold plate 14. The printout preferably includes a number for each location from one to fifteen, a corresponding board measurement, a corresponding plate measurement, and a gap measurement for each expected gap 40 at each location. During initial assembly, a module assembly operator refers to the printout, determines each gap 40 for a given location, selects the appropriate color shim 50 corresponding to each expected gap, and adheres the thermal shim to cold plate 14 at the given location. For replacement and repair, an operator disassembles circuit module 10 and removes the suspect standard package 18 from circuit board 12. The operator then reinstalls the new or repaired component and remeasures, as shown in FIG. 9, the height dimension or board measurement of the newly installed component by hand with a highly accurate measuring tool such as a depth micrometer 60. The operator then refers to the original recorded printout for the corresponding cold plate dimension for that particular location, calculates the new gap 40, selects the appropriate shim 50, and adheres the shim to cold plate 14 at the given location. In an alternative embodiment, FIG. 4 illustrates a partially exploded view of a computer 70 which is air cooled. A printed circuit board assembly 12 is mounted to an air cooled plate 74. Board assembly 12 is of essentially the same construction as board assemblies 12 and 13 described above and will not be described in detail here. Cold plate 74 carries thereon a plurality of spacers 76 serving the same function as spacers 32 described above. A typical PEM may be cooled by either a liquid cooled or air cooled cold plate depending on the cooling system of the particular computer into which module 70 will be installed. Air cooled cold plate 74 of this embodiment is illustrated to show the difference in construction from liquid cooled cold plate 14 of the prior embodiment. Only one printed circuit board assembly 12 is used when the module is air cooled. No pull down pins 38 described in the prior embodiment are necessary for an air cooled module. Since only one board assembly 12 is present, the board assembly may be screwed directly to the cold plate 74 without damaging any components. As shown in FIGS. 3 and 5, to finish assembly of PEM 10 (FIG. 3) or PEM 70 (FIG. 5) a number of daughter card assemblies 80 are connected to the printed circuit board assemblies 12 and 13. Alignment pins 34 provide precise axial or horizontal alignment between PEM 10 and daughter cards 80, as shown in FIG. 3. Similarly, alignment pins 78 align daughter cards 80 with PEM 70, as shown in FIG. 5. Daughter cards 80 are preferably identical regardless of the cooling system and may be attached to either type of module. By utilizing standard integrated circuit packages, standard daughter cards and the cooling method of the invention, standardized processor element modules may be constructed and effectively used in place of custom designed modules. It is to be understood that the above description is intended to be illustrative, and not restrictive. Many other embodiments will be apparent to those of skill in the art upon reviewing the above description. The scope of the invention should, therefore, be determined with reference to the appended claims, along with the full scope of equivalents to which such claims are entitled.
A method and apparatus for cooling high power integrated circuits mounted on a printed circuit board. The invention includes producing a cold plate adapted to receive the printed circuit board thereon. A series of thermal shims are produced in incremental varying thicknesses from a thermally conductive material. A gap between each integrated circuit and the cold plate is determined that would result when the plate and board are assembled. One of the thermal shims is selected for each gap, the thickness of each selected shim being dependent upon the gap for which it is selected. The shim is placed between the cold plate and the integrated circuit so that when the module is assembled, the gap is eliminated and a thermal conductive path between the cold plate and each circuit is created.
REFERENCE TO RELATED APPLICATIONS [0001] This application claims one or more inventions which were disclosed in Provisional Application No. 61/186,473, filed Jun. 12, 2009, entitled “PROPORTIONAL POSITION FEEDBACK HYDRAULIC SERVO SYSTEM”. The benefit under 35 USC §119(e) of the United States provisional application is hereby claimed, and the aforementioned application is hereby incorporated herein by reference. BACKGROUND OF THE INVENTION [0002] Field of the Invention [0003] The invention pertains to the field of servo systems. More particularly, the invention pertains to a proportional position feedback hydraulic servo system. SUMMARY OF THE INVENTION [0004] An actuator system for positioning a valve or other device with a mechanical input using a fluid operated actuator, a mechanical position feedback member coupled to a feedback element of the fluid operated actuator and a pilot valve. The fluid operated actuator has an output coupled to the mechanical input of the valve or other device, a feedback element for mechanically indicating a position of the valve or other device, and inputs for actuating fluid, such that fluid at the inputs causes the fluid operated actuator to move bi-directionally. The pilot valve has outputs coupled to the inputs of the fluid operated actuator, a first opposing force input coupled to the mechanical position feedback member and a second opposing force input coupled to a control input force, the first opposing force input and the second opposing force input being reciprocal to each other such that the position of the activation fluid valve is controlled by a balance between the force from the mechanical feedback member and the control input force. BRIEF DESCRIPTION OF THE DRAWING [0005] FIG. 1 shows a block diagram of a fluid servo system. [0006] FIG. 2 a shows a schematic of a fluid servo system of a first embodiment in an equilibrium position. [0007] FIG. 2 b shows a schematic of a fluid servo system of a first embodiment moving towards a first position. [0008] FIG. 2 c shows a schematic of a fluid servo system in a first embodiment moving towards a second position. [0009] FIG. 3 a shows a schematic of a fluid servo system of a second embodiment in an equilibrium position. [0010] FIG. 3 b shows a schematic of a fluid servo system of a second embodiment moving towards a first position. [0011] FIG. 3 c shows a schematic of a fluid servo system in a second embodiment moving towards a second position. [0012] FIG. 4 a shows a schematic of a fluid servo system of a third embodiment in an equilibrium position. [0013] FIG. 4 b shows a schematic of a fluid servo system of a third embodiment moving towards a first position. [0014] FIG. 4 c shows a schematic of a fluid servo system in a third embodiment moving towards a second position. [0015] FIG. 5 a shows a schematic of a fluid servo system of fourth embodiment in an equilibrium position. [0016] FIG. 5 b shows a schematic of a fluid servo system of a fourth embodiment moving towards a first position. [0017] FIG. 5 c shows a schematic of a fluid servo system of a fourth embodiment moving towards a second position. DETAILED DESCRIPTION OF THE INVENTION [0018] FIG. 1 shows a block diagram of a fluid servo system of the present invention. A valve or other device 100 has a mechanical input connected to the output of a fluid operated actuator 110 . The fluid operated actuator 110 may be a rotary actuator, a linear actuator, or any other type of fluid operated actuator. The fluid can be oil or air or other fluids known to the art. A pilot valve 150 is connected to the fluid powered actuator 110 to operate the actuator 110 receiving mechanical position feedback through member 130 from the actuator 110 . The mechanical position feedback member is coupled to a feedback element 180 of the fluid operated actuator. The feedback element 180 may be a cam or wedge in the case of a rotary actuator or directly off an element of a linear actuator. The mechanical position feedback member 130 applies a force relative to the actuator 110 position by a follower 130 on a cam or wedge 180 connected to the mechanical position feedback member 130 , coupled to a resilient element 134 with known force versus deflection characteristics such as a spring on a first side 140 of the activation fluid valve 150 . On a second opposing side 160 of the activation fluid valve 150 is a control input force 170 . The control input force 170 may be provided by a fluid actuator, a mechanical actuator, or an electrical actuator. The embodiments discussed below exemplify the block diagram of FIG. 1 , although other combinations are within the scope of the invention. [0019] FIGS. 2 a - 2 c show schematics of a first embodiment of a hydraulic servo system as shown in FIG. 1 , with proportional position feedback. FIG. 2 a shows a schematic of a hydraulic servo system of a first embodiment in an equilibrium position. FIG. 2 b shows a schematic of a hydraulic servo system of a first embodiment moving towards a first position. FIG. 2 c shows a schematic of a hydraulic servo system in a first embodiment moving towards a second position. The fluid circuits of FIGS. 2 a - 2 c are controlled by a meter in pilot. [0020] In this embodiment, the fluid operated actuator 110 is a double acting hydraulic actuator 2 and is in fluid communication with the pilot valve 150 , which is a pilot operated control valve 6 . The double acting hydraulic actuator 2 operates a valve 100 or other device that is to be positioned (not shown) through mechanical input and a feedback element 180 , for example, a rod 2 c with a piston 2 b that is received within the housing 2 a of the hydraulic actuator 2 . A first fluid chamber 3 a is formed between the housing 2 a and one side of the piston 2 b and a second fluid chamber 3 b is formed between the housing 2 a and the other side of the piston 2 b. Mechanical position feedback 130 from the actuator is applied by the end 2 d of the rod 2 c opposite the valve 100 which is preferably tapered and contacts a spring 7 of a pilot operated control valve 6 through a means 8 which compresses the spring 7 in proportion to the double acting hydraulic actuator motion. The means 8 may be a tab, a rotary device that feeds back via cam/spring or feedback may be via a spring that contacts the end of the rod 2 d. [0021] The pilot operated control valve 6 preferably includes a spool with a plurality of lands. The pilot operate control valve 6 has at least three distinct positions and an infinite number of intermediate positions. In a first position 9 a and a second position 9 c, fluid may flow between the central pressurized oil supply 22 and the pilot operated control valve 6 and between the pilot operated control valve 6 and the chambers 3 a, 3 b of the double acting hydraulic actuator 2 . In a neutral or third position, 9 b, fluid is restricted from flowing to or from the double acting hydraulic actuator 2 . The pilot operated control valve 6 is moved between the positions by forces on the first side 140 and second side 160 of the valve 6 . The pilot operated control valve 6 is calibrated by adjusting a spring 10 and actuated by a piloted pressure from a pilot port 12 on a second side 160 and a spring 7 on a first side 140 of the pilot operated control valve 6 that is in contact with the double acting hydraulic actuator 2 through means 8 . [0022] The piloted pressure on the second side 160 of the pilot operated control valve 6 is provided to the pilot port 12 by a control input force 170 , which in this embodiment is a meter in pilot valve circuit. The meter in pilot valve circuit includes: a meter in analog or digital proportional flow control valve 30 that modulates the pilot pressure to the pilot port 12 of the pilot operated control valve 6 , a pressure line 40 in fluid communication with a central pressurized oil supply 22 , a hydraulic line 24 introducing fluid to chambers 3 a, 3 b in the hydraulic actuator 2 through the pilot operated control valve 6 , a hydraulic line 26 receiving fluid from the pilot operated control valve 6 from which fluid is exiting the hydraulic actuator 2 to sump 20 and a hydraulic line 36 with a restriction 38 in fluid communication with line 26 leading to the pilot port 12 on the pilot operated control valve 6 . [0023] The proportional flow control valve 30 has at least three positions. The proportional flow control valve 30 is moved between the positions by a spring 33 one side of the valve and an analog proportional electric actuator such as a solenoid 32 on the opposite side of the valve. The proportional valve can also be a digital type that has a flow rate controlled by the duty cycle of a pulse width modulated (PWM) electrical signal In a first position 34 a, fluid from the central pressurized oil supply 22 and line 40 are blocked and fluid to or from the pilot port 12 on the pilot operated control valve 6 is blocked from exiting through the valve 30 . In a second position 34 c, fluid from the central pressurized oil supply 22 and line 40 flows to the pilot port 12 on a second side of the pilot operated control valve 6 unrestricted. In a neutral or third position 34 b, fluid from the central pressurized oil supply and line 40 flows to the pilot port 12 on a second side of the pilot operated control valve 6 through a restricted orifice of the analog or digital proportional flow control valve 30 . [0024] Referring to FIG. 2 a , the pilot operated control valve 6 and the analog or digital proportional flow control valve 30 are in equilibrium positions 9 b, 34 b. In the equilibrium positions, the spring force 7 on the first side of the pilot operated control valve 6 and the force of the spring 10 and pilot force from the pilot port 12 on the second side of the pilot operated control valve 6 are equal. With the pilot operated control valve 6 in this position, fluid is restricted from flowing to or from the chambers 3 a, 3 b of the double acting hydraulic actuator 2 . The force of the spring 33 on one side of the analog proportional flow control valve 30 is equal to the force of the proportional solenoid 32 on the opposite side of the proportional flow control valve 30 . If a digital proportional flow control is used, the pressure applied to the actuator on the valve 6 is dependent upon the duty cycle of the PWM signal applied to the digital pilot valve solenoid 32 rather than being dependent of the current level. In other words, if the current to the analog proportional solenoid 32 is steady or if the duty cycle to the digital pilot valve is steady, position 9 b will be maintained. With the proportional flow control valve 30 in the equilibrium position 34 b, fluid from line 26 flows to line 36 and through a restriction 38 to the pilot port 12 on the second side of the pilot operated control valve 6 and fluid from line 40 in fluid communication with the central pressurized oil supply 22 flows through a restricted orifice of the proportional flow control valve 30 to the pilot port 12 on the second side of the pilot operated control valve 6 . [0025] Referring to FIG. 2 b , the current to the proportional solenoid 32 on the one side of the proportional flow control valve 30 is increased and is greater than the force of the spring 33 on the other side of the proportional flow control valve 30 , moving the valve to the left in the figure or towards the spring 33 . In moving the proportional flow control valve 30 to position 34 c, fluid from the central pressurized oil supply 22 and line 40 flows unrestricted to the pilot port 12 on the pilot operated control valve 6 and fluid from line 26 and line 36 flow through the restriction 38 to the pilot port 12 . The same relationship exists if a digital flow control is used and if the duty cycle of the PWM signal to the digital flow control is increased. The force of spring 10 and pilot pressure from the pilot port 12 is greater than the spring force 7 on the opposite side of the pilot operated control valve 6 , moving the pilot operated control valve 6 towards the spring 7 to a position 9 a. With the pilot operated control valve 6 in this position, fluid from the central pressurized oil supply 22 flows through line 24 , through the pilot operated control valve 6 to line 14 and the first chamber 3 a of the double acting hydraulic actuator 2 . The fluid in the first chamber 3 a moves the piston 2 b mounted to the rod 2 c in the direction of the arrow shown in the figure, moving the tapered end 2 d of the rod and the valve 100 (not shown) to a first position. Movement of the rod 2 c of the double acting hydraulic actuator 2 compresses the tab 8 and the spring 7 , providing position feedback of the double acting hydraulic actuator 2 to the pilot operated control valve 6 . Fluid from the second chamber 3 b exits the double acting hydraulic actuator 2 through line 16 to the pilot operated valve 6 to line 26 leading to sump 20 or to line 36 with the restriction 38 leading to the pilot port 12 on the pilot operated control valve 6 . [0026] Referring to FIG. 2 c , the current to the proportional solenoid 32 on the one side of the proportional flow control valve 30 is decreased and the force of the spring 33 on the other side of the proportional flow control valve 30 is greater than the force of the proportional solenoid 32 , moving the valve 30 to the right in the figure or away from the spring 33 . In moving the proportional flow control valve 30 to position 34 a, fluid from the central pressurized oil supply 22 through line 40 is blocked from flowing to the pilot port 12 on the pilot operated control valve 6 . A small amount of fluid from line 26 and line 36 flows through the restriction 38 to the pilot port 12 , but the pressure of this fluid is just enough to maintain equilibrium with the force of the spring 7 . When the force of spring 7 is greater than the spring force 10 and the pilot port 12 on the opposite side of the pilot operated control valve 6 , it moves the pilot operated control valve 6 away, decompressing spring 7 to attain position 9 c. With the pilot operated control valve 6 in this position, fluid from the central pressurized oil supply 22 flows through line 24 , through the pilot operated control valve 6 exhausted through line 16 from and the second chamber 3 b of the double acting hydraulic actuator 2 . The fluid in the first chamber 3 b moves the piston 2 b mounted to the rod 2 c in the direction of the arrow shown in the figure, moving the tapered end 2 d of the rod 2 c and the valve 100 (not shown) to a second position. Movement of the rod 2 c of the double acting hydraulic actuator 2 decompresses the tab 8 and the spring 7 , providing position feedback of the double acting hydraulic actuator 2 to the pilot operated control valve 6 . Fluid from the first chamber 3 a exits the double acting hydraulic actuator 2 through line 14 to the pilot operated valve 6 to line 26 , leading to sump 20 or to line 36 with the restriction 38 . The same relationship exists if a digital flow control is used and the duty cycle of the PWM signal to the digital flow control is decreased. [0027] FIGS. 3 a - 3 c show schematics of a second embodiment hydraulic servo system as shown in FIG. 1 which includes proportional position feedback. FIG. 3 a shows a schematic of a hydraulic servo system of a second embodiment in an equilibrium position. FIG. 3 b shows a schematic of a hydraulic servo system of a second embodiment moving towards a first position. FIG. 3 c shows a schematic of a hydraulic servo system in a second embodiment moving towards a second position. [0028] One of the differences between the hydraulic servo system shown in FIGS. 2 a - 2 c and the hydraulic servo system shown in FIGS. 3 a - 3 c is the replacement of line 36 with a restriction 38 in fluid communication with line 26 and that the pilot port 12 on one of the pilot operated control valve 6 is in fluid communication with line 24 , the central pressurized oil supply 22 and line 44 with a restriction 46 . Another difference is that the analog or digital proportional flow control valve 60 of the second embodiment is in a meter out pilot valve circuit instead of a meter in pilot valve circuit as in the first embodiment and is controlled by an analog or digital proportional flow control valve 60 . [0029] In this embodiment, the fluid operated actuator 110 is a double acting hydraulic actuator 2 and is in fluid communication with the activation fluid valve 150 , which is a pilot operated control valve 6 . The double acting hydraulic actuator 2 operates a valve 100 (not shown) through mechanical input and a feedback element 180 , for example, a rod 2 c with a piston 2 b that is received within the housing 2 a of the hydraulic actuator 2 . A first fluid chamber 3 a is formed between the housing 2 a and one side of the piston 2 b and a second fluid chamber 3 b is formed between the housing 2 a and the other side of the piston 2 b. Mechanical position feedback 130 from the actuator is preferably applied by the end 2 d of the rod 2 c opposite the valve 100 which is preferably tapered and contacts a spring 7 of a pilot operated control valve 6 through a means 8 which compresses the spring 7 in proportion to the double acting hydraulic actuator motion. The means 8 may be a tab, a rotary device that feeds back via cam/spring or feedback may be via a spring that contacts the end of the rod 2 d. [0030] The pilot operated control valve 6 preferably includes a spool with a plurality of lands. The pilot operate control valve 6 has at least three positions. In a first position 9 a and a second position 9 c, fluid may flow between the central pressurized oil supply 22 and the pilot operated control valve 6 and between the pilot operated control valve 6 and the chambers 3 a, 3 b of the double acting hydraulic actuator 2 . In an equilibrium position or third position, 9 b, fluid is prevented from flowing to or from the double acting hydraulic actuator 2 . The pilot operated control valve 6 is moved between the positions by forces on the first side 140 and second side 160 of the pilot operated control valve 6 . The pilot operated control valve 6 is actuated by a spring 10 and piloted pressure from a pilot port 12 on a second side 160 and a spring 7 on a first side 140 of the pilot operated control valve 6 that is in contact with the double acting hydraulic actuator 2 through means 8 . [0031] The piloted pressure on the second side 160 of the pilot operated valve 6 is provided by a control input force 170 , which in this embodiment is a meter out pilot valve circuit. The meter out pilot valve circuit includes a meter out analog or digital proportional flow control valve 60 that modulates the pilot pressure of the pilot port 12 of the pilot operated control valve 6 , a pressure line 44 with a restriction 46 in fluid communication with a central pressurized oil supply 22 , line 24 ; a hydraulic line 24 introducing fluid to chambers 3 a, 3 b in the hydraulic actuator 2 through the pilot operated control valve 6 , and a hydraulic line 26 receiving fluid from the pilot operated control valve 6 from which fluid is exiting the hydraulic actuator to sump 20 . The analog or digital proportional flow control valve 60 has three distinct positions and an infinite number of intermediate positions. The analog or digital proportional flow control valve 60 is moved by a spring 33 on one side of the valve and a proportional solenoid 32 on the opposite side of the valve. In a first position 64 a, fluid from the pilot port 12 on the pilot operated control valve 6 flows to sump 48 . In a second position 64 c, fluid is blocked from flowing to or from the pilot port 12 to sump 48 . In an equilibrium position or third position 64 b, fluid from the pilot port 12 flows to the sump 48 through a variable orifice. [0032] Referring to FIG. 3 a , the pilot operated control valve 6 and the analog proportional flow control valve 60 are in the equilibrium positions 9 b, 64 b. In the equilibrium position, the spring force 7 on the first side 140 of the pilot operated control valve 6 and the force of the spring 10 and pilot force from the pilot port 12 on the second side 160 of the pilot operated control valve 6 are equal. With the pilot operated control valve 6 in this position, fluid is restricted from flowing to or from the chambers 3 a, 3 b of the double acting hydraulic actuator 2 . The force of the spring 33 on one side of the proportional flow control valve 60 is equal to the force of the proportional solenoid 32 . In other words the current to the proportional solenoid 32 is steady. With the proportional flow control valve 60 in the equilibrium position 64 b, fluid from the pilot port 12 on the pilot operated control valve 6 flows to sump 48 through a variable orifice of the proportional flow control valve 60 . Fluid also flows from central pressurized oil supply 22 into line 44 , through the restriction 46 to the pilot port 12 on the pilot operated control valve 6 . The force of the fluid from line 44 that flows into the pilot port 12 and the flow through the variable orifice of the proportional flow control valve 60 to sump 48 in addition with the force provided by spring 10 is equal to the force of the spring 7 on the opposite side of the pilot operated control valve 6 . If a digital proportional flow control is used, the pressure applied to the an actuator on the valve 6 is dependent upon the duty cycle of the PWM signal applied to the digital pilot valve solenoid rather than being dependent of the current level. [0033] Referring to FIG. 3 b , the current to the proportional solenoid 32 on the one side of the analog proportional flow control valve 60 is increased and is greater than the force of the spring 33 on the other side of the analog proportional flow control valve 60 , moving the valve 60 to the left in the figure or towards the spring 33 . In moving the analog proportional flow control valve 60 to position 64 c, fluid from the pilot port 12 on the pilot operated control valve 6 is blocked from flowing to sump 48 . Fluid from the central pressurized oil supply 44 flows through restriction 46 to the pilot port 12 on the pilot operated control valve 6 . The force of spring 10 and pilot pressure from the pilot port 12 is greater than the spring force 7 on the opposite side of the pilot operated control valve 6 , moving the pilot operated control valve 6 to the towards the spring 7 to a position 9 a. With the pilot operated control valve 6 in this position, fluid from the central pressurized oil supply 22 flows through line 24 , through the pilot operated control valve 6 to line 14 and the first chamber 3 a of the double acting hydraulic actuator 2 . The fluid in the first chamber 3 a moves the piston 2 b mounted to the rod 2 c in the direction of the arrow shown in the figure, moving the tapered end 2 d of the rod 2 c and the valve 100 (not shown) to a first position. Movement of the rod 2 c of the double acting hydraulic actuator 2 compresses the tab 8 and the spring 7 , providing position feedback of the double acting hydraulic actuator 2 to the pilot operated control valve 6 . Fluid from the second chamber 3 b exits the double acting hydraulic actuator 2 through line 16 to the pilot operated valve 6 to line 26 leading to sump 20 . [0034] Referring to FIG. 3 c , the current to the proportional solenoid 32 on the one side of the analog proportional flow control valve 60 is decreased and the force of the spring 33 on the other side of the proportional flow control valve 60 is greater than the force of the proportional solenoid 32 , moving the valve 60 to the right in the figure or away from the spring 33 . In moving the proportional flow control valve 60 to position 64 a, fluid from the pilot port 12 on the pilot operated control valve 6 exits through the proportional flow control valve 60 to sump 48 . While fluid from the central pressurized oil supply 22 is still supplied to the pilot port 12 through line 44 and the restriction 46 , this fluid also drains through the proportional flow control valve 60 to sump 48 . Any pressure or force of the fluid flowing to the pilot port 12 is not significant enough to over power the force of the spring 7 . The force of spring 7 is greater than the spring force 10 and the pilot port 12 on the opposite side of the pilot operated control valve 6 , moving the pilot operated control valve 6 away the spring 7 to a position 9 c. With the pilot operated control valve 6 in this position, fluid from the central pressurized oil supply 22 flows through line 24 , through the pilot operated control valve 6 to line 16 and the second chamber 3 b of the double acting hydraulic actuator 2 . The fluid in the first chamber 3 b moves the piston 2 b mounted to the rod 2 c in the direction of the arrow shown in the figure, moving the tapered end 2 d of the rod 2 c and the valve 100 (not shown) to a second position. Movement of the rod 2 c of the double acting hydraulic actuator 2 decompresses the tab 8 and the spring 7 , providing position feedback of the double acting hydraulic actuator 2 to the pilot operated control valve 6 . Fluid from the first chamber 3 a exits the double acting hydraulic actuator 2 through line 14 to the pilot operated valve 6 to line 26 leading to sump 20 . If digital proportional flow control is used, the pressure applied to the actuator on valve 6 is dependent upon the duty cycle of the PWM signal applied to the digital pilot valve solenoid rather than being dependent of the current level. [0035] FIGS. 4 a - 4 c show schematics of a third embodiment of a hydraulic servo system as shown in FIG. 1 , with proportional position feedback. FIG. 4 a shows a schematic of a hydraulic servo system of a third embodiment in an equilibrium position. FIG. 4 b shows a schematic of a hydraulic servo system of a third embodiment moving towards a first position. FIG. 4 c shows a schematic of a hydraulic servo system in a third embodiment moving towards a second position. The fluid circuits of FIGS. 4 a - 4 c are controlled by a meter out pilot. [0036] One of the differences between the hydraulic servo system of shown in FIGS. 2 a - 2 c and the hydraulic servo system shown in FIGS. 4 a - 4 c is the replacement of line 36 with a restriction 38 in fluid communication with line 26 and the pilot port 12 on the pilot operated control valve 6 . Line 44 contains a restriction 46 and is in fluid communication with line 24 and the central pressurized oil supply 22 and is also in fluid communication with the pilot port 12 on one side of the pilot operated control valve 6 . Another difference is that the proportional flow control valve 60 of the second embodiment is in a meter out pilot valve circuit instead of a meter in pilot valve circuit as in the first embodiment and is controlled by a proportional relief control valve instead of a proportional flow control valve as in the second embodiment. [0037] In this embodiment, the fluid operated actuator 110 is a double acting hydraulic actuator 2 and is in fluid communication with the activation fluid valve 150 , which is a pilot operated control valve 6 . The double acting hydraulic actuator 2 operates a valve 100 or other device (not shown) through mechanical input and a feedback element 180 , for example, a rod 2 c with a piston 2 b that is received within the housing 2 a of the hydraulic actuator 2 . A first fluid chamber 3 a is formed between the housing 2 a and one side of the piston 2 b and a second fluid chamber 3 b is formed between the housing 2 a and the other side of the piston 2 b. Mechanical position feedback 130 from the actuator is preferably applied by the end 2 d of the rod 2 c opposite the valve 100 which is preferably tapered and contacts a spring 7 of a pilot operated control valve 6 through a means 8 which compresses the spring 7 in proportion to the double acting hydraulic actuator motion. The means 8 may be a tab, a rotary device that feeds back via cam/spring or feedback may be via a spring that contacts the end of the rod 2 d. [0038] The pilot operated control valve 6 includes a spool with a plurality of lands. The pilot operate control valve 6 has at least three positions. In a first position 9 a and a second position 9 c, fluid may flow between the central pressurized oil supply 22 and the pilot operated control valve 6 and between the pilot operated control valve 6 and the chambers 3 a, 3 b of the double acting hydraulic actuator 2 . In a neutral or third position, 9 b, fluid is prevented from flowing to or from the double acting hydraulic actuator 2 . The pilot operated control valve 6 is moved between the positions by forces on the first side 140 and second side 160 of the pilot operated control valve 6 . The pilot operated control valve 6 is actuated by a spring 10 and piloted pressure from a pilot port 12 on a second side 160 and a spring 7 on a first side 140 of the pilot operated control valve 6 that is in contact with the double acting hydraulic actuator 2 . [0039] The piloted pressure on the second side 160 of the pilot operated control valve 6 is provided by a control input force 170 , which in this embodiment is a meter out pilot valve circuit. The meter out pilot valve circuit includes a meter out proportional relief control valve 80 that modulates the pilot pressure from the pilot port 12 of the pilot operated control valve 6 , a pressure line 44 with a restriction 46 in fluid communication with a central pressurized oil supply 22 , line 24 , the pilot port 12 on the pilot operated control valve 6 , and the pilot port 52 on one side of the proportional relief control valve 80 ; a hydraulic line 24 introducing fluid to a chamber 3 a, 3 b in the hydraulic actuator 2 through the pilot operated control valve 6 , and a hydraulic line 26 receiving fluid from the pilot operated control valve 6 from which fluid is exiting the hydraulic actuator 2 to sump 20 . The proportional relief control valve 80 has at least three positions. The proportional relief control valve 80 is moved between the positions by pressure from the pilot port 52 one side of the valve and a proportional solenoid 32 on the opposite side of the valve. In a first position 84 a, fluid from the pilot port 12 on the pilot operated control valve 6 flows to sump 48 . In a second position 84 c, fluid is blocked from flowing to or from the pilot port 12 to sump 48 . In an equilibrium position or third position 84 b, fluid from the pilot port 12 flows to the sump 48 through a variable orifice of the proportional relief control valve 80 . [0040] Referring to FIG. 4 a , the pilot operated control valve 6 and the proportional relief control valve 80 are in the equilibrium positions 9 b, 84 b. In the equilibrium position, the spring force 7 on the first side of the pilot operated control valve 6 and the force of the spring 10 and pilot force from the pilot port 12 on the second side of the pilot operated control valve 6 are equal. With the pilot operated control valve 6 in this position, fluid is restricted from flowing to or from the chambers 3 a, 3 b of the double acting hydraulic actuator 2 . Fluid flows from central pressurized oil supply 22 into line 44 , through the restriction 46 to the pilot port 52 on one side of the proportional relief control valve 80 . The pilot force from the pilot port 52 on one side of the proportional relief control valve 80 is equal to the force of the proportional solenoid 32 on the opposite side of the proportional relief control valve 80 . In other words the current to the proportional solenoid 32 is steady. With the proportional relief control valve 80 in the equilibrium position 84 b , fluid from the pilot port 12 on the pilot operated control valve 6 flows to sump 48 through a variable orifice of the proportional relief control valve 80 . Fluid also flows from central pressurized oil supply 22 into line 44 , through the restriction 46 to the pilot port 12 on the pilot operated control valve 6 . The force of the fluid from line 44 that flows into the pilot port 12 and the flow through the variable orifice of the proportional relief control valve 80 to sump 48 in addition the force provided by spring 10 is equal to the force of the spring 7 on the opposite side of the pilot operated control valve 6 of the pilot operated control valve 6 . [0041] Referring to FIG. 4 b , the current to the proportional solenoid 32 on the one side of the proportional relief control valve 80 is increased and is greater than the pilot force from the pilot port 52 on the other side of the proportional relief control valve 80 , moving the valve to the left in the figure or towards the pilot port 52 . In moving the proportional relief control valve 80 to position 84 c, fluid from the pilot port 12 on the pilot operated control valve 6 is blocked from flowing to sump 48 . Fluid from the central pressurized oil supply 44 flows through restriction 46 to the pilot port 12 on the pilot operated control valve 6 . The force of spring 10 and pilot pressure from the pilot port 12 is greater than the spring force 7 on the opposite side of the pilot operated control valve 6 , moving the pilot operated control valve 6 to the towards the spring 7 to a position 9 a. With the pilot operated control valve 6 in this position, fluid from the central pressurized oil supply 22 flows through line 24 , through the pilot operated control valve 6 to line 14 and the first chamber 3 a of the double acting hydraulic actuator 2 . The fluid in the first chamber 3 a moves the piston 2 b mounted to the rod 2 c in the direction of the arrow shown in the figure, moving the tapered end 2 d of the rod 2 c and the valve 100 or other device (not shown) to a first position. Movement of the rod 2 c of the double acting hydraulic actuator 2 compresses the tab 8 and the spring 7 , providing position feedback of the double acting hydraulic actuator 2 to the pilot operated control valve 6 . Fluid from the second chamber 3 b exits the double acting hydraulic actuator 2 through line 16 to the pilot operated valve 6 to line 26 leading to sump 20 . [0042] Referring to FIG. 4 c , the current to the proportional solenoid 32 on the one side of the proportional relief control valve 80 is decreased and the pilot force of pilot port 52 on the other side of the proportional relief control valve 80 is greater than the force of the proportional solenoid 32 , moving the valve to the right in the figure or away from the pilot port 52 . In moving the proportional relief control valve 80 to position 84 a, fluid from the pilot port 12 on the pilot operated control valve 6 exits through the proportional relief control valve 80 to sump 48 . While fluid from the central pressurized oil supply 22 is still supplied to the pilot port 12 through line 44 and the restriction 46 , this fluid also drains through the proportional relief control valve 80 to sump 48 . Any pressure or force of the fluid flowing to the pilot port 12 is not significant enough to over power the force of the spring 7 . The force of spring 7 is greater than the spring force 10 and the pilot port 12 on the opposite side of the pilot operated control valve 6 , moving the pilot operated control valve 6 to decompress spring 7 to attain position 9 c. With the pilot operated control valve 6 in this position, fluid from the central pressurized oil supply 22 flows through line 24 , through the pilot operated control valve 6 to line 16 and the second chamber 3 b of the double acting hydraulic actuator 2 . The fluid in the first chamber 3 b moves the piston 2 b mounted to the rod 2 c in the direction of the arrow shown in the figure, moving the tapered end 2 d of the rod 2 c and the valve 100 (not shown). Movement of the rod 2 c of the double acting hydraulic actuator 2 decompresses the tab 8 and the spring 7 , providing position feedback of the double acting hydraulic actuator 2 to the pilot operated control valve 6 . Fluid from the first chamber 3 a exits the double acting hydraulic actuator 2 through line 14 to the pilot operated valve 6 to line 26 leading to sump 20 . [0043] FIGS. 5 a - 5 c show schematics of fourth embodiment of a hydraulic servo system as shown in FIG. 1 , with proportional position feedback. FIG. 5 a shows a schematic of a hydraulic servo system of a fourth embodiment in an equilibrium position. FIG. 5 b shows a schematic of a hydraulic servo system of a fourth embodiment moving towards a first position. FIG. 5 c shows a schematic of a hydraulic servo system in a fourth embodiment moving towards a second position. [0044] In this embodiment, the fluid operated actuator 110 is a double acting hydraulic actuator 2 and is in fluid communication with the activation fluid valve 150 , which is a pilot operated control valve 6 . The double acting hydraulic actuator 2 operates a valve 100 or other device (not shown) through mechanical input and a feedback element 180 , for example, a rod 2 c with a piston 2 b that is received within the housing 2 a of the hydraulic actuator 2 . A first fluid chamber 3 a is formed between the housing 2 a and one side of the piston 2 b and a second fluid chamber 3 b is formed between the housing 2 a and the other side of the piston 2 b. Mechanical position feedback 130 from the actuator is preferably applied by the end 2 d of the rod 2 c opposite the valve 100 which is preferably tapered and contacts a spring 7 of a pilot operated control valve 6 through a means 8 which compresses the spring 7 in proportion to the double acting hydraulic actuator motion. The means 8 may be a tab, a rotary device that feeds back via cam/spring or feedback may be via a spring that contacts the end of the rod 2 d. [0045] The pilot operated control valve 6 includes a spool with a plurality of lands. The pilot operate control valve 6 has at least three distinct positions and an infinite number of intermediate positions. In a first position 9 a and a second position 9 c, fluid may flow between the central pressurized oil supply 22 and the pilot operated control valve 6 and the pilot operated control valve 6 and the chambers 3 a, 3 b of the double acting hydraulic actuator 2 . In a neutral or third position, 9 b, fluid is prevented from flowing to or from the double acting hydraulic actuator 2 . The pilot operated control valve 6 is moved between the positions by forces on the first side 140 and second side 160 of the pilot operated control valve 6 . The pilot operated control valve 6 is actuated by a spring 10 and piloted pressure from a pilot port 12 on a second side 160 and a spring 7 on a first side 140 of the pilot operated control valve 6 that is in contact with the double acting hydraulic actuator 2 . [0046] The piloted pressure on the second side 160 of the pilot operated control valve 6 is provided to the pilot port 12 by a control input force 170 , which in this embodiment is a pressure control valve meter in pilot valve circuit. The pressure control valve meter in pilot valve circuit includes a meter in proportional pressure control valve 70 that modulates the pilot pressure to the pilot port 12 of the pilot operated control valve 6 , a pressure line 40 in fluid communication with a central pressurized oil supply 22 and in fluid communication with the proportional pressure control valve 70 leading to the pilot port 12 on the pilot operated control valve 6 , a hydraulic line 24 introducing fluid to chambers 3 a, 3 b in the hydraulic actuator 2 through the pilot operated control valve 6 , and a hydraulic line 26 receiving fluid from the pilot operated control valve 6 from which fluid is exiting the hydraulic actuator 2 to sump 20 . [0047] The proportional pressure control valve 70 has at least three positions. The proportional pressure control valve 70 is moved between the positions by a spring 72 and pilot port 52 one side of the valve and a proportional solenoid 32 on the opposite side of the valve. In a first position 74 a, fluid from the central pressurized oil supply 22 and line 44 are blocked and fluid to or from the pilot port 12 on the pilot operated control valve 6 exits to sump 48 through a variable orifice of the proportional pressure control valve 70 . In a second position 74 c, fluid from the central pressurized oil supply 22 and line 44 flows to the pilot port 12 on the pilot operated control valve 6 through a variable orifice of the valve 70 . In a neutral or third position 74 b, fluid from the central pressurized oil supply 22 and line 44 flows to the pilot port 12 on the pilot operated control valve 6 through a variable orifice of the proportional pressure control valve 70 and another variable orifice leads to sump 48 . [0048] Referring to FIG. 5 a , the pilot operated control valve 6 and the proportional pressure control valve 70 are in the equilibrium positions 9 b, 74 b. In the equilibrium positions, the spring force 7 on the first side of the pilot operated control valve 6 and the force of the spring 10 and pilot force on the second side of the pilot operated control valve 6 are equal. With the pilot operated control valve 6 in this position, fluid is blocked from flowing to or from the chambers 3 a, 3 b of the double acting hydraulic actuator 2 . The force of the spring 72 and the pilot port 52 on one side of the proportional pressure control valve 70 is equal to the force of the proportional solenoid 32 on the opposite side of the proportional pressure control valve 70 . In other words the current to the proportional solenoid 32 is steady. With the proportional pressure control valve 70 in the equilibrium position 74 b, fluid from the central pressurized oil supply 22 flows to line 44 and through a variable orifice of the proportional flow control valve 70 to the pilot port 12 on the second side of the pilot operated control valve 6 . Fluid flowing to the pilot port 12 on the second side of the pilot operated control valve 6 supplies fluid to line 73 leading to the pilot port 52 on one side of the proportional pressure control valve 70 . [0049] Referring to FIG. 5 b , the current to the proportional solenoid 32 on the one side of the proportional pressure control valve 70 is increased and is greater than the force of the spring 72 and the pilot port 52 on the other side of the proportional pressure control valve 70 , moving the valve to the left in the figure or towards the spring 72 and pilot port 52 . In moving the proportional pressure control valve 70 to position 74 c, fluid from the central pressurized oil supply 22 and line 44 flows through a variable orifice of the proportional pressure control valve 70 to the pilot port 12 on the pilot operated control valve 6 . The force of spring 10 and pilot pressure from the pilot port 12 is greater than the spring force 7 on the opposite side of the pilot operated control valve 6 , moving the pilot operated control valve 6 towards the spring 7 to a position 9 a. With the pilot operated control valve 6 in this position, fluid from the central pressurized oil supply 22 flows through line 24 , through the pilot operated control valve 6 to line 14 and the first chamber 3 a of the double acting hydraulic actuator 2 . The fluid in the first chamber 3 a moves the piston 2 b mounted to the rod 2 c in the direction of the arrow shown in the figure, moving the tapered end 2 d of the rod 2 c and the valve 100 (not shown) to a first position. Movement of the rod 2 c of the double acting hydraulic actuator 2 compresses the tab 8 and the spring 7 , providing position feedback of the double acting hydraulic actuator 2 to the pilot operated control valve 6 . Fluid from the second chamber 3 b exits the double acting hydraulic actuator 2 through line 16 to the pilot operated valve 6 to line 26 leading to sump 20 . [0050] Referring to FIG. 5 c , the current to the proportional solenoid 32 on the one side of the proportional pressure control valve 70 is decreased and the force of the spring 72 and the pilot port 52 on the other side of the proportional pressure control valve 70 is greater than the force of the proportional solenoid 32 , moving the valve 70 to the right in the figure or away from the spring 72 and pilot port 52 . In moving the proportional pressure control valve 70 to position 74 a, fluid from the central pressurized oil supply 22 through line 44 is blocked from flowing through the proportional pressure control valve 70 to the pilot port 12 on the pilot operated control valve 6 . Any fluid in the pilot port 12 flows out through a variable orifice of the proportional pressure control valve 70 to sump 48 and to line 73 to pilot port 52 , aiding in moving the proportional pressure control valve 70 with the aid of the spring 72 to the right in the figure. With the remainder of the fluid flowing to sump 48 , the force of spring 7 is greater than the spring force 10 and the pilot port 12 on the opposite side of the pilot operated control valve 6 , moving the pilot operated control valve 6 away the spring 7 to a position 9 c. With the pilot operated control valve 6 in this position, fluid from the central pressurized oil supply 22 flows through line 24 , through the pilot operated control valve 6 to line 16 and the second chamber 3 b of the double acting hydraulic actuator 2 . The fluid in the first chamber 3 b moves the piston 2 b mounted to the rod 2 c in the direction of the arrow shown in the figure, moving the tapered end 2 d of the rod 2 c and the valve 100 (not shown) to a second position. Movement of the rod 2 c of the double acting hydraulic actuator 2 decompresses the tab 8 and the spring 7 , providing position feedback of the double acting hydraulic actuator 2 to the pilot operated control valve 6 . Fluid from the first chamber 3 a exits the double acting hydraulic actuator 2 through line 14 to the pilot operated valve 6 to line 26 leading to sump 20 . [0051] FIGS. 5 a - 5 c are examples of fluid circuits that are controlled by a proportional relieving pressure reducing pilot valve. [0052] The valve 100 may be a gas operated valve, a waste gate valve, an EGR valve, a turbocharger, or a bypass valve, or any other device that needs to be positioned. [0053] The pilot operated control valve and the proportional flow control valve and the proportional relieving pressure reducing pilot valve each have at least three distinct positions and an infinite number of intermediate positions. [0054] Accordingly, it is to be understood that the embodiments of the invention herein described are merely illustrative of the application of the principles of the invention. Reference herein to details of the illustrated embodiments is not intended to limit the scope of the claims, which themselves recite those features regarded as essential to the invention.
A system for positioning a device such as a valve with a mechanical input using a fluid operated actuator, a mechanical position feedback member coupled to a feedback element of the fluid operated actuator and an activation fluid valve. The fluid operated actuator has an output coupled to the mechanical input of the valve, a feedback element for mechanically indicating a position of the valve, and inputs for actuating fluid, such that fluid at the inputs causes the fluid operated actuator to move in opposing directions. The activation fluid valve has outputs coupled to the inputs of the fluid operated actuator, a first opposing force input coupled to the mechanical position feedback member and a second opposing force input coupled to a control input force. The position of the activation fluid valve is controlled by a balance between the force from the mechanical feedback member and the control input force.
BACKGROUND OF THE INVENTION This invention relates to a conductive pattern producing method, and more particularly, relates to an improvement for a conductive pattern producing method which makes the pattern thus produced by the method more credible. Heretofore, the interest of operators in manufacturing liquid crystal devices which comprises a pair of glass substrates and electrode pattern including addressing lines and signal lines for producing a matrix arrangement, is directed to how to form a pattern on the substrates without defects and with a high reliability of the pattern. Also the device generally includes an IC chip for driving the liquid crystal device. The facilitation and credibility of the connection between the IC chip and the pattern is always in question. Particularly, where a pattern is formed on a glass substrate, fissures are likely to occur in the pattern which cause disconnections in circuitry. SUMMARY OF THE INVENTION It is therefore an object of the invention to provide a method for producing a credible pattern. In order to accomplish the object of the invention, patterns are manufactured with double-layered film. BRIEF DESCRIPTION OF THE INVENTION FIGS. 1(A) to 1(D) are cross-sectional views showing a method of manufacturing a conductive pattern in accordance with the present invention. FIGS. 2(A) and 2(B) are a plan view and cross-sectional partial view showing a pattern formed in accordance with the present invention. FIGS. 3(A) and 3(B) are cross-sectional partial views showing contact portions between IC chips and conductive patterns in accordance with the present invention. FIGS. 4(A) and 4(B) are graphical diagrams of temperature curves which are used in methods in accordance with the present invention. FIGS. 5(A) to 5(C) are cross-sectional views showing a method in accordance with the present invention. FIG. 6 is a cross-sectional view showing the contact portion between an IC chip and the conductive pattern produced by the method as illustrated in FIGS. 5(A) to 5(C) . DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS Referring to FIGS. 1(A) to 1(D) , a method of forming a conductive pattern on a substrate for mounting thereon an IC chip in accordance with the present invention is shown. On a glass substrate 1 for liquid crystal device, only a portion of which is illustrated in the figures, a conductive pattern is formed by offset screen printing with a conductive paste ( FIG. 1(A) ). The conductive paste for the pattern is prepared by mixing, with an oil emulsion, “cover silver paste” (Prod No. 61900234) distributed by Detmeron, a West German company. The thickness of the pattern is 10 microns. Then, the substrate is baked in a nitrogen atmosphere in accordance with a temperature curve shown in FIG. 4(A) , so that a contact region 2 a and a sintered region largely consisting of silver ( FIG. 1(B) ) are formed. Also, on a portion of the pattern, another pattern is formed in the same manner by printing and baking ( FIGS. 1(C) and 1(D) ) to create a thick portion. The thickness of the thick portion is 30 microns after baking. Instead of printing, the pattern can be formed of ITO by sputtering with a good contact and a good conductivity. FIGS. 2(A) and 2(B) are plan view and a cross-sectional view showing the whole pattern on the substrate formed as explained above. After the formation of the pattern, a transparent adhesive of epoxy resin mixed with Ni particles having 15 microns in average diameter is applied to the surface of the substrate which is to face the bottom surface and the electrode pads of an IC. The Ni particles are added into the epoxy resin adhesive at 50 mg per each 5 g of the adhesive. Then, the IC chip is mounted on the substrate with its aluminium pads contacting corresponding pads of the thick portion of the pattern, and the epoxy resin is hardened at 180° C. while pressing the IC against the substrate for 20 minutes force of 3 Kg using a jig. During the mounting of the IC chip, the alignment of the pads of the IC chip with the pattern on the substrate is checked by viewing from the bottom of the substrate through the transparent resin. A resin which can be cured by a UV light may be also used as the adhesive. In this alternative, the resin is irradiated with UV light through the transparent substrate. FIG. 3(A) is a partial cross-section view showing the contact of the IC chip 5 with the substrate 1 after the hardening of the epoxy adhesive 7 . The distance between the Al pad 8 and the top of the thick portion 3 is designed to be 3 microns in which Ni particles 6 are caught therebetween to make the resistance of the contact low. Other particles contained in the epoxy resin 7 are situated between the IC chip and the substrate with 30 microns in distance, and do not damage the IC chip and the pattern 2 on the substrate 1 . The area of the thick portion is preferably smaller than that of the corresponding pad of the pattern. Next, a second embodiment of the invention is described. After the formation of the pattern by printing and baking in the same manner as the pattern 2 of the preceding embodiment, a thick portion 3 is formed by coating the prescribed portion with DAP1 (No. 61901143), a conductive adhesive distributed by Detmeron. Then, the IC chip is mounted on the substrate with its aluminium pads contacting corresponding lands of the thick portion of the pattern and pressed against the substrate with a jig, and the substrate is baked in accordance with the temperature curve shown in FIG. 4(B) . FIG. 3(B) is a cross-sectional view showing the electrical connection between the IC chip and the pattern in accordance with this embodiment. By this embodiment, the method of the present invention has the advantage that few processing steps are required for mounting an IC chip and making the necessary contact with the IC chip. Referring to FIGS. 5(A) to 5(C) , a third embodiment of the invention is illustrated. In FIG. 5(A) , a glass substrate 1 is coated by printing with a conductive paste consisting of Cu particles dispersed in a phenol resin, in order to form a prescribed pattern 11 including electrode lines for making contact with counterpart pads of the IC chip. The average diameter of the Cu particle is 5-10 microns. This conductive paste coating is then baked at 60° C. for 10 minutes and becomes thin by shrinking. The baked layer 11 might have a fissure 12 . Then, another layer 13 of the Cu conductive paste is superimposed over the layer 11 by printing on the baked Cu layer as shown in FIG. 5(B) . The overlying Cu layer is also baked at 60° C. for 10 minutes. The fissure 12 is mended by this overlying pattern. The dispersion of the double-layered pattern in thickness is about 30 microns. The double-layered pattern is then pressed to produce an even top surface 14 . The thickness of the double-layered pattern becomes about 20 microns after pressing. On the pattern is mounted an IC chip whose electrode pads to be in contact with the patterns are given Au bumps by plating and the IC chip is pressed against the substrate with an adhesive resin securing the IC chip in place. Numerous characteristics, advantages, and embodiments of the invention have been described in detail in the foregoing description with reference to the accompanying drawings. However, the disclosure is illustrative only and the invention is not limited to the precise illustrated embodiments. Various changes and modifications may be effected therein by one skilled in the art without departing from the scope or spirit of the invention.
An improved method of forming an electrode pattern on a substrate is described. The substrate is coated with a first conductive film and subjected to baking. On the first conductive film is then overlied a second conductive film which mends possible fissures of the first conductive film which, besides, would produce open circuits in the pattern.
RELATED APPLICATIONS [0001] This non-provisional patent application claims priority based on the filing date of U.S. Provisional Patent Application Ser. No. 61/099,669, which application was filed on Sep. 24, 2008, and which application is incorporated herein by reference. BACKGROUND OF THE INVENTION [0002] 1. Technical Field [0003] The present invention relates generally to the field of in-ground bodies of water and more specifically relates to an apparatus for maintaining the desired level of water in a swimming pool, spa, or the like. [0004] 2. Background Art [0005] Automatic water levelers of varying size, functionality, and complexity have been devised to maintain the water level of a spa, pool, or other similar types of in-ground bodies of water. Generally, automatic water levelers can be divided into two groups; water levelers that are positioned at the side of the body of water and in close proximity to the body of water, and pump-side water levelers. Pump-side water levelers typically employ electrical or mechanical means to monitor the water level of the body of water and, being in communication with the water leveler, maintain the water level of the body of water. The automatic water levelers that employ mechanical means to maintain the water level of a pool or spa most often use a float, or the like, in conjunction with a smaller separate body of water, wherein the water level of the separate body of water is in equilibrium with the water level of the pool, thereby maintaining the water level of the pool. [0006] The benefits of using a mechanical pump-side automatic water leveler versus an electric water leveler or a pool-side water leveler, are well-known to those skilled in the art. First, the procedure for installing an automatic water leveler in a pre-existing pool deck or wall is often time consuming and costly; furthermore, the end result is, more often than not, aesthetically unpleasing. Second, the distance between the location of the p [0007] In general, there is an additional cost and complexity associated with the installation of an electric automatic water leveler when compared to that of a mechanical automatic water leveler. Existing electric automatic water levelers, in most cases, require a separate electrical line or power source to supply the necessary power to operate the water leveler. Additionally, there may be one or more control lines to be installed. In those cases where the water leveler is located some distance from the other pool equipment such as the pump and filter, the electrical and/or control lines will typically be run from the pump location to the water leveler. The greater the distance, the greater the expense that will be incurred in the running of electrical and/or control lines to and from the water leveler. [0008] The conventional mechanical pump-side water leveler is typically comprised of four or more primary assemblies or components; namely: a float assembly, a water canister, a non-return valve, and a water supply valve. The non-return valve is designed to prevent the flow of water into or out of the water canister during pump operation,. Most often, these water-leveler subassemblies or components are situated in two or more locations within the pool system, thus complicating the installation process and increasing the expense. Ideally, a water leveler should require minimum installation and site preparation and, yet, would operate reliably and be manufactured at a modest expense. BRIEF SUMMARY OF THE INVENTION [0009] The present invention holds significant improvements when compared with the abovementioned water levelers. As an example, the present invention consists of one, easy to install, main assembly. The main assembly is comprised of a non-return valve, a float valve assembly, and a float assembly, all of which are enclosed within a water canister. The only connections that remain to be made prior to water leveler operation are those of the water supply to a float valve assembly and the water canister outlet to a water circulation pipe. [0010] The design of the ball check valve represents another significant improvement when compared to check valves incorporated in the design of conventional water levelers. According to the most preferred embodiments of the present invention, the non-return valve comprises a valve housing, a ball, a ball seat and guide, and a particulate screen. The check valve is closed during pump operation to prevent the flow of water into the water-leveler canister, and the potential overfilling of the water-leveler canister. While the pool pump is off, the ball check valve is open, allowing supply water to pass through the ball check valve and fill the pool with a relatively small amount of resistance. To ensure that the ball is withdrawn from the ball seat immediately after the pool pump has shut off, the elastic material, of which the water leveler outlet tube is composed, returns to its undisturbed shape and size, thereby resulting in a momentary suction on the outlet of the water leveler that aids in extracting the ball from the ball seat. [0011] Another distinctive characteristic of the present invention relates to the design of the float assembly. In contrast to most conventional water levelers, the float assembly of the present invention is easily adjustable, thereby providing for quick and easy adjustment of the water level in the swimming pool. In at least one preferred embodiment of the present invention, the float-rod spring clip is the component of the float assembly that facilitates the height adjustment of the float. This is readily accomplished by pressing both ends of the float-rod spring clip towards each other and positioning the float-rod spring clip such that the water level of the pool will be maintained at the desired level. In an alternative preferred embodiment of the present invention, a series of “spacer” rings are placed on the rod that is connected to the float assembly. By adding or subtracting spacer rings to the rod, the desired level of the water in the body of water can be calculated for automatic leveling purposes. [0012] In addition to the abovementioned feature, the float assembly incorporates two other qualities of a notable nature. First, on the opposite end of the float valve armature is a float rod retainer. The float rod retainer may be unthreaded from the float rod, thereby allowing the entire float assembly to become uncoupled from the float valve armature and subsequently extracted from the float chamber. Second, the float assembly employs two counterweights. The counterweights ensure that the float valve closes and opens as the water rises and falls, respectively. Additionally, the counterweights provide further stability for the float assembly and maintain the float rod in a vertical position, which is essential to accurately maintaining the water level of the pool. [0013] As a means of facilitating the installation of the water leveler, the supply-water tube may be effortlessly connected and disconnected from the water leveler assembly via a combination of a push-lock fittings and a tube composed of nylon, polyvinyl, or the like; or by way of threaded male and female fittings. Additionally, in accordance with at least one preferred embodiments of the present invention, the water leveler inlet and outlet are arranged to minimize the overall width of the water leveler, and consequently, minimize the diameter of hole that, in many cases, must be made in order to accommodate the automatic water leveler of the present invention. BRIEF DESCRIPTION OF THE DRAWINGS [0014] The preferred embodiments of the present invention will hereinafter be described in conjunction with the appended drawings, wherein like designations denote like elements, and: [0015] FIG. 1 is a sectional view of a schematic representation for an in-ground swimming pool system and an automatic water leveler in accordance with a preferred embodiment of the present invention; [0016] FIG. 1A is an exploded view of the exterior float assembly housing for the major components of an automatic water leveler in accordance with a preferred embodiment of the present invention; [0017] FIG. 2 is a section view of an automatic water leveler in accordance with a preferred embodiment of the present invention; [0018] FIG. 3 is a sectional view of a horizontal ball check valve in accordance with a preferred embodiment of the present invention; [0019] FIG. 4 is a perspective view of a float valve assembly for a automatic water leveler in accordance with a preferred embodiment of the present invention; and [0020] FIG. 5 is a cross-sectional view of housing for a check valve assembly in accordance with an alternative preferred embodiment of the present invention. DETAILED DESCRIPTION [0021] Referring now to FIG. 1 , a schematic diagram of an in-ground swimming pool system 100 coupled to an automatic water leveler 200 in accordance with a preferred embodiment of the present invention is depicted. As shown in FIG. 1 , pool system 100 comprises a pool structure 110 , filled with water 101 wherein the top surface of water 101 is at water level 105 ; a pool deck 115 ; at least one pool outlet 125 ; at least one pool inlet 120 ; a plurality of water circulations pipes 130 , 135 , 140 , and 145 ; a pump 155 ; and a filter 160 . [0022] With the notable exception of automatic water leveler 200 and its associated piping elements, pool system 100 is a fairly standard installation and pool systems of this type are well known to those skilled in the art. The various components of pool system 100 are used to provide a circulating water flow within pool structure 110 . As shown in FIG. 1 , automatic water leveler 200 is configured to be installable at several different locations on pool system 100 . Automatic water leveler 200 may be communicatively coupled to the system between pump 155 and filter 160 or after filter 160 . This flexibility is due to the unique design of automatic water leveler 200 and existing water leveling systems do not offer this flexibility. [0023] Referring now to FIG. 1A , a float chamber housing assembly 205 in accordance with a preferred exemplary embodiment of the present invention is comprised of a float chamber body 206 , an end cap 210 , and a float chamber cover 215 . End cap 210 and float chamber cover 215 cover the bottom and top portions of the float chamber body 206 , respectively. According to one of the most preferred embodiments of the present invention, end cap 210 is secured to the bottom of the float chamber body 206 by means of a water proof glue or adhesive, such as PVC glue or the like. Float chamber cover 215 is loosely secured to the float chamber body 205 in order to provide easy access to the float chamber 207 . In addition, a female thread 90° elbow fitting 270 is provided for coupling float chamber body 205 to an existing water supply or system. [0024] Referring now to FIG. 2 , an automatic water leveler assembly 200 in accordance with a preferred embodiment of the present invention comprises four major subassemblies, namely: a float chamber housing assembly 205 , a float valve assembly 290 , a float assembly 250 , and a ball check valve assembly 300 . The float valve assembly 290 , the float assembly 250 , and the ball check valve assembly 300 are contained within, and/or attached to, the float chamber body 206 . Aside from the four subassemblies, the present invention comprises additional components used to connect water leveler assembly 200 to the water supply and the pool circulation system. [0025] The float chamber housing assembly 205 is comprised of a float chamber body 206 , an end cap 210 , and a float chamber cover 215 . In most applications the float chamber housing assembly 205 is situated partially below ground level 106 . The float chamber body 206 has two apertures that are aligned vertically at either end of the float chamber body 206 . The float chamber housing inlet aperture 285 permits the mounting of the float valve assembly 290 to the float chamber body 206 ; and likewise, the float chamber housing outlet aperture 240 permits the mounting of the ball check valve assembly 300 to the float chamber body 206 and serves as an outlet port for the water as the water fills the pool. [0026] Another aperture, float chamber vent orifice 220 , is a feature of the float chamber cover 215 . Float chamber vent orifice 220 permits the influx or efflux of ambient air, thereby enabling the absolute static air pressure inside float chamber housing assembly 205 to be in equilibrium with the absolute static air pressure acting perpendicular to the surface of the pool water. Float chamber vent orifice 220 ensures that the water level 105 found in float chamber 207 is at the same water level 105 of the pool. [0027] End cap 210 and float chamber cover 215 cover the bottom and top portions of the float chamber body 206 , respectively. According to one of the most preferred embodiments of the present invention, end cap 210 is secured to the bottom of the float chamber body 206 by means of a water proof glue or adhesive, such as PVC glue or the like. Float chamber cover 215 is loosely secured to the float chamber body 205 in order to provide easy access to the float chamber 207 . [0028] Float valve armature 292 is coupled to float valve 291 via an internally mounted pin and is selectively coupled to float assembly 250 . As float assembly alters its vertical position, float valve armature 292 rotates about a pin mounted with float valve 291 . In essence, float valve armature 292 behaves as a lever arm, wherein the force applied to stop the flow of water is several times greater than the force applied to float valve armature 292 by spring clip 265 . Alternatively, a series of spacer rings 266 may be added to float rod 260 for purposes of adjusting the position of the float assembly 250 within float body chamber 206 . The inner diameter of each spacer ring 266 is large enough to fit over the outer diameter of float rod 260 . By adding or removing one or more spacer rings 266 , the position where float valve 291 is activated can be easily altered and adjusted. [0029] As shown in FIG. 4 , float valve armature 292 completely constrains the side-to-side motion of float assembly 250 , with respect to float valve 291 . [0030] In the most preferred embodiments of the present invention, float valve 291 is secured to the float chamber body 206 by way of a male-by-female threaded coupler 293 . To secure the male-by-female threaded coupler to water leveler assembly 200 , the male portion of the male-by-female threaded coupler is threaded tightly into the adjoining float chamber body 206 and female thread 90° elbow fitting 270 . Float valve 291 is, in turn, threaded tightly into the female portion of the male-by-female threaded coupler. As a means to ensure that no water enter or escapes from float chamber housing inlet aperture 285 , an adhesive is applied to the outer threads of the male-by-female threaded coupler and subsequently threaded into its final assembly configuration. [0031] Float assembly 250 is comprised of a float 245 ; an upper and lower float counterweights 255 and 254 , respectively; a float rod 260 secured to float 245 and lower float counterweight 254 with a bolt 256 ; a float rod retainer 261 ; and a spring clip 265 or spacer rings 266 . According to the preferred embodiments of the present invention, upper float counterweight 255 is joined to float rod 260 by a fillet weld 257 . Float 245 is affixed to float rod 260 , by compressing float 245 between upper and lower float counterweights 255 and 254 , respectively. This compression is achieved by threading bolt 256 onto the threaded portion of float rod 260 and against lower float counterweight 254 while upper float counterweight 255 remains affixed to float rod 260 by means of weld 257 . [0032] Float assembly 250 is supported by water 101 and float valve armature 291 . When the water level 105 is sufficiently low enough, so as to support less than the total weight of float assembly 250 , float valve armature 291 applies a force to float rod retainer 261 that is sufficient to support the remainder of the weight of float assembly 250 . Additionally, when the abovementioned conditions prevail, float valve 291 is throttled from the closed positioned to an opened position, thereby allowing water 101 to fill the pool. When the water level 105 is high enough, so as to meet or exceed the water level 105 of the pool, spring clip 265 applies an upward force on float valve armature 291 sufficient to block the flow of water 101 through float valve 291 . Spring clip 265 maintains its vertical position on float rod 260 by exerting a normal force, and consequently a frictional force, on float rod 260 as a result of the elastic deformation impinged upon spring clip 265 . To adjust the water level 105 of the pool, the ends of spring clip 265 are brought closer together so as to overcome the spring force and subsequently adjust the position of spring clip 265 corresponding to the desired water level 105 of the pool. [0033] Referring now to FIG. 3 , ball check valve assembly 300 is comprised of a ball check valve housing 305 ; ball seat and screen retainer fittings 310 and 335 , respectively; ball seat and screen retainer rings 315 and 340 , respectively; particulate screens 320 and 345 ; ball seat 325 ; and ball 330 . During normal operation of pump 155 , the pressurized water 101 causes ball 330 to seat against ball seat 325 , thus obstructing the flow of water 101 through ball check valve assembly 300 . Ball guides 350 are optional elements and may be included as a feature of ball seat retainer fitting 310 to facilitate the motion of ball 330 to ball seat 325 . [0034] Referring now to FIG. 1 and FIG. 3 , and according to one of the preferred embodiments of the present invention, as shown in FIG. 1 , water leveler outlet tube 165 is manufactured from a rubber-like material. When pump 155 ceases operation, the elastic material, of which water leveler outlet tube 165 is composed, returns to its undisturbed shape and size, thereby resulting in a region of low pressure (i.e. suction), relative to the pressure of water 101 inside float chamber 207 , near the outlet of the water leveler assembly 200 , that aids in extracting ball 330 from ball seat 325 . From thence, the water level 105 inside the float chamber 207 equalizes with the water level 105 of the pool. While water 101 is flowing through ball check valve assembly 300 to pool inlet 120 and pool outlet 125 , particulate screen 345 prevents ball 330 from obstructing the flow of water 101 through ball check valve assembly 300 . [0035] According to the most preferred embodiments of the present invention, ball seat retainer fitting 310 , ball seat retainer ring 315 , screen retainer fitting 335 , retainer ring 340 are secured to the adjacent polyvinyl chloride (PVC) substrate by means of pipe adhesive. Particulate screens 320 and 345 and ball seat 325 are held in place by ball seat retainer ring 315 and retainer ring 340 . [0036] According to the most preferred embodiments of the present invention, ball 330 is composed of a polymer material whose density is near that of water. By making ball 330 from a material whose density is near that of water, the buoyancy force acting on ball 330 is overcome easier than if a ball 330 , of density much different than that of water, was employed. Other key characteristics of ball 330 include the smoothness and roundness of ball 330 . To ensure that ball 330 forms a tight seal against ball seat 325 , ball 330 should be round and have a smooth surface. Additionally, ball seat 325 is composed of a rubber-like material so as to minimize the effect that ball 330 out-of-roundness or roughness may have on the seal created by ball 330 and ball seat 325 . [0037] The preferred method of installing water leveler assembly 200 as a component of pool system 100 involves several actions. First, a site is chosen, preferably near the pool filter 160 and pool pump 155 , where the water leveler assembly 200 will be situated. Then the site is prepared to accommodate water leveler assembly 200 . This may include excavating soil from the area or placing mounting brackets on an adjacent structure and digging a hole in the ground for the installation of water level assembly 200 . Next, tee fitting 150 is connected to second water circulation pipe 135 and third water circulation pipe 140 . Water leveler outlet tube 165 is connected to barbed fitting 151 and the opposite end of water leveler outlet tube 165 is connected barbed fitting 230 . The water level can also be determined by the use of a piece of rubber hosing and siphoning some water from the body of water into another container and determining the desired level of water by allowing the level of the water in the other container to stablize. [0038] The installer positions water leveler assembly 200 in horizontal space so that the central portion of float chamber body 206 is approximately at the same level as the desired water level for the relevant body of water. The installer makes note of the desired water level and aligns a water level mark on the outer surface of float chamber body 206 to coincide with the predetermined water level 105 . Water leveler assembly 200 is then secured in place by a method known to one skilled in the art. Water leveler outlet tube 165 is connected to barbed fitting 225 and water supply tube 280 is connected from its water supply source to push-lock fitting 275 . Finally, the installer tests water leveler assembly 200 to ensure proper operation. [0039] Referring now to FIG. 4 , float valve assembly 290 is comprised of float valve 291 , float valve armature 292 , and male-by-female threaded coupler. Float valve 291 is a standard float valve used in many swimming pool applications. Among other benefits, float valve 291 affords reliable operation, ease of installation, and exhibits the desired throttling action which minimizes float valve 291 cycling, thereby reducing water hammer. [0040] Referring now to FIG. 5 , a cross sectional view of a portion of the interior of the body of ball check valve 300 is depicted. In this embodiment of the present invention, a series of guides or ridges 380 are employed to ensure that ball 330 does not begin “oscillating” in the interior of the body of ball check valve 300 . In certain environments, it ball 330 may oscillate instead of seating firmly into position, thereby preventing ball check valve 300 from performing its intended function. [0041] From the foregoing description, it should be appreciated that a water leveler assembly 200 preferred embodiment and a method for producing and installing said water leveler assembly 200 are provided and present significant benefits that would be apparent to one skilled in the art. Furthermore, it should be appreciated that a vast number of variations in the embodiments exist. Lastly, it should be appreciated that these embodiments are preferred exemplary embodiments only, and are not intended to limit the scope, applicability, or configuration of the invention in any way. Rather, the foregoing detailed description provides those skilled in the art with a convenient framework for implementing a preferred exemplary embodiment of the invention. It being understood that various changes may be made in the function and arrangement of elements described in the exemplary preferred embodiment without departing from the spirit and scope of the invention as set forth in the appended claims.
An apparatus for automatically maintaining the water level of a body of water, such as a pool, is described herein. The present invention comprises a float body contained within a float housing chamber and selectively coupled to a float valve, a ball check valve employed as a means for isolating the pressurized water in the circulation system of a body of water from the water in the float chamber and, after pump operation has ceased, allowing the passage of supply water from the float chamber of the apparatus of the circulation system of a body of water. Flexible conduits or tubing may be provided for ease of installation. Furthermore, the present invention is intended to be conveniently located near the equipment of the circulation system for the body of water.
FIELD OF THE INVENTION [0001] The invention relates to a recording material with which images may be produced in photographic quality with electrophotographic printing processes. BACKGROUND OF THE INVENTION [0002] The laser printer is based on the principle of electrophotography. In electrophotography, a latent image is generated from electrical charges by irradiating or exposing a photo-conductor with the optical copy of an image, and this image is subsequently used to apply a toner selectively (development) and generate a reproduction (copy) of the image on paper, for example. A distinction is made between direct and indirect, and also wet and dry electrophotography. The wet processes, also called liquid toner processes, use a suspension of an aliphatic solvent with a low dielectric constant and the toner as the developer, whereas the dry process employs a powder. A copy of the desired page is marked on the light-sensitive drum using a concentrated laser beam and a rotating mirror. The drum is negatively charged initially, but the charge is neutralised at the points where the laser beam exposes the photoconductor surface. The shape of the surface areas from which the charge has been removed corresponds to the subsequent printed output. The toner is applied to the drum via a roller with negatively charged toner, which sticks to the sites on the drum that do not carry a charge. [0003] In the dry process, the paper is then passed over the drum. It merely slides past the drum. A potential field is formed behind the paper. The toner is transferred to the paper, where it is initially loose. Then, the toner is fixed using a hot roller and pressure. The charge is drained from the drum and excess toner is removed from it. [0004] In the liquid toner process the toner suspension is first transferred to a heated rubber cylinder, on which the carrier liquid is evaporated and the toner is plasticised. From this intermediate drum, the toner image is then transferred to the image receiving sheet. [0005] The images created using a laser printer should attain a quality comparable with a photograph. Properties to be considered for this purpose include gloss, stiffness, opacity, high resolution and image definition, and good resistance to light. [0006] The publication by HP (Hannelore Breuer): Das Know-how des Druckens: Die neuen Laser-Papiere (English: Expertise in printing: The new laser papers) dated May 13, 2005, available under 41131.www4.hp.com/Backgrounder_Neue_Laser-Papiere.pdf (last updated on Aug. 31, 2010) describes papers with multilayer coatings and an “open” porous surface on both sides. However, the texture of images reproduced using these papers differ considerably from conventional silver halide images and their gloss and surface are also quite dissimilar. [0007] In order to get closer to the objective of near-photographic quality, electrophotographically created images are produced on carrier materials that have the same haptic properties and appearance as a typical silver salt photograph. An image receiving material for electophotography that comprises a base paper coated with a thermoplastic resin, a toner receiving layer and an antistatic rear side layer is described in document DE 44 35 350 C1. The disadvantage of this material is that it is still not ideal with regard to toner fixing and its behaviour in the printer. Moreover, after an image has been printed such materials exhibit shiny patches, originating from the oily substances frequently used as releasing agents in the toner formulas. [0008] Other recording materials for electrophotographic processes are described for example in EP 0 789 281 B1, EP 1 115 559, JP 2006-215 494, JP 2007-188 055 and JP 2010-020 283. SUMMARY OF THE INVENTION [0009] It is therefore the object of the invention to provide a recording material that is printable from at least one side, has good image quality, comparable with that of a silver salt photograph, and besides being resistant to light and ozone during storage also has good pickup and transport behaviour in the printer and lends itself well to stacking. [0010] This object is solved with a recording material having a carrier material and at least one toner-absorbing layer, wherein the recording material includes a plastic film that is coated with a metal and positioned between the carrier material and the toner-absorbing layer. [0011] The metals used for coating the plastic film are preferably metals with an electric conductivity of not more than 40×10 6 S/m. Electrical conductivity describes the capability of a substance to conduct electric current, the reciprocal value of which is specific resistance. Aluminium is particularly well suited for this purpose. [0012] The plastic film suitable for coating with the metal may be a polyolefin film, for example a polyethylene or polypropylene film. It may have a monolayer or multilayer structure. Preferably, a multilayer, biaxially oriented polyolefin film particularly a polypropylene film is used. The polyolefin film preferably includes a porous core layer and at least one essentially non-porous surface layer disposed on at least one side of the core layer. [0013] The metal-coated plastic film may preferably have a thickness from 10 to 50 μm. The metal-coated plastic film preferably has an opacity greater than 98% and a specific surface topography, expressed by a roughness value Rz from 0.01 to 2 μm. [0014] The carrier material may be an uncoated base paper, a coated base paper (base paper provided with a pigment-containing layer) or a resin-coated paper. [0015] The toner-absorbing layer may preferably contain a binder that is soluble and/or dispersible in water, a finely particulate, oil absorbing pigment and/or an electrically conductive component, wherein the electrically conductive component may be a finely particulate electrically conductive oxide or an electrically conductive polymer. DETAILED DESCRIPTION OF THE INVENTION [0016] For the purposes of the invention, the term base paper is used to refer to an uncoated or surface-sized paper. Besides cellulose fibres, a base paper may contain sizing substances such as alkyl ketene dimmers, fatty acids and/or fatty acid salts, epoxidised fatty acid amides, alkenyl or alkyl succinic acid anhydride, wet strengthening agents such as polyamine-polyamide-epichlorhydrin, dry strengthening agents such as anionic, cationic or amphoteric polyamides or cationic starches, optical brighteners, bulking agents, pigments, dyes, antifoaming agents, and other auxiliary substances known in the paper industry. The base paper may be surface sized. Sizing substances suitable for this purpose include for example polyvinyl alcohol or oxidised starch. The base paper may be produced on a Fourdrinier or a Yankee paper machine (cylinder paper machine). The grammage of the base paper may be from 50 to 250 g/m 2 , particularly from 80 to 180 g/m 2 . The base paper may be used in uncompacted or compacted form (finished). Base papers having a density from 0.8 to 1.2 g/cm 3 , particularly 0.90 to 1.1 g/cm 3 , are particularly suitable. Cellulose fibres may be obtained for example from laubholz bleached kraft pulp (LBKP), northern bleached kraft pulp (NBKP), laubholz bleached sulphite pulp (LBSP) or northern bleached sulphite pulp (NBSP). Cellulose fibres recovered from paper waste may also be used. The cellulose fibres listed in the preceding may also be used together in mixtures and fractions of other fibres, resin fibres for example, may be added to the mixture. However, cellulose fibres from 100% laubholz cellulose are preferred. The average fibre length of the unrefined cellulose is preferably 0.6 to 0.85 mm (Kajaani measurement). The cellulose also has a lignin content of less than 0.05% by weight, particularly from 0.01 to 0.03% by weight, relative to the mass of the cellulose. [0017] Bulking agents used in the base paper may include for example kaolin, calcium carbonate in its natural forms, such as lime-stone, marble or dolomite stone, precipitated calcium carbonate, calcium sulphate, barium sulphate, titanium dioxide, talcum, silica, aluminium oxide and mixtures thereof. Calcium carbonate having a grain size distribution in which at least 60% of the particles are smaller than 2 μm and not more than 40% are smaller than 1 μm is particularly suitable. In a particular embodiment of the invention, calcite with a grain size distribution in which about 25% of the grains have a grain size smaller than 1 μm and about 85% of the particles have particle size less than 2 μm. [0018] In a particular embodiment of the invention, a pigment-containing layer may be applied to the base paper. The pigment may be a metal oxide, silicate, carbonate, sulphide or sulphate. Pigments such as kaolin, talcum, calcium carbonate and/or barium sulphate are particularly suitable. Particularly preferred is a pigment with a narrow grain size distribution in which at least 70% of the pigment particles are smaller than 1 μm. The fraction of the pigment with such narrow grain size distribution as part of the total pigment quantity is at least 5% by weight, particularly 10 to 90% by weight. Particularly good results may be obtained if the amount of pigment having the narrow grain size distribution accounts for 30 to 80% by weight of the total pigment quantity. According to the invention, pigments with a narrow grain size distribution are also understood to include pigments with a grain size distribution in which at least about 70% by weight of the pigment particles are smaller than about 1 μm and the difference between the pigment with the largest grain size (diameter) and the pigment with the smallest grain size is less than about 0.4 μm in 40 to 80% by weight of these pigment particles. A calcium carbonate with a d50% value of about 0.7 μm proved particularly advantageous. [0019] In a further embodiment of the invention the pigment-containing layer may contain a pigment mixture that is constituted of the calcium carbonate and kaolin referred to in the preceding. The calcium carbonate/kaolin ratio is preferably 30:70 to 70:30. The binding agent/pigment ratio in the pigment containing layer may be from 0.1 to 2.5, preferably 0.2 to 1.5, but particularly about 0.9 to 1.3. Any known binding agent that is soluble and/or dispersible in water may be used in the pigment containing layer. Besides latex binders, binding agents that are particularly suitable for this include film-forming starches such as thermally modified starches, particularly corn starches or hydroxypropylated starches. The pigment-containing layer may be applied inline or offline using any standard application units in the paper manufacturing industry, and the application quantity is selected such that after drying the coating weight is 0.1 to 30 g/m 2 , particularly 1 to 20 g/m 2 , or according to a particularly preferred embodiment 2 to 8 g/m 2 . In a preferred embodiment, the pigment-containing layer is applied using a size press or film press that is integrated inside the paper machine. [0020] In another embodiment of the invention, layers of resin may be provided on both sides of the base paper or coated base paper. The resin layers (front and/or rear resin layer) may preferably contain a thermoplastic polymer. Particularly suitable for this are polyolefins, for example low density polyethylene (LDPE), high density polyethylene (HDPE), poly-propylene, 4-methylpentene-1 and mixtures thereof, also poly-esters, for example polycarbonates. In a particular refinement of the invention the thermoplastic polymer is a biodegradeble polymer and/or a polymer based on renewable raw materials such as a linear polyester, thermoplastically modified starch, or polylactic acid or a mixture of these polymers with each other or with other polymers. [0021] In a further particularly preferred refinement of the invention the resin layer on front and/or rear side contains at least 40% by weight, particularly 60 to 80% by weight HDPE with a density greater than 0.95 g/cm 3 . A particularly preferred composition consists of 65% by weight HDPE with a density greater than 0.95 g/cm 3 , and 35% by weight LDPE with a density lower than 0.935 g/cm 3 . [0022] The resin layers may contain white pigments such as titanium dioxide and additional auxiliary agents such as optical brighteners, dyes and dispersing agents. In a particular embodiment of the invention, antistatic substances, particularly electrically conductive inorganic pigments, are added to the resin layers. [0023] The coating weight of the resin layers may be 5 to 50 g/m 2 , particularly 5 to 30 g/m 2 , but preferably 10 to 20 g/m 2 . The resin layer may be extruded or co-extruded in multiple layers onto the base paper or coated base paper. Extrusion coating may be carried out at machine speed up to 600 m/min. [0024] In one embodiment of the invention, the resin layers may be applied symmetrically to both sides of the base paper, that is to say the resin layers on both sides of the base paper have the same composition and are identical with regard to surface topography. According to the invention, the surface of the resin layer on both sides may have roughness values Rz from 0.03 to 15 μm. Roughness Rz is determined in accordance with DIN 4768 using a Hommel surface scanner. [0025] In a further embodiment of the invention, the objective of which is to produce a glossy recording material that is printable on one side, the resin layer on the side of the recording material that supports the toner-absorbing layer and that is intended to receive the printing (front side) is less rough than the resin layer on the rear side. In this embodiment, the resin layer on the side intended to receive the printing has roughness values Rz from 0.03 to 1.8 μm, whereas the resin layer on the side that is not intended for printing has roughness values Rz from 12 to 16 μm. [0026] According to the invention, a metal-coated plastic film is first applied by lamination to the side of the carrier material, particularly a base paper or coated base paper, that is intended for printing. In this context, a polymer layer, preferably low density polyethylene (LDPE), is extruded between the carrier material and the plastic film. The thickness of the polyethylene layer is 6 to 15 g/m 2 , particularly 6 to 10 g/m 2 . [0027] According to the invention, the metal-coated plastic film may be laminated onto one or both sides of the carrier material. In the embodiment of the invention according to which the metal coated plastic film is only positioned on one side of the carrier material, the rear side of the carrier material may be coated within an extruded thermoplastic polymer. Particularly suitable for this are polyolefins, for example low density polyethylene (LDPE), high density polyethylene (HDPE), polypropylene, 4-methylpentene-1 and mixtures thereof, also polyesters. The coating weight of the polymer layer on the rear side may be 5 to 50 g/m 2 , particularly 5 to 30 g/m 2 . In a further embodiment of the invention a monolayer or multilayer plastic film, particularly a biaxially oriented polypropylene film may be applied to the rear side. The core layer may be covered on either side by essentially non-porous surface layers. [0028] In the next step, a toner-absorbing coating solution is coated onto the metal-coated side of the film that is applied to the carrier material, and after drying this forms the toner-absorbing layer. In the particular version of the invention that is designed to produce a recording material that is printable on both sides, the metal-coated plastic film and the toner-absorbing layer applied to both sides of the carrier material. [0029] The toner-absorbing layer preferably contains at least one binder that is soluble and/or dispersible in water, a finely particulate pigment and/or an electrically conductive component. [0030] The binder in the toner-absorbing layer may be any binder in standard use for paper coatings, the preferred binder substances being starch, polyvinyl alcohol, acrylates or copolymers of acrylates with other monomers. Particularly preferred binders are ethylene acrylic acid copolymers, particularly those that with a melting point between 70 and 100° C. [0031] According to the invention, the finely particulate pigment in the toner absorbing layer is a finely particulate inorganic pigment, for example silicon dioxide, aluminium oxide, aluminium oxide hydrate, aluminium silicate, calcium carbonate, zinc oxide, tin oxide, antimony oxide, titanium dioxide, indium oxide or a mixture of these oxides. In a particularly preferred embodiment, the finely particulate pigment is zinc oxide, tin oxide, antimony oxide, titanium dioxide, indium oxide or mixture of these oxides. The finely particulate pigments may be present in the toner-absorbing layer alone or as mixtures. [0032] The finely particulate pigments in the toner-absorbing layer preferably have an average particle size smaller than 1000 nm, particularly smaller than 200 nm. Pigments with a BET surface area from 30 m 2 /g to 400 m 2 /g are particularly preferred. Pigments according to the invention may be obtained by the flame method or by wet chemical precipitation processes. [0033] According to the invention, the electically conductive component in the toner-absorbing layer may be an electrically conductive polymer and/or an electrically conductive pigment. Electrically conductive polymers according to the invention may be such in which the electrical charge is transported in the form of ions, such as polystyrene sulphuric acid. However preference is given to polymers, in which the electrical charge is transported in the form of electrons or electron holes, for example polyanilines and polythiophenes. A substance that is particularly preferred as a conductive polymer is poly(3,4-ethylene-dioxythiophene) doped with polystyrene acid (PEDOT:PSS), and which is available commercially under the names CLEVIOS® or ORGACON® for example. If a polymer is used as the electrically conductive component in the toner-absorbing layer in accordance with the invention, in a particular variation of the invention it may replace some or all of the water-soluble or water-dispersible binder. [0034] Conductive pigments according to the invention may be made up of metal powder or carbon, among other components. However, oxides such as antimony oxide, tin oxide, indium oxide, or particularly preferably titanium dioxide or zinc oxide, of oxide mixtures of the elements antimony, indium, titanium, zinc or tin are preferred. The conductive pigments according to the invention preferably have an average particle size smaller than 1000 nm, particularly preferably smaller than 200 nm. If a conductive pigment is used as an electrically conductive component, in a preferred embodiment of the invention it may also be the finely particulate pigment of the toner-absorbing layer. [0035] The quantity of the electrically conductive component in the toner-absorbing layer is selected such that the surface resistivity of the recording material is less than 15 log (Ohm/cm), measured according to DIN 53483. According to the invention, it may lie in a range from 0 to 50% by weight, particularly 0.1 to 4.0% by weight, relative to the mass of the dry layer. [0036] In a further variation of the invention, the toner-absorbing layer also contains anionic or non-ionic surfactants in a quantity of 0.01 to 4.0% by weight, particularly 0.05 to 2.5% by weight relative to the dried layer. [0037] The toner-absorbing layer may contain other auxiliary agents if necessary, for example matting agents, dyes, crosslinking agents, lubricants, anti-blocking agents and other usual additives. [0038] The coating compound for forming the toner-absorbing layer may be applied inline or offline using any of the application equipment commonly used in such processes, wherein the quantity is selected such that the coating weight after drying does not exceed 3 g/m 2 , particularly 0.1 to 2 g/m 2 , or according to a particularly preferred embodiment, 0.3 to 0.7 g/m 2 . The coating compound may be spread on with the aid of a standard coating mechanism integrated in the extrusion coating system. A 3-roller application is particularly suitable for this purpose, or a squeegee device. [0039] In a further embodiment of the invention, further layers such as protective layers or gloss enhancing layers may be applied over the toner absorbing layer. The coating weight of such layers is preferably less than 1 g/m 2 . [0040] The invention will be explained in greater detail with reference to the following examples. EXAMPLES [0041] A base paper A was produced from eucalyptus pulp. For refining, the pulp was placed in a roughly 5% aqueous suspension (thick matter) and ground to a degree of fineness corresponding to 36° SR with the aid of a refiner. The average fibre length was 0.64 mm. The concentration of cellulose fibres in the thin matter was 1% by weight relative to the mass of the cellulose suspension. Additives were added to the thin matter, including cationic starch in a quantity of 0.4% by weight, alkyl ketene dimer (AKD) as a neutral sizing agent in a quantity of 0.48% by weight, wet strengthening agent polyamine-polyamide-epichlorhydrin resin (Kymene®) in a quantity of 0.36% by weight, and a natural CaCO 3 in a quantity of 10% by weight. The quantities indicated are relative to the cellulose mass. The thin matter, the pH value of which was adjusted to about 7.5, was transported from the headbox to the screen on the paper machine, after which the machine began to form sheets by dewatering the web in the screen area of the paper machine. In the compaction area, the web was dewatered further to reach a water content of 60% by weight relative to the weight of the web. Further drying took place in the drying area of the paper machine with heated drying cylinders. A base paper having a grammage of 160 g/m 2 and moisture of about 7% was obtained. [0042] The base paper is coated on both sides with a coating compound consisting of a styrene acrylate binder, starch and a pigment mixture of calcium carbonate and kaolin, each having a coating weight of 15 g/m 2 , it is then dried and finished with a calender. The material obtained in this way is referred to in the following as base paper A, which will be placed in the extruder for the subsequent lamination of the metal coated film. [0043] Base paper B was produced from eucalyptus pulp in the same way as base paper A. However, in the pulp dispersion it also contains titanium dioxide in such an amount that the base paper web still contains 10% by weight TiO 2 relative to the dry mass after production. This base paper B was placed directly into an extruder for subsequent lamination of the metal-coated film without applying any additional coating compound. [0044] Both surfaces (front sides) of base papers A and B that were intended to receive printing underwent irradiation with corona discharge and then laminated in the laminator with a metallised, multilayer, biaxially oriented polypropylene film (BOPP-Film, PZN, Vibac GmbH), wherein a film of low density polyethylene (LDPE) was extruded between the paper carrier material and the plastic film. The thickness of the adhesive polyethylene film was 8 g/m 2 . The opposite side to the printable side (rear side) of base papers A and B was coated in the extruder with a polyethylene mixture of 30% by weight of a low density polyethylene (LDPE, d=0.923 g/cm 3 ) and 70% by weight of a high density polyethylene (HDPE, d=0.964 g/cm 3 ), having a coating weight of 40 g/m 2 . The cooling cylinder was selected such that the resulting surface of the rear side has a roughness of 0.9 μm measured as an Rz value in accordance with DIN 4768. The materials obtained will be referred to in the following as A1 and B1. [0045] Then, the sides coated with the metallised film were coated with the toner-absorbing coating compound and dried. The application quantity of the coating compound was selected such that a dry coating of 0.5 g/m 2 is created. The compositions of the coating compounds are indicated in the following. Coating Compound a [0046] A dispersion of 3.0 of a conductive pigment (antimony-doped titanium tin oxide FT-2000, manufacturer ISK ISHIHARA SANGYO KAISHA Ltd., Japan) was produced in 27 g of water and processed using a rotor-stator mixing system (ULTRA-TURRAX® produced by IKA®, Germany) until the average particle size of the pigment was 180 nm. 22.4 g of ethylene acrylate dispersion MICHEM PRIME® 4990 R.E. was mixed with the dispersion prepared in this way, 0.1 g wetting agent SURFYNOL® 440 and 47.5 g water were further added. Coating Compound b [0047] 16.3 g ethylene acrylate dispersion MICHEM PRIME® 4990 R.E. was mixed with 29.0 g of a dispersion of AEROSIL® 300 (manufactured by Evonik Degussa AG, Germany) having a solids content of 20% by weight, 3 g of a dispersion of polystyrene sulphonic acid (VERSA® TL 130, manufactured by Akzo Nobel Surface Chemistry AB, Sweden, polymer content 30% by weight, 0.1 g wetting agent SURFYNOL® 440 and 51.6 g water. Coating Compound c [0048] 19.3 g ethylene acrylate dispersion MICHEM PRIME® 4990 R.E. was mixed with 29.0 g of a dispersion of AEROSIL® 300 (manufactured by Evonik Degussa AG, Germany) having a solids content of 20% by weight, 0.1 g wetting agent SURFYNOL® 440 and 51.6 g water. Comparison Examples [0049] The two surfaces of base papers A and B intended to receive printing were irradiated by corona discharge and then laminated with a biaxially oriented polypropylene film TREFAN TND 35 (manufactured by Treofan Germany GmbH & Co. KG). The rear sides of the papers were extrusion coated with a polyethylene mixture as in the examples according to the invention. The materials obtained will be referred to as A2 and B2 in the following. [0050] The two surfaces of papers A2 and B2 intended for printing were then coated with a toner-absorbing coating compound and dried. The applied quantity of the coating composition was chosen so that a dry coating of 0.5 g/m 2 is obtained. The composition of the coating compound is indicated in the following. Coating Compound d (Comparison) [0051] 1 g crosslinking agent Surfynol® 440 (available from Air Products, Netherlands) and 72 g water were added to 27.9 g of an ethylene acrylate dispersion MICHEM PRIME® 4990 R.E. (available from Michelman, Belgium) having a polymer content of 35.7% by weight. [0052] The recording materials obtained according to the invention and the comparison samples were subjected to the tests described in the following. Surface Resistivity [0053] Measured in accordance with DIN 53483 with a comb electrode, readings measured in log(Ohm/cm) Adhesion Test: [0054] Two sheets of the carrier material, size DIN A4, are placed one on top of the other and loaded with a 10 kg weight at 23° C. and 50% RH. After 65 hours the sheets are separated manually and the bond/adhesion is examined. [0000] +: No adhesion, o: Slight adhesion, −: Strong adhesion Toner Adhesion: [0055] The recording materials are printed using an electrophotographic printer of type HP® Indigo® 6000 and the adhesion of the toner is evaluated at 23° C./50% RH by attaching and detaching a strip of TESA 4104 adhesive tape. [0000] +: Toner layer remains undamaged, o: Toner layer slightly pulled away, −: Toner layer pulled away from carrier completely. Visual Inspection of the Printing for Shiny Patches: [0056] The recording materials are printed using an electrophotographic printer of type HP® Indigo® 6000 and the print image is examined visually. [0000] +: No shiny patches, −: Shiny patches evident. [0057] The results of these tests are summarised in the following table 1. [0000] TABLE 1 Recording Surface Adhesion Toner Shiny material resistivity test adhesion spots A1a Invention 7.4 + + + A1b Invention 12.0 + + + A1c Invention 12.5 + + + B1a Invention 7.4 + + + B1b Invention 12.0 + + + B1c Invention 12.5 + + + A2d Comparison >14.9 ◯ ◯ − B2d Comparison >14.9 ◯ ◯ − [0058] In addition, printing tests were carried out with printers IGen® 3 from XEROX® and NeXpress® from Kodak®, which work with dry toners. The following table 2 shows the results of these tests (averages for the 3 printers). Toner transfer to the recording sheet was evaluated visually with reference to uniformity of homogeneously printed colour surfaces, wherein “+” stands for good uniformity, “o” for slight density fluctuations, and “−” stands for significant density fluctuations in the reproduced image. [0000] Recording Toner Toner Shiny material transfer adhesion spots A1a Invention + + + A1b Invention + + + A1c Invention ◯ + + B1a Invention + + + B1b Invention + + + B1c Invention ◯ + + A2d Comparison − ◯ − B2d Comparison − ◯ − Evaluation of Results [0059] It was revealed that the images obtained when using the recording materials according to the invention have an appearance comparable to the appearance of silver halide photographs, and comparable haptics. Adhesion of the toner to the surface is good in the recording materials according to the invention, the sheets to not stick together, they do not charge each other electrostatically, and they provide uniform toner transmission when both dry toners and liquid toners are used. Shiny spots caused by dripping of the oils used as auxiliary substances in the toners are avoided definitively.
The invention relates to a recording material for electrophotographic printing processes, that comprises a carrier material, a metal coated plastic film and a toner-absorbing layer, and which is suitable for producing images of near-photographic quality using both dry and liquid toners.
FIELD The present disclosure relates generally to oil well sucker rods. In particular, the disclosure relates to oil well sucker rods made of fiberglass with connectors on each end and the manufacture thereof. BRIEF DESCRIPTION OF THE DRAWINGS The accompanying drawings, which are incorporated in and constitute a part of the specification, illustrate preferred embodiments of the disclosure and together with the general description of the disclosure and the detailed description of the preferred embodiments given below, serve to explain the principles of the disclosure. FIG. 1 illustrates a typical pumping system for use with the technology of the present disclosure. FIG. 2 is a cross-sectional view of an embodiment of a sucker rod and an associated end fitting within the scope of the present disclosure. FIG. 2A is an exploded view of the angle A between the leading edge and the trailing edge of a wedged-shaped portion of the wedge system. FIG. 3 is a sectional view of the sucker rod and end fitting combination illustrated in FIG. 2 taken along the section line 3 - 3 . FIG. 4 is a sectional view of the sucker rod and end fitting combination illustrated in FIG. 2 taken along the section line 4 - 4 . FIG. 5 is a sectional view of the sucker rod and end fitting combination illustrated in FIG. 2 taken along the section line 5 - 5 . FIG. 6 is a sectional view of the sucker rod and end fitting combination illustrated in FIG. 2 taken along the section line 6 - 6 . FIG. 7 is a sectional view of the sucker rod and end fitting combination illustrated in FIG. 2 taken along the section line 1 - 7 . FIG. 8 is a sectional view of the sucker rod and end fitting combination illustrated in FIG. 2 taken along the section line 8 - 8 . FIG. 9 is a graph of the relationship between the length of the leading edge and trailing edge of each wedged-shaped portion in the wedge system of the present disclosure. FIG. 10 is a cross-sectional view of another embodiment of a sucker rod and an associated end fitting within the scope of the present disclosure. The depicted embodiments of the sucker rod and associated connectors are described below with reference to the listed Figures. The above general description and the following detailed description are merely illustrative of the generic disclosure, and additional modes, advantages, and particulars of this disclosure will be readily suggested to those skilled in the art without departing from the spirit and scope of the disclosure. DETAILED DESCRIPTION OF THE EMBODIMENTS In many oil wells, the pressure in the oil reservoir is not sufficient to lift the oil to the surface. In such cases, it is conventional to use a sub-surface pump to force the oil from the well. A pumping unit located at the surface drives the sub-surface pump. The pumping unit is connected to the sub-surface pump by a string of sucker rods. The pumping unit moves the sucker rod string up and down to drive the sub-surface pump. Originally, a sucker rod was a special steel pumping rod. A sucker rod is, typically, a steel rod that is used to make up the mechanical assembly between the surface and the downhole components of a rod pumping system. Several sucker rods were screwed together to make up the mechanical link, or sucker rod string, from a beam-pumping unit on the surface to the subsurface pump at the bottom of a well. The sucker rods were threaded on each end and manufactured to dimension standards and metal specifications set by the petroleum industry. Typically, sucker rods have been in the lengths of 25 or 30 feet (7.6 or 9.1 meters), and the diameter varies from ½ to 1⅛ inches (12 to 30 millimeters). Thus, sucker rod pumping is a method of artificial lift in which a subsurface pump located at or near the bottom of the well and connected to a string of sucker rods is used to lift the well fluid to the surface. The weight of the rod string and fluid is counterbalanced by weights attached to a reciprocating beam or to the crank member of a beam-pumping unit or by air pressure in a cylinder attached to the beam. Due to the heavy weight of the steel sucker rods, large pumping units were required and pumping depths were limited. It is now preferable to use sucker rods made of fiberglass with steel connectors. The fiberglass sucker rods provide sufficient strength, and weigh substantially less than steel rods. Since the development of the fiberglass sucker rod, there have been continued efforts to improve the sucker rod, and particularly, the relationship between the steel connectors and the successive rods. FIG. 1 illustrates a generic pumping system 20 . The pumping system 20 includes a pump drive 22 , which is a conventional beam pump, or pump jack and is connected to a downhole pump 26 through a sucker rod string 24 inserted into wellbore 28 . The sucker rod string 24 can comprise a continuous sucker rod 10 , which extends from the downhole pump 26 to the pumping system 20 , a series of connected sucker rods 10 , a series of conventional length rods connected together, or any combination thereof. The pump drive 22 includes a horsehead 22 A, a beam 22 B, a gearbox 22 c and a motor 22 D. Preferably, the sucker rod 10 is a fiberglass or composite rod. As described herein, the sucker rod string 24 may be the same as the continuous sucker rod 10 when the continuous sucker rod 10 is a one-piece rod that extends substantially between the pump drive 22 and the sub-surface pump 26 . FIG. 2 is a cross-sectional view of an embodiment of the sucker rod 10 comprising a fiber composite rod 200 and associated end fitting 100 within the scope of the present disclosure. The sucker rod 10 comprises one or more end fittings 100 and the fiber composite rod 200 . The fiber composite rod 200 has a first end 202 and a second end (not illustrated). Typically, there are end fittings 100 on each end of the fiber composite rod 200 for coupling together a plurality of fiber composite rods 200 . The end fitting 100 comprises an exterior surface 102 , a closed end 104 , an open end 106 , and an interior surface 108 . The interior surface 108 comprises a wedge system 110 . The present disclosure provides that the wedge system 110 can have any number of wedges with three wedges preferred. The wedge system 110 defines a cavity 112 in the end fitting 100 . Further, the wedge system 110 comprises a plurality of wedged-shaped portions 114 . Each wedged-shaped portion 114 has an apex 116 , a leading edge 118 and a trailing edge 120 extending from the apex 116 . Each apex 116 forms a perimeter 122 within the cavity 112 that is the narrowest part of the cavity 112 associated with each wedge shaped portion 114 . The leading edge 118 is longer than the trailing edge 120 with the leading edge 118 facing the open end 106 and the trailing edge 120 facing the closed end 104 with respect to each wedge shaped portion 114 . The first wedge shaped portion 114 A is proximate to the closed end 104 for receiving compressive forces that are greater than the compressive forces associated with the other wedged-shaped portion 114 B, C. Particularly, the first wedged-shaped portion 114 A receives greater compressive forces than the compressive forces for which a second wedge shaped portion 114 B receives that is proximate to the first wedged-shaped portion 114 . A third wedge shaped portion 114 C between the second wedge shaped portions 114 B and the open end 106 receives compressive forces that are less than the compressive forces associated with the first and second wedge shaped portions 114 A, 114 C. Therefore, the compressive forces create a force differential along each wedge shaped portion 114 greater at the closed end 104 of the end fitting 100 and decreasing toward the open end 106 of the end fitting 100 . As the compressive forces associated with the first wedged-shaped portion 114 A deteriorate the structural integrity of the first wedged-shaped portion 114 A, then, it has been found that the uncompensated for compressive forces of the first wedged-shaped portion 114 A are transferred to and accepted by the second wedged-shaped portion 114 B. Similarly, as the compressive forces associated with the second wedged-shaped portion 114 B deteriorate the structural integrity of the second wedged-shaped portion 114 B, then it has been found that the uncompensated for compressive forces of the second wedged-shaped portion 114 B are transferred to and accepted by the third wedged-shaped portion 114 C. Thus, a force transfer continuum is created by the wedge system 110 . The force transfer continuum provides for a constant effectiveness between the end fitting 100 and the fiber composite rod 200 as the wedge system 110 deteriorates from one wedged-shaped portion 114 to the next wedged-shaped portion 114 of the wedge system 110 . The sucker rod 10 has a plurality of longitudinal cross-sections of the wedged-shaped portions 114 , which forms a plurality of frustro-conical shapes within the cavity 112 . The wedge shaped portions 114 of the sucker rod 10 create different compressive forces on each respective edge 118 , 120 thereof with the compressive force being approximately proportional to a length of each edge 118 , 120 . In one embodiment, the compressive force on each edge 118 , 120 is directly proportional to the length of each edge 118 , 120 . Further, the plurality of wedge shaped portions 114 are determined by the angle associated between the leading edge 118 and the trailing edge 120 . An adhesive or epoxy 130 is used to sufficiently bond with the fiber composite rod 200 and engage with the end fitting 100 . It is appreciated that any adhesive substance that will sufficiently bond with the fiber composite rod 200 and engage with the end fitting 100 may be used. The adhesive or epoxy 130 is placed in the cavity 112 and cured to bond with the fiber composite rod 200 in the cavity 112 for fixedly securing the end fitting 100 with the fiber composite rod 200 . In one embodiment, the angle A between the leading edge 118 and the trailing edge 120 of each wedge shaped portion is obtuse. FIG. 2 illustrates an angle A associated with each wedged-shaped portion 114 of the wedge system 110 . FIG. 2A is an exploded view of the angle A of the second wedged-shaped portion 114 B of the wedge system 110 . The fiber composite rod 200 is illustrated in the end fitting 100 . The end fitting 100 defines the leading edge 118 B and the trailing edge 120 B to form the cavity 112 . The angle between the leading edge 118 B and the trailing edge 120 B defines the angle A. Generally, the leading edge 118 , the trailing edge 120 and the fiber composite rod 200 form a scalene triangle with the longest side of the scalene triangle being along the fiber composite rod 200 , the shortest side of the scalene triangle being along the trailing edge 120 , and the intermediate side of the scalene triangle being along the leading edge 118 . FIG. 3 is a sectional view of the fiber composite rod 200 and end fitting 100 combination illustrated in FIG. 2 taken along the section line 3 - 3 . The end fitting 100 is exterior of the fiber composite rod 200 with the cavity 112 there between. The cavity 112 between the fiber composite rod 200 and the end fitting 100 forms a gap G 3 . FIG. 4 is a sectional view of the fiber composite rod 200 and end fitting 100 combination illustrated in FIG. 2 taken along the section line 4 - 4 . The end fitting 100 is exterior of the fiber composite rod 200 with the cavity 112 there between. The cavity 112 between the fiber composite rod 200 and the end fitting 100 forms a gap G 4 . The gaps G 3 and G 4 are associated with the first wedged-shaped portion 114 A of the wedge system 110 . FIG. 5 is a sectional view of the fiber composite rod 200 and end fitting 100 combination illustrated in FIG. 2 taken along the section line 5 - 5 . The end fitting 100 is exterior of the fiber composite rod 200 with the cavity 112 there between. The cavity 112 between the fiber composite rod 200 and the end fitting 100 forms a gap G 5 . FIG. 6 is a sectional view of the fiber composite rod 200 and end fitting 100 combination illustrated in FIG. 2 taken along the section line 6 - 6 . The end fitting 100 is exterior of the fiber composite rod 200 with the cavity 112 there between. The cavity 112 between the fiber composite rod 200 and the end fitting 100 forms a gap G 6 . The gaps G 5 and G 6 are associated with the second wedged-shaped portion 114 B of the wedge system 110 . FIG. 7 is a sectional view of the fiber composite rod 200 and end fitting 100 combination illustrated in FIG. 2 taken along the section line 7 - 7 . The end fitting 100 is exterior of the fiber composite rod 200 with the cavity 112 there between. The cavity 112 between the fiber composite rod 200 and the end fitting 100 forms a gap G 7 . FIG. 8 is a sectional view of the fiber composite rod 200 and end fitting 100 combination illustrated in FIG. 2 taken along the section line 8 - 8 . The end fitting 100 is exterior of the fiber composite rod 200 with the cavity 112 there between. The cavity 112 between the fiber composite rod 200 and the end fitting 100 forms a gap G 8 . The gaps G 7 and G 8 are associated with the second wedged-shaped portion 114 C of the wedge system 110 . The smaller gaps G 3 , G 5 , G 7 associated with each wedged-shaped portion 114 are substantially constant having essentially the same dimension. Similarly, the larger gaps G 4 , G 6 , G 8 associated with each wedged-shaped portion 114 are substantially constant having essentially the same dimension. The symmetry provided by the relationship of the minimum gaps G 3 , G 5 , G 7 and the maximum gaps G 4 , G 6 , G 8 provides unforeseen results. Particularly, the symmetry provided by the relationship of the minimum gaps G 3 , G 5 , G 7 and the maximum gaps G 4 , G 6 , G 8 greatly enhances the stability and ability of the fiber composite rod 200 and end fitting 100 combination to accept enhanced compressive and back pressure forces associated with the reciprocating environment in which the sucker rods 10 are used. FIG. 9 is a graph of the relationship between the length of the leading edge 118 and trailing edge 120 of each wedged-shaped portion 114 in the wedge system 110 of the present disclosure. As illustrated in FIG. 2 , the leading edge 118 is progressively longer from the closed end 104 of the end fitting 100 to the open end 106 of the end fitting 100 . Similarly, the trailing edge 120 is progressively longer from the closed end 104 of the end fitting 100 to the open end 106 of the end fitting 100 . The functions defined by these relationships are illustrated in FIG. 9 . Particularly, a line having a slope or gradient defines the function associated with the trailing edge 120 , and a line having a slope or gradient defines the function associated with the leading edge 118 . The relationship of the function associated with the trailing edge 120 and the function associated with the leading edge 118 provides insight to the unforeseen effectiveness of the wedge system 110 of the present disclosure. It has been found that the rate of increase of the length of the leading edge 118 with respect to the rate of increase of the length of the trailing edge 120 , as defined by the slope or gradient of each associated function, provides an enhanced sucker rod 10 and sucker rod system. The slope of the leading edge 118 associated with the wedge system 110 of the present disclosure is greater than the slope of the trailing edge 120 associated with the wedge system 110 of the present disclosure. The wedge system 110 of the present disclosure as applied to a sucker rod 10 provides unforeseen effectiveness not before appreciated. The combination of the wedged-shaped portions 114 , the relationship of the leading edge 118 to the trailing edge 120 , the symmetry of the minimum gaps G 3 , G 5 , G 7 and the maximum gaps G 4 , G 6 , G 8 result in a wedge system 110 that provides improved and unpredicted functionality. Particularly, the improved and unpredicted functionality of the sucker rod 10 having the wedge system 110 of the present disclosure greatly enhances the stability of the sucker rod 10 and ability of the fiber composite rod 200 and end fitting 100 combination to accept enhanced compressive and back pressure forces associated with the reciprocating environment in which the sucker rods 10 are used. FIG. 10 is a cross-sectional view of another embodiment of a sucker rod 50 and associated end fitting 100 within the scope of the present disclosure. The sucker rod 50 comprises one or more end fittings 100 and a fiber composite rod 200 . The fiber composite rod 200 has a first end 202 and a second end (not illustrated). Typically, there are end fittings 100 on each end of the fiber composite rod 200 for coupling together a plurality of fiber composite rods 200 . The end fitting 100 comprises an exterior surface 102 , a closed end 104 , an open end 106 , and an interior surface 108 . The interior surface 108 comprises a wedge system 110 . The present disclosure provides that the wedge system 110 can have any number of wedges as indicated by the broken line between the first wedged-shaped portion 114 A and the second wedged-shaped portion 114 B. The wedge system 110 defines a cavity 112 in the end fitting 100 . The wedge system 110 comprises a plurality of wedged-shaped portions 114 . Each wedged-shaped portion 114 has an apex 116 , a leading edge 118 and a trailing edge 120 extending from the apex 116 . Each apex 116 forms a perimeter 122 within the cavity 112 that is the narrowest part of the cavity 112 associated with each wedge shaped portion 114 . The leading edge 118 is longer than the trailing edge 120 with the leading edge 118 facing the open end 106 and the trailing edge 120 facing the closed end 104 with respect to each wedge shaped portion 114 . The first wedge shaped portion 114 A is proximate to the closed end 104 for receiving compressive forces that are greater than the compressive forces associated with the other wedged-shaped portions 114 B, C, etc. Particularly, the first wedged-shaped portion 114 A receives greater compressive forces than the compressive forces for which a second wedge shaped portion 114 B receives that is proximate to the first wedged-shaped portion 114 A. A third wedge shaped portion 114 C between the second wedge shaped portions 114 B and the open end 106 receives compressive forces that are less than the compressive forces associated with the first and second wedge shaped portions 114 A, 114 C. Therefore, the compressive forces create a force differential along each wedge shaped portion 114 greater at the closed end 104 of the end fitting 100 and decreasing toward the open end 106 of the end fitting 100 . As the compressive forces associated with the first wedged-shaped portion 114 A deteriorate the structural integrity of the first wedged-shaped portion 114 A, then it has been found that the uncompensated for compressive forces of the first wedged-shaped portion 114 A are transferred to and accepted by the second wedged-shaped portion 114 B. Similarly, as the compressive forces associated with the second wedged-shaped portion 114 B deteriorate the structural integrity of the second wedged-shaped portion 114 B, then it has been found that the uncompensated for compressive forces of the second wedged-shaped portion 114 B are transferred to and accepted by the third wedged-shaped portion 114 C. Thus, a force transfer continuum is created by the wedge system 110 regardless of the number of wedged-shaped portions 114 comprise the wedge system 110 . The force transfer continuum provides for a constant effectiveness between the end fitting 100 and the fiber composite rod 200 as the wedge system 110 deteriorates from one wedged-shaped portion 114 to the next wedged-shaped portion 114 of the wedge system 110 . The wedge shaped portions 114 of the sucker rod 50 create different compressive forces on each respective edge 118 , 120 thereof with the compressive force being approximately proportional to a length of each edge 118 , 120 . In one embodiment, the compressive force on each edge 118 , 120 is directly proportional to the length of each edge 118 , 120 . Further, the plurality of wedge shaped portions 114 are determined by the angle associated between the leading edge 118 and the trailing edge 120 . An adhesive or epoxy 130 is used to sufficiently bond with the fiber composite rod 200 and for engagement with the end fitting 100 . It is appreciated that any adhesive substance that will sufficiently bond with the fiber composite rod 200 and engage with the end fitting 100 may be used. The adhesive or epoxy 130 is placed in the cavity 112 and cured to bond with the fiber composite rod 200 in the cavity 112 for fixedly securing the end fitting 100 with the fiber composite rod 200 . In one embodiment, the angle A between the leading edge 118 and the trailing edge 120 of each wedge shaped portion is obtuse. FIG. 2A illustrates an angle A associated with each wedged-shaped portion 114 of the wedge system 110 with respect to the present disclosure. The longitudinal cross sections of the concaved portions 110 form frustro-conical shapes. The concaved portions 110 create different compressive forces on each respective surface thereof with the compressive force being approximately proportional to the length of each surface. The compressive force on each surface increases toward the closed end 104 and decreases toward the open end 106 . The compressive force on each first surface 118 is proportional to the length of each surface. The compressive force on each second surface 120 is proportional to the length of each second surface. The plurality of concaved portions 110 are determined by the angle associated between the first surface 118 and the second surface 120 of each concaved surface 110 . The angle between the first surface 118 and the second surface 120 of each concaved surface 110 is obtuse. Further, each wedge shape portion 114 may have a length proportional to the compressive force applied to the wedge shape 114 . The wedge shape 114 has a length that increases from the closed end 104 to the open end 106 of the end fitting 100 . The wedge shaped portions 114 may have a length that decreases from the closed end 104 to the open end 106 of the end fitting 100 . In yet another embodiment, a method for manufacturing a sucker rod is provided. The method comprises the steps of constructing an end fitting comprising an exterior surface, a closed end, an open end, and an interior surface. The interior surface comprises at least three wedge shaped portions defining a cavity. The wedge shaped portions have an apex and a first and second length extending from the apex. The apex forms a perimeter that is the narrowest part of the cavity associated with each wedge shaped portion such that the first length is longer than the second length with the first length facing the open end and the second length facing the closed end with respect to each wedge shaped portion. The method further comprises engaging an end of a fiber composite rod into the cavity of the end fitting for creating a void between the fiber composite rod and the wedge shaped portions of the end fitting. Thereafter, injecting an epoxy into the void to bond with the fiber composite rod and to fixedly engage the wedge shaped portions of the end fitting for securing the end fitting to the fiber composite rod. This arrangement causes the stress to increase the elastic limit without permanent alteration of the fiber composite rod and epoxy combination in the cavity of the end fitting. Thus, a first wedge shaped portion proximate to the closed end receives compressive forces that are greater than the compressive forces for which a second wedge shaped portion proximate to the open end receives, and an intermediate wedge shaped portion between the first and second wedge shaped portions for receiving compressive forces that are intermediate of the first and second wedge shaped portions. Such that the compressive forces create a force differential along the wedge shaped portion greater at the closed end of the fitting and decreasing toward the open end of the fitting. The method for manufacturing a sucker rod may further comprise the step of creating different compressive forces on each respective surface of the wedge shaped portions with the compressive force being approximately proportional to the length of each surface. Further, the method for manufacturing a sucker rod may comprise the step of the compressive force on each surface increasing toward the closed end and decreasing toward the open end. Still further, the method for manufacturing a sucker rod may comprise the compressive force on each first surface being proportional to the length of each surface. Yet still further, the method for manufacturing a sucker rod may comprise the compressive force on each second surface being proportional to the length of each second surface. The method for manufacturing a sucker rod may comprise the plurality of wedge shaped portions being determined by the angle associated between the first surface and the second surface of each concaved surface. The method for manufacturing a sucker rod may have the angle between the first surface and the second surface of each concaved surface being obtuse. The method for manufacturing a sucker rod wherein each wedge shape has a length proportional to the compressive force applied to the wedge shape. The method for manufacturing a sucker rod wherein each wedge shape has a length that increases from the closed end to the open end of the end fitting. The method for manufacturing a sucker rod wherein each wedge shape has a length that decreases from the closed end to the open end of the end fitting. The invention has been shown in only one of its embodiments. It should be apparent to those skilled in the art that the invention is not so limited, but is susceptible to various changes and modifications without departing from the spirit of the invention. It is understood that the steps of the method described above or as claimed is not required to be performed in the order as disclosed. It is further understood that not all of the steps are necessary to carry out the claimed method and different embodiments of the method may not use all of the steps as disclosed above. While the present disclosure has been described with emphasis on certain embodiments, it should be understood that within the scope of the appended claims, the present locating sub system and method could be practiced other than as specifically described herein. Thus, additional advantages and modification will readily occur to those skilled in the art. The disclosure in its broader aspects is therefore not limited to the specific details, representative apparatus, and the illustrative examples shown and described herein. Accordingly, the departures may be made from the details without departing from the spirit or scope of the disclosed general inventive concept.
The present disclosure relates to a fiberglass rod with connectors on each end. Each connector has a rod-receiving receptacle having an open end, a closed end, and axially spaced annular wedge shaped surfaces such that the compressive forces between the rod and the respective connector are defined by the shape of the wedged surfaces.
This is a divisional of application Ser. No. 08/525,025 filed Sep. 8, 1995 now U.S. Pat. No. 5,804,002. BACKGROUND OF THE INVENTION 1. Field of the Invention This invention relates to a pneumatic tire, and in particular to a pneumatic tire wherein the crown portion of the carcass thereof is reinforced by a circumferential belt layer comprising reinforcing elements arranged substantially in parallel with the equatorial plane of the tire in straight, wavy or zigzag form. 2. Description of the Prior Art In pneumatic tires, many proposals have been made for strengthening the circumferential rigidity of the belt by the addition of a circumferential belt layer to an inclined belt layer comprising reinforcing elements inclined with respect to the equatorial plane of the tire. For example, Japanese Patent Application Laid-Open No. 2-81706 discloses a tire comprising a circumferential belt layer for strengthening the circumferential rigidity which comprises wavy reinforcing elements and attaining vulcanization almost without preventing expansion of the diameter of the belt in tire manufacture. However, the addition of the circumferential belt layer causes an increase in the heat generation of the belt, because of not only increasing the thickness of the belt but also increasing the strain generated between the circumferential belt layer and inclined belt layer during rotation of the tire. Also the heat generation of the belt causes deterioration of the coating rubber of the belt and finally causes so-called "heat separation" between the cords and the coating rubber. Also, when the tire is under load, the tread and the belt in the ground-contacting area of the tread are compressed radially inwards, and therefore the rubber coating reinforcing elements of the belt moves toward the belt edge portions. The quantity of displacement of the coating rubber becomes a maximum at the belt edge portions, especially at the edge portions of the circumferential belt layer, because the displacement of the coating rubber in the width direction is restricted. Therefore strain concentrates at the edge portions of the circumferential belt layer to cause separation. It is, therefore, an object of the present invention to provide a tire which overcomes the disadvantage caused by addition of a circumferential belt layer, especially belt separation, while strengthening circumferential rigidity by the addition of the circumferential belt layer. SUMMARY OF THE INVENTION The present invention in one aspect provides a pneumatic tire comprising a belt and a tread disposed radially outside the crown portion of a carcass toroidally extending between a pair of beads, said belt being a laminate of a circumferential belt layer and an inclined belt layer, said circumferential belt layer comprising a plurality of reinforcing elements arranged substantially in parallel with the equatorial plane of the tire and coated with rubber, and said inclined belt layer comprising a plurality of reinforcing elements inclined with respect to the equatorial plane of the tire and coated with rubber, wherein at least as regards the coating rubber of said circumferential belt layer and the coating rubber of said inclined belt layer adjoining each other, the coating rubber of the circumferential belt layer has a lower modulus of elasticity than that of the coating rubber of the inclined belt layer. It is advantageous for preventing belt edge separation that in the rubber layer between the reinforcing elements in the circumferential belt layer and those in the inclined belt layer adjoining each other in the vicinity of both edge portions of the belt, the thickness of the coating rubber of the circumferential belt layer accounting for the rubber layer is larger than the thickness of the coating rubber of the inclined belt layer. The invention in another aspect provides a pneumatic tire comprising a belt and a tread disposed radially outside the crown portion of a carcass toroidally extending between a pair of beads, said belt being a laminate of a circumferential belt layer and an inclined belt layer, said circumferential belt layer comprising a plurality of reinforcing elements arranged substantially in parallel with the equatorial plane of the tire and coated with rubber, and said inclined belt layer comprising a plurality of reinforcing elements inclined with respect to the equatorial plane of the tire and coated with rubber, wherein a control rubber layer having a higher modulus of elasticity than that of the coating rubber of the circumferential belt layer is disposed outside of the widthwise edge of said circumferential belt layer. As to the above circumferential belt layer, there can be applied not only the usual structure in which substantially straight cords or monofilaments as the reinforcing elements are arranged in the circumferential direction, but also a structure in which wavy cords or monofilaments are used and a structure in which a ribbon comprising several cords or monofilaments coated with rubber is spirally wound. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a sectional view in the width direction of an embodiment of a tire according to the invention; FIG. 2 is a sectional view of a belt construction of a tire according to the invention; FIG. 3 is an enlarged view of the portion A of FIG. 2; FIG. 4 is a sectional view of another belt construction of a tire according to the invention; FIG. 5 is a sectional view of a further belt construction of a tire according to the invention; FIG. 6 is an enlarged view of the portion A of FIG. 5; and FIG. 7 is a sectional view of a still further belt construction of a tire according to the invention. DESCRIPTION OF THE PREFERRED EMBODIMENTS FIG. 1 illustrates the section of a typical pneumatic tire in the tire width direction, according to the invention. This pneumatic tire has a belt 3 comprising at least one inclined belt layer 5a, 5b and at least one circumferential belt layer 6a, 6b, and a tread 4 disposed radially outside the crown portion of a carcass 2 toroidally extending between a pair of beads 1. In the illustrated embodiment, the belt 3 comprises two circumferential belt layers 6a, 6b disposed radially outside two inclined belt layers 5a, 5b which are in turn disposed radially outside the carcass 2. The reference 5c indicates a belt-protection layer covering the outermost layer of the belt 3, which can be omitted. In the belt 3, as FIG. 2 illustrates, it is important that at least as regards the coating rubber of the circumferential belt layer 6a and the coating rubber of the inclined belt layer 5b adjoining each other, the coating rubber of the circumferential belt layer 6a has a lower modulus of elasticity than that of the coating rubber layer of the inclined belt layer 5b. That is, lowering the modulus of elasticity decreases heat generation at the belt 3. Although it is important that especially the coating rubber of the circumferential belt layer 6a has a lower modulus of elasticity than that of the coating rubber of the adjoining inclined belt layer 5b, in a similar manner the coating rubber of the circumferential belt layer 6b can have a lower modulus of elasticity than that of the coating rubber of the inclined belt layer 5a. Furthermore, as illustrated in FIG. 3, which is an enlarged view of the vicinity of the belt edge portion A of FIG. 2, in the rubber layer 9 between the reinforcing elements 7 of the circumferential belt layer 6a and the reinforcing elements 8 of the inclined belt layer 5b, the thickness (tc) of the coating rubber of the circumferential belt layer 6a is larger than the thickness (ts) of the coating rubber of the inclined belt layer 5b. That is, the ratio of the coating rubber of the circumferential belt layer 6a accounting for the rubber layer 9 is larger than that of the coating rubber of the inclined belt layer 5b, as a result of which the strain concentrating especially at the vicinity of the circumferential belt layer 6a is mitigated. As FIG. 4 illustrates, disposing a control rubber layer 10 having a higher modulus of elasticity than that of the coating rubber of the circumferential belt layer 6a outside both the widthwise edges of the circumferential belt layer 6a restricts the displacement of the coating rubber of the circumferential belt layer, which is effective in restraining the strain at the circumferential belt layer 6a. In the present invention, lowering the modulus of elasticity of the coating rubber of the circumferential belt layer 6a, and also increasing the thickness tc of the coating rubber of the circumferential belt layer 6a accounting for the rubber layer 9 relative to the thickness ts of the coating rubber of the adjoining inclined belt layer 5b, and/or disposing the control rubber layer 10 outside both edges of the circumferential belt layer 6a, decreases heat generation and restrains separation at the belt ends. The arrangement of the circumferential belt layer and inclined belt layer is not limited to the embodiments shown in FIGS. 2, 3 and 4. For example, as shown in FIGS. 5, 6 and 7, two circumferential belt layers 6a, 6b can be disposed radially below two inclined belt layers 5a, 5b on the carcass. In this arrangement of the belt layers also, the modulus of elasticity of the coating rubber of the circumferential belt layer 6a is lower than that of the adjoining inclined belt layer 5b. Moreover, it is preferable that the ratio of the coating rubber of the circumferential belt layer 6a accounting for the rubber layer 9 is increased (see FIG. 6) and/or a control rubber layer 10 is disposed outside both edges of the circumferential belt layer 6a (see FIG. 7). As stated above, a tire having a belt which comprises an inclined belt layer in addition to a circumferential belt layer has the problem of belt separation caused by heat generation and the strain concentrating at both edges of the circumferential belt layer(s). In this invention, restraining heat generation between the belt layers has been considered. Although the cause of heat generation is the increase of the thickness of the belt and the strain generating between the circumferential belt layer and the inclined belt layer during tire rotating, the increase of thickness of the belt is difficult to avoid. In general, when a tire is under load, the transformation of the tread of the tire has a regular transformation tendency because the curved surface of the tread becomes flat. Therefore, the input between the circumferential belt layer and the inclined belt layer have a regular strain tendency, so the strain energy generated can be represented in the following expression: (strain energy)=(modulus of elasticity)×(strain).sup.2 This expression shows that lowering the modulus of elasticity of rubber is effective in decreasing the strain energy and therefore heat generation caused by the strain is restrained by lowering the modulus of elasticity of the coating rubber of the inclined belt layer and/or the circumferential belt layer. However, it is difficult to lower the modulus of elasticity of the coating rubber of the inclined belt layer because the modulus has great influence on the rigidity of the inclined belt layer. However, in the case of the circumferential belt layer, the modulus of elasticity has a relatively small influence on the rigidity. Therefore said modulus can be lowered, by which heat generation can be restrained. It is advantageous to restrain heat generation if the modulus of elasticity of the coating rubber of the circumferential belt layer is 20-45 kgf/mm 2 as the value of stress in a 100% tensile test at room temperature. In the case of the modulus of elasticity of the coating rubber of the inclined belt layer, because said modulus is 55-65 kgf/mm 2 as a value of stress in a 100% tensile test at room temperature that can maintain the rigidity of the belt, it is preferable when restraining heat generation to set the modulus in the vicinity of the lower limit. Because belt edge separation is caused by the concentration of stress in the vicinity of the belt edge, especially the edge of the circumferential belt layer, the above stated lowering of the modulus of the coating rubber of the circumferential belt layer is disadvantageous to the concentration of the stress. Therefore the ratio of the coating rubber of the circumferential belt layer accounting for the rubber layer between the reinforcing elements of the circumferential belt layer and the reinforcing elements of the adjoining inclined belt layer is increased, by which the strain concentrating at the circumferential belt layer can be mitigated. That is, the ability to mitigate the strain generated is enhanced by increasing the ratio of the coating rubber of the circumferential belt layer of which the modulus of elasticity is lowered accounting for the rubber layer, and such a large strain concentration as to cause the separation is restrained. It is preferable that the ratio of the coating rubber of the circumferential belt layer accounting for the rubber layer 9 is in the range of more than 0.5-0.7. Because thickening the entire rubber layer is disadvantageous for restraining heat generation, the strain mitigation ability of the rubber layer is improved by enhancing the ratio of the coating rubber of the circumferential belt layer. Furthermore the rigidity of the inclined belt layer and the minimum required thickness of the inclined belt layer in tire manufacture are secured, respectively. The control rubber layer 10 of which the modulus of elasticity is larger than that of the coating rubber of the circumferential belt layer 6a is disposed outside both edges of the circumferential belt layer respectively, by which separation may be avoided by restricting the displacement of the rubber layer during rotation under load and restraining the strain generation. The control rubber layers 10, as FIGS. 4 and 7 illustrate, are disposed outside both edges of the circumferential belt layer and extend in the circumferential direction with a rectangular section, respectively. It is preferable that the modulus of elasticity of the control rubber is 1.3-3.0 times as much as that of the coating rubber of the circumferential belt layer. It is also preferable, to avoid heat generation which accompanies increasing the amount of the rubber, that the width and thickness of the section shape of the control rubber layer are 10-20 mm and 1.0-2.0 mm, respectively. The invention will be further described with reference to the following embodiments. There were provided pneumatic radial tires for trucks and buses having a tire size of 11/70R22.5 and a belt construction including a circumferential belt layer as illustrated in FIGS. 1 and 2, as described in more detail in Table 1, respectively. The circumferential belt layer comprised wavy cords (0.8 mm φ, wave length: 36.6 mm, amplitude: 1.3 mm) of 3+9×0.19 mm with end count: 28 cords/50 mm. The inclined belt layer comprised cords (1.4 mmφ) of 3+9+15×0.23 mm at an angle of 18' with respect to the equatorial plane of the tire with end count: 23 cords/50 mm. In Table 1, the modulus of elasticity and the thickness of coating rubber of each belt layer are represented by a ratio on basis of a Comparative tire in which the modulus of elasticity and the thickness were 57 kgf/mm 2 and 0.3 mm in the circumferential belt layer, and 57 kgf/mm 2 and 0.3 mm in the inclined belt layer, respectively. Each of these tires was run on a drum at a speed of 50 km/h under normal load after being inflated to a normal inflation pressure of 8.0 kgf/cm 2 , and was tested in respect of the tire temperature, running distance when any failure occurred, and the failure state. These test results are given in Table 1, represented by an index on the basis that the corresponding values of the Comparative tire are 100. The tire temperature was measured by stopping the drum ten hours after the tire had started to run on the drum and by inserting a thermocouple-type beam-thermometer into the vicinity of the edge portion of the circumferential belt layer. TABLE 1__________________________________________________________________________Modulus of Thickness ofelasticity of the coatingthe coating rubber rubber Circum- Circum-Inclined ferential Inclined ferential Control Tirebelt belt belt belt rubber tempera- State of Runninglayer layer layer layer layer ture Separation distance__________________________________________________________________________Compara-1 1 1 1 No 100 Large Separa- 100tive tire tion at belt endInvention1 0.7 1 1 No 95 Large Separa- 107tire 1 tion at belt endInvention1 0.7 1 1.3 No 90 Small Separa- 113tire 2 tion at belt endInvention1 0.7 1 1 Yes*.sup.) 95 Small Separa- 110tire 3 tion at belt endInvention1 0.7 1 1.3 Yes*.sup.) 90 No 125tire 4 SeparationInvention1 1 1 1 Yes*.sup.) 100 Large Separa- 105tire 5 tion at belt end__________________________________________________________________________ *.sup.) The modulus of elasticity is 1.3 times. According to the invention, strengthening the circumferential rigidity of a tire by adding a circumferential belt layer can be attained without heat generation and belt edge separation. Therefore it is possible to improve the tire performance. While the invention has been particularly shown and described with reference to certain preferred embodiments thereof, it will be understood by those skilled in the art that various changes and modifications may be made without departing from the spirit and scope of the invention.
The belt of a pneumatic tire is a laminate of a circumferential belt layer and an inclined belt layer, the circumferential belt layer comprising a plurality of reinforcing elements arranged substantially in parallel with the equatorial plane of the tire and coated with rubber, and the inclined belt layer comprising a plurality of reinforcing elements inclined with respect to the equatorial plane of the tire and coated with rubber, wherein at least in the coating rubber of the circumferential belt layer and the coating rubber of the inclined belt layer adjoining each other, the modulus of elasticity of the coating rubber of the circumferential belt layer is lower than that of the coating rubber of the inclined belt layer. The pneumatic tire of the invention avoids the disadvantage generated by addition of a circumferential belt layer while strengthening the circumferential rigidity by the circumferential belt layer.
FIELD OF THE INVENTION The present invention relates to an electronic proximity switch dependent upon a magnetic field and adapted to be actuated by an approaching magnetic trigger. BACKGROUND OF THE INVENTION Proximity switches of the aforementioned type are generally provided with an HF (high frequency) resonance circuit which is adapted to be influenced by a magnetic trigger, in an oscillator circuit, with a coil arrangement having a member magnetizable by the magnetic field of the trigger. The member is drivable into magnetic saturation starting with a specific magnetic field strength by the external magnetic field of the trigger with reduction in the damping of the resonance circuit. The magnetizable member may, for example, consist of an amorphous or predominantly amorphous metallic band of high permeability, with losses of the band, which determine the oscillation state of the oscillator circuit, decreasing with an increase in magnetic saturation. Various embodiments of a proximity switch of the aforementioned type are proposed in, for example, EP Laid-Open Application 0,218,042 wherein, in all of the embodiments, the coil arrangement includes a resonance circuit coil and a coupling coil arranged on a joint bobbin. In one of the proposed embodiments a "Hartley Circuit" is utilized for the oscillator, and in the other proposed embodiment, a Meissner circuit is employed. One common feature of the above mentioned conventional circuits used in such proximity switches resides in the fact that the circuit oscillators operate with two windings or with a tapped coil since these types of circuits, as is known, can be dimensioned with special ease so that a uniform reproducible starting and stopping of the oscillation is readily obtainable, with a corresponding amplitude curve being respectively produced during the damping reduction and damping of the coil arrangement. However, a disadvantage of the above noted conventional circuits resides in the fact that two windings or coil taps are required during the manufacturing which not only increases the manufacturing costs but also a further processing of the coil with, for example, three or four terminals, requires a considerable amount of operational steps and labor and is also prone to errors. Moreover, the basic losses in the conventional coil arrangement are high and, for this purpose, the use of conventional coil arrangements presents some difficulties especially in integrated switching circuits. SUMMARY OF THE INVENTION The aim underlying the present invention essentially resides in providing an electronic proximity switch arrangement of the aforementioned type which is capable of considerably lowering the basic coil losses. In accordance with advantageous features of the present invention, a coil bobbin of the resonant circuit coil is fashioned from a synthetic resin, with the synthetic resin being enriched with a pulverulent permeable material. By virtue of the above noted features of the present invention, the resonant circuit coil exhibits only relatively low losses due to the filling of the synthetic resin bobbin with the permeable material. Preferably, in accordance with the present invention, the pulverulent permeable material includes carbonyl iron and, therefore, the oscillation can be maintained with a smaller supply of energy and an improved stability can be obtained. In accordance with still further features of the present invention, the coil arrangement of the HF resonant circuit may comprise only a single coil thereby resulting in a lowered coil manufacturing cost and, since the coil has a smaller value by virtue of the elimination of the second winding, it is possible to further reduce the overall structural size. Yet further advantages of the present invention reside in the fact that almost all of the structural parts of the oscillator circuit can be contained in only one integrated switching circuit, and the individual proximity switches may be manufactured with a substantially greater uniformity since the coupling tolerances are eliminated, which tolerances are unavoidable in arrangements with coupling coils. Advantageously, in accordance with further features of the present invention, the mounting elements for the amorphous metallic band are also manufactured from a synthetic resin enriched with a pulverulent permeable material. Advantageously, a resonant circuit coil is wound from an enamelled copper wire or from a high-frequency braided wire. The mounting elements may, in accordance with the present invention, be constructed as flanges of a coil bobbin which are, in a diametrical dimension and in the axial direction, in each dimension, larger by a multiple of the central core, with the coil bobbin including an annular gap which penetrates the oscillator coil. The gap is adapted to accommodate the amorphous metallic band. The above and other objects, features, and advantages of the present invention will become more apparent from the following description when taken in connection with the accompanying drawings which show, for the purposes of illustration only, one embodiment in accordance with the present invention. BRIEF DESCRIPTION OF THE DRAWINGS FIGS. 1 and 2 are block circuit diagrams of conventional proximity switches disclosed in EP-OS 218,042; FIG. 3 is a block circuit diagram of a proximity switch constructed in accordance with the present invention; FIG. 4 is an oscillator circuit of a proximity switch of the present invention; and FIG. 5 is a schematic cross-sectional view of a coil arrangement of an HF resonance circuit adapted to be influenced in the manner of a sensor. DETAILED DESCRIPTION Referring now to the drawings wherein like reference numerals are used throughout the various views to designate like parts and, more particularly, to FIG. 1, according to this figure, a conventional proximity switch, activatable by a magnetic trigger NS, includes an oscillator portion 1, and evaluating stage 2, a switching amplifier 3, and a switching member 4, with the switching member 4 including a switching transistor or, preferably, a thyristor. The oscillator portion 1 includes an HF resonant circuit 5 with a resonant circuit coil 6 and a tap 7. A portion of the resonant circuit coil 6 functions as a coupling coil 8 whereby the oscillator portion 1 can be operated, for example, in a so-called Hartley circuit. In a Hartley circuit, the objective is attained by way of the coil tap that the voltage fed back to the amplifier input, for example, in the case of an emitter circuit, to the base of the transistor, is phase-shifted by 180° with respect to the amplifier output voltage, for example, the collector voltage, so that a positive feed back is produced whioh, together with the amplification of the transistor, brings about the oscillatory capability. FIG. 2 provides an alternate conventional arrangement of the resonant circuit coil with two separate windings, namely, an actual resonant circuit coil 10 in the resonant circuit 11 and a coupling coil 12. This arrangement can preferably be utilized in the so-called Meissner circuit wherein feedback, required for a build-up of oscillation, is obtained with the second coil, for example, the coupling coil 12, by feeding the voltage induced in the coupling coil 12, in the corresponding phase position shifted by 180 ° at the amplifier input, for example, to the base of a transistor, whereas, the resonant circuit coil 10, together with the capacitor, is connected in the output circuit of the amplifier. In both oscillator portions 1, 9 of FIGS. 1, 2, the coupling coils 8 or 12 are utilized in addition to the resonant coil 6 or 10. This arrangement has proven not to be an optimum arrangement with respect to structural size and also operational reliability. In contradistinction to the constructions illustrated in FIGS. 1 and 2, in the proximity switch according to the present invention shown in FIGS. 3 and 4, the oscillator circuit 13 contains a resonant circuit 14 including a capacitor 15, a resonant circuit coil 16 with only one winding, and an amplifier portion 17 of an oscillator circuit 13 specifically tuned thereto. Enamelled copper wire or high-frequency wire is utilized for the resonant circuit coil 16. The resonant circuit is connected through the collector-emitter path of a transistor 18 and a resistor 19 to the terminals 20, 21 for a voltage supply. a series circuit is provided between the terminals 20, 21 and includes an adjustable resistor 22, an emitter-collector path of a transistor 23, and a resistor 24 and lies in parallel to the resonant circuit 14. The base of the transistor 18 is connected to the collector of the transistor 23, while the base of the transistor 23 is connected, through a resistor 25, to terminal 20 and, through a diode 26, to a circuit point 27 between the resonant circuit 14 and the collector of the transistor 18. In the above described circuit, the transistors 18 and 23 constitute the amplifier portion. The positive feedback required for the resonant circuit excitation is derived from the collector circuit of the transistor 23 and the coupling of the transistor 23 into the circuit simultaneously effects the needed phase reversal. The resistors 19, 24, 25 serve for setting the operating points of the transistors. The sensitivity of the arrangement can be adjusted by the variable resistor 22. The output voltage of the oscillator is derived from a circuit point 28 between the emitter of the transistor 23 and the variable resistor 22 for further connected circuit portions such as the evaluating stage 2, switching amplifier 3, and the switching member 4, all of which are conventional in construction. As shown in FIG. 5, the resonant circuit coil 16 is wound on a synthetic resin coil bobbin 29 including flanges 30, 31 each of which are in a diametrical dimension and in an axial dimension larger by a multiple than the central core. The flanges 30, 31 extend toward one side diametrically with respect to the resonant circuit coil 16. An annular gap is cut or formed in the flanges 30, 31 and in the central core of the coil bobbin 29. An annular amorphous metal band 33 is inserted or accommodated in the gap 32. The coil bobbin 29 and the flanges 30, 31 serving as the mounting means, are enriched with a pulverulent permeable material 34 whereby the inductance of the resonant circuit coil 16 is increased. The metallic band 33 penetrates the coil 16 and is bent or shaped into an open ring, with an opening 35 of the ring lying between planar surfaces 36, 37 defined by end faces of the torus of the resonant circuit coil 16. FIGS. 3 and 4 schematically illustrate the principle of the circuit arrangement of the present invention and, in a concrete realization, the components of the amplifier portion 17, namely, the transistors 18, 23, resistors 19, 24, 25 and adjustable resistor 22 form components of an integrated switching circuit which can also encompass the switching stages 2 and 3 as well. While we have shown and described only one embodiment in accordance with the present invention, it is understood that the same is not limited thereto but is susceptible to numerous changes and modifications as known to one of ordinary skill in the art, and we therefore do not wish to be limited to the details shown and described herein, but intend to cover all such modifications as are encompassed by the scope of the appended claims.
An electronic proximity switch dependent upon a magnetic field includes an HF resonant circuit adapted to be influenced by an approaching magnetic trigger. A coil arrangement includes a member drivable into magnetic saturation, with the member including an amorphous or predominantly amorphous metallic band of high permeability. A coil bobbin for accommodating the resonant circuit coil and the drivable member is made of a synthetic resin enriched with a pulverulent permeable material.
RELATED APPLICATIONS [0001] This application is a continuation of U.S. patent application Ser. No. 13/483,675, filed May 30, 2012, which is a continuation of U.S. patent application Ser. No. 12/293,745, now U.S. Pat. No. 8,240,514, filed Sep. 19, 2008, which is a U.S. national phase application filing of International Patent Application No. PCT/US2007/064200, filed Mar. 16, 2007, which claims the benefit of and priority to U.S. Provisional Patent Application No. 60/787,583, filed Mar. 30, 2006, the entire contents of each of which are incorporated herein by reference. TECHNICAL FIELD [0002] The embodiments disclosed herein relate to chemical distribution systems and in particular to a system and method for dispensing and distributing liquid and powdered chemicals to washers. BACKGROUND [0003] Many industries require the frequent use of accurate dosages of chemicals. These industries include the on premise laundry (OPL) and machine ware wash (MWW) industries, where large volumes of chemicals are used daily. As these chemicals are consumed, new chemicals must be shipped to the user and distributed to their eventual point of use, such as to washing machines (“washers”). [0004] Typically, automated chemical distribution systems distribute liquid chemicals, as it is relatively easy to distribute liquids, as compared to non-liquids like powder, to their eventual point of use. However, transporting liquid chemicals to the end user presents a number of drawbacks. For example, liquid chemicals occupy a large volume, are heavy, and, therefore, are expensive to ship and transport to the end user. Furthermore, certain chemicals are more easily manufactured and stored as a non-liquid form, e.g., a powder, and, therefore, manufacturing and shipping these chemicals in a liquid form increases the complexity and cost, and decreases the usability, of such liquid chemicals. [0005] On the other hand, non-liquid chemicals, e.g., powders, are easier to store and ship. Non-liquid chemicals are also generally less complex and expensive to manufacture. However, a non-liquid chemical is not easy to automatically distribute to its eventual point of use. However, those few automated chemical distribution systems that distribute powdered chemicals require separate automated chemical distribution systems for liquid chemical distribution. In other words, existing automated chemical distribution systems that distribute liquid chemicals to their point of use are not compatible with powdered chemicals. Such duplication of automated chemical systems substantially increases the overall complexity and cost of automatically distributing chemicals to their points of use. [0006] In light of the above, it would be highly desirable to provide a single chemical distribution system that can distribute accurately dosages of both liquid and powdered chemicals. SUMMARY [0007] According to some embodiments, there is provided a powdered and liquid chemical distribution system including a transport module having a plurality of chambers arranged in series to automatically distribute both a powdered chemical and a liquid chemical to a point of use along a single line, wherein the plurality of chambers share a chamber wall. [0008] According to some other embodiments, there is provided a powdered and liquid chemical distribution system including a transport module having a first chamber positioned to receive powdered chemical and a second chamber aligned with and fluidly connected to the first chamber and positioned to receive a liquid chemical such that the transport module automatically distributes both the powdered chemical and the liquid chemical to a point of use along a single line, wherein the second chamber fluidly connects the first chamber to the single line, and wherein the second chamber is positioned to receive the liquid chemical and to receive the powdered chemical from the first chamber. [0009] According to some other embodiments, there is provided a powdered and liquid chemical distribution system having a transport module including a plurality of vertically arranged chambers to automatically distribute both a powdered chemical and a liquid chemical to a point of use along a single line, wherein the chambers are fluidly connected to a manifold, and wherein the chambers and manifold are aligned and positioned relative to each other such that fluid gravitationally flows from the chambers to the manifold. [0010] According to some embodiments there is provided a method for distributing powdered and liquid chemicals. Water is introduced into an upper end of a measuring chamber. A liquid chemical is then injected into a chemical chamber that is fluidly coupled to a lower end of the measuring chamber until a desired volume of the liquid chemical has been introduced. The desired volume of liquid chemical and at least some of the water is pumped to a washer. Water and a desired dose of a powdered chemical may then be inserted into the upper end of the measuring chamber, and thereafter transported to the washer. [0011] According to some other embodiments there is provided a method for distributing powdered and liquid chemicals. Water is introduced into an upper end of a chamber. A desired volume of liquid chemical is introduced into a bottom end of the chamber. The desired volume of liquid chemical and at least some of the water is then pumped to one washer of multiple washers. A desired dose of a powdered chemical and water is then introduced into an upper end of the chamber. The powdered chemical and at least some of the water is subsequently pumped to the one washer. [0012] According to some other embodiments there is provided a method for distributing powdered and liquid chemicals. Water is introduced into an upper end of a chamber. A desired volume of liquid chemical is introduced into a bottom end of the chamber. The desired volume of liquid chemical and at least some of the water is then pumped to one washer of multiple washers. A desired dose of a powdered chemical and water then introduced into an upper end of the chamber. The powdered chemical and at least some of the water is subsequently pumped to the one washer. [0013] In many of these various systems and methods flow of liquid is achieved with gravity feed only, where each subsequent lower chamber or tubing has a smaller size or diameter than the chamber above it. Not only does this keep liquid chemicals, powdered chemicals, and/or other chemicals from sticking to the walls of the system (which can damage the system or cause harmful chemical reactions within the system), the downsizing of chambers, and or tubing, produces a higher velocity at the exit point to help clean out or flush the system of chemicals. Also, the system is continually flushed with water before, during and after the liquid or powdered chemicals are introduced into the system. This also helps to keep the unit clean and free of harmful residue. [0014] Accordingly, the above described systems and methods provide a single chemical distribution system and method, whereby accurate dosages of both liquid and powdered chemicals can be distributed along a single line to each of multiple washers. BRIEF DESCRIPTION OF THE DRAWINGS [0015] For a better understanding of the nature and objects of the invention, reference should be made to the following detailed description taken in conjunction with the accompanying drawings, in which: [0016] FIG. 1 is a block diagram of a powdered and liquid chemical distribution system, according to an embodiment of the invention; [0017] FIG. 2 is a partial cross-sectional view of the chemical distribution hub of the chemical distribution system shown in FIG. 1 ; [0018] FIG. 3 is a partial cross-sectional view of another chemical distribution hub, according to another embodiment of the invention; [0019] FIG. 4 is a perspective view of the chambers component of a chemical distribution hub, according to another embodiment of the invention; [0020] FIG. 5 is a top view looking into the third chamber of FIG. 4 ; and [0021] FIG. 6 is a perspective view of additional components of the hub shown in FIG. 4 . [0022] Like reference numerals refer to the same or similar components throughout the several views of the drawings. DETAILED DESCRIPTION [0023] The following describes various embodiments of chemical distribution systems and methods. These systems are particularly well suited for on premise laundry (OPL) and machine ware wash (MWW) applications. However, it should be appreciated that the systems and methods described herein may be used for any suitable chemical distribution applications. [0024] FIG. 1 is a block diagram of a powdered and liquid chemical distribution system 100 . The system 100 includes a chemical distribution hub 104 (sometimes referred to as a transport module) that dispenses and/or distributes water and one or more chemicals to devices, such as washers 102 ( a ) and 102 ( b ), along tubes or lines 116 . In some embodiments, only a single tube or line is run to each device, unlike current systems which typically require more than one line to each device, as will be explained in further detail below. [0025] Water is supplied from one or more water sources 110 , such as a municipal or city water supply. One or more powdered chemicals may be provided by one or more powdered chemical sources 106 that are coupled to the hub 104 via one or more tubes or lines 112 . In some embodiments, the water from the water source 110 is also provided to the hub 104 along the same lines 112 that supply the powdered chemical(s). Also in some embodiments, the powdered chemical sources receive disposable powdered chemical refill containers 118 . A suitable powdered chemical source and/or container is disclosed in Applicant's US Patent Publication No. US 2005/0247742A 1 entitled “Metering and Dispensing Closure,” the entire contents of which are incorporated herein by reference. [0026] In addition, one or more liquid chemicals may be provided by one or more liquid chemical sources 108 that are coupled to the hub 104 via one or more tubes or lines 114 . In some embodiments, the powdered chemical sources receive disposable liquid chemical refill containers 120 . In other embodiments, one or more liquid chemicals may be supplied from a tank that is refilled, or the like. [0027] FIG. 2 is a partial cross-sectional view of the chemical distribution hub 104 of the chemical distribution system 100 shown in FIG. 1 . In some embodiments, the hub 104 includes three chambers. It should however be appreciated that more or less chambers may be used. The three chambers include a measuring chamber (“first chamber”) 208 , a chemical chamber (“second chamber”) 210 , and a transport chamber (“third chamber”) 206 . In some embodiments, the three chambers are aligned with one another in use so that the third chamber 206 is disposed vertically above the first chamber 208 , and the first chamber 208 is disposed vertically above the second chamber 210 , i.e., aligned along a vertical line that is perpendicular to the horizon. In some embodiments, the three chambers are aligned with one another such that fluid can flow under a gravitational force from the third chamber 206 to the first chamber 208 , and from the first chamber 208 to the second chamber 210 . [0028] The first chamber 208 is defined by at least one first chamber wall. In some embodiments the first chamber wall is a circular wall that defines a cylinder having a first diameter Dl. The volume of the chamber is selected such that any change in fluid level in the chamber is great enough to allow easy sensing of the change in pressure by a sensor, described below, while retaining the water volume low enough to allow rapid flushing at the end of a dose cycle. A suitable range of first diameters and heights of the first chamber are 0 . 5 - 2 inches and 4 to 10 inches, respectively. The first chamber 208 has a first chamber first end 242 , an opposing first chamber second end 244 , and a port 228 . The first chamber first end 242 is configured to receive into the first chamber 208 : (i) water 202 , from a water source 110 ( FIG. 1 ), and/or (ii) one or more powdered chemicals 204 , from one or more powdered chemical sources 106 ( FIG. 1 ). The port 228 is formed in the first chamber wall. In some embodiments, the port 228 is situated near the first chamber second end 244 . Also in some embodiments, the port has a diameter that is significantly larger than the pressure sensor input tube to create a trapped air pocket between the chamber and the pressure sensor input tube. Also in some embodiments, the diameter of the port 228 is chosen so that water is not drawn or held in the port by a capillary action. In some embodiments, the height of the first chamber that is used for calibration is in the range of 2 to 6 inches above the port 228 . [0029] The port 228 allows fluid communication into the first chamber 208 . The port 228 is configured to be coupled to a sensor 236 . In some embodiments, the sensor 236 is a pressure sensor, such as an absolute pressure sensor, that measures the head of fluid in the first chamber 208 above the port 228 . In some embodiments, the sensor 236 is disposed within a controller 214 . The controller 214 is configured to calibrate the chemical distribution system, control the flow of water and chemicals into the hub 104 , and control the flow of water and chemicals to the various devices 102 ( FIG. 1 ), as described in further detail below. [0030] The second chamber 210 is defined by at least one second chamber wall. In some embodiments the second chamber wall is a circular wall that defines a cylinder having a second diameter D2. In some embodiments, the first diameter D1, i.e., the diameter of the first chamber is larger than the second diameter D2, i.e., the diameter of the second chamber. The second diameter is chosen to be large enough to allow liquid chemicals to be injected into the second chamber, but small enough to facilitate high velocities of water to flush any liquid chemical residue from the second chamber. A suitable range second diameters and heights of the second chamber are 0.25 to 1.75 inches and 5 to 11 inches, respectively. The second chamber 210 has a second chamber first end 246 , an opposing second chamber second end 248 , and one or more chemical inlets 230 in the at least one second chamber wall. The second chamber first end 246 is configured to be coupled to the first chamber second end 244 . Each of the one or more chemical inlets 246 allows fluid communication into the second chamber 210 . In some embodiments, each of the chemical inlets is configured to be coupled to a different liquid chemical source 108 ( FIG. 1 ). Where multiple chemical inlets are provided, but fewer chemical sources are provided, the additional inlets may be capped. Each chemical inlet 230 coupled to a chemical source, is coupled to a tube or line 114 , such as a flexible plastic tube, that is coupled to the chemical source. In some embodiments, each of these chemical inlets 230 chemical source via a chemical pump 216 , as shown. For example, a flexible plastic tube transporting a liquid chemical may be inserted through a positive displacement pump, such as a peristaltic pump. In some embodiments, each chemical pump 216 is located within a respective liquid chemical source 108 . [0031] The manifold 212 has a manifold inlet 250 fluidly coupled to the second chamber second end 248 . In some embodiments, the manifold may be coupled to the second chamber second end via a tube or line (see FIG. 6 ). The manifold also includes one or more manifold outlets 232 each configured to be coupled to a different device 102 ( FIG. 1 ). Where multiple manifold outlets 232 are provided, but fewer devices are provided, the additional outlets may be capped. Each manifold outlet 232 coupled to a device, is coupled to a tube or line 116 , such as a flexible plastic tube, that is coupled to the chemical source. In some embodiments, each of these manifold outlets 232 is coupled to a respective device via a transport pump 218 , as shown. For example, a flexible plastic tube transporting water and a chemical to a device may be inserted through a positive displacement pump, such as a peristaltic pump. [0032] The third chamber 206 is defined by at least one third chamber wall. In some embodiments the third chamber wall is a circular wall that defines a cylinder having a third diameter D3. Also in some embodiments, the third diameter D3, i.e., the diameter of the third chamber is larger than the first diameter D1, i.e., the diameter of the first chamber. The third chamber 206 has a larger diameter to facilitate larger volumes of, particularly of water, to be transported once calibration has taken place. The larger diameter also provides an overflow volume in case of failure of the sensor 236 , i.e., if the sensor fails, the water entering the third chamber can rise without overflowing until the flow of water is automatically stopped by the controller after a predetermined time period. A suitable range of third diameters are 3 to 7 inches. The third chamber 206 includes a third chamber first end 252 and a third chamber second end 254 . The third chamber first end 252 is configured to receive water 202 and chemicals 204 into the third chamber 206 . For example, water 202 is received from at least one water source 110 ( FIG. 1 ) and one or more powdered chemical(s) 204 are received from the powdered chemical source(s) 106 ( FIG. 1 ). The third chamber second end 254 is located opposite the third chamber first end 252 . The third chamber second end 254 is fluidly coupled to the first chamber first end 242 . [0033] In use, the chemical distribution system may first be initialized to: ensure that the water level is known and ready for feed or distribution, to measure sensor offset, and to compensate for drift of the sensor output. First, the controller 214 may verify communication with the remote chemical sources, valves, pumps, etc. One or more of the transport pump(s) 218 are then run until the sensor 236 measures that the level in the first chamber has stopped dropping, i.e., the fluid in the first chamber has dropped below the port 228 . The controller then records the sensor output as zero offset, which is used to adjust all readings during feed or distribution to the devices. If the sensor continues to report that the level is dropping after a predetermined time period, then an error exists and the user is notified. [0034] Next, the system checks that the transport pump and water supply are operational before starting to pump chemicals. The water supply 110 ( FIG. 1 ) is turned on and the system waits for the level to rise above the sensor to a predetermined level. One or more of the transport pumps 218 are then turned on and the controller 214 waits for the level in the first chamber 208 to drop to just above the port 228 . At that time, the transport pump is turned off [0035] To dispense a liquid chemical, all flow out of the manifold is stopped, e.g., pumps 216 and 218 are turned off. If water is not already present in the first chamber, then water is injected from the water source 110 ( FIG. 1 ) into the third chamber 206 . The water flows into the first chamber 208 and is filled to a level just above the port 228 . [0036] The chemical(s) to be dispensed (typically a liquid chemical) are introduced into the second chamber 210 via one or more of the chemical inlets 230 . This may be accomplished by turning on the chemical pump(s) 216 . The entry of the chemical(s) into the second chamber 210 causes the water in the first chamber 208 to rise. The resulting change in water level in the first chamber is detected by the sensor 236 , i.e., the sensor detects the change in head (pressure) in the first chamber. As the volume of the first chamber is known, the increase in pressure is used to determine the volume of chemical(s) being injected. When the desired volume has been reached, flow of the chemical(s) into the second chamber 210 is stopped, e.g., the chemical pump(s) 216 are turned off by the controller 214 . The chemical(s) and water are then distributed to a desired device 102 ( FIG. 1 ). This may be accomplished by, for example, turning on one of the transport pumps 218 for a predetermined amount of time sufficient to pump the chemical(s) and water to a desired device 102 ( FIG. 1 ). The water that follows the chemical(s) to the device has the added advantage of flushing the chemical distribution system of the chemical(s). [0037] Where larger dosages of liquid chemicals are to be dispensed and distributed, the chemical to be dispensed (typically a liquid chemical) is introduced into the second chamber 210 via one or more of the chemical inlets 230 . This may be accomplished by turning on the chemical pump 216 . The entry of the chemical into the second chamber 210 causes the water in the first chamber 208 to rise. The resulting change in water level in the first chamber is detected by the sensor 236 , i.e., the sensor detects the change in head (pressure) in the first chamber. As the volume of the first chamber is known, the increase in pressure is used to determine the volume of chemical being injected. When a predetermined volume has been injected, flow of the chemical into the second chamber 210 is stopped by the controller 214 turning off the chemical pump 216 . The controller 214 also measures the time that it takes the chemical pump 216 to inject the predetermined volume. The controller 14 uses the predetermined volume and the measured time to determine the flow rate of the liquid chemical being injected by the chemical pump 216 . Using this calculated flow rate, the controller turns on the chemical pump 216 , a flow of water, and the transport pump 218 until the larger dosages of liquid chemical has been dispensed and distributed. During this dispensing and distributing phase, the controller maintains the level of water in the third chamber by measuring the pressure and turning on or off the transport pump 218 and/or water flow into the third chamber. The larger volume of the third chamber allows for some variation in water volume in the third chamber as the level is maintained. In this way larger dosages of liquid chemicals may be distributed to a desired device 102 ( FIG. 1 ). As described above, the water that follows the chemical(s) to the device has the added advantage of flushing the chemical distribution system of the chemical(s). [0038] To dispense a powdered chemical, a known dose of powdered chemical 204 and water 202 is introduced into top of the third chamber 206 . The water and powdered chemical mix is then distributed to a desired device 102 ( FIG. 1 ). An advantage of this system is that the powdered chemicals may be distributed to each device along the same single line as the liquid chemicals. This may be accomplished by, for example, turning on one of the transport pumps 218 . More water may then be injected into the third chamber 206 to flush the chemical distribution system of the chemical. [0039] The above described chemical distribution system and method allows the controller 214 to accurately dispense a desired dose of powdered and/or liquid chemicals to a ware wash or laundry washer along a single tube or line 116 . [0040] FIG. 3 is a partial cross-sectional view of another chemical distribution hub 300 . Chemical distribution hub 300 is configured to receive water 302 , one or more powdered chemicals 304 , and one or more liquid chemicals 305 . Unlike the hub 104 shown in FIG. 2 , the hub 300 includes only a single chamber 307 . The chamber 307 is defined by at least one chamber wall. In some embodiments the chamber wall is a circular wall that defines a cylinder having a predetermined diameter D. The volume of the chamber is selected such that any change in fluid level in the chamber is great enough to allow easy sensing of the change in pressure by a sensor, while retaining the water volume low enough to allow rapid flushing at the end of a dose cycle. A port 308 is formed in the chamber wall that allows fluid communication into the chamber. The port 308 is coupled to a sensor. In some embodiments, the sensor is a pressure sensor, such as an absolute pressure sensor, that measures the head of fluid above the port 308 . In some embodiments, the sensor 236 ( FIG. 2 ) is disposed within a controller (not Shown), which calibrates the chemical distribution system, controls the flow of water and chemicals into the hub, and controls the flow of water and chemicals to the various devices 102 ( FIG. 1 ). [0041] The chamber 307 also includes one or more liquid chemical inlets 310 in the chamber wall below the port 308 , and one or more outlets 312 that are each configured to be coupled to a different device 102 ( FIG. 1 ). In use, liquid chemicals 306 are introduced into the chamber through the chemical inlets 310 , and powdered chemicals 304 are introduced into the chamber through the top of the chamber 322 . The water and chemicals are distributed to the devices through the outlets 312 . Calibration, dosage, measurement, distribution and other control occurs in a similar manner to that described above in relation to FIG. 2 . [0042] FIG. 4 is a perspective view of the chambers component of a chemical distribution hub 400 , according to another embodiment of the invention. The hub 400 includes many of the same components as described above in relation to FIG. 2 . For example, hub 4 includes a first chamber 404 that is similar to the first chamber 208 ( FIG. 2 ), a second chamber 408 that is similar to the second chamber 210 ( FIG. 2 ), a third chamber 402 that is similar to the third chamber 206 ( FIG. 2 ), three chemical inlets 410 that are similar to the chemical inlets 230 ( FIG. 2 ), and a port 406 coupled to a sensor that is similar to the port 228 ( FIG. 2 ). In some embodiments, the port 406 is disposed at an acute angle to the first chamber wall so that the port drains as the water level drops during flushing of water and chemical(s) to the devices 102 ( FIG. 1 ). Although each of the first, second, and third chambers are shown in FIG. 2 as having stepped boundaries, in this embodiment the boundaries between chambers are graduated, e.g., the diameters of the chambers change gradually so that fluid easily drains from the chambers and there is no powder build-up. The hub 400 also includes an outlet port 412 that is coupled to a manifold via tube or line, as shown and described in relation to FIG. 6 . A suitable range of diameters for the outlet port 412 is ⅛ to 1 inches. [0043] FIG. 5 is a top view looking into the third chamber 402 of FIG. 4 . To prevent false readings of the sensor that may occur when water or chemicals entering the first chamber 402 pass directly over the port 406 , a baffle 502 is positioned in the first chamber 402 above the port 406 . The baffle 502 may be coupled to the wall of the first chamber. In some embodiments, the baffle 502 is formed in an angled shape to deflect water and chemicals away from the port 406 . The baffle 502 may be formed from the same material as the first, second, and third chambers, and in some embodiments may be injection molded together as a single piece together with the first, second, and third chambers, port, and chemical inlets. [0044] FIG. 6 is a perspective view of additional components of the hub 400 shown in FIG. 4 . This view of the hub 400 includes the chambers shown in FIG. 4 . The outlet 412 is fluidly coupled to a manifold 604 via a flexible tube or pipe 602 . The three outlets from the manifold are in turn fluidly coupled to three separate transport pumps 608 via flexible tubes or lines. In some embodiments, the transport pumps are peristaltic pumps. Each of the flexible tubes or lines exiting the manifold is configured to be fluidly coupled to a separate device, such as a washer. In some embodiments, the chambers, manifold 604 , and pumps 608 are coupled to a mounting plate 606 to allow the hub 400 to be wall mounted. The hub 400 may also house the controller 214 ( FIG. 2 ). A housing (not shown) may connect to the mounting plate 606 to enclose the above described components. [0045] While the foregoing description and drawings represent the preferred embodiments of the present invention, it will be understood that various additions, modifications and substitutions may be made therein without departing from the spirit and scope of the present invention as defined in the accompanying claims. In particular, it will be clear to those skilled in the art that the present invention may be embodied in other specific forms, structures, arrangements, proportions, and with other elements, materials, and components, without departing from the spirit or essential characteristics thereof. For example, it should be appreciated that while the above described systems and methods are directed to dispensing and distributing chemicals to washers, such as fabric washers or dishwashers, the above described systems and method may be used equally well to dispense and distribute chemicals to any other suitable devices or applications, such as water conditioners, swimming pools, etc. The presently disclosed embodiments are therefore to be considered in all respects as illustrative and not restrictive, the scope of the invention being indicated by the appended claims, and not limited to the foregoing description.
A powdered and liquid chemical distribution system for distributing powdered and liquid chemicals. The system includes a transport module having a plurality of chambers arranged in series to automatically distribute both a powdered chemical and a liquid chemical to a point of use along a single line, wherein the plurality of chambers share a chamber wall.
BACKGROUND OF THE INVENTION The present invention relates to inkjet printing devices for printing secure images on media. Inkjet printing systems frequently make use of an inkjet printhead mounted to a carriage which is moved back and forth across print media such as paper. As the printhead is moved across the print media, a control device selectively activates each of a plurality of drop generators within the printhead to eject or deposit ink droplets onto the print media to form images and text characters. An ink supply that is either carried with the printhead or remote from the printhead provides ink for replenishing the plurality of drop generators. Individual drop generators are selectively activated by the use of an activation signal that is provided by the printing system to the printhead. In the case of thermal inkjet printing, each drop generator is activated by passing an electric current through a resistive element such as a resistor. In response to the electric current the resistor produces heat, that in turn, heats ink in a vaporization chamber adjacent the resistor. Once the ink reaches vaporization, a rapidly expanding vapor front forces ink within the vaporization chamber through an adjacent orifice or nozzle. Ink droplets ejected from the nozzles are deposited on print media to accomplish printing. There is frequently a need to print documents that can be verified as original documents. Documents that can be verified as original documents are referred to herein as “secure” documents. Several examples of documents that require verification of their originality would be desirable include tickets, coupons, and various types of certificates, to name a few. For these printing applications it is necessary that the source of the document be verifiable by examination of the document. The technique used to identify the source of the document should be difficult to duplicate using readily available duplication systems such as copiers and scanners to prevent counterfeiting of the document. There is an ever-present need for techniques for secure printing using ink-jet printing technology. These techniques should be capable of allowing the source of the printed media to be identifiable without adding significant costs to the printing system. These techniques should be suitable for use with standard media. Finally, these techniques for authenticating original inkjet printed documents should be reliable and easily accomplished. SUMMARY OF THE INVENTION One aspect of the present invention is a method for printing a secure image on media using an inkjet printing device. The method includes printing an underlayer using an inkjet printing device that penetrates into a front surface of media. The underlayer is configured to define identification indicia. Included in the method is printing a secure image on top of the underlayer using an inkjet printing device. Examination of a back surface opposite the front surface allows viewing of the identification indicia for authenticating the secure image. Another aspect of the present invention is an inkjet printing device for secure printing. The inkjet printing device includes an input device for receiving image information for specifying images to be printed. Included is a storage device for storing identification indicia information. Also included is a control device for selecting between the input device and the storage device. The control device selects information from each of the first input device and the storage device for each image printed. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a top perspective view of an inkjet printing system of the present invention for accomplishing secure printing on print media. FIG. 2 is block diagram of the inkjet printing system of FIG. 1 shown connected to a host device. FIGS. 3 a and 3 b represent exemplary text and graphic images for printing using the printing system of the present invention. FIGS. 4 a and 4 b represent exemplary underlayers for printing using the printing system of the present invention. FIGS. 5 a and 5 b is an exploded view representing the text and graphic images of FIGS. 3 a and 3 b printed over the underlayer shown in FIGS. 4 a and 4 b , respectively, using the printing system of the present invention. FIG. 6 is a flow diagram depicting the method of the present invention for printing a secure document. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT FIG. 1 is a perspective view of one exemplary embodiment of an inkjet printing system 10 of the present invention shown with its cover open. The inkjet printing system of the present invention, as will be discussed in more detail, allows secure documents to be printed. In one exemplary embodiment, the inkjet printing system 10 includes a printer portion 12 having at least one print cartridge 14 and 16 installed in a scanning carriage 18 . The printing portion 12 includes a media tray 20 for receiving media 22 . As the print media 22 is stepped through a print zone 24 , the scanning carriage 18 moves the print cartridges 14 and 16 across the print media 22 . The printer portion 12 selectively activates drop generators within a printhead portion (not shown) associated with each of the print cartridges 14 and 16 to deposit ink on the print media. In the exemplary embodiment, the cartridge 14 is a three-color cartridge containing cyan, magenta, and yellow inks. In this exemplary embodiment, a separate print cartridge 16 is provided for black ink. The present invention will herein be described with respect to this preferred embodiment by way of example only. There are numerous other configurations in which the method and apparatus of the present invention is also suitable. For example, the present invention is suited to configurations wherein the printing system contains separate print cartridges for each color of ink used in printing. Alternatively, the present invention is applicable to printing systems wherein more than four ink colors are used such as in high fidelity printing wherein six or more colors are used. Finally, the present invention is applicable to printing systems that make use of various types of print cartridges such as print cartridges which include a printhead portion and a separate ink container portion, spaced from the printhead, that used to either continuously or intermittently replenish the printhead portion with ink. The ink cartridge 14 and 16 shown in FIG. 1 includes a printhead portion (not shown) that is responsive to activation signals from the printing system 12 for selectively depositing ink on media 22 . In the exemplary embodiment, the print cartridges 14 and 16 each include a plurality of electrical contacts that are disposed and arranged on the print cartridge so that when properly inserted into the scanning carriage 18 , electrical contact is established between corresponding electrical contacts associated with the printer portion 12 . In this matter, activation signals from the printer portion 12 are provided to the ink-jet printhead for ejecting ink. FIG. 2 depicts a simplified electrical block diagram of the printer portion 12 shown connected to an information source or host device 26 . The host 26 represents a source of the image to be printed. The host 26 is a computer, processor or any other device that provides an image to be printed to the printing system 10 . The image provided by the host 26 is in one of a number of types, such as, an image description using an image description language or a bit map images. Some examples of the host 26 are a personal computer (pc), a digital camera or an internet link for directly receiving image information from an internet source, to name a few. The printer portion 12 includes an input device 28 for receiving information from the host 26 and a storage device 30 for storing image information. The printing device 12 further includes a printer controller 32 capable of selectively receiving image information from each of the input device 28 and the storage device 30 . The printer controller 32 provides image information to the print mechanism 34 . The print mechanism 34 provides control signals to a media transport device for transporting media 22 through the print zone 24 . In addition, the print mechanism 34 includes a carriage transport device for controlling movement of the carriage 18 through the print zone 24 as the printer controller 32 selectively activates the inkjet printhead on each of the cartridges 14 and 16 to selectively form images on print media 22 . Although, the printing system 10 is described herein as having a printhead that is disposed in a scanning carriage 18 , there are other arrangements of achieving relative movement between the printhead and media 22 . For example, the printing system 10 can also be configured to have a fixed printhead portion and wherein the media 22 is moved past the fixed printhead or another example is where the media 22 is fixed and the printhead is moved past the fixed media 22 , to name a few. The input device 28 receives the image information from the host 26 and converts this image information into a format suitable for the printer controller 32 . The input device 28 typically performs various process functions as well as buffering functions on image information prior to providing this information to the printer controller 32 . The storage device 30 stores image information for identifying a source of the image to be printed. This identification information can be unique to the particular printing system 10 or can be unique to a particular or user or organization. This image information stored in the storage device 30 is used by the printer controller 32 and the print mechanism 34 for providing identification indicia on the print media 22 for identifying the particular printing system 10 responsible for printing the image on media 22 . The identification information stored in the storage device 30 is either loaded into the storage device 30 from a remote source or is loaded by the printer portion 10 . In the case where the printer portion 10 loads the identification information, this information is derived from the image to be printed or altered by the image to be printed. The image is stored in each of the input device 28 and the storage device 30 will now be discussed with respect to FIGS. 3 , 4 , and 5 by way of example in order to illustrate the technique of the present invention. FIGS. 3 a and 3 b are exemplary images 36 a and 36 b to be printed by the printing system 12 . These images are typically images that are received by the input device 28 from the host or source of image information 26 . FIG. 3 a represents a text image 36 a and FIG. 3 b represents a graphic image 36 b . Both of the exemplary images are formed using black ink. The images to be printed can alternatively be other colors as well. Alternatively, the image 36 a and 36 b that are sent by the host 26 could also be a gray scale image such as a binary representation of a continuous tone image. One example of a continuous tone image is a photograph that is then digitized to produce a binary representation of the photograph. FIGS. 4 a and 4 b represent identification information or an indicia 38 a , 38 b , 40 a , 40 b that can be stored in the storage device 30 . The identification indicia in FIG. 4 a includes a first indicia 38 a and a second indicia 40 a . The identification indicia 38 a , 38 b , 40 a , 40 b are shown as cross-hatched regions that represent areas of ink coverage. These regions are formed using small drops of ink to deposit a pattern or shape. These patterns are formed so that they are visible from either the back of the media or front side of the media as will be discussed latter. The indicia 38 a and 40 a are selected to be an ink color that is different from the ink color of the image to be printed 36 a . In this exemplary embodiment, the first indicia 38 a is formed using cyan ink and the second indicia 40 a is formed using magenta ink. The first and second indicia 38 a and 40 a colors are selected to be different from the black ink used to print the image to be printed 36 a . The first and second indicia 38 a and 40 a can be formed using other ink colors as well. In this exemplary embodiment, each indicia is formed using small well-spaced droplets of ink. The media 22 is selected to be a media that allows ink to penetrate into the media 22 . Various types of media manufactured by media manufacturers such as Union Camp and Jamestown allow ink to penetrate into the media 22 . The ink droplet spacing is selected based on drop volume as well as media penetration so that the indicia 38 a and 40 a are not visible when viewed under normal lighting conditions. FIG. 4 b shows alternative indicia 38 b and 40 b that are stored in the storage device 30 in the printing system 10 . The indicia 38 b and 40 b in FIG. 4 b , in contrast to the indicia in FIG. 4 a , are selected to be related to the image 36 b to be printed shown in FIG. 3 b . In this exemplary embodiment, the indicia 38 b and 40 b includes a portion 38 b formed using cyan ink and a portion 40 b formed using magenta ink. Each of the cyan portion 38 b and the magenta portion 40 b are selected based on the image to be printed 36 b shown in FIG. 3 b . The identification indicia 38 b and 40 b in FIG. 4 b has portions that are configured to correspond to a shape of the image to be printed 36 b in FIG. 3 b . The identification indicia 38 b and 40 b in FIG. 4 b are formed using cyan and magenta inks instead of black ink shown in FIG. 3 b . As will be discussed with respect to FIG. 5 b it is important that the identification indicia 38 b and 40 b in FIG. 4 b be formed to be completely covered by the image to be printed 36 b in FIG. 3 b when this image 36 b is overprinted on the identification indicia 38 b and 40 b. FIGS. 5 a and 5 b illustrates the technique of the present invention whereby the identification indicia 38 a , 38 b , 40 a , 40 b are printed prior to the image to be printed 36 a , 36 b . The image to be printed 36 a and 36 b is printed over the respective identification indicia. The backside of the media 22 can then be examined for the identification indicia 38 a , 38 b , 40 a , 40 b to identify the printing system 10 to authenticate the image. As shown in FIGS. 5 a and 5 b the combined image resulting from printing the identification indicia 38 a , 38 b , 40 a , 40 b that is printed as an underlayer and the image to be printed 36 a and 36 b that is printed as an overlayer. As is shown in FIG. 5 a , the image to be printed 36 a only partially covers the underlayer or identification indicia 38 a and 40 a . However, as discussed previously, the underlayer or identification indicia 38 a and 40 a are printed sufficiently light so as to not be visible when viewing the media 22 under normal light. FIG. 5 b , in contrast, the underlayer or identification indicia 38 b and 40 b are completely hidden by the overlayer or image to be printed 36 b . Because the underlayers or identification indicia 38 b and 40 b are completely covered by the overlayer or image to be printed 36 b , the underlayer can be formed using a larger amount of ink than the case when the underlayer 38 a and 40 a is not hidden shown in FIG. 5 a. Once both the underlayer 38 a , 38 b , 40 a , and 40 b is printed and the overlayer 36 a , and 36 b are printed, the image is complete. The complete image is formed so that the underlayer 38 a , 38 b , 40 a , and 40 b is not sufficiently visible to be duplicated using a copier or scanner thereby preventing counterfeiting of the complete image. The printed image can then be viewed from the backside opposite the printed side to view the underlayer 38 a , 38 b , 40 a , and 40 b to identify this source of the image. Alternatively, for the case where the underlayer 38 a , 40 a is not completely covered by the overlayer 36 a as shown in FIG. 5 a then the underlayer can be partially viewed from the from front side of the completed image to identify this source of the image. The underlayer in FIG. 5 a is viewed under special light or using an instrument to detect the identification indicia 38 a and 40 a from the backside or front side of media 22 . The underlayer in FIG. 5 b can be viewed without special light or instrument to view identification indicia 38 b and 40 b . Because the underlayer 38 a , 38 b , 40 a , and 40 b are characteristic to the particular printer, then the viewing of the underlayer can be used to identify the particular printer. In this manner, an inkjet printed image can be authenticated and in this regard, this technique allows secure printing. While the underlayers 38 a , 38 b , 40 a , and 40 b as shown as simple rows or bars of color as shown in FIG. 4 a for graphic images as shown in FIG. 4 b , these images can be a variety of shapes and patterns to uniquely identify the particular printer that printed the image. In addition, the underlayer can be related to the image that is to be printed as shown in FIG. 4 b . This is useful when a large number of images are to be printed such as a large number of certificates to be printed, the pattern for the underlayer can then be stored in the storage device 30 for use in printing each of the certificates. In this manner, the underlayer is configured to be hidden by the overlayer while still producing a unique indicia for identifying the printing system that printed the image. In operation, an image to be printed is provided to the printing system 12 as represented by step 42 in FIG. 6 . The printer controller 32 within the printing system 10 recalls an image description from the storage device 30 as represented in step 44 . The printing system 10 then prints the underlayer based on the image description recalled from the storage device 30 . An overlayer is then printed based on the image description provided by the input device 28 as represented by step 48 . The secure document, having both an underlayer and overlayer, is then complete as represented by step 50 . The present invention provides an economical way of printing secure documents. This technique allows the backside of the document to be reviewed either visually or using a special scanning device to read the underlayer from the backside for reading the characteristic image of the printing system 10 . The image can then be matched with the characteristic image of the printer 10 to authenticate the document as to the source or origination of the document. The present invention has been described herein with respect to thermal ink-jet printing, however, there are other ink droplet ejection devices that are also suitable. The technique of the present invention is suitable for drop ejection devices that allow for ink droplets to be accurately deposited on media. Examples of these drop ejection devices, other than thermal inkjet, include piezo ejection devices and flex tensional ejection devices, to name a couple.
The present disclosure relates to a method for printing a secure image on media using an inkjet printing device. The method includes printing an underlayer using an inkjet printing device that penetrates into a front surface of media. The underlayer is configured to define identification indicia. Included in the method is printing a secure image on top of the underlayer using an inkjet printing device. Examination of a back surface opposite the front surface allows viewing of the identification indicia for authenticating the secure image.
FIELD AND BACKGROUND OF THE INVENTION The present invention relates to a device for automatically and selectively coupling a pipe with any one of a plurality of other pipes. In many industrial sectors, for example chemical engineering, the preparation of lubricants or the like, and in wine stores or other liquid depots, mixtures of different liquids coming from several reservoirs have to be made in a single vessel. To obtain these mixtures it is necessary to make a number of successive connections between the pipe leading to the final vessel and the pipes connected to the various reservoirs containing the liquids to be mixed. These connections or couplings of pipes have been for a long time made manually, which is time-consuming and fastidious. A more rational and convenient system is known in which the ends of the pipes leading from the reservoirs containing the liquids to be mixed are aligned in parallel relation on a support and connected to a manifold leading to the final vessel through an equal number of valves. It is then sufficient to open in succession the valves corresponding to the various reservoirs. Although this system is relatively practical and rapid it nonetheless has important drawbacks. In particular, some parts of the manifold and its nozzles for connection to the various valves constitute "dead ends" or sectors which are isolated and are more or less large, depending on the positions of the closed valves, in which a certain volume of more or less mixed liquid stagnates, which requires a draining and cleaning of these parts and represents a loss of a corresponding volume of liquid. SUMMARY AND OBJECTS OF THE INVENTION An object of the invention is to overcome these drawbacks by providing an automatic coupling device permitting a selective and sequential connection of a pipe with any one of a plurality of other pipes which may contain a liquid under a pressure not exceeding ten bars, adapted to be remote controlled and eliminating the multiple valves. The invention therefore provides an automatic pipe coupling device which comprises a plurality of pipes whose ends are radially fixed in a circle in a plane, a coupling unit having one end communicating with another pipe and rotatively mounted in said plane within said circle, means for driving said unit in rotation, and means for bringing its end in coincidence with the ends of said plurality of pipes. According to another feature of the invention, said coupling unit is mounted to rotate about a perpendicular axis at the center of the circle and the ends of the pipes disposed in a circle are each closed by an automatic valve. According to another feature of the invention, said connecting unit is telescopic. In a preferred embodiment of the invention, the circle is on a support fixed to the periphery of a bowl and the axis of rotation of said unit extends through the bottom of the bowl. Advantageously, the means for driving the unit in rotation comprise a motor and a transmission located outside the bowl. According to a further feature, the end of the telescopic coupling unit comprises a slidable sleeve and the means for bringing the end in coincidence with the ends of the pipes comprise a jack whose rod is adapted to shift the sleeve and open said automatic valves. The following description, with reference to the accompanying drawings given as non-limiting examples, will explain how the present invention may be put into effect. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a view in side elevation and in section of an embodiment of the device according to the invention, showing the telescopic coupling in the active position. FIG. 2 is a partial sectional view of the telescopic coupling in the retracted inactive position. FIG. 3 is a plan view taken on line III--III of the device shown in FIG. 1. DESCRIPTION OF THE PREFERRED EMBODIMENT With reference to the drawing, the device according to the invention essentially comprises a circular bowl 2 having a central aperture 3 defined by a reinforced flange 4 and a radial peripheral ledge 5. Fixed to the peripheral ledge 5 by their individual base are for example eight coupling nozzles 7a to 7h which are oriented radially in the same plane and have ends projecting inwardly of the periphery of the bowl located on the same circle concentric with the bowl. A tubular hub 8 is fixed in the aperture 3, on the flange 4, by a flange 9 and screws 10 so as to extend axially in the bowl 2. The hub 8 carries in its end portions rolling bearings 11 in which is coaxially rotatively mounted a shaft 12 having end portions extending outside the hub 8. On its end portion projecting out of the bowl 2 the shaft 12 carries a pulley 13 connected by a belt 14 to a second pulley 15 which is keyed on the shaft of a motor 16 for the purpose explained hereinafter. At its opposite end, within the bowl, the shaft 12 carries a hollow inverted T-piece 17 fixed to the shaft by the median portion of its horizontal bar 18 so that its vertical bar 19 extends upwardly. In the horizontal passage defined by the bar 18 extends a rod 20 of a piston of a jack 21 which is fixed, for example screwed, in one of the ends of the bar 18. At its opposite end, the bar 18 of the T-piece 17 has a flange 22 whereby a telescopic coupling unit 23 may be fixed in the extension of the bar 18. The telescopic coupling unit 23 comprises a fixed tube 24 having a flange 25 secured to the flange 22 and a movable tube or sleeve 26 slidably mounted on the fixed tube 24, on the bearing portions 27 of the latter, a sealing element 28 being preferably provided therebetween. In its end opposed to the T-piece 17 the movable sleeve 26 has a spider 29 forming a smooth bearing 30 in which is slidable an extension 31 of the piston rod 20. The extension 31 of the piston rod has a fixed abutment 32, a coiled spring 33 disposed around the extension 31 between the abutment 32 and the spider 29, and a head 34. The end portion of the movable sleeve 26 has internally a shape which is complementary to that of the coupling nozzles 7a to 7h, with an internal abutment 35 and sealing means 36, the arrangement being such that the sleeve 26 which has a thrust exerted thereon by the jack 21, is capable of fitting itself in a sealed manner onto any one of the nozzles 7a to 7h, depending on the orientation given to the unit about the shaft 12 by the motor 16. Each coupling nozzle 7a to 7h comprises internally an automatically-closed valve 37 of known type comprising a mushroom-shaped closure member biased to the closing position by a spring 38 disposed around its rod 39. The device according to the invention also includes an outlet pipe 40 (or inlet pipe depending on the direction of flow) which is bent and connected to the vertical bar 19 of the T-piece 17 by a sealed swivel joint 41. Advantageously, and according to the illustrated embodiment, there are also provided a drain pipe 42 which opens onto the interior of the bowl, a level indicator 43 and a position indicating system 44. In the illustrated embodiment, the system 44 comprises a housing 45 carried by a radial arm 46 fixed to the flanges 22, 25 and coaxial with the telescopic unit 23. Mounted within the housing 23 are sensors 47 which are radially disposed relative to the axis of the unit 23 so as to coincide with detachable blocks 48 which are also radially disposed but fixed in a different number on each coupling nozzle 7a to 7h. An electronic device of known type connected to the sensors permits the determination of the number of blocks 48 and thus a recognition of the nozzles 7a to 7h. The rotary coupling device according to the invention operates in the following manner: It will be assumed that the device is in the position represented in FIG. 2 in which the coupling unit is in its retracted position. In service, the coupling nozzles 7a to 7h are each connected to a reservoir (not shown) containing the liquids to be mixed which exert pressures on the valves 37, these pressures being proportional to the different liquid pressure heads in these reservoirs, and the central pipe 40 is connected to a mixture reservoir through a suction/delivery pump (not shown). When it is desired to couple the central pipe 40 with any one of the coupling nozzles 7a to 7h (or inversely), the electric motor 16 is started up so as to bring the end of the movable sleeve 26 of the telescopic coupling unit in alignment with the desired coupling nozzle. The jack 21 is then actuated so as to extend its piston rod 20. In a first stage, the abutment 32 compresses the spring 33 against the spider 29 and consequently shifts the movable sleeve 26 which, under the effect of the thrust of the spring 33, is engaged in a sealed manner on the end portion of the chosen coupling nozzle 7a to 7h (FIG. 1) In a second stage, the rod of the piston and its extension 31 continue to advance and the head 34 of the latter comes into contact with the closure member 37 of the corresponding nozzle and thus opens the valve and puts the nozzle in communication with the central pipe. When the desired quantity of liquid has been transferred (in one direction or the other), the jack 21 is actuated in the opposite direction and the operation is reversed. The liquid surplus which may remain in the telescopic unit flows away and is received in the bowl 2. If the liquid level in the latter rises above a predetermined level, the level indicator 43 actuates a suitable visual or sound warning device of known type which indicates the necessity to empty the bowl through the pipe 42. It will be understood that the device may be rendered fully automatic by known electronic means, of a type which may be programmed if desired, connected to the sensors 47 and to the motor 16 for bringing the telescopic unit selectively in alignment with the coupling nozzles in accordance with a predetermined sequence and also for commanding the operation of the pump and the draining means. In a simpler alternative embodiment, which is not shown since it is less advantageous, the ends of the nozzles 7a to 7h may merely be orifices provided in a cylindrical surface and the end of the unit may be constituted by a block having a curved surface of the same radius adapted to slide into sealed contact with said cylindrical surface and provided with a passage communicating with the pipe 40, the rod of the piston of the jack 21 then merely serving to open the valves. However, this arrangement would not provide a satisfactory seal under certain pressure conditions.
The device comprises a plurality of pipes (7a to 7h) which may contain liquid under pressure and whose ends are radially fixed in a circle in a plane, a coupling unit (17) having an end communicating with another pipe (40) and rotatively mounted in the plane within the circle, means (16) for driving the unit in rotation, and means (21) for bringing its end in succession in coincidence with the ends of the plurality of pipes. The coupling unit is telescopic, its axis of rotation (12) extends through a bowl (2) and its movable end (26) communicating with the other pipe (40) cooperates with the ends of the pipes (7a to 7h) which are closed by automatic valves.
FIELD OF THE INVENTION [0001] This invention relates to a compact, automatically-deployable protective device which provides a cushioning effect on the hips upon a fall by the wearer of the device. BACKGROUND OF THE INVENTION [0002] Hip fracture is a costly and painful problem for individuals of any age. Hip fracture generally occurs when an individual falls and lands on a hip or an area of the body proximate to a hip. Several factors, therefore, make hip fractures a particular hazard for the elderly. First, as a person ages, he or she often has an increase in muscular degeneration and visual impairment and decreases in gait and balance, thereby making trips and falls more likely. Second, as a person ages, he or she often suffers from a decrease in bone density making fractures more likely from even relatively low impact incidents. Finally, elderly individuals sustaining hip fracture injuries are more likely than their younger counterparts to suffer certain other medical complications, such as pneumonia. The affects of hip fracture on an elderly individual can be quite severe. Half of all elderly victims of hip fracture are unable to ever return to their previous life and activity level, often necessitating a move into an assisted living or nursing care facility. Societal impacts of hip fracture are also great and are likely to increase as the population ages. It has been estimated that there are over 250,000 hip fractures in the United States with an estimated Medicare cost of about $2.9 billion. It is estimated that the number of annual hip fractures could rise to 500,000 by the year 2040, due to the increasing population of elderly persons. [0003] One method employed to prevent hip fractures is the use of hip protecting devices which cushion the hips on impact. A number of clinical studies have shown considerable protection against hip fracture upon fall with a hip protection device. See, e.g., Effect of External Hip Protectors on Hip Fractures, J. B. Lauritzen et al, Lancet, 341:11-13 (1993). One of the major problems with currently available hip protectors is compliance, or the willingness of individuals to consistently wear the protectors. Examination of available devices clarifies the compliance problem as the devices tend to be bulky, uncomfortable and lend an unattractive appearance to the wearer. For example, one device marketed at www.hipprotector.com is made of two rigid plastic concave pieces which are placed in pockets in specially constructed boxer-style underwear such that the plastic pieces ride over and cup the hip joints. A second protector device is marketed at http://hipsavers.com and consists of a specially constructed boxer-style underwear having compressible pads integrally associated with the underwear and positioned so as to ride over the hip joints. The protecting features, the rigid plastic pieces or the compressible pads, are in place and “activated” at all times during use of the devices. That is, the protecting features are present even when the wearer is not in danger of a fall but rather may be sitting or walking safely. Consequently, the extra width caused by the rigid plastic pieces or compressible pads is always present giving an unattractive appearance and tending to decrease compliance. Furthermore, the constant presence of the compressible pads and especially the rigid plastic pieces is uncomfortable especially if worn under any but the loosest of clothing. Such discomfort also decreases compliance. SUMMARY OF THE INVENTION [0004] It is an object of the present invention to improve compliance by providing an inconspicuous, lightweight hip protecting device which only deploys upon automatic detection of a fall. The device of the present invention provides deployable hip cushions which are suspended above the hip joints using a belt-like attachment. The cushions of the present device are deployed only when a fall is sustained or appears to be imminent. The cushions are deployed automatically, not requiring the activation of the wearer or other party. The present device may be worn with an individuals own underwear and when not deployed provides negligible bulk to the hips of the wearer. BRIEF DESCRIPTION OF THE DRAWINGS [0005] [0005]FIG. 1 is a perspective view of the device of the present invention in its undeployed state as worn, with the dashed lines indicating the outline of the body of a wearer. [0006] [0006]FIG. 2 is a partial view of the device of the present invention showing the right side of the device in its undeployed state. [0007] [0007]FIG. 3 is a partial view of the device of the present invention showing the right side of the device in its deployed state. [0008] [0008]FIG. 4 is a perspective transparent view of the device of the present invention, in its undeployed state, illustrating the wiring of the automatic deployment mechanism. DETAILED DESCRIPTION OF THE INVENTION [0009] Referring first to FIG. 1, the device of the present invention is comprised of a belt 1 from which two pockets, a right pocket 2 and a left pocket 3 are suspended such that right pocket 2 lies substantially over the right hip joint of the wearer and left pocket 3 lies substantially over the left hip joint of the wearer. Each of right pocket 2 and left pocket 3 are enclosed forms so as to hold within each of right pocket 2 and left pocket 3 a volume of gas when the present device is activated. Retaining straps 4 and 5 may optionally be attached to the lower portions of right pocket 2 and left pocket 3 , respectively. Retaining straps 4 and 5 , if used, wrap around the right and left thighs, respectively, of the wearer so as to maintain the position of right pocket 2 and left pocket 3 . Retaining straps 4 and 5 may be comprised of an elastic or non-elastic material. Belt 1 may be a relatively straight piece of material having two ends with any of a number of available buckling or attaching mechanisms, such as buckles, snaps, or hook and eye strips, so that the belt may be fit around a range of girths. Alternatively belt 1 may be a unitary piece having some elasticity so as to fit around a range of girths. It will be understood that the present invention may be made with a number of different belt sizes so as to fit a wide range of girths. [0010] Right pocket 2 and left pocket 3 are substantially rectangular in shape and may be made of any of a number of substantially gas impermeable materials, such as nylon or kevlar. The material of construction of right pocket 2 and left pocket 3 should be chosen so as to contain a deployment gas for the duration of a fall and impact. It will be understood that in an alternative embodiment, right pocket 2 and left pocket 3 may not be attached directly to belt 1 but rather may be placed within appropriately sized and placed pouches which are directly attached to belt 1 . In the preferred embodiment, right and left pockets 2 and 3 are directly attached to belt 1 . In an alternative embodiment, right pocket 2 and left pocket 3 may be attached to belt 1 by a means which permit slight lateral adjustments, such as with use of hook and eye strips. Right pocket 2 and left pocket 3 are sized to accept a volume of gas upon deployment of the present device. Consequently, in the undeployed state, right pocket 2 and left pocket 3 may have pleats allowing the excess material in the undeployed state to lie flat against the body of the wearer. In the preferred embodiment, right pocket 2 and left pocket 3 will be shaped so as to cradle the hip area of the wearer upon deployment. FIG. 3 illustrates this cradling shape. [0011] Referring now to FIG. 3, it is shown how right pocket 2 expands upon deployment thereby providing a gas filled cushion against the impact of the hip joint whereas FIG. 2 shows right pocket 2 in its undeployed, uninflated state, lying flat against the hip of the wearer. Right pocket 2 is inflated through gas activation part 6 which connects into right pocket 2 through an opening 7 . Gas activation part 6 provides the gas used to inflate right pocket 2 upon deployment. Gas activation part 6 may be either a small compressed gas cylinder or, as in the preferred embodiment, a chemical reaction chamber in which a gas-producing chemical reaction occurs. Inflation of left pocket 3 is accomplished by activation of a gas activation part 8 (not shown in FIG. 3), identical to gas activation part 6 but connecting with left pocket 3 through an opening 9 (not shown in FIG. 3). [0012] Referring now to FIG. 4, the deployment mechanism is discussed. An omnidirectional sensor 10 is electrically connected to a battery 11 , microprocessing unit 13 and to each of gas activation parts 6 and 8 . Electricity conducting wires 12 are shown providing such electrical connections. Sensor 10 can be any of a number of available sensors, such as an appropriate number of appropriate dimension accelerometers, e.g., a single triaxial accelerometer, two biaxial accelerometers or three uniaxial accelerometers. Other orientational sensors which could be used include a three axis electronic compass. Sensor 10 may continuously, intermittently or upon command send an electronic signal indicative of the rate and degree of any attitudinal change to a microprocessing unit 13 through wires 12 . [0013] Microprocessing unit 13 contains preprogrammed limits for both the rate and degree of attitudinal change. When both the rate and degree of an attitudinal change fall outside such preprogrammed limits, thereby indicating a fall rather than a controlled reclining motion, microprocessing unit 13 sends an electronic signal to gas activation parts 6 and 8 so as to initiate inflation of right pocket 2 and left pocket 3 . Each of sensor 10 , battery 11 , and microprocessing unit 13 may be located anywhere within the present device but are most preferably attached to or within belt 1 . Sensor 10 , battery 11 and microprocessing unit 13 may be located at any position on belt 1 . As shown in FIG. 4, sensor 10 , battery 11 , and microprocessing unit 13 are located near a right end of belt 1 . It will be understood that sensor 10 , battery 11 and microprocessing unit 13 may some or all be contained within a single housing. In a second preferred embodiment, sensor 10 and microprocessor 13 are located at about the midpoint of belt 1 so that it is positioned at about the middle of a wearer's back. In such second preferred embodiment, each of wires 12 connecting microprocessor 13 to each of gas activation devices 6 and 8 are equidistant providing the minimum delay time in which both right pocket 2 and left pocket 3 can be simultaneously deployed. As shown in FIG. 4, sensor 10 and microprocessing unit 13 are always in electrical connection with battery 11 and therefore, the sensor and microprocessor are always in an “on” position. It will be understood that an on/off switch may be placed between sensor 10 and battery 11 allowing sensor 10 to be turned off when the present device is not worn and thereby preserving the life of battery 11 . It will be further understood that a status indicator may also be included within the electrical connection to indicate if the sensor 10 is powered. Such status indicators and the method of connecting them within an electrical circuit are well known in the art. Similarly, a low battery power indicator may also be included within the electrical circuit and such indicators as well as the method of connecting them within an electrical circuit are well known in the art. [0014] In its preferred embodiment, the present device utilizes a chemical reaction activated within gas activation devices 6 and 8 to rapidly produce a gas upon receipt of a signal from sensor 10 . Although a number of chemical reactions produce a gas, in the preferred embodiment, the chemical reaction between a combination of sodium azide, potassium nitrate and silicon dioxide is activated by the heat generated with a Chromaloy bridgewire having a 1 ohm resistance. Because reactions producing a gas, such as that described herein, generally generate a great amount of heat, it will be understood that gas activation devices 6 and 8 should be constructed of stainless steel or other material that can withstand the heat of the chemical reaction used to generate the gas. Because such reactions generate substantial amounts of heat, a thermally insulating material, such as kevlar, is used between the body of the wearer and gas activation parts 6 and 8 . Although the preferred chemical reaction is described above, other gas producing reactions could be used in the present invention. For example, reactions utilizing boron, zirconium or xenon as a fuel and potassium perchlorate or fluorine as an oxidizer could be used to generating a gas to inflate the right pocket 2 and left pocket 3 . [0015] It will be understood that several factors must be considered in determining the type and amounts of reactants used and the amount of gas generated. For example, the reaction must occur sufficiently rapidly to provide inflation of the right and left pockets 2 and 3 before the impact of a fall occurs. Furthermore, the height and weight of the wearer must be considered as such information determines the pressure of impact and the amount of pressure which must be present in right and left pockets 2 and 3 to cushion the impact without causing significant rebound of the wearer. [0016] In an alternative embodiment, gas is provided by use of compressed gas cylinders rather than through a gas producing reaction. In such embodiment, gas activation parts 6 and 8 are appropriately sized compressed gas cylinders containing any appropriate, preferably inert gas, such as nitrogen. [0017] The present invention is more fully illustrated by reference to the following examples: EXAMPLE 1 [0018] An individual weighing 280 pounds and having a hip height of 50 inches would have an impact energy on a sideways fall of 14,000 in-lb. Individuals weighing less or having a shorter hip height would incur less impact energy on their hip in a sideways fall. For a right and/or left pocket having a size of 6 inches long by 8 inches wide by 2 inches deep, the total surface area is 48 square inches. To equal the 14,000 in-lb. impact energy, the pocket must be inflated to a gas pressure of 146 psi. However, in the preferred embodiment, the gas pressure is raised above this amount so as to prevent the hip of the wearer from traveling through the 2 inch depth of the pocket. For example, a gas pressure of 300 psi may be used in the pockets to cushion the impact more completely. To achieve a total pressure of 312.6 psi, a total of 6 mg. of the reaction mixture according to the equation: 2.5 NaN 3 +0.5 KNO 3 +1.5 SiO 2 →4N 2 +1.25 Na 2 SiO 3 +0.25 K 2 SiO 3 [0019] should be used for each pocket. Reaction mixtures which produce a gas upon activation, such as the reaction mixture shown on the left side of the above chemical equation are referred to as pyrotechnic compositions. EXAMPLE 2 [0020] Another issue which must be addressed is the timing of activation of a pocket upon detection of a fall. For an individual with a hip height of 35 inches and considering an uninterrupted sideways fall from a standing position, the hip will impact the floor at 506 milliseconds from commencement of the fall. For an individual with a hip height of 50 inches, the time to impact increases to 605 milliseconds. Therefore, the pockets should inflate within 500 milliseconds from commencement of a fall. It will be understood that several firing mechanisms, such as a Chromaloy bridgewire, may be used to activate the reaction within the 500 millisecond time.
A hip protecting device for minimizing risk of hip breakage upon a fall having a belt from which one or two gas inflatable pockets are suspended substantially over the hip joint or hip joints of a wearer. The hip protecting device further has a means for detecting rate and degree of attitudinal changes of the wearer, a microprocessor and inflation means. Upon detection of both a rate and degree of change in attitude of the wearer outside certain preprogrammed limits, the microprocessor sends a signal to the inflation means thereby causing the pocket or pockets to inflate and cushion the hips from the fall.
BACKGROUND OF THE INVENTION 1. Field of the Invention This application relates to an electrical circuit and, in particular, to a power supply circuit for operating a light source, particularly, an array of light emitting diodes (LEDs). 2. Discussion of the Art Incandescent lamps are used in a wide variety of environments and generally have found substantial commercial success in meeting various demands. More recently, however, industry is seeking an alternative light source that is more efficient, has an extended life, and can endure the rigors of applications that experience constant exposure to the elements and frequent on/off switching. An exemplary use of an incandescent lamp in this type of environment is in traffic signals. While incandescent lamps have traditionally been used in traffic signals, the incandescent lamp encounters constant exposure to the elements and has a relatively short life span of typically around eight thousand hours, which is further shortened if it is frequently switched on and off. Additionally, the incandescent lamp is inefficient due to the fact that much of the light energy produced by it is wasted by filtering the light. As a result, traffic signals utilizing incandescent lamps require frequent maintenance and typically must be replaced once or twice a year. Light emitting diodes (LEDs) have been suggested as alternative light sources due to their robust structure that are able to withstand constant exposure to the elements and the long life associated with their efficient operation. Known advantages of using an LED array in lieu of an incandescent lamp include increased efficiency, little or no maintenance, greater resistance to the elements, and greater mechanical durability. Additionally, an LED array consumes less power to produce the same light output as an incandescent lamp. Further advantages are that an LED array may function for more than twenty years before requiring replacement, an LED array does not require a light reflector, and a fault in the LED array does not necessarily mean that the entire LED array will fail. In addition to performing the same functions as an incandescent lamp, a single LED array may also be used to display different illuminated symbols such as “no left turn”, “turn only”, and “do not enter”. Despite all of these advantages, however, there are still several concerns which have prevented widespread adoption of LED arrays in, for example, traffic signals. The most significant is that an LED array is not easily retrofitted. In the environment of traffic signals, incandescent lamps typically operate with a 120 volt 60 Hz AC power supply, and LEDs typically require a DC current of approximately 5 to 20 milliamps and a forward operating voltage of between 1.5 to 2.5 volts. Second, “standard” incandescent lamp traffic signal housings are designed to accept a “standard” incandescent bulb. While these issues have been addressed in the prior art, the known solutions have raised other issues. For example, retrofitting an existing incandescent lamp traffic signal using only an inductor or L-C circuit connected to LED pairs connected in series presents a significant problem since the required inductor for an array of approximately twenty LEDs is 6 Henries. An inductor of this size is very heavy, making the LED assembly much heavier than a traditional incandescent lamp assembly. Thus, a pole or electrical line to which a traffic signal is mounted must be sufficient to support the increased weight for the long duration. Accommodations for an enlarged inductor must also be made in the traffic signal housing, along with attendant difficulties regarding installing, maintaining, or retrofitting the LED array in the limited space of a standard incandescent lamp traffic signal. Needs of a technician working in a bucket ladder high above the ground must also be considered in such a design. Accordingly, a need exists for an alternative manner of supplying power to LED arrays, particularly those used in traffic signals. BRIEF SUMMARY OF THE INVENTION The present invention provides a more cost efficient electrical circuit for supplying power to an LED array. A power supply circuit for an LED array includes a rectifier for converting an AC current to DC current; a starting circuit coupled to the rectifier for providing a path for the AC current; a gate drive arrangement coupled to the starting circuit; a resonant converter circuit regeneratively controlled by the gate drive arrangement; and a resonant load circuit coupled to the resonant converter circuit for inducing the AC current in the resonant load circuit. The resonant load circuit incorporates a resonant inductance, a resonant capacitance coupled to the resonant inductance, and a load connected in parallel to the resonant capacitance. A plurality of light emitting elements and a capacitor define at least a portion of the load. In other preferred embodiments, the load includes at least one pair of oppositely polarized light emitting elements connected in parallel or at least one pair of oppositely polarized branches of light emitting elements. This circuit has a number of advantages over the prior art. The power supply circuit uses smaller components and decreases the space requirements in the electrical compartment of a traffic signal than any known prior art circuit. Another advantage resides in the use of integrated circuits which weigh less and provide for easier placement in traffic signals. Still another advantage is realized since integrated circuits will fit on the same circuit board as the LED array. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a schematic diagram of an exemplary embodiment of a power supply circuit according to the present invention; FIG. 2 is a schematic diagram of a second preferred embodiment of a power supply circuit according to the present invention; FIG. 3 is a schematic diagram of a third preferred embodiment of a power supply circuit according to the present invention; and FIG. 4 is a schematic diagram of yet another preferred embodiment of a power supply circuit according to the present invention. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS FIG. 1 depicts a power supply circuit 100 for an LED traffic signal in accordance with a first preferred embodiment of the present invention. A first rectification means or full-wave bridge rectifier 105 coupled to an AC source 110 converts an AC current to DC current. A smoothing capacitor 115 , connected in parallel to the bridge rectifier 105 maintains an average voltage level. A DC-to-AC converter, which includes first and second switches 120 and 125 , is coupled to the smoothing capacitor 115 . An electromagnetic interference (EMI) filter 130 , shown as an inductor, is coupled between the bridge rectifier 105 and the DC-to-AC converter. The first and second switches 120 and 125 are respectively controlled by a gate drive circuit to convert DC current from the output of the bridge rectifier 105 to AC current received by a resonant load circuit 135 . DC bus voltage V BUS exists between a bus conductor 140 and a reference conductor 145 , shown for convenience, as a ground. The resonant load circuit 135 includes a resonant inductor 150 and a resonant capacitor 155 . The resonant load circuit 135 also includes a load. The load includes a matching capacitor 160 and at least one group 165 of LEDs 170 , 175 connected in series. The LEDs 170 , 175 are preferably oppositely polarized in a manner well known in the art. The LEDs 170 , 175 are disposed in parallel so that the LEDs 170 , 175 encounter the same electric potential, and each diode is illuminated during each half cycle. The group 165 of LEDs 170 , 175 may be shunted across the resonant capacitor 155 . The matching capacitor 160 , which affects how the resonant inductor 150 and resonant capacitor 155 network perceives the impedance of the LEDs 170 , 175 , is coupled between a node NI and the group 165 of LEDs 170 , 175 . The matching capacitor 160 may limit the current through the LEDs. Capacitors 180 , 185 are standard bridge capacitors for maintaining their connection node N 1 at about one half the bus voltage V BUS . Arrangements alternative to the bridge capacitors 180 , 185 are known in the art. Other arrangements for interconnecting the LEDs 170 , 175 in the resonant load circuit 135 will be discussed further below. In the power supply circuit 100 , the first and second switches 120 and 125 are complementary to each other. For instance, the first switch 120 may be an n-channel enhancement mode device as shown, and the second switch 125 is a p-channel enhancement mode device, also known as MOSFET switches. However, other n-channel, p-channel or bipolar junction transistor switches may be used. Each of the first and second switches 120 and 125 has a respective gate (or control terminal) G 1 , G 2 , respectively. The voltage from the gate G 1 to source (reference terminal) S 1 of the first switch 125 controls the conduction state of that switch. Similarly, the voltage from the gate G 2 to source S 2 of the second switch 125 controls the conduction state of that switch. As illustrated, the sources Si and S 2 are connected together at a common node N 2 . Drains D 1 and D 2 of the first and second switches 120 and 125 are connected to the bus conductor 140 and the reference conductor 145 , respectively. The gate drive circuit is connected between the common control node N 3 and the common node N 2 . The gate drive circuit includes a driving inductor 190 which is mutually coupled to the resonant inductor 150 in such a manner that a voltage induced therein is proportional to the instantaneous rate of change of an AC load current. The driving inductor 190 is further connected at one end to the common node N 2 . The end of the resonant inductor 150 connected to the common node N 2 may be a tap from a transformer winding forming the driving inductor 190 and the resonant inductor 150 . The driving inductor 190 provides the driving energy for operation of the gate drive circuit. A second inductor 195 is serially connected to the driving inductor 190 between a blocking capacitor 200 and the driving inductor 190 . The second inductor 195 is used to adjust the phase angle of the gate-to-source voltage appearing between the common control node N 3 and the common node N 2 . A bi-directional voltage clamp 205 , preferably comprised of back-to-back Zener diodes, is located between the common control node N 3 and the common node N 2 . The bi-directional voltage clamp 205 advantageously clamps positive and negative excursions of gate-to-source voltage ratings of the first and second switches 120 and 125 so that their gate-to-source maximum ratings are not exceeded. The bi-directional voltage clamp 205 may be removed from the power supply circuit 100 when the gate drive circuit is at a sufficiently low value. A capacitor 210 between the control node N 3 and the common node N 2 is preferably provided to predictably limit the rate of change of gate-to-source voltage between the common control node N 3 and the common node N 2 . This beneficially assures, for instance, a dead time interval in the switching modes of the first and second switches 120 and 125 , wherein the first and second switches 120 and 125 are off between the times of either the first switch 120 or the second switch 125 being turned on. The capacitor 210 also provides a second resonant circuit consisting of the capacitor 210 and the second inductor 195 . The blocking capacitor 200 and three resistors R 1 , R 2 , and R 3 , forming a starting circuit, are coupled to the gate drive circuit. The starting circuit provides a path for input from AC source 110 to start inductor action. The starting circuit operates as follows. The blocking capacitor 200 becomes initially charged upon energizing of the AC source 110 via resistors R 1 , R 2 , and R 3 . At this instant, the voltage across the blocking capacitor 200 is zero. During the starting process, the driving inductor 190 and the resonant inductor 150 act essentially as a short circuit due to the relatively long time constant for charging of the blocking capacitor 200 . Upon initial bus energizing, the voltage on the common node N 2 is approximately ⅓ of the bus voltage V BUS with the resistors R 1 , R 2 , and R 3 being of equal value, for instance. The voltage at the common control node N 3 , between the resistors R 1 , R 2 , R 3 is ½ of the bus voltage V BUS . In this manner, the blocking capacitor 200 becomes increasingly charged, from left to right, until it reaches the threshold voltage of the gate-to-source voltage of the first switch 120 (e.g., 2-3 volts). At this point, the first switch 120 switches into its conduction mode, which then results in current being supplied by the first switch 120 to the resonant load circuit 135 . In turn, the resulting current in the resonant load circuit 135 causes regenerative control of the first and second switches 120 and 125 in the manner described above. During steady state operation of the power supply circuit 100 , the voltage of common node N 2 between the first and second switches 120 and 125 becomes approximately ½ of the bus voltage V BUS . The voltage at the common control node N 3 also becomes approximately ½ of the bus voltage V BUS so that the blocking capacitor 200 cannot again become charged and create another starting pulse for turning on the first switch 120 . The capacitive reactance of the blocking capacitor 200 is much smaller than the inductive reactance of the driving inductor 190 and the second inductor 195 so that the blocking capacitor 200 does not interfere with operation of the driving inductor 190 and the second inductor 195 . Thus, the starting circuit of the power supply circuit 100 does not require a triggering device, such as a diac, which is traditionally used for starting circuits. Additionally, the resistors R 1 , R 2 and R 3 are non-critical value components, which may be 100K ohms or 1 M ohm each, for example. Preferably, the values of the resistors R 1 , R 2 , and R 3 are approximately equal. An optional snubber capacitor 215 may be employed to deplete the energy in the resonant inductor 150 . The snubber capacitor 215 is coupled in parallel to the resistor R 3 . While it is shown that the resistor R 3 shunts the second switch 125 , the resistor R 3 may shunt the first switch 120 . FIG. 2 depicts a power supply circuit 250 for an LED traffic signal in accordance with a second preferred embodiment of the present invention. The power supply circuit 250 is identical to the power supply circuit 100 of FIG. 1, with the exception of the arrangement of the LEDs 170 , 175 in the resonant load circuit 100 . Thus, the power supply circuit 250 offers the same benefits and advantages as the power supply circuit 100 . In the power supply circuit 250 , the resonant load circuit 255 includes the resonant inductor 150 , the resonant capacitor 155 , and the matching capacitor 160 . The LEDs 170 , 175 are arranged such that at least one pair 260 of oppositely polarized LEDs 170 , 175 is connected in parallel. FIG. 3 depicts a power supply circuit 300 for an LED traffic signal in accordance with a third preferred embodiment of the present invention. The power supply circuit 300 is identical to the power supply circuit 100 of FIG. 1, with the exception of the arrangement of the LEDs 170 , 175 . Thus, the power supply circuit 300 offers the same benefits and advantages as the power supply circuits 100 and 250 described above. In this arrangement, however, the power supply circuit 300 does not require an equal number of LEDs 170 , 175 . In the power supply circuit 300 , resonant load circuit 305 includes the resonant inductor 150 , the resonant capacitor 155 , and the matching capacitor 160 . The LEDs 170 , 175 are arranged such that at least one pair 310 of branches 315 , 320 of LEDs 170 , 175 are oppositely polarized and connected in parallel. Each branch 315 or 320 may contain an unlimited number of LEDs 170 or 175 polarized the same way. While it is preferred that each oppositely polarized branch 315 or 320 contains an equal number of LEDs 170 or 175 , having an uneven number of LEDs 170 or 175 is acceptable as long as the voltage across each oppositely polarized branch 315 or 320 of LEDs 170 or 175 is substantially the same. The matching capacitor 160 accounts for any imbalance in the voltage between the branches 315 , 320 . However, the uneven distribution of the LEDs 170 or 175 between the branches 315 , 320 is limited by the reverse voltage allowed by the LEDs 170 or 175 . FIG. 4 depicts another power supply circuit 400 for an LED traffic signal. The power supply circuit 400 is identical to the power supply circuit 100 of FIG. 1, with the exception of the resonant load circuit 405 . As in the resonant load circuit 135 described above, the resonant load circuit 405 comprises the resonant inductor 150 , the resonant capacitor 155 , and the matching capacitor 160 . The resonant circuit further includes at least one group 410 of LEDs 415 connected in parallel and polarized in the same direction. The groups 410 of the LEDs 415 are connected in series. Because the LEDs 415 are polarized in the same direction, the resonant load circuit 405 requires three additional components, namely a second full-wave bridge rectifier 420 , a diode 425 , and a current limiting inductor 430 . The second bridge rectifier 420 , which is coupled in parallel to the resonant capacitor 155 , re-converts the AC current to DC current. The inductor 430 is coupled between the second bridge rectifier 420 and the LEDs 415 . The LEDs 415 may be shunted across the resonant capacitor 155 . The diode 425 is connected in parallel to the second bridge rectifier 420 . The diode 425 allows current to flow continuously through the current limiting inductance 430 , which limits the current supplied to the LEDs 430 . In summary, the present invention provides a manner of efficiently powering LEDs from an AC source using integrated circuit components. The invention minimizes the space required to retro-fit standard incandescent lamp traffic signals to LED traffic signals. The application of the invention is associated with an array of LEDs although it will be appreciated that the number of LEDs in a particular array may vary. Furthermore, since numerous modifications and variations will readily occur to those skilled in the art, it is not desired that the present invention be limited to the exact construction and operation illustrated and described herein, and accordingly, all suitable modifications and equivalents which may be resorted to are intended to fall within the scope of the claims.
A space efficient circuit arrangement for supplying power to an LED array, the power supply circuit ( 100) has a rectifier ( 105), a starting circuit coupled to the rectifier ( 105), a gate drive arrangement coupled to the starting circuit, and a resonant converter circuit ( 120, 125) coupled between the rectifier ( 105) and a resonant load circuit ( 135). The resonant load circuit includes a resonant inductance ( 150), a resonant capacitance ( 155) coupled to the resonant inductance ( 150), and a load connected in parallel to the resonant capacitance ( 155). A plurality of light emitting elements ( 170, 175) and a capacitor ( 160) define at least a portion of the load. All of the circuit components may be placed on the same circuit board as the light emitting elements ( 170, 175), thereby taking up less space in a traffic signal housing and making retrofitting a traditional incandescent lamp traffic signal easier.
BACKGROUND OF THE INVENTION The present invention relates to an electronic apparatus and, more particularly, to a structure of a portable electronic apparatus such as a compact information processor, a compact computer and a compact word processor equipped with a display, a keyboard, and a cover for the keyboard. To make the electronic apparatus compact, conventionally, a keyboard or a display per se may be made compact or the arrangement of the keyboard and the display may be devised. However, the compactness of the keyboard and the display leads to the problems that the size of and the space between the key tops is made small and the display panel is made small. This causes the key operability and the display visibility to be reduced, disadvantageously. SUMMARY OF THE INVENTION Accordingly, it is an object of the present invention to provide an improved structure of an electronic apparatus equipped with a keyboard and a display. It is another object of the present invention to provide an improved structure of a compact electronic apparatus equipped with a pivotal cover, a keyboard, and a display. It is a further object of the present invention to provide an improved structure of a portable information processor eqipped with a pivotal cover, a keyboard, and a display. Other objects and further scope of applicability of the present invention will become apparent from the detailed description given hereinafter. It should be understood, however, that the detailed description of and specific examples, while indicating preferred embodiments of the invention, are given by way of illustration only, since various changes and modifications within the spirit and scope of the invention will become apparent to those skilled in the art from this detailed description. According to a preferred embodiment of the present invention, an electronic apparatus such as a compact computer, a compact information processor, and a compact word processor comprises a pivotal cover means carrying a display. The pivotal cover means is closed for covering a keyboard of the electronic apparatus. The display is secured on a surface of the pivotal cover means facing a surface of the keyboard during the closing. The pivotal cover means is opened to uprise the display with an inclination angle to operate the display. The inclination angle of the pivotal cover means can be controlled with a help of gears and dampers provided in two legs of the pivotal cover means. BRIEF DESCRIPTION OF THE DRAWINGS The present invention will be better understood from the detailed description given hereinbelow and the accompanying drawings which are given by way of illustration only, and thus are not limitative of the present invention and wherein: FIG. 1 is a perspective view of an electronic apparatus according to the present invention; FIG. 2 is a cross-sectional view of a paper feed handle; FIGS. 3(1) and 3(2) are perspective views of the paper feed handle, showing the operation of the handle; FIG. 4 is a perspective view of the electronic apparatus and an attachment, showing a condition of the apparatus with its cover opened; and FIG. 5 is a perspective view of another attachment to the electronic apparatus. DETAILED DESCRIPTION OF THE INVENTION First of all, the gist of the present invention can be applied to any apparatus inasmuch as the apparatus is equipped with a keyboard and a display. In the description as stated herein, the apparatus is a portable information processor. It may be evident that the information processor is replaced by any other apparatus such as a computer and a word processor. FIG. 1 is a perspective view of the portable information processor according to a preferred embodiment of the present invention with its cover for a keyboard closed to make the processor flat and thin. FIG. 2 is a side view of a paper feed handle. FIGS. 3(1) and 3(2) are perspective views of the paper feed handle. FIG. 4 is a perspective view of the information processor with the cover opened and an attachment thereto. Referring to these drawings, the information processor of the present invention comprises a body 1, a keyboard cover 2, an attachment 3, a paper insertion inlet 4, a paper handle 5, a keyboard 6, a display 7, a memory module inlet 8, an eject lever 9, a memory module cover 10, a paper hold button 11, two cover legs 12, and a lock release lever 26. The keyboard cover 2 is pivotably moved to expose and hide the keyboard 6. The attachment 3 is a modem or a subkeyboard attached to the rear side of the keyboard cover 2. The attachment 3 is automatically locked when it is inserted into a receptacle (not shown) in the outer surface of the keyboard cover 2. The lock release lever 26 is actuated to release the attachment from the receptacle of the keyboard cover 2. A paper may be inserted into the information processor to print out output data. Preferably, the paper should be of roll-type, so that the paper roll is attached to the information processor at the rear rectangular side adjacent to the paper inlet 4 of the processor. A sheet of the paper is inserted into the paper inlet 4 toward the inside of the information processor. The paper sheet is held by the control of the paper hold button 11. The paper feed handle 5 is manually rotated to rotate a paper feed roller 24 inside the processor. The knob of the paper feed handle 5 can be pulled out from the surface of the processor when it is to be operated. When it is not to be operated, it can be returned into the inside of the surface of the processor. Referring to FIGS. 2, 3(1) and 3(2), the paper feed handle 5 is concentric and slidably coupled outside a boss of a rotatable shaft of the paper feed roller 24. A pin 23' projecting from boss 22 is engaged within a rectangular groove in a smaller shaft of the handle 5, so that the pulling-in and -out of the handle 5 is stopped. As FIG. 2 shows, the smaller shaft of handle 5 is provided with two apertures with either of which a ball on the smaller shaft is engaged. The ball 23 can be freely moved in and out of the surface of boss 22. Thus, a click mechanism is provided. Referring now to FIG. 4, the keyboard 6 is somewhat sloped toward the front side. The display 7 is disposed on the inner side of the keyboard cover 2. The display 7 may, for example, be a dot matrix liquid crystal display with the character display capability of 80 digits×8 lines. The shape of a circuit board of the display 7 is similar to the shape of the display panel. The circuit board of the liquid crystal display is electrically coupled via the inside of the keyboard cover legs 12. Preferably, a flexible cable may be provided for electrically connecting the circuit of the display and a control circuit of the inside of the body 1 in order to serially transfer display information or display control information together with a power. The flexible cable may have about 6 electric lines for this purpose. Inside each of the keyboard cover legs 12, some gears and air damper are incorporated. During the opening and the closing of the keyboard cover 2, the gears and the damper are operated for smoothly and gradually opening and closing the keyboard cover 2. In particular, during the closing of the keyboard cover 2, the damper prevents the keyboard cover 2 from colliding with the upper cabinet of the body 1, so that the cabinet and the keyboard cover 2 as well as the display 7 are protected. During the opening of the keyboard cover 2, the rear of each of the cover legs 12 comes into contact with the rear cabinet of the body 1 to incline the keyboard cover 2 at the display 7 with a certain angle. Otherwise, the damper of each of the cover legs 12 is operated for holding the keyboard cover 2 at a certain angle before the rear of each cover leg 12 comes into contact with the cabinet. The inclined angle of the keyboard cover 2 is selected such that the contents of the display 7 can be easily read by the operator. The inclined angle of the keyboard cover 2 with the display 7 can be changed with the help of the damper and the gears. As FIG. 4 shows, a pair of lock parts 27-1 and 27-2 are provided for locking and releasing the keyboard cover 2. The lock part 27-1 is provided on the cabinet of the body 1. The lock part 27-2 is provided on the keyboard cover 2. In accordance with the closing of the keyboard cover 2, the lock parts 27-1 and 27-2 are automatically locked. The lock of the lock parts can be released by a release means (not shown). The memory module inlet 8 is provided for enabling a memory module to be accommodated. The memory module is a magnetic bubble memory, a floppy disc memory, or the like. When the memory module is inserted into a receptable via the memory module inlet 8, the memory module is locked in place. The eject lever 9 is operated for releasing the lock of the memory module, so that the memory module projects from the inlet 8. A memory module cover 10 is provided. A magnetic bubble memory is utilized because the contents of the memory can be stored without any power back-up. The memory module is connected to the information processor as an external memory module for storing programs, saving and loading data files. As FIG. 4 shows, the attachment 3 mounted on the rear side of the keyboard cover 2 is a modem 3-1 for transmitting and receiving data directly via a telephone line without any aid of any acoustic coupler. The modem 3-1 is electrically connected to the information processor via a curled code cable. In the modem 3-1, there is provided a mode selection switch and a volume controller 13, a speaker 14, a microphone 15, and definition keys 16. Each of the definition keys 16 is operated for specifying and recording the telephone number of the other party so that each definition key 16 is operated for directly calling the other party without inputting a subkeyboard 18. A handset 17 is connected to the modem 3-1 via a code cable. In place of the speaker 14 and microphone 15, the handset 17 may be used for speaking and listening during a call. The subkeyboard 18 includes "0" to "9" digits keys and data entry keys, so that the subkeyboard 18 can be operated as a subkeyboard of the keyboard 6 of the body 1 and an input keyboard of a telephone number. FIG. 5 is a perspective view of another attachment to the information processor in another preferred embodiment of the present invention. Referring to FIG. 5, the another attachment is a Japanese word processor keyboard 3-2. The keyboard 3-2 comprises a key input unit 19, a definition key unit 20, and a touch pen 21. The key input unit 19 includes a plurality of keys for Japanese alphabets, English alphabets, and figures, a cursor shift key, and a key pad actuated for converting Japanese alphabets into Chinese characters. The definition key unit 20 includes a plurality of keys each actuated for directly inputting some selected proper nouns and frequently used words without inputting the alphabet keys. The touch pen 21 is provided for touching each key pad for inputting. The touch pen 21 may be chained to thereby prevent it from being lost. As FIG. 1 shows, the information processor is made flat and thin when the keyboard cover 2 hides the keyboard 6, so that it can easily be hand carried. With the help of the keyboard cover 2, the keyboard 6 and display 7 can be shielded from the outside. This is preferable when it is being carried. In operation, the sloped keyboard 6 and the inclined display 7 appear, so that they can be effectively operated. The invention being thus described, it will be obvious that the same may be varied in many ways. Such variations are not to be regarded as a departure from the spirit and scope of the invention, and all such modifications are intended to be included within the scope of the following claims.
An electronic apparatus such as a compact computer, a compact information processor, and a compact word processor comprises a pivotal cover carrying a display. The pivotal cover is closed on a keyboard of the electronic apparatus. The display is secured on a surface of the pivotal cover facing a surface of the keyboard during the closing. The pivotal cover is opened to uprise the display with an inclination angle to operate the display. The inclination angle of the pivotal cover can be controlled with a help of gears and dampers provided in two legs of the pivotal cover.
FIELD OF THE INVENTION The present invention relates to an apparatus for converting an AC or DC electric input power into DC or AC output with abilities for synchronization with a single or multiphase electric grid, Power Factor Correction (PFC) including Total Harmonic Distortion (THD) correction, an uninterruptable power supply, battery management, battery maintenance, battery storage, energy conversion, electrical grid and micro-grid formation implementation. The invention as disclosed also provides for full control of power conditioning in real time providing correction for multiple different power sources or generators connected to an electrical system. BACKGROUND OF THE INVENTION A variety of generally accepted methods and related equipment currently exist for electric power conversion methods, however they can be incompatible with the electrical grid a and/or the exact power supply input requirements. Available and widely used AC/DC to DC converters, or AC/DC to AC converters have a dependency on the load type. Converters that incorporate battery storage are generally defined as an uninterruptible power supply, thus storing power and returning it when peak load or a demand for a load exists or is created. The modern method for power conversion utilizes an electronic circuit capable of a Pulse Width Modulation (PWM) technique. This technique implements time-advanced feedback where a required output voltage waveform and a low harmonic content can be obtained. These methods utilized in power converters can be used for any kind of distortion and power factor correction in any electrical grid application. The existing AC grid and future development of the AC grid are plagued by extremely low values of Power Factor (PF), resulting from reactive loads as implemented over the past decades of development. It is not only necessary to provide an optimal PF for a limited number of loads and power supplies, but also a corrected PF. The most promising technologies currently do it in bulk, on an area or block basis. For this reason, PF is mostly never equal to 1, causing energy consumption inefficiency for the US as a whole of over 30% of the consumed energy due to heating dissipation or other dissipative processes. SUMMARY OF THE INVENTION The multipurpose, universal power management Converter (Converter) consists of multiple conversion elements and a main controller unit. The conversion stages work in conjunction with each other to provide: battery control and maintenance and multi Digital Signal Processor (DSP) control, with functions for Power Factor Correction (PFC) including Total Harmonic Distortion (THD) or any other type of distortion. The Converter or Converters, working in a common power system, may be enabled to convert any type of input power in AC single phase, AC multiple phases, multiple and single phase AC with DC offset where the Alternative Current (AC) may be in various waveforms, voltages or frequency ranges, or directly to DC. Simultaneously the output power can be produced in the form of a single or multiphase AC output, in various waveforms, voltages or frequencies. The Converter(s) may be directed to one or more target parameters, to be stabilized at certain level of required and desired output. The Converter may be used as a single unit or in conjunction with other Converters, connected to the same power source in parallel, which will provide more power per given output. Alternatively, Converters may be connected in single or multiple units per phase in a multiphase system, where they will provide multiphase operation. In another embodiment, the output provides, in one non-limiting example, a multiple phase output. In an alternative embodiment, the Converter could provide a different programmable output. In yet another embodiment, when in a serial connection, the Converter would provide a serial step-up output. In an embodiment, several Converters may be connected together, to power up from the same source, and may work in complete synchronism with each other. In this configuration, a specified phase shift between two or more outputs may be programmed and established. One or more Converters may power a multiple phase grid, or instances of one or more micro-grids, to provide power to a higher hierarchy power energy system. In each of these embodiments, the power generation Converter, with fast data acquisition and fast digital control and feedback, provides syntheses of all required output parameters, with adoptive feedback mechanisms in real time, may supply predictive power management, or may provide adaptive power network compensation. Simultaneously, the converter may provide adaptive Power Factor Correction (PFC) at the time of power generation, to prevent power network overload and distortions. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 —this figure illustrates the converter apparatus functional diagram consistent with certain embodiments of the invention. FIG. 1 a —this figure illustrates the battery storage and maintenance mode functional diagram consistent with certain embodiments of the invention. FIG. 2 —this figure illustrates the energy format converter mode where different energy sources work on a common Power Grid consistent with certain embodiments of the invention. FIG. 3 —this figure illustrates the energy format converter mode where different energy sources form a micro-grid consistent with certain embodiments of the invention. FIG. 4 —this figure illustrates the Converter's Power Factor Correction (PFC) feature where the Converter may be used as a reactive dipole consistent with certain embodiments of the invention. FIG. 5 —this figure illustrates the Converter's Power Factor Correction (PFC) feature consistent with certain embodiments of the invention. FIG. 6 —this figure illustrates the Converter's Distortion Correction feature consistent with certain embodiments of the invention. DETAILED DESCRIPTION The multipurpose, universal Converter (converter) consists of several functional modules and contains several stages of conversion, in conjunction with controllers, switches, battery controllers and the connections between these components as shown in FIG. 1 . In an exemplary embodiment, the block diagram presented in FIG. 1 serves as a description of the converter in its entirety, but also provides a structural view of component portions that may perform individual functions as a portion of the overall converter structure and without departing from the overall functionality. Components Description The main components of the Converter are described herein: 1. DSP controller. Major functions of the DSP Controller ( 101 ) are: to measure input and output power signal parameters utilizing Measuring Circuit ( 105 ), Measuring Circuit ( 109 ) and the Current Sensor ( 108 ) in real time; controlling all components within the Converter and their current modes that provide the desired output power signal parameters; the exchange of information and synchronization with other Converters if connected. Additionally, the Converter obtains commands and exchanges information with a remote user and/or control devices via an Ethernet, IR, wireless and/or USB or other communication means. The DSP Controller ( 101 ) consists of a signal processor with at least one parallel port, several serial ports and measuring circuits and the means for programming and emulation. The parallel port provides a Data Bus ( 119 ), which is connected to each component of the Converter that contains a Microprocessor(s); including but not limited to: the input power controller ( 110 ), the battery controller ( 112 ), the inverter ( 116 ), and the output ( 118 ). Data Bus ( 119 ) has a Data Bus Extension ( 120 ) that is used for fast information exchange with other Converter(s). Remote Converters can be connected through any kind of serial ports, including Ethernet, IR, wireless and or USB or other communication means. The main program and/or additional programmed modules of the Controller principal function include: a. Initialization which configures all inputs and outputs ports, timers, interrupt system, configuration and activation of Ethernet, IR, wireless and or USB or other communication means and/or other adapters. b. Connection to PC and/or Internet/Intranet and other Converters, identification and configuration to the surrounding network, establish connection, initialization and mapping of IRQ\IRQL, exchanges information and commands. c. The primary program configures and controls the Converter components to provide the desired/programmed/optimized operating conditions. d. Watch Dog function, a special subroutine that will take over when the DSP Controller performs a hard program or hardware stop. The DSP Controller ( 101 ) can be either a single processor or a combination of multiple processors of any type or combination of DSPs. 2. Switch. The Switch ( 106 ) disconnects Power Input 1 ( 104 ) and Power Output ( 107 ) when Converter is in Uninterruptable Power Supply (UPS) mode if the input power is not present. The Switch ( 106 ) may be a semiconductor switch and/or electromagnetic relay controlled by the DSP Controller ( 101 ). Switches ( 122 ), ( 123 ) can be a semiconductor switch and/or electromagnetic relay controlled by the DSP Controller ( 101 ), or just an electromechanical hardwired connection. 3. Input Power Controller. The Input Power Controller ( 110 ) consists of: one or more microprocessor(s) with their measuring and converting circuits; voltage and current sensors to acquire data and define the state of Power Input 2 ( 121 ); Pulse Width Modulation (PWM) outputs for efficient input power rectifier control which is necessary to provide the optimal input current curve in accordance with the current Converter mode; power supply that is utilized for powering the Power Controller ( 110 ); DSP Controller ( 101 ) shared with other components and auxiliaries of the Converter; input passive low-pass filter that eliminates the impact of PWM switching ripple on the input power circuit. The input voltage may be, in a non-limiting example, 115 v, AC 60 Hz, or in another example 220v, AC 50 Hz, or in another non-limiting example, 300 v, DC, or any other AC or DC voltage level configuration. Each input voltage level may be converted into an internal accepted DC and via special current controller may supply the converted voltage level to the converter output, and eventually to Capacitor C ( 115 ). It also has features of a boost converter or down converter depending upon the difference between Power Input 2 ( 121 ) voltage and the Battery Module ( 113 ) desired input voltage, or Inverter ( 116 ) desired input voltage, or the Output Converter ( 118 ) desired input voltage, or Converter's inner requirements. The Input Power Controller ( 110 ) also incorporates commonly available powerful output semiconductor switches including, but not limited to, CMOS based, Darlington, or IGBT switches. 4. Battery Controller. The Battery Controller ( 112 ) is the main part of the Battery Module ( 111 ) which also consists of a Battery or Batteries ( 113 ). The Battery Controller ( 112 ) primary role is to exchanges energy between the Battery Module ( 111 ) and the Capacitor C ( 115 ). The Battery Controller ( 112 ) acquires data from various sensors of the current Battery ( 113 ) condition, the battery historical and passport data stored in the battery controller memory, and battery historical and passport data stored in the memory of the DSP Controller ( 101 ). Based on the condition of the battery and desired operation mode, the Battery Controller ( 112 ) provides optimal charge and discharge modes and full battery maintenance in real time, and provides the output power to the Capacitor C ( 115 ). In one mode of operation, the Battery Controller ( 112 ) will absorb power from Charging Circuit ( 114 ) and provide power for charging the Battery ( 113 ). In another mode of operation, the Battery Controller ( 112 ) will discharge the Battery ( 113 ) providing power to the Capacitor C ( 115 ). Switching between modes is controlled by selection of the mode of operation. The first mode of operation is the operation mode. The second mode of operation is a maintenance mode, where the Battery ( 113 ) will be discharged or charged at specific rate to prolong the life of the batteries and their maximum output. The maintenance mode operation also permits the destruction of any dendrites in case of lithium-ion batteries, or may decrease disulfide areas in acid batteries. The Battery Controller ( 112 ) also incorporates commonly available powerful output semiconductor switches including, but not limited to, CMOS based, Darlington, or IGBT switches. 5. Capacitor. The role of the Capacitor C ( 115 ) is to provide intermediate energy storage. The requirement of the Capacitor C ( 115 ) is to provide the necessary energy to maintain function of the system in a desired mode. Capacitor C ( 115 ) is connected in parallel to the Charging Circuit ( 114 ). Electrolytic capacitor or a battery of electrolytic capacitors would be used in one embodiment. Other types of high capacity capacitors may also be used in additional embodiments. The battery of capacitors would have a high frequency film or ceramic capacitors in parallel with the electrolyte capacitor(s) for effective power utilization. In another embodiment, capacitor(s) in the capacitor battery would be connected or disconnected by semiconductor and/or electromagnetic relay(s) controlled by the DSP Controller ( 101 ), providing the exact capacitance and optimized energy utilization necessary in a wide range of required operation modes. 6. Inverter. The Inverter ( 116 ) is designed to convert a DC input to AC output with maximized efficiency with high frequency output through the Galvanic Separation ( 117 ) to the Output Converter ( 118 ). The Inverter ( 116 ) contains; one or more microprocessor(s) with embedded software, measuring circuits with A to D and D to A converters, and PWM outputs for effective power circuit control. The Inverter ( 116 ) has a connection with the DSP Controller ( 101 ) through the Data Bus ( 119 ) for data exchange and feedback relating to output voltage and operation frequency. The Inverter ( 116 ) incorporates commonly available powerful output semiconductor switches including, but not limited to, CMOS based, Darlington, or IGBT switches. 7. Galvanic Separation. The role of the Galvanic Separation ( 117 ) component is to provide ground and power isolation between the Inverter ( 116 ) and the Output Converter ( 118 ). This is achieved with capacitive isolation in one instance or transformer isolation in another instance. Output Converter. The role of the Output Converter ( 118 ) is to provide desired Converter power output. The Output Converter ( 118 ) provides power conversion coming from Input Power Controller ( 110 ), Battery Modules ( 111 ) and Capacitor C ( 115 ) through Inverter ( 116 ) and Galvanic Separation ( 117 ) to desire Power Output ( 107 ). Depending upon the mode of operation, power would come from Input Power Controller ( 110 ) directly or would be stored and recovered from Battery Modules ( 111 ), with Capacitor C ( 115 ) through the Inverter ( 116 ) and Galvanic Separation ( 117 ). The Output Converter ( 118 ) contains: microprocessor(s) with their software and power circuits; measuring circuits with their A to D converter circuits; and PWM outputs for efficient power circuit control. The microprocessor(s) has a connection and established communication with DSP Controller ( 101 ) through the Data Bus ( 119 ). A data exchange consists of: output voltage parameters and their feedback; output current curves shape and their feedback; voltage frequency and shape and their feedback; in case of connection to the AC power, synchronization conditions will be established and sent to; other parameters. The Power circuit of the Output Converter ( 118 ) incorporates semiconductor switches, where in one instance the power circuit could be a CMOS based, or in another instance a Darlington, IGBT or other type of transistor based device. The Output Converter ( 118 ) also contains an output passive low-pass filter to eliminate PWM switching ripple, which would be active and controllable in case of the presence of variable base frequencies output. Components Interaction Description In an exemplary embodiment, two Power Inputs are shown ( 104 , 121 ), where each power input could be connected to separate DC or AC power sources, and in another embodiment, each power input would be connected to the same DC power source or AC power source by Switch ( 123 ). Input Power connected through Power Input 2 ( 121 ) into the Input Power Controller ( 110 ) will be converted to Capacitor C ( 115 ) charging power by Charging Circuit ( 114 ). Power Input 2 ( 121 ), may be connected to a DC power source, such as, in a non-limiting example, a solar battery, a wind generator output, or a wave-energy power plant. In an alternative non-limiting example, the Power Input 2 ( 121 ) may be connected to the conventional AC grid, or to a DC or AC microgrid. The resulting power conversion by the Input Power Controller ( 110 ) is DC power, which is then stored in Capacitor C ( 115 ). Output voltage of the first stage of conversion by the Input Power Controller ( 110 ) is dependent on required output parameters, desired overall efficiency, and further down conversion stages requirements, etc., and would be dynamically programmed and controlled by the DSP Controller ( 101 ). The role of the Capacitor C ( 115 ) is to store energy provided by several Battery Modules ( 111 ) and Input Power Controller ( 110 ) and provide power for all connected loads, including an Inverter ( 116 ) and Battery Modules ( 111 ). Battery Modules ( 111 ) are used as a charger, discharger and maintainer for the batteries. Each Battery Module ( 111 ) has one or several Batteries ( 113 ) and a Battery Controller ( 112 ). Batteries ( 113 ), in one instance may consist of a sealed Lead—acid battery, in another instance each battery could be, but is not limited to, Lithium-ion battery and or a Lithium-ion phosphate battery. The main parameter for selection of the battery is the total capacitance (ampere hours) with respect to weight in one non-limiting instance or with respect to volume in another non-limiting instance. The battery Controller ( 112 ) functions as a charger, discharger, maintainer, and battery health, useful lifetime etc., and monitoring device. The functionality of the Battery Controller ( 112 ) is as a DC to DC bidirectional converter and is controlled by the built-in DSP. The internal Digital Signal Processor (DSP) has numerous sensors, inputs, outputs, data bus, internal data storage, and an always-on communication to the DSP Controller ( 101 ) via the Data Bus ( 119 ). The Battery Controller ( 112 ) uses energy stored in Capacitor C ( 115 ) to charge Batteries ( 113 ) in the Battery Module ( 111 ), as well as converting the energy stored in the Batteries ( 113 ) and returning energy back to Capacitor C ( 115 ) when required based upon the operation mode. In an embodiment, the energy stored in Capacitor C ( 115 ) is used by the Inverter ( 116 ) to generate high frequency AC output for effective Galvanic Separation ( 117 ). In another embodiment, where galvanic separation is not required, Capacitor C ( 115 ) with its Charging Circuit ( 114 ), can be connected directly to the Output Converter ( 118 ). Output Converter ( 118 ) contains a high frequency PWM controller with voltage or current output generating power output according to what the load requires. In one instance it will be highly stable DC output with a programmable voltage, in another instance it will be AC output with programmable voltage, frequency and waveform. If the Switch ( 106 ) is opened and Power Input 1 ( 104 ) connected to a load, the output of Output Converter ( 118 ) is locked or works in synchronization with the Converter Power Output ( 107 ), providing Power Factor Correction (PFC) and waveform correction for Power Input 1 ( 104 ). In this case Power Input 1 ( 104 ) has to be connected to Power Output ( 107 ) in a specific configuration; phase to phase or neutral to neutral as a load requires. If Switch ( 106 ) disconnects Power Input 1 ( 104 ) from Power Output ( 107 ), and Inverter ( 116 ) and Galvanic Separation ( 117 ) is in use, the wires of the Power Output ( 107 ) can be connected to the load in any order according to load input polarity if the power output is DC, alternatively the load must connect phase and neutral position if the power output is single phase AC. For a multiphase AC output wire “A” has to be a phase, and wire “B” has to be neutral. DSP Controller ( 101 ) is connected to the input power controller module ( 110 ), one or more battery controller modules ( 112 ), the inverter module ( 116 ), and the output converter module ( 118 ) of the Converter through the Data Bus ( 119 ). The DSP Controller controls and synchronizes processes in all converter modules and provides for communication with the Internet or another PC or another Converter(s) through any type of parallel or serial ports such as USB ( 102 ), Internet ( 103 ), or other network communication channels. DSP Controller ( 101 ) also has a Measuring Circuit ( 105 ) for measuring voltage and current in Power Input 1 , Measuring Circuits ( 109 ) to measure voltage in Power Output ( 107 ) and current in the load through Current Sensor ( 108 ), a current transformer used to measure AC output or a current-measuring shunt used for DC, a magnetic current sensor or other type of current sensor, or any combination of these devices. The input power controller module ( 110 ), battery controller modules ( 112 ), inverter module ( 116 ), and output converter module ( 118 ) contain dedicated microprocessors, or other types of processors with Pulse Width Modulation (PWM) functions for analog power signal management and/or control. In an additional embodiment, instead of PWM, Frequency Modulation or other types of modulation may be used based upon what modulation is most effective for concurrent mode of operation. Processors in the input power controller module ( 110 ), battery controller modules ( 112 ), inverter module ( 116 ), and output converter module ( 118 ) exchange information with DSP Controller ( 101 ) through the Data Bus ( 119 ) and work under the direction of algorithms for energy saving optimization. DSP Controller ( 101 ) can be connected to another Converter or Converters through a Data Bus Extension ( 120 ) or a USB port, or other communication means, if several Converters are needed to work in parallel in same phase or in a multiphase system. Mode of Operation The Converter has several operating modes, either under software control, or by changing the configuration of the hardware. Exemplary embodiments of the operating modes are provided below: 1. Battery storage and maintenance mode. In this implementation a power source is connected to Power Input 2 ( 121 ). Power Input 1 ( 104 ) and Power Output ( 107 ) are disconnected. In this implementation mode, modules and measuring circuits that are not directed to battery operations, enumerated as features ( 104 ), ( 105 ), ( 106 ), ( 107 ), ( 108 ), ( 109 ), ( 116 ), ( 117 ), ( 118 ), ( 122 ), ( 123 ) of FIG. 1 , may not be used and/or can be removed from Converter structure as needed or as required. In an additional embodiment, the converter could be electronically switched to battery storage and maintenance mode, where the modules and measuring circuits that are not directed to battery operations, enumerated as features ( 104 ), ( 105 ), ( 106 ), ( 107 ), ( 108 ), ( 109 ), ( 116 ), ( 117 ), ( 118 ), ( 122 ), ( 123 ), are not in use as shown on FIG. 1 a . In this embodiment, shown in FIG. 1 a , the Converter is used as the battery storage only. The Input Power Controller ( 110 ) provides an analysis of input energy condition and yields the optimal energy condition on the inputs of Battery Controllers ( 112 ). The Battery Controller ( 112 ) provides a complete analysis of the condition of the Batteries ( 113 ), including but not limited to: charging/discharging modes; time frame associated to charging/discharging; effective capacity in each given time frame; temperatures and degradation of active matter; planned load versus current operation conditions, and additional analytic information as required by a user. The Battery Controller ( 112 ) has certain sensors or sensor arrays that measure: acoustical and electrical noise; environmental and battery core temperature; weight; gas output, and other physical parameters. The Battery Controller ( 112 ) optionally incorporates, but is not limited to: gas analyzers; ambient pressure sensors; vibrations; bar code scanner, and additional measurement devices. The DSP Controller ( 101 ) contains data bases of maintenance parameters for various battery types. These databases can be updated either automatically or manually through the Internet, direct connection or other communication means from a stored database or master database. The DSP Controller ( 101 ) updates a master data base and will add data to the knowledge base from operational knowledge gained from the history of batteries connected to the converter and operation parameters. A master database is contained on an internet server or cloud network. DSP Controller ( 101 ) will initiate charge—discharge cycles for battery maintenance to perform dendrites destruction in one non-limiting example, or, in another instance, to allow for disulfides destruction on the electrode formation. In this mode, to prevent power losses and unnecessary heating or overheating, Battery Controllers ( 112 ) exchange energy with each other by way of Charging Circuit ( 114 ) connected to Capacitor C ( 115 ), this process step minimizing or completely eliminating energy lost. In a non-limiting example, one controller provides a discharge to a battery and another controller uses that discharge energy to charge a second battery. The DSP Controller ( 101 ) exchanges information with Battery controllers ( 112 ) through serial or parallel communication channels. The DSP controller keeps the data about each battery quality, parameters and condition when in storage and provides further information for a user via an Internet or PC connection to the DSP Controller ( 101 ) through a USB connection or other means of communication. 2. Uninterruptable Power Supply (UPS) mode. In this embodiment an outside power source is connected to Power Input 1 ( 104 ) and Power Input 2 ( 121 ) by the Switch ( 123 ). If the outer power source can provide the required power quality, the DSP Controller keeps the Switch ( 106 ) connected and Power Input 1 ( 104 ) is connected to Power Output ( 107 ). In this embodiment, the batteries are maintained as described in Battery storage and maintenance mode above. The DSP Controller ( 101 ) initiates and continuously monitors the measurement cycle from circuits ( 105 ), ( 108 ), and ( 109 ), to continuously monitor and observe the source conditions. If the input power from Power Input 1 ( 104 ) disappears or will not meet the minimum required parameters such as voltage, current, wave form, etc., Switch ( 106 ) will be disconnected and power will be provided from Battery Modules ( 111 ) and the Output Controller ( 118 ), providing optimal output parameters. Power will be drained from the Battery Modules ( 111 ), through Capacitor C ( 115 ) to the Inverter ( 116 ), then through the Galvanic Separation module ( 117 ) and to the Output Converter ( 118 ). When the power from the Power Input 1 ( 104 ) is restored, and minimum requirements are met in the programmed values and time frame, the DSP Controller ( 101 ) sends a connection signal to the Switch ( 106 ) and restores the connection between Power Input 1 ( 104 ) and the Power Output ( 107 ). In UPS mode, the Inverter ( 116 ) and Galvanic Separation ( 117 ) modules can be implemented in the Converter structure if galvanic separation is necessary, for instance when outer energy source connected to Power Input 1 ( 104 ) is not galvanically isolated. In another embodiment, when galvanic separation is not required, the Inverter ( 116 ) and Galvanic Separation ( 117 ) modules can be omitted from the Converter structure. In a non-limiting example, when the Converter is used to provide power to the load on a peaking basis, charging batteries at night or when the demand is low, or electricity cost basis, then the Converter causes the batteries to be discharged while the peak load is high. In this case Switch ( 106 ) is engaged and the Converter passes the energy stored within the batteries to the connected electrical grid. 3. Energy format converter mode. Frequently, various installations a DC or specific AC supply but only one type of power source available. In an exemplary embodiment, a Converter would be implemented in such installations as an Energy format converter for desired characteristics, including but not limited to voltage, current, frequency, wave shape, timing, and other electrical power supply characteristics. In this embodiment, an outer electrical source may be connected to Power Input 2 ( 121 ) while Switch ( 106 ) is set to Off. In an additional non-limiting example, Switch ( 106 ) may be removed from Converter structure along with Power Input 1 ( 104 ). Battery Modules ( 111 ) will be operated and maintained as described in the UPS mode above. Specifically, in this mode, a Converter, or several Converters connected in parallel as required per load characteristic, can provide the connection of one or several energy sources or generators with unknown or incompatible outputs to common DC, single phase AC, or a multiphase AC electrical grid. The sources can include: diesel and or gas generators; wind generators; solar power plants; wave power plants; geo-thermal power plants; pressurized air energy storage with their generators, etc. as shown in FIG. 2 . The DSP Controller ( 101 ) contains data in its internal memory or may be updated from a PC, via the Internet, or by other communication means with data containing special features for each specific generator type. The data updates provide optimal load characteristics for each generator separately. In an additional embodiment, Converters will form a Micro Grid connecting some identical or not identical generators to a single phase or multiphase Micro Grid as shown in FIG. 3 and FIG. 1 . FIG. 1 shows the connection of Converters ( 100 ) 1, 2, . . . , N with the Data Bus Extension ( 120 ) to provide for data exchange and the DC bus ( 114 ), providing a parallel connection between capacitors “C” ( 115 ) associated with Converters ( 100 ) 1, 2, . . . , N for electric power exchange. In this embodiment, one Converter, or group of Converters, connected to one generator are assigned as a Master to establish Micro Grid power parameters. Other Converters may be set to Slaves to follow the Micro Grid power parameters established by the Master, with a feature to keep the earlier established Micro Grid parameters in the event that the Master disappears, disconnects and/or fails. The best performance is achieved when all of the Converters in a Micro Grid are serial port connected by way of Ethernet, wireless, USB et al. into the Micro Grid intranet. In this non-limiting example, Converters form a smart distributed multiprocessor system, exchange information about each other's condition and established Micro Grid parameters. In this example, the Converters may perform tests on each other, reassign a Master as necessary, provide optimal load for each generator, and maintain optimal output parameters, high reliability and full control for the entire Micro Grid in which they are engaged. Each Converter consistently provides perfect and optimal Power Factor (PF) for each connected generator. In another embodiment, Converters also can be installed on the load side of the Micro Grid to utilize the PFC function when long connections are assumed or high load reactivity predicted. Additionally, the DSP Controller ( 101 ) can provide efficient control and real time feedback to a generator in wide range of operation requirements. Such operation requirements include, but are not limited to, start stop procedures, warming up, end of the fuel or out of parameters warning and failure prevention, where, in this case, a set of input sensors with their analog signal forming circuits and output controlling circuits need to be connected. The energy format converter mode is most effective when a given power generator is compatible to the conventional grid, however the energy format converter can operate in a different mode that is incompatible to the conventional grid with a higher efficiency than other energy format converters currently available. The Converter can be implemented to make a selected generator compatible to the conventional grid while the generator is in a non-compatible but higher efficiency mode, thus bringing the overall efficiency of the given generator to higher coefficient than the generator can possibly obtain by itself. The DSP Controller ( 101 ) software implements a smart adaptive and predictive algorithm to analyze power circuit conditions, which can prevent resonant conditions, power swings and eliminate system instability. The Energy format converter mode can be combined with the UPS mode or peak demand mode if batteries are charging when power is in surplus supply and return the power back at the time of a given peak load simultaneously with power coming from generators. In other words, if power demand in a MicroGrid is dropping and, statistically for the day time or time of the week, a higher demand is not predicted, a given Converter may lower power from the generator or even completely turn that generator off, to provide fuel savings, and power the grid from stored power in the batteries or distribute the demand power requirements among generators which are still working, by increasing output power. This strategy saves fuel in the short term, and in the long run decreases down-time for mechanical reasons. Operation Mode Features General features for all of the above described embodiments, will be essential to efficient operation. Power Factor (PF), harmonic distortions, energy quality improvement and overall Multipurpose Converter Operation feature performance are described hereafter. 1. PFC. This feature allows correction of the Power Factor (PF) to a value of 1 and precisely maintaining that value on a continuous and real-time basis, where at any given moment of time Voltage and Current curves will be sinusoidal and have the same phase. This feature of the Converter self initializes in any mode or circumstance where Voltage and Current inputs are available. The Converter can be used for this purpose directly. The Input Power Controller ( 110 ) can take energy when it is in excess and when energy consumption works for distortion compensation, storing it in Capacitor C ( 115 ). The Converter returns it back through the Output Converter ( 118 ) to provide an optimized current output waveform and provides Power factor Correction (PFC). In an exemplary embodiment shown in FIG. 4 , schematically, power flows from the Generator ( 401 ), to the Load ( 421 ) and Converter ( 411 ). The Converter ( 411 ), connected in parallel with the Generator ( 401 ) and Load ( 421 ), may operate as a dipole with the transmission line or may be connected closer to the Load ( 421 ) or Generator ( 401 ). In this embodiment, as previously described, Power Input 2 ( 121 ) ( FIG. 1 ) is connected to Power Output ( 107 ) by Switch ( 122 ) and the Converter behaves as a dipole with real time controllable reactivity connected in a proximity to a load where PF need to be compensated. As a result, the Converter together with the load appears as a pure active load from the viewpoint of the power source, since PF will be compensated to 1. As shown on FIG. 5 , curve “V” represents voltage. Curve “IA” shows current of inductive load with lagging factor cos(q)=0.71, without the Converter involved in compensation. Curve “IB” shows current consumed by Converter and curve “IC” shows current returned by Converter into the load. As a result, any kind of load where is the Converter is connected, will be an active load as shown on curve “ID”. In another embodiment, the Converter with batteries can exchanges energy required for PFC with Battery Modules ( 111 ) ( FIG. 1 ) as well as with Capacitor C ( 115 ), alternatively, the converter may use energy provided by one or more of the Battery Modules ( 111 ) ( FIG. 1 ) for PFC. PFC may be used effectively for transient compensation, such as, in a non-limiting example, during the time needed to compensate the start-stop operation of a motor. The DSP Controller ( 101 ) measures the status of power input using the Measuring Circuit ( 105 ) to precisely measure load distortions and reactivity. The Measuring Circuits ( 109 ) and Current Sensor ( 108 ) calculate the optimal modes for the Input Power Controller ( 110 ) and the Output Converter ( 118 ). The DSP Controller ( 101 ) software implements smart adaptive and predictive algorithms to decrease transient shock of the load and energy source(s). The software, using preconfigured input parameters, analyzes power circuit conditions to prevent resonant conditions and eliminate system instability. If load reactivity does not exceed the Converter PFC capability, PF will be corrected completely to a value of 1, if load reactivity exceeds the Converter PFC capability, the DSP Controller ( 101 ) calculates and provides partial PFC with no additional distortion. 2. Voltage Distortion Correction. This feature allows real time decrease or elimination of voltage curve distortions. The DSP Controller ( 101 ) calculates the difference between the measured voltage curve from the energy source and the ideal sine waveform of the same frequency and the integrated area between voltage curve and horizontal axis. The result is a distortion curve that may be used as a feedback signal for the correction of load and energy source distortions. These are real time processes capable of keeping voltage waveforms close to the ideal sine waveform. As shown in FIG. 6 , the curve “V” represents voltage; the curve “S” shows ideal sine curve; the curve “D” is the distortion curve. Following the curve “D”, for the positive half wave, energy has been consumed by Convertor, where the curve is above the horizontal axis and the consumed energy has been returned back where the curve is under the horizontal axis. If power distortions do not exceed the Converter correction capability, distortions will be corrected completely. Alternatively, the DSP Controller ( 101 ) calculates and provides partial distortion compensation with no additional distortion. The DSP Controller ( 101 ) can be programmed for particular harmonics correction.
The Electric Power Converter functions as an uninterruptable power supply, battery management, energy conversion, micro-grid formation, and Power Factor Correction including Total Harmonic Distortion correction in real time. Uninterruptable power supply's use is for always-on, real-time, reduced distortion with functions of load reduction and management during peak load events. The Electric Power Converter is able to establish and sustain a micro-grid with multiple and varying sources of power generation and load conditions. The Electric Power Converter achieves dynamic, real-time, interactive Power Factor Correction (PFC) and advanced voltage harmonic distortion correction with a high efficiency ratio. The Electric Power converter is designed to function with the emerging Smart Grid technologies and provide an overall higher level of operating efficiency and higher quality of electrical power.
This application claims priority to Chinese Patent Application Ser. No. 6 CN201510398873.7 filed 8 Jul. 2015. FIELD OF THE INVENTION The present invention belongs to the technical field of chemical synthesis, and more specifically relates to a method for preparing caprolactam by using a microreactor under Lewis acid catalysis. BACKGROUND OF THE INVENTION Caprolactam is an important monomer for making polyamide-6 fiber, plastics and thin film. The production of caprolactam in China is not sufficient to meet the production requirement of the domestic market. Up to 2010, the total apparent consumption of caprolactam in China has exceeded 1.1 million tons, but the self-sufficiency rate is only 43.9%. At present, about 90% of the total production of caprolactam in the world adopts a liquid-phase Beckmann rearrangement process of cyclohexanone oxime using concentrated sulfuric acid or fuming sulfuric acid as a catalyst. Although this route has a selectivity of 98% or more, there are many problems such as heavy environmental pollution, serious equipment corrosion, generation of a large amount of byproduct ammonium sulphate with low value. At present, there are many methods under research for preparing caprolactam without the byproduct of ammonium sulphate. At present, they are mainly gas-phase Beckmann rearrangement and liquid-phase rearrangement processes using a solid catalyst. But these processes usually have many problems such as the preparation cost of the solid catalyst being too high, the selectivity of the caprolactam being too low, or the catalyst being prone to inactivation and the effectiveness of reuse being too poor, thereby greatly limiting its industrialized application. A microreactor is a three-dimensional structural element which can be used in chemical reaction and made of a solid substrate by means of a special microfabrication technology. The microreactor generally has a small channel size (its equivalent diameter being smaller than 500 μm) and a channel diversity, a fluid flows in these channels, and requires a desired reaction to take place in these channels. This causes that a chemical equipment with microstructure has a very large specific surface area to volume ratio, resulting in an extremely high mass transfer and heat transfer capacity. Fundamental advantages due to this is an extremely high heat exchange efficiency and mixing efficiency, and that the reaction temperature can be precisely controlled and the reaction materials can be instantaneously mixed in a precise mix proportion, they are key factors for increasing yield, selectivity, safety and improving product quality. At present, the application of the microreactor in the technical field of chemical synthesis is still in a new research stage, and the research of the application of the microreactor in studying the preparation of caprolactam by Beckmann rearrangement is also still in laboratory study stage. Luo Guangsheng, Zhang Jisong et al. of Tsinghua University prepared caprolactam in a microreactor by using fuming sulfuric acid or organic acid as the catalyst, its process can rapidly and efficiently synthesize caprolactam within a extremely short time, the conversion is up to 99.9% or more, and the selectivity is also greater than 99%. This demonstrates that the application of the microreactor in preparation of caprolactam has a great application prospect. But, these reactions usually require high temperature and high pressure and catalysis by a strong acid medium, thereby limiting their industrialized application to a certain extent or increasing the cost of industrialized application. Therefore, searching for methods for preparing caprolactam under a relatively mild condition and their application in industrial production is also hot subjects of current research. SUMMARY OF THE INVENTION The problem to be solved by this invention is to provide a method for preparing caprolactam by using a microreactor under Lewis acid catalysis, in order to resolve the problems of low reaction selectivity and catalyst being prone to inactivation existing in the prior art. To resolve the above-mentioned technical problem, a technical solution adopted by this invention is as follow: A method for preparing caprolactam by using a microreactor under Lewis acid catalysis, it comprises the following steps: (1) cyclohexanone oxime is dissolved in an organic solvent, an organic acid binding agent is added, and homogeneously mixed, to obtain a homogeneous solution; (2) a sulfonyl chlorides compound is dissolved in an organic solvent, and homogeneously mixed, to obtain a homogeneous solution; (3) a Lewis acid is dissolved in an organic solvent, and homogeneously mixed, to obtain a homogeneous solution; (4) the homogeneous solution obtained in step (1) and the homogeneous solution obtained in step (2) are concurrently and respectively pumped into a first microchannel reactor in a microreactor, and completely reacted, to obtain a cyclohexanone oxime sulphonates intermediate; (5) the mixed system obtained in step (4) and the homogeneous solution obtained in step (3) are concurrently and respectively pumped into a second microchannel reactor of the microreactor, and completely reacted, then an outflow liquid is collected, to obtain the caprolactam. In step (1), the organic solvent is acetonitrile, toluene, DMSO or dichloromethane, and the organic acid binding agent is pyridines acid binding agent and organic amines acid binding agent; wherein, the pyridines organic acid binding agent is preferably pyridine, and the organic amines organic acid binding agent is triethylamine or ethylenediamine. In the homogeneous solution obtained in step (1), the concentration of the cyclohexanone oxime is 0.2-3.0 mol/L, and the concentration of the organic acid binding agent is 0.3-5.0 mol/L. In step (2), the sulfonyl chlorides compound is any one of sulfonyl chloride, methanesulfonyl chloride, benzenesulfonyl chloride, p-toluenesulfonyl chloride, and p-nitrobenbenzenesulfonyl chloride or a combination thereof, the organic solvent is acetonitrile, toluene, DMSO or dichloromethane; in the homogeneous solution obtained in step (2), the concentration of the sulfonyl chlorides compound is 0.2-3.0 mol/L. In step (3), the Lewis acid is anhydrous aluminum chloride, boron trifluoride diethyl etherate, iron trichloride, stannic chloride or zinc chloride, preferably anhydrous aluminum trichloride, boron trifluoride diethyl etherate or iron trichloride; the organic solvent is acetonitrile, toluene, DMSO or dichloromethane; in the homogeneous solution obtained in step (3), the concentration of the Lewis acid is 0.4-5.0 mol/L. Wherein, the reaction mole ratio of the cyclohexanone oxime, the organic acid binding agent and the sulfonyl chlorides compound is 1:1-2.5:1-1.5, and the reaction mole ratio of the cyclohexanone oxime sulphonates intermediate and the Lewis acid is 1:1-5. Wherein, in the first microchannel reactor of step (4), the reaction temperature is 25-50° C., and the reaction residence time is 5-20 minutes; wherein, the flow rate for pumping the homogeneous solution obtained in step (1) into the first microchannel reactor is 0.1-2.0 ml/min, and the flow rate for pumping the homogeneous solution obtained in step (2) into the first microchannel reactor is 0.15-2.5 ml/min. Wherein, in the second microchannel reactor of step (5), the reaction temperature is 25-50° C., the reaction residence time is 5-25 min; wherein, the flow rate for pumping the homogeneous solution obtained in step (3) into the second microchannel reactor is 0.5-3.0 ml/min, and the flow rate for pumping the mixed system obtained in step (4) into the second microchannel reactor is 0.25-4.5 ml/min. Wherein, the volume of the first microchannel reactor is 5-15 ml, and the volume of the second microchannel reactor is 5-25 ml. Wherein, the microreactor comprises a feed liquid inlet, a first T-mixing valve, a first microchannel reactor, a second T-mixing valve, a second microchannel reactor and a liquid outlet which are connected in series successively by connection tubes, the detailed assembly is as shown in FIG. 1 . Wherein, a front end of the first T-mixing valve is connected to a feed inlet for the homogeneous solutions in step (1) and step (2), a back end is connected to an inlet of the first microchannel reactor; a front end of the second T-mixing valve is connected to an outlet of the first microchannel reactor and a feed inlet for the homogeneous solution in step (3), a back end is connected to an inlet of the second microchannel reactor. Wherein, the diameter of the connection tubes are all 0.1-20 mm. Wherein, the length of the connection tube between the feed liquid inlet and the microchannel reactor is 10-50 cm; The length of the connection tube between of the first microchannel reactor and the second microchannel reactor is 10-50 cm; The length of the connection tube between the second microchannel reactor and the liquid outlet is 10-70 cm. Wherein, the preferred type of the microchannel reactor is Vapourtec R series, purchased form Tegent International Scientific Ltd Co. Wherein, the reaction formula of this invention is as follow: Beneficial Effects: Compared with the prior art, the microreactor has such characteristics as large specific surface area, high transfer velocity, short contact time, less byproduct, very high heat transfer and mass transfer capacity, fast, direct scale-up, high safety, good operability; the microreactor system is a parallel system with a modular structure, it has a characteristic of good portability. Making use of an efficient mixing performance and an excellent mass transfer and heat transfer characteristics of the microchannel reactor, the cyclohexanone oxime is converted into a cyclohexanone oxime sulphonates intermediate in the first reactor, then the caprolactam is generated under the Lewis acid catalysis in the second reactor, this method has a simple process and a high operation safety and selectivity, its reaction condition is mild, an efficient reaction can take place even at room temperature, the reaction time is short, the conversion of the cyclohexanone oxime can reach 100% within a short time, the selectivity of caprolactam can reach 99%, the energy consumption is greatly reduced and the production cost is reduced in the premise of maintaining a high yield, being an efficient and green and environmentally friendly method for synthesizing caprolactam, and having an industrialized application prospect. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a structural schematic diagram of the microreactor of this invention; FIG. 2 is a reaction formula of this invention. DETAILED DESCRIPTION OF THE EMBODIMENTS This invention can be better understood based on the following examples. However, a person skilled in the art will readily understand that, the content described in the examples is only used to illustrate this invention, and should not and will not limit the invention described in detail in the claims. The following microreactor consists of a feed liquid inlet, a T-micromixer, microchannel reactors 1 and 2 (heating devices are disposed externally), a back pressure regulator and a liquid outlet etc. which are connected successively by connection tubes, the detailed assembly is as shown in FIG. 1 , wherein two reaction material containers are connected to respective feed liquid inlet by the connection tubes and respectively connected to a T-micromixer, and a pump A and a pump B are respectively disposed in the connection tubes, the T-micromixer is connected to the microchannel reactor 1 by a connection tube, connection tubes of a third reaction material container are respectively connected to the T-micromixer via a pump C and an outlet pipe of the microchannel reactor 1 , and enters into the microchannel reactor 2 , and the microchannel reactor 2 is connected to the back pressure regulator, finally connected to a liquid outlet pipe. The cyclohexanone oxime, the acid binding agent, the Lewis acid, and the sulfonyl chlorides compound used in the experiment are all CP, the organic solvent is AR. Example 1 In the microchannel reactor, the diameter of the connection tubes are all 1 mm, the length of the liquid inlet pipes are all 15 cm, the length of the connection tubes between the T-valve and the microchannel reactor 1 and 2 are both 30 cm, the length of connection tube between the microchannel reactor 1 and the outlet is 20 cm, the length of the connection tube between the microchannel reactor 2 and the outlet is 30 cm; the volume of the microchannel reactor 1 is 5 ml, the volume of the microchannel reactor 2 is 20 ml. 1.15 g cyclohexanone oxime (purity 98%) and 1.13 g triethylamine (purity 98%) were added into a certain volume of acetonitrile, to obtain a homogeneous solution in which the concentration of the cyclohexanone being 1.0 mol/L and the concentration of the triethylamine being 1.1 mol/L, and it was pumped into the microchannel reactor 1 by the pump A, the volume flow rate in the pump A was controlled to 0.25 ml/min; 2. 2.85 g p-toluenesulfonyl chloride was added into a certain volume of acetonitrile, the concentration of the p-toluenesulfonyl chloride was 1.0 mol/L, and pumped into the microchannel reactor 1 at a flow rate of 0.25 ml/min by the pump B, such that the reaction mole ratio of the cyclohexanone oxime, the triethylamine and the p-benzenesulfonyl chloride was 1:1.1:1, the temperature of the microreactor was controlled to 25° C., the reaction residence time was 10 min; 3. 2.5 g iron trichloride (purity 97%) was dissolved with a certain volume of acetonitrile, a 1.5 mol/L iron trichloride solution was obtained, this solution and the solution from the microchannel reactor 1 were pumped by the pump C at a flow rate of 0.5 ml/min through the T-micromixer and into the microchannel reactor 2 , such that the theoretical mole ratio of iron trichloride and cyclohexanone oximesulphonate intermediate was kept at 3:1, the temperature of the microreactor 2 was controlled to 25° C., the reaction residence time was 20 minutes; 4. sampled, detected by High Performance Liquid Chromatography, and the conversion of the cyclohexanone oxime and the selectivity of the caprolactam were calculated, the conversion of the cyclohexanone was 100%, the selectivity of the caprolactam was 99.0%. Example 2 The microreactor is substantially same as Example 1, the only difference is: in the microchannel reactor, the diameter of the connection tubes are all 1.2 mm, the length of the liquid inlet pipes are all 10 cm, the length of the connection tube between the T-valve and the microchannel reactor 1 is 30 cm, the length of the connection tube between another T-mixing valve and the microchannel reactor 2 is 40 cm, the length of the connection tube between the microchannel reactor 1 and the outlet is 30 cm, the length of the connection tube between the microchannel reactor 2 and the outlet is 50 cm; the volume of the microchannel reactor 1 is 10 ml, the volume of the microchannel reactor 2 is 24 ml. 0.57 g cyclohexanone oxime (purity 98%) and 1.03 g triethylamine (purity 98%) were added into a certain volume of acetonitrile, to obtain a homogeneous solution in which the concentration of the cyclohexanone being 0.5 mol/L and the concentration of the triethylamine being 1.0 mol/L, and it was pumped into the microchannel reactor 1 by the pump A, the volume flow rate in the pump A was controlled to 0.5 ml/min; 2. 1.0 g benzenesulfonyl chloride was added into a certain volume of acetonitrile, the concentration of the benzenesulfonyl chloride was 0.55 mol/L, and pumped into the microchannel reactor 1 at a flow rate of 0.5 ml/min by the pump B, such that the reaction mole ratio of the cyclohexanone oxime, the triethylamine and the benzenesulfonyl chloride was 1:2:1.1, the temperature of the microreactor 2 was controlled to 40° C., the reaction residence time was 10 min; 3. 1.1 g iron trichloride (purity 97%) was dissolved in a certain volume of acetonitrile, an iron trichloride solution of 0.625 mol/L was obtained, this solution and the solution from the microchannel reactor 1 were pumped at a flow rate of 0.6 ml/min by the pump C through the T-micromixer and into the microchannel reactor 2 , such that the theoretical mole ratio of the iron trichloride and the cyclohexanone oxime sulphonate intermediate was maintained at 1.5:1, the temperature of the microreactor 2 was controlled to 40° C., the reaction residence time was 15 minutes; 4. sampled, and detected by High Performance Liquid Chromatography, the conversion of the cyclohexanone oxime and the selectivity of the caprolactam were calculated, the conversion of the cyclohexanone oxime was 99.9%, the selectivity of caprolactam was 92.6%. Example 3 The microreactor is substantially same as Example 1, the only difference is: in the microchannel reactor, the diameter of the connection tubes are all 1 mm, the length of the liquid inlet pipes are all 15 cm, the length of the connection tube between the T-valve and the microchannel reactor 1 is 25 cm, the length of a connection tube between another T-mixing valve and the microchannel reactor 2 is 30 cm, the length of a connection tube between of the microchannel reactor 1 and the outlet is 20 cm, the length of a connection tube between the microchannel reactor 2 and the outlet is 50 cm; the volume of the microchannel reactor is 15 ml, the volume of microchannel reactor 2 is 15 ml. 0.23 g cyclohexanone oxime (purity 98%) and 0.27 g pyridine (purity 98%) were added into a certain volume of acetonitrile, a homogeneous solution in which the concentration of the cyclohexanone being 0.2 mol/L and the concentration of the pyridine being 0.34 mol/L was obtained, and it was pumped into the microchannel reactor 1 by the pump A, the volume flow rate in the pump A was controlled to 0.5 ml/min; 2. 0.40 g sulfonyl chloride was added into a certain volume of acetonitrile, the concentration of the sulfonyl chloride was 0.3 mol/L, and pumped into the microchannel reactor 1 at a flow rate of 0.5 ml/min by the pump B, such that the reaction mole ratio of the cyclohexanone oxime, the pyridine and the sulfonyl chloride was 1:1.7:1.5, the temperature of the microreactor 1 was controlled to 50° C., the reaction residence time was 5 minutes; 3. 0.568 g boron trifluoride diethyl etherate (purity 97%) was dissolved in a certain volume of acetonitrile, and a boron trifluoride diethyl etherate solution of 0.4 mol/L was obtained, this solution and the solution from the microchannel reactor 1 were pumped at a flow rate of 0.5 ml/min by the pump C through the T-micromixer and into the microchannel reactor 2 , such that the theoretical mole ratio of the boron trifluoride and the cyclohexanone oxime sulphonate intermediate was maintained at 2:1, the temperature of the microreactor 2 was controlled to 25° C., the reaction residue time was 10 minutes; 4. sampled, detected by High Performance Liquid Chromatography, and the conversion of the cyclohexanone oxime and the selectivity of caprolactam were calculated, the conversion of the cyclohexanone oxime was 100%, the selectivity of caprolactam was 91.3%. Example 4 The microreactor is substantially same as Example 1, the only difference is: in the microchannel reactor, the diameter of the connection tubes are all 1.6 mm, the length of the liquid inlet pipes are all 30 cm, the length of the connection tube between the T-valve and the microchannel reactor 1 is 30 cm, the length of the connection tube between another T-micromixer and the microchannel reactor 2 is 40 cm, the length of the connection tube between the microchannel reactor 1 and the outlet is 35 cm, the length of the connection tube between the microchannel reactor 2 and the outlet is 70 cm; the volume of the microchannel reactor 1 is 5 ml, the volume of the microchannel reactor 2 is 10 ml. 2.3 g cyclohexanone oxime (purity 98%) and 4.12 g triethylamine (purity 98%) were added into a certain volume of acetonitrile, a homogeneous solution in which the concentration of cyclohexanone being 2.0 mol/L and the concentration of triethylamine being 4.0 mol/L was obtained, and it was pumped into the microchannel reactor 1 by the pump A, the volume flow rate in the pump A was controlled to 0.10 ml/min; 2. 1.98 g methanesulfonyl chloride was added into a certain volume of acetonitrile, the concentration of the methanesulfonyl chloride was 1.73 mol/L, and pumped into the microchannel reactor 1 at a flow rate of 0.15 ml/min by the pump B, such that the reaction mole ratio of the cyclohexanone oxime, the triethylamine and the methanesulfonyl chloride was 1:2:1.3, the temperature of the microreactor 1 was controlled to 35° C., the reaction residence time was 20 minutes; 3. 2.2 g aluminum trichloride (purity 97%) was dissolved in a certain volume of acetonitrile, an aluminum trichloride solution of 1.6 mol/L was obtained, this solution and the solution from the microchannel reactor 1 were pumped at a flow rate of 0.25 ml/min by the pump C through the T-micromixer and into the microchannel reactor 2 , such that the theoretical mole ratio of the aluminum trichloride and the cyclohexanone oxime sulphonate intermediate was kept at 2:1, the temperature of the microreactor 2 was controlled to 35° C., the reaction residence time was 20 minutes; 4. sampled, detected by High Performance Liquid Chromatography, and the conversion of the cyclohexanone oxime and the selectivity of the caprolactam were calculated, the conversion of the cyclohexanone oxime was 100%, the selectivity of the caprolactam was 95.7%. Example 5 The microreactor is substantially same as Example 1, the only difference is: in the microchannel reactor, the diameter of the connection tubes are all 2 mm, the length of the liquid inlet pipes are all 50 cm, the length of the connection tube between the T-valve and the microchannel reactor 1 is 35 cm, the length of the connection tube between another T-mixing valve and the microchannel reactor 2 is 50 cm, the length of the connection tube between the microchannel reactor 1 and the outlet is 30 cm, the length of the connection tube between the microchannel reactor 2 and the outlet is 70 cm; the volume of microchannel reactor 1 is 10 ml, the volume of the microchannel reactor 2 is 25 ml. 1.03 g cyclohexanone oxime (purity 98%) and 1.74 g pyridine (purity 98%) were added into a certain volume of acetonitrile, a homogeneous solution in which the concentration of the cyclohexanone being 0.9 mol/L and the concentration of the pyridine being 2.16 mol/L, and the solution was pumped into the microchannel reactor 1 by the pump A, the volume flow rate in the pump A was controlled to 1.0 ml/min; 2. 2.1 g p-toluenesulfonyl chloride was added into a certain volume of acetonitrile, the concentration of the p-toluenesulfonyl chloride was 1.08 mol/L, and pumped into the microchannel reactor 1 at a flow rate of 1.0 ml/min by the pump B, such that the reaction mole ratio of the cyclohexanone oxime, the pyridine and the p-toluenesulfonyl chloride was 1:2.4:1.2, the temperature of the microreactor 1 was controlled to 25° C., the reaction residence time was 5 minutes; 3. 5.34 g iron trichloride (purity 97%) was dissolved in a certain volume of acetonitrile, an iron trichloride solution of 3.2 mol/L was obtained, this solution and the solution from the microchannel reactor 1 were pumped at a flow rate of 1.12 ml/min by the pump C through the T-mixer and into the microchannel reactor 2 , such that the theoretical mole ratio of the iron trichloride and the cyclohexanone oxime sulphonate intermediate was kept at 4:1, the temperature of the microreactor 2 was controlled to 25° C., the reaction residence time was 8 minutes; 4. sampled, detected by High Performance Liquid Chromatography, and the conversion of the cyclohexanone oxime and the selectivity of the caprolactam were calculated, the conversionof the cyclohexanone oxime was 100%, and the selectivity of caprolactam was 94.1%.
A method for preparing caprolactam by using a microreactor under Lewis acid catalysis, wherein a hydroxyl group in a cyclohexanone oxime is activated to obtain a cyclohexanone oxime sulfonates intermediate, then rearranged under Lewis acid catalysis to prepare the caprolactam. The method of this invention has a simple process and a high operation safety and selectivity, the reaction condition is mild, an efficient reaction can take place even at room temperature, the reaction time is short, the conversion of the cyclohexanone oxime can reach 100% within a short time, the selectivity of the caprolactam can reach 99%, the energy consumption is greatly reduced in the premise of maintaining a high yield, and the production cost is reduced, being an efficient and green and environmentally friendly method of for synthesizing the caprolactam.
BACKGROUND OF THE INVENTION 1. Field of the Invention This invention relates to an apparatus and method for safely and economically removing a hardened buildup of mineral material, such as magnesium, from pipe sections constructed of bendable material such as steel. 2. Description of Related Art Various different methods of removing hardened mineral buildup from the interior of pipe sections heretofore have been used. However, these various methods have not been proven economically feasible or safe when the material buildup to be removed comprises magnesium and the pipe sections from which the magnesium is to be removed are constructed of steel. Conventional methods of cutting steel pipe sections into shorter lengths (without distorting the pipe sections) include torch cutting and high speed cross sawing, but both of these methods may not be used (even with a liquid coolant) in conjunction with magnesium. Accordingly, it has been found that "cold cutting" similar to that accomplished by a milling machine may be safely used to cut through pipe having a buildup of magnesium therein. Such "cold cutting" does not generate sufficient heat to ignite the magnesium and appreciably reduces the amount of magnesium dust formed during the cutting operation (as opposed to high speed saw cutting). SUMMARY OF THE INVENTION The method and apparatus of the instant invention involves the utilization of slow blade speed, "cold cutting" of steel pipe sections into shorter lengths and subsequently cutting the walls of the pipe sections along radial planes thereof spaced about the pipe sections. In addition, the forming of radial plane cuts by a milling machine-type of operation in a pipe section wherein multiple radial plane cuts may be made in the pipe section from the exterior thereof allows the pipe section to be "cold cut" longitudinally, and the pipe section to be cut into pipe segments along radial planes of the pipe section also may be longitudinally advanced (by hydraulic ram) against a diametrically disposed blade (wedge-type) whereby the pipe section, and the magnesium buildup therein, is cut along a diametric plane of the pipe section, the cutting action of the wedge-type blade on the pipe section serving not only to cut the pipe section into pipe segments, but also to longitudinally arc the cut pipe segments and to cause the cut edge portions of the cut type segments to be rendered wavy, the wavy cut edges and the arcing of the cut pipe segments serving to automatically loosen the buildup of magnesium from the inner surfaces of cut pipe segments in a manner such that the magnesium buildup substantially fully falls away from the inner surfaces of the cut pipe segments. Thereafter, magnetic sorting means may be used to magnetically sort the cut steel pipe segments from the magnesium buildup separated therefrom. The main object of this invention is to provide a method and apparatus for safely and efficiently reclaiming steel pipe sections and magnesium buildup which has occurred within the steel pipe sections. Another object of this invention is to provide a method and apparatus which may be carried out through the utilization of relatively simple machinery and practiced by relatively unskilled work persons. Another very important object of this invention is to provide a method and apparatus for separating and reclaiming steel pipe sections and the buildup of magnesium within the steel pipe sections, all without excess formation of magnesium dust. A final object of this invention to be specifically enumerated herein is to provide an apparatus in accordance with the preceding objects and which will conform to conventional forms of manufacture, be of simple construction and easy to use so as to provide a device that will be economically feasible, long-lasting and relatively trouble free in operation. These together with other objects and advantages which will become subsequently apparent reside in the details of construction and operation as more fully hereinafter described and claimed, reference being had to the accompanying drawings forming a part hereof, wherein like numerals refer to like parts throughout. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a perspective view of an apparatus constructed in accordance with the present invention for longitudinally cutting steel pipe sections along diametric planes thereof; FIG. 2 is an enlarged, exploded perspective of the pipe cutting portion of the apparatus; FIG. 3 is a side elevational view of that portion of the invention whose function it is to longitudinally cut the pipe sections advanced there toward; and FIG. 4 is an enlarged side elevational schematic view illustrating the manner in which a pipe section to be cut is longitudinally forced between guide rollers and toward the diametric wedge-type cutting blade of the instant invention and the pipe segments formed by the cutting action have waves formed in the cut edges thereof and are longitudinally arched by the wedging action of the cutting blade on the pipe section. DESCRIPTION OF THE PREFERRED EMBODIMENTS Referring now more specifically to the drawings the numeral 10 generally designates an apparatus constructed in accordance with the present invention for longitudinally cutting pipe sections. The apparatus 10 includes a horizontal base 12 in the form of a heavy I-beam and the base 12 includes a plurality of support legs 14. A hydraulic ram 16 is supported from one end of the beam 12 and includes an extendable and retractable piston 18 having a reduced diameter extension 20 on its free end. A plurality of supports 22 are mounted from the end portion of the beam 12 remote from the ram 16 and support an upwardly elongated trough 24 therefrom, the trough 24 being disposed at the lower end of a lateral, inclined chute 26 provided for feeding pipe sections 28 into the trough 24. The extension 20 extends through a guide opening 30 formed in a guide plate 32 also supported from the I-beam base 12 and a gear drive cut off saw 34 is positioned adjacent the upper end of the chute 26 for slow, transverse "cold cutting" of lengths of steel pipe into short pipe sections such as the section 28. With reference now more specifically to FIG. 2 of the drawings, the cutter assembly of the apparatus 10 is referred in general by the reference numeral 38 and includes a plurality of vertically oriented plates 40, 42, 44 and 46 secured together through the utilization of bolts 48 and anchored relative to the upper flange 50 of the beam 12 in any convenient manner. The plate 40 has a guide opening 52 formed centrally therethrough for guidingly receiving the pipe section 28 into the interior of the cutter assembly 38 and the plates 42 and 44 shiftably support upper and lower pairs of axle shafts 54 and 56 therefrom for guided vertical shifting relative to the plates 42 and 44, the plates 42 and 44 including set screws 58 for adjustably limiting upward shifting of the shafts 54 and the shafts 54 and 56 having grooved guide rollers 60 and 64 journaled thereon between the plates 42 and 44. A connecting block 66 is disposed between upper ends of the plates 46 and the latter include horizontal notches 68 formed therethrough in which the opposite ends of a wedge-type cutting blade 70 are seated, the blade 70 including a horizontal cutting edge 72 facing toward the rollers 60 and 64 and the plate 40 with the guide opening 52 therein. The lengths of pipe from which the pipe sections 28 are cut by saw 34 may be substantially clogged with a buildup of magnesium therein. The pipe lengths are cut into shorter pipe sections by saw 34 utilizing the "cold cutting" process hereinbefore referred to and the individual pipe sections 28 roll down the chute 26 and are received within the trough 24. Thereafter, the ram 16 is actuated to extend the piston 18 thereof and the extension 20 mounted thereon with the extension 20 being guidingly received through the guide opening or bore 30 formed in the guide plate 32. Further, the extension 20 is received within the adjacent end of the trough 24 and thereafter engages the pipe section 28 and forces the latter through the guide opening 52 in the plate 40, between the pairs of rollers 62 and 64 and into engagement with the cutting edge 72 of the blade 70. With attention invited more specifically to FIG. 4, as the pipe section 28 is forced in the direction of the arrow 76 against the cutting edge 72 of the blade 70, the pipe section 28 is split into semi-cylindrical pipe section segments 80 and the cutting action on the pipe section 28 by the latter being forced, with multiple tons of pressure, against the blade 70, causes the cut edges of the segments 80 to be rendered wavy as at 82 with the crests and troughs of the wavy edge 82 being disposed, generally, in the plane of the blade 70. Furthermore, the wedging action of the wedge-type blade 70 on the pipe section 28 renders the pipe segments 80 arcuate in a plane disposed normal to the cutting blade 70, all of which deformation of the pipe segments 80 serves to substantially totally loosen the buildup of magnesium from the inner surfaces of the pipe segments 80 so that the pipe segments 80 and the loosened buildup 82 of magnesium fall separately upon a conveyor 86 for conveyance therealong toward a pair of bins 88 and 90 into which the magnesium and pipe segments, respectively, are discharged, the outlet end of the conveyor including magnetic means (not shown) for separating the ferrous pipe segments 28 from the magnesium 82. As the pipe sections 80 fall onto the conveyor 86, the segments 80 are vibrated to further loosen any buildup 82 of magnesium remaining on the segments 80. Of course, once the pipe segments 80 and magnesium 82 have been separately deposited into the bins 88 and 90, further action to reclaim both the magnesium and the metal of the pipe segments 80 may be carried out in conventional manners. The foregoing is considered as illustrative only of the principles of the invention. Further, since numerous modifications and changes will readily occur to those skilled in the art, it is not desired to limit the invention to the exact construction and operation shown and described, and accordingly, all suitable modifications and equivalents may be resorted to, falling within the scope of the invention.
Short pipe sections having mineral material deposit buildup therein are forced toward and upon a diametric wedge-type blade by a hydraulic ram, there being provided a guide for maintaining proper orientation of the pipe section being cut between the ram and the cutting blade.
BACKGROUND OF THE INVENTION In wide use and possessing various designs in power transmission machinery is the universal joint (sometimes termed knuckle joint) which has been long existant in the art of machine building. In its earliest and simplest forms such is familiarly known as the Hooke's or Cardan type, consisting essentially of two forks connected through an intermediate block straddled by the forks and journalled thereto at right angles to each other. The Hooke's-Carden type of joint has the virtue of simplicity combined with capability of accommodating high torques at very large angles of deflection between input and output shafts. However, the dynamic performance is not ideal, since there is a variation between the angular motions of the connected shafts, which has unacceptably severe inertial load consequences at: high speeds, large deflection angles, or with substantial masses connected by the cooperating shafts. Elementary analysis shows that the angular variation characteristic of the Hooke's or Cardan joint is described by the relationship tan α = tan β sec Δ, where α and β are the angles of rotation of the input and output shafts and Δ is the deflection angle between the shafts. A typical value of the variation is seen at Δ = 36° which is at the upper limit reached in most high speed applications such as automatic front wheel drives, axles and transmission shafts. At this deflection the output shaft will alternately be angularly advanced or retarded, each twice per shaft revolution, to peak values of ± 6°. The corresponding variation in velocity is ± 24% referred to the input speed. It is clear that such variations in relative position would be awkward in precision control applications where it is important to maintain accuracy of motion from one point in a machine drive to another point via a universal joint used to change shaft direction. The substantially large cyclical variations in velocity create intolerable vibrations and acceleration loads where massive loads are being driven. Despite the undesirable characteristic of nonuniformity in the Hooke's or Cardan joint, its simplicity has led to extensive application where speeds and inertial loads are low and demands for precision of position are minimal. But increasing areas of application are developing where the consequences of motion irregularity are unacceptable for reasons of noise, excessive vibration, imprecision of control, or consequent wear. Numerous examples can be cited such as the automotive front wheel drives, helicopter rotor drives, marine inboard-outboard propellor shafts, hydraulic pump swash plate drives, etc. Beginning with the era of abruptly higher machine and transportation speeds circa the end of World War I, intensive efforts were made to find substitutes (for the Hooke's-Cardan type of joint) which would have uniform velocity performance. A small number of successful joints have been found, notably the Rzeppa and Weiss rolling ball joints, and a variety of sliding block types of which the Tracta appears to be the most frequently used. In addition a number of "kinematic" models have appeared which are theoretical laboratory solutions of the problem of providing constant velocity angular transmission. However, regarding the latter, their practical value is questionable for reasons of complexity, non-compactness, or low-load carrying ability. A fairly frequent solution is the use of two Hooke's-Cardan joints in series, so phased that the irregularities of one are cancelled out by oppositely directed variations of the other. This is less than ideal because of: the added space required by the second joint, the irregular motion of the intermediate member between the two, inability in many applications to ensure that each joint operates at the same angle as the other; as well as other obvious disadvantages such as cost, noise vibration and wear to mention a few. The rolling ball joints invented almost 50 years ago have enjoyed the greatest success and are used currently as first choice where high performance is required. However, they have limitations in respect to high manufacturing cost, limited durability, and are subject to derating at high speeds and large deflection angles. They are not susceptible of adjustment to take up wear and therefore cannot be assembled to a true zero backlash condition (in view of manufacturing tolerances) without preloading which detracts from load carrying ability and economy of production. It may therefore be fairly said that much room for improvement remains in the evolution of the universal joint regarding economy, simplicity, durability and other factors. SUMMARY OF THE INVENTION An object of the present invention is to provide a universal joint which eliminates the aforementioned disadvantages and drawbacks of prior art joints and which is capable of being mass produced economically, employing reasonable manufacturing tolerances to achieve precise uniform velocity operation. Another object is to provide a constant velocity universal joint of the foregoing type which is not only simple but capable of being produced at relatively low cost compared to existing constant velocity universal joints while being competitive with non-uniform velocity joints of the Hooke's-Cardan types in general use. Still another object is to provide a universal joint of the foregoing type which may be manufactured to existing standards of gear tooth or cam profiles and by the use of current gear or cam production tools in manufacturing practices. A further object is to provide a universal joint of the foregoing type which is capable of being designed to accept torque loadings equal to or exceeding existing constant velocity universal joints with equivalent size proportions and speed ratings equal to or exceeding existing types as well as a joint capable of operating at deflection angles equal to or greater than existing types. A still further object is to provide a constant velocity universal joint which is adjustable as to operating clearance for the accommodation of generous manufacturing tolerances to achieve minimum backlash in the original assembly and which is adjustable during the useful operating life to take up wear and thereby minimize backlash throughout the term of use, which feature is not available in existing types. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is an exploded isometric view of the constant velocity universal joint of this invention. FIG. 2 is an isometric view of the universal joint in assembled form showing the drive and driven axis at an angle relative to one another. FIG. 3 is a top plan view of the assembled universal joint of FIG. 2. FIG. 4 is a sectional view taken along lines 4-4 of FIG. 3. FIG. 5 is a sectional view taken along lines 5-5 of FIG. 3. FIG. 6 is a sectional view taken on the common central plane containing the two shaft axes and parallel to the plane of the drawing. FIG. 7 is a view similar to FIG. 3 but with the joint rotated 45°. FIG. 8 is a view similar to FIG. 3 but with the joint rotated 90°. FIG. 9 is a top plan view of the universal joint with the axes of the drive and driven shafts aligned with the forks orientated 45% to the plane of the drawing; FIG. 10 is a side elevational view of the universal joint shown in FIG. 9; FIG. 11 is an elevational view of a "rocking" pinion segment; FIG. 12 is a cross-sectional view taken along lines 12--12 of FIG. 11; FIG. 13 is a diagrammatic view of the universal joint of this invention showing each of the drive and driven shafts deflected Δ/2° about axis Y--Y upwardly from the plane of the drawings with the included angle being 180° - Δ with the forks oriented 45° to the plane of the drawings; FIG. 14 is a side elevational view of the universal joint of FIG. 13; FIG. 15 is another diagrammatic view of the gear segments shown in FIG. 13 illustrating the rotation of the pinion segments as a unit about axes X--X and the bevel gear segments as part of complete bevel gears shown in phantom with their rotation being about axes Y--Y; and FIG. 16 is a similar diagrammatic view showing the movement of the pinion segments and the bevel gear segments shown in detail in FIG. 14 with axis X'--X' being perpendicular to the rotational axes of the drive and therefore the new positions of the rotational axes of the bevel gears shown in phantom from which the gear segments of FIG. 14 are derived. DETAILED DESCRIPTION In the drawings, the joint main input and output members are two massive keyed drive hubs 20 and 22 to receive the respective input and output shafts 24 and 26 which are to be connected by the universal joint 28. These hubs have extending therefrom forks 30, 32, 34 and 36 which transmit torque in either direction of rotation to the associated bevel gear segments 30a and 30b, 32a and 32b, 34a and 34b, and 36a and 36b, respectively. Where desired or necessary, the hubs and associated forks with segments may be integral with each other, that is to say they may be machined out of one solid blank and thereby eliminate the need for fasteners. In the drawings, these parts are shown as separate elements in the accompanying illustrations only to isolate their individual functions. The bevel gear segments 30a, 30b, 32a, 32b, 34a, 34b, 36a and 36b may be considered to be segments of a complete circular bevel gear so situated that the axis of rotation of the teeth of a given segment is a line through the center of the joint, but at 45° with the plane of the fork on which the segment is located. Each bevel gear segment may be regarded as a "slice" of its parent gear cut out on a plane at 45° to the gear axis. The origins of the bevel gear segments and their essential orientation are illustrated in FIGS. 13 to 16. Bevel split compound pinion segments 38a and 38b, 40a and 40b, 42a and 42b, and 44a and 44b are portions of standard involute bevel pinions as mating members with the bevel gear segments of a standard bevel reduction gear set which in the illustrated embodiment has a tooth ratio of 2:1. It should be understood that this invention contemplates utilization of ratios. The split pinion segments are as divided at the exact center of the parent pinion (see FIG. 15) and then the split surfaces are formed into the toothed contours 38a', 38b', 40a', 40b', 42a', 42b', 44a' and 44b', respectively. These contours are derived from a cylindrical spur pinion form or blank which is revised by a slight tooth modification accomplished by supplementary gear cutting of the spur gear tooth spaces to greater depth E and at slight angle β to the spur gear blank axis, as a function of the angle α taken around the pitch circle from the center element designated as 0--0. The extra depth cutting produces pitch contours which depart slightly from a circular form and may be described generically as paraboloid. The paraboloid pitch colours have magnitudes proportional in size to the distance from the joint center in the same way as the bevel pinion teeth are proportioned in size from the center. The extra depth E and angle β are as tabulated in the following table with reference to FIGS. 11 and 12, as modifications to a spur pinion blank which has a pitch diameter of 0.625 as large as the pitch diameter of the large end of the associated bevel pinion; and the angle β is taken inwardly in the same sense as the angle of the pitch cone of the bevel pinion teeth. Obviously, the described modifications leading to the spur gear configuration will be different for other than the tooth ratio of the illustrated embodiment. ______________________________________E * α β______________________________________+ .0005 + .10- .0000 - .00inches degrees degrees0.0028 12 0.3010.0100 24 0.9700.0168 36 1.6780.0186 48 2.3280.0133 60 2.953______________________________________ * Applicable directly to C.sub.p or circular pitch = π/16 for bevel gear and pinion teeth. For larger values of C.sub.p decrease E proportionately to the pitch C.sub.p. α and β are unchanged. Tension rods 46 and 48 have threaded ends and in association with screw studs 14 and nuts 50 serve to tie together pairs of pinion segments which are diametrically opposite (and which have been found to describe theoretically identical motions in all aspects of the joint action). The two rods 46 and 48 are trimmed near the center of their length in such a way as to permit them to cross at about 30° in the common plane which contains their longitudinal axes. The trimming also provides for them to turn relative to each other about their axes so that the "rocking" motion of the compound pinion segments 38a-42b is unimpeded. The radially outward thrust forces which are an inevitable consequence of force on the bevel pinion teeth, are balanced by the tension rods. The tension rods 46 and 48 are associated with only two pairs of the compound split bevel pinion segments 38b and 42b, and 38a and 42a. respectively. The other two bevel pinion pairs are accommodated by two rings 52 and 54, which fit together as shown in FIG. 4 each having opposed radially extending threaded studs 52a and 52b and 54a and 54b and associated nuts 50. The tension between diametrically opposite bevel pinion segments is assumed in each ring through these screw studs and nuts. The relationships among the interlocking tension members is shown more comprehensively in FIG. 5. The stabilizing links 56 serve to keep aligned those bevel pinion segments 40b and 44b attached to the smaller tension ring 52. These two gear segments have no other inner abutment against inward displacement except the taper of the teeth. It has been found that in dynamic operation, tooth friction forces may cause progressive inward creeping to cause jamming in the absence of a positive stop against such a tendency. Obviously, other alternative means may be adopted for positioning the bevel pinion segments in fixed relationship with each other. Suitable key arrangements (not shown) may be employed and shims (not shown) may be deployed so that the bevel pinion segments may be exactly positioned inwardly for optimum gear operating clearance (backlash) to minimize tooth friction and permit adequate film thickness for lubricant. Such shims provide opportunity for initial fitting of the assembly in view of manufacturing tolerances. The shims also allow take-up for wear in the course of extended operation to provide essentially ideal fitting and minimized "backlash" conditions throughout a long useful life. Thus, a combination (cluster) of gears transmit torque by means of tangential pressure contacts carried around as near as possible to the outer periphery of the cluster where the load carrying surfaces have the greatest mechanical advantage; and to establish contours for the gear pitch surfaces which will provide for their intimate contact in all relative positions of the input and output members in rotation and deflection. The compound bevel split pinions 38a, 38b, 42a and 42b act as idlers between the bevel gear segments 30b, 36b, and 32b, 34b to transmit (by pressure through them) the torque forces from one fork to the other. The compound pinion segments 38a, 38b, 42a and 42b may rock on each other in such a way that the space between opposing forks is always exactly filled when said opposing forks assume a relative angular attitude to each other (as opposed to a mutually parallel relationship). As will be appreciated, the necessary condition for constant velocity transmission is simply that the input and output shafts must not turn with respect to the axis of deflection (and therefor with each other) as shafts are deflected from a straight line through the joint center. An examination of FIGS. 13-16 will serve to make clear that for the condition of shaft rotation where the shaft forks are each oriented at 45° to the axis of deflection (in this case the axis about which the deflection takes place is the YY axis) a total joint deflection angle of Δ as shown in FIG. 14 causes the bevel gear segments to take an angular attitude to each other and forces the associated split pinion segments to rock, and roll down in the figure moving into that unique position where they just fill the space between the gear segments. The spur gear pitch surface is so shaped that regardless of the angle taken by the gear segments there is only one position that it can take, since any other position would not allow enough room. In other words, the associated split pinion segments roll into a "hollow" and stay there. It should be noted that the same behavior is exhibited by the matching split pinion segments diametrically opposite (beneath the plane of the drawing). The corresponding behavior of those elements 90° removed are shown in FIG. 13. Here the fork-mounted bevel gear segments perform a pure rotation about the axis of deflection Y--Y, in opposite directions to each other. The associated split pinion segments act in this mode as though it were solid or unsplit and simply rotates on its axis X--X, through an angle equal to Δ/2 multiplied by the gear-to-pinion ratio (which in this case is 2). It should be obvious that under the total deflection Δ the rotation of the split pinion segments as a unit (no rocking necessary since the opposing gears are parallel) the input and output shafts do not rotate about their own axes (they simply swing about Y--Y as a pivot). Therefore under the conditions described above for the relationships in FIGS. 13 and 14, the necessary condition for constant velocity is satisfied. It can be shown that any other position of the joint (deflection about X--X creates the same conditions as for that about Y--Y) creates a condition which is a vector combination of FIGS. 13 and 14. For example if the shafts are deflected by Δ about a new 45° axis W--W, half-way between X and Y, the same effect can be obtained by first deflecting 0.707 Δ about Y--Y, then 0.707 Δ0 about X--X. The resulting final position is the same and all the split pinion segments have performed two functions as shown individually in FIGS. 13 and 14 but superimposed upon each in combination. The action for the component of deflection about YY is identical to that of FIG. 14 AA (except to a lesser degree) and the component of deflection about X--X is 0.707 of that shown in FIG. 13. This latter is pure rotation of the associated split pinion segments. This supplementary rotation of the pinion is not quite ideal since it has been rocked into a slightly non-circular form by the other component of the deflection. However, it has been found mathematically that in the case of 36° total deflection Δ the worst consequence is the introduction of one thousandth of the joint's outside radius as looseness during the rotation. Such degree of looseness is negligible as a practical consideration in view of necessary operating clearances. One complete revolution of the universal joint 28 will now be described with reference to the drawings. Assuming a relative disposition of the drive shaft 24 and driven shaft 26 turned 45° from their respective disposition illustrated in FIG. 3, the pinion segment 38a and 38b will be engaged as shown in FIG. 14. Pinion segments 42a and 42b on the opposite side (hidden) will be rocked to the same degree but in the opposite direction. Pinion segments 40a and 40b (and 44a and 44b on the opposite side and hidden) will be disposed as shown in FIG. 13. Turning the shafts 90° in a clockwise direction from FIGS. 13 and 14 will reverse the disposition of pinion segments from that illustrated in FIGS. 13 and 14. Towards this end, pinion segments 38a and 38b together with 42a and 42b will be disposed in the manner depicted by 40a, 40b and 44a and 44b in FIG. 13. Pinion segments 40 a and 40b will then be disposed as are 38a and 38b shown in FIG. 14 with pinion segments 44a and 44b rocked in the same manner but in the opposite direction. Upon further rotation of the shafts through another 90° or 225° from FIG. 3, pinion segments 42a and 42b will be disposed in the manner depicted by 38a and 38b in FIG. 14 with pinion segments 38a and 38b then becoming hidden, rocked to the same degree but in the opposite direction. Pinion segments 40a and 40b and 44a and 44b will again be disposed substantially as they are shown in FIG. 13, but with their locations reversed. When the shafts are turned another 90° (or 315° from FIG. 3) pinion segments 44a and 44b will be disposed substantially as 38a and 38b are shown in FIG. 14 with pinion segments 40a and 40b then hidden below rocked to the same extent but in the opposite direction. At 315° from FIG. 3 pinion segments 38a and 38b and 42a and 42b will be disposed substantially as 40a and 40b are shown in FIG. 13. At 45° intervals from the positions shown in FIGS. 13 and 14 the pinion segments will assume positions that would be a combination of rocking and turning substantially as shown in FIGS. 2, 3 and 8. In the preferred embodiment of the invention shown in the drawings, the rolling contact surfaces are toothed. However, in a somewhat less preferred embodiment, the same result can be obtained by substituting curved cam contact surfaces which duplicate the pitch surface contours of the illustrated gears and pinions supplemented by "crossed-belt" metal strips interposed between the contacting rolling surfaces and so fastened as to prevent slippage. Thus the several aforenoted objects and advantages are most effectively attained. Although several somewhat preferred embodiments have been disclosed and described in detail herein, it should be understood that this invention is in no sense limited thereby and its scope is to be determined by that of the appended claims.
A rolling-contact universal joint rotatably transmits power from one shaft to another without variations in velocity, at relatively large angles of deflection between input and output shafts. A cluster of gear segments capable of transmitting comparatively high torques over a wide range of speeds are interposed between interdigitated forks. The relative motions between the load carrying elements are rolling contacts between gear segments; and the conical shape of cooperating gear surfaces affords opportunity for adjustments to eliminate backlash in the assembly or to take up wear.
BACKGROUND OF THE INVENTION The invention relates to check-valves of the variety in which multiple like valve members (petals) are hinged for independent coaction with the respective valve-seat openings in a seating plane; in the case of a double-petal valve, said openings are defined by a seat post which extends diametrically across the bore of the valve body. Check valves of this character are illustratively described in U.S. Pat. Nos. 2,877,792 (Tybus), No. 3,538,946 (Hilsheimer), No. 3,678,958 (Satterwhite, et al.), and No. 4,079,751 (Partridge, et al.). In the foregoing patents and in all other similar check valves known to me, the valve body includes or carries a fixed stop against which the valve members abut to determine their full-open position. For certain applications, the provision of such a stop entails complexity of body construction and mechanical shock associated with attainment of the full-open position of the valve. Such shock is aggravated under conditions of frequent and intermittent demand for full-flow use of the valve. SUMMARY OF THE INVENTION It is an object of the invention to provide an improved check-valve construction of the character indicated, featuring simplicity of construction and maintenance, and improved performance, as compared to existing constructions. A specific object is to achieve the above object with a construction having inherently improved flowaccommodating properties, for a valve of given size. Another specific object is to provide an improved up-stop function in a valve of the character indicated, without reliance upon special formation of or assembly to the body of the valve. A further specific object is to achieve the above objects with the additional provision of resiliently snubbed determination of the full-open condition of the valve. In a double-petal application, the invention achieves the foregoing and other objects and features by providing spring means on at least one of the valve members in such position as to resiliently engage the other valve member when in full-open position, thus cushioning the achievement of full-open position of both valve members, without reliance on valve body structure to provide any up-stop function. Preferably, the spring means for achieving this function is an integral formation on the same spring means as is used to normally urge the valve members in the valve-closing direction. DESCRIPTION OF PREFERRED EMBODIMENTS Preferred embodiments of the invention will be described in connection with the accompanying drawings, in which: FIG. 1 is a view in elevation of a check valve of the invention, as viewed from the downstream end; FIG. 2 is a longitudinal sectional view, taken at 2--2 in FIG. 1, showing one half of the valve closed, and the other half in phantom for its open position; FIG. 3 is a view in elevation of a spring component of the structure of FIG. 1; FIG. 4 is a fragmentary longitudinal sectional view of another type of check valve incorporating the spring feature of the invention; and FIG. 5 is a sectional view taken at 5--5 in FIG. 4. In FIGS. 1 to 3, the invention is shown in application to a check valve comprising a body 10 having a cylindrical annular shell 11 with a thin circumferential mounting flange 12 and with a cylindrical bore which is divided into two like generally semi-cylindrical seat openings or ports A-B, by means of a seat post 13 which extends diametrically across the bore and is preferably integral with the shell 11. Also integral with shell 11 are diametrically opposed bearing mounts 14-15, for location of the diametrically opposite ends of a hinge pintel 16, on an alignment parallel to seat post 13 and at a downstream offset from the valve-seating plane, the latter being established by the otherwise flat downstream end of body shell 11. Two like generally semi-circular valve members 17-18 are connected (via their hinge arms 19) by pintel 16 to the body shell, for independent articulation about the hinge axis; and in the closed or valve-checking position, valve members 17-18 will be understood to peripherally continuously lap all seating surfaces of shell 11 and seat post 13 in the seating plane. In the form of FIGS. 1 to 3, separate spring means 20-20' are employed to normally urge the respective valve members 17-18 in the valve-closing direction. These springs are duplicates of each other, so that the spring 20 of FIG. 3 is illustrative of either one of them, primed notation being used for the corresponding parts of spring 20'. At one end of the coil of spring 20, a first arm 21 extends into a central local bore 22 in seat post 13, for frame-referencing purposes, the pintel 16 extending through the coil of spring 20; and a second arm 23 at the other end of the coil extends along the back of valve member 17 to urge the same in the valve-closing direction. A similar action applies for spring 21, frame-referenced at 22 and urging valve member 18 in the valve-closing direction, it being noted that the coiled parts of springs 20-21 are retained via bore 22 at diametrically opposite symmetrical locations on pintel 16. In accordance with the invention, further spring means is provided on the back or downstream side of at least one of the valve members 17-18 in such position and orientation as to resiliently engage the back of the other valve member at the full-open position of these members. Such further spring means, in FIGS. 1 to 3, takes the form of a snubber formation 24 in the shape of an integral hook or loop extending at an angular offset δ 1 with respect to the arm 23 of spring 20, the offset δ 1 in FIG. 3 being shown between a first plane 25 (representing constant contact with the back of valve member 17) and a second plane 26 (representing intermittent abutment with the back of valve member 18), to depict the full-open relation of valve members, as determined by spring 20. Preferably, the radius R 1 of curvature at juncture of hook 24 to arm 23 matches the radius R 2 of curvature of hook 24, and the complement δ 2 of the inclination of hook 24 to the arm is δ 1 , whereby the maximum radius R 3 of arm (23) contact with valve member 17 substantially equals the radius R 4 of hook (24) contact with valve member 18. This being the case for spring 20 and its hook formation 24, it is also the diametrically opposite case for spring 20' and its hook formation 24', thus assuring symmetry of snubbed valve-member up-stop action upon achievement of the full-open condition of the valve. The embodiment of FIGS. 4 and 5 represents application of the up-stop spring feature of the invention to a different form of multi-petal check valve, which happens to employ plural angularly sequential valve members or petals 30 which are of sector shape and which are tangentially hinged to surrounding frame structure via radially outward hinge arms 31. To accommodate the full-open swing of petals 30 plus hinge brackets 32 for arms 31 and their connecting pintel 33, an inlet ring 34 with integral seat-post formations 35 has a radially outward flange 36 which is lapped by the adjacent radially inward flange 37 of an outlet ring 38, the two rings 34-38 being secured at their flanges by bolts 39 which also serve to mount the brackets 32 to flange 37. A first spring 40 has frame reference at an anchoring aperture 41 in the base of bracket 32, thus also axially locating spring 40 and assuring the central action of the petal-operating other end arm of spring 40; as in the case of FIGS. 1 to 3, the petal-engaging end arm of spring 40 has a hook-shaped offset 42, poised for up-stop action upon the inner wall surface of the outlet ring 38. It will be understood that, for many applications the single spring 40 will suffice, in that up-stop action and petalseating action are generally central and symmetrical with respect to the spaced points of hinge support. However, for total symmetry, I show an additional spring 40' which is the mirror image of spring 40 and which is carried on the remaining span of pintel 33; spring 40' is frame-referenced at one end in bracket aperture 41' and has an up-stop hook formation 42' at its centrally located other end, in mirror-image relation to spring 40 about the center of symmetry of petal 30. The described embodiments will be seen to meet all stated objects with structure of elemental simplicity and lending itself to application in either a diametrically or a tangentially oriented body suspension of the hinge. In all cases, the full-open position of valve members is snubbed and effectively stopped, snub forces being sustained by each valve member with mirror-image symmetry, about the central axis of symmetry of the valve member. While the invention has been described in detail for the preferred forms shown, it will be understood that modifications may be made without departing from the claimed scope of the invention. For example, by eliminating the mounting flange 12, to leave body shell 11 with a suitably grooved outer cylindrical profile, the complete check valve of the FIG. 1 variety may be installed and retained in the bore of a pipe, conduit or other suitably grooved fitting, as in the manner disclosed in detail in copending application Ser. No. 27,846, filed Apr. 6, 1979.
The invention contemplates a combined closure spring and upstop having particular application to check valves having multiple valve members. The invention is illustrated by one embodiment involving two valve members hinged on a single axis oriented diametrically of the valve-flow passage, and by another embodiment involving more than two valve members hinged on separate axes oriented tangentially of the valve-flow passage.
This invention is a continuation-in-part application of my co-pending application Ser. No. 547,328, filed Oct. 31, 1983, now abandoned, and entitled QUICK COUPLING FOR SUMP PUMP. SUMMARY OF THE INVENTION This invention relates to coupling devices and, more particularly, to quick disconnect coupling devices for use in coupling a sump pump to an outlet pipe. Quick disconnect couplings and guide rails are used with sump pumps to permit the latter to be easily removed when the sump pump malfunctions or fails. In my co-pending Application, the quick disconnect coupling was formed of a chemically inert plastic material and was comprised of two substantially identical coupling elements which were typically used with relatively large sump pumps. The present coupling device is similar in construction, but is used with much smaller sump pumps. It is therefore a general object of this invention to provide a novel and improved quick disconnect coupling, of simple and inexpensive construction, for use with the conventional standard commercial submersible pumps. Another object of this invention is the provision of a quick disconnect coupling including a pair of coupling members formed of a non-corrosive material, one of which is threadedly connected to the sump pump outlet and the other being connected to the outlet pipe, the coupling members being disposed in mating sealed relation with each other by co-acting guide elements. These and other objects of the invention are further defined in the following specification. FIGURES OF THE DRAWING FIG. 1 is a cross-sectional view of a sump illustrating an immersible sump pump therein and incorporating the novel disconnect coupling and guide rail system; FIG. 2 is a rear perspective view of one of the coupling members of the novel quick disconnect coupling; FIG. 3 is a front perspective view of the other coupling member; FIG. 4 is a cross-sectional view of the quick disconnect coupling members illustrated in coupled relation; FIG. 5 is a cross-sectional view taken approximately along line 5--5 of FIG. 2 and looking in the direction of the arrows; and FIG. 6 is a cross-sectional view taken approximately along line 6--6 of FIG. 3 and looking in the direction of the arrows. DESCRIPTION OF THE PREFERRED EMBODIMENT Referring now to the drawings and, more particularly, to FIG. 1, it will be seen that the novel quick disconnect coupling and guide system is illustrated in cooperative relation with the submersible sump pump positioned in a sump 10. The sump 10 is of generally rectangular or cylindrical configuration and includes opposed vertical side walls 11 and a bottom wall 12 formed of masonry, which is typically used in residences and in some commercial establishments. Waste water containing sewage material is introduced into the interior 13 of the sump 10 by means of an inlet pipe 14. The waste water and the entrained waste material is discharged from the interior of the sump through an outlet pipe 15. The outlet pipe 15 is connected in communicating relation to a conventional commercial sump pump 16, as best seen in FIG. 1. The sump pump 16 is provided with an intake 16a through which the waste water passes, and the waste water is discharged through a discharge outlet 18 which is connected to the quick disconnect coupling 19. The quick disconnect coupling 19 interconnects the discharge outlet of the sump pump with the outlet pipe 15. In the embodiment shown, it will be noted that the quick disconnect coupling 19 is slidably connected with a pair of laterally spaced apart, vertically extended guide rails 20 which are formed of a suitable chemically inert plastic material, such as polyvinylchloride, stainless steel, or plated steel. In the embodiment shown, the guide rails actually comprise three-fourths inch polyvinylchloride pipes. The bottom ends of the guide rails 20 are each secured to one of a pair of pads 21 which are bolted to the floor or bottom wall 12 of the sump by suitable bolts. The guide rails 20 extend upwardly and exteriorly of the sump. The quick disconnect coupling 19 is comprised of a coupling member 22 and a coupling member 23. In the embodiment shown, the coupling members 22 and 23, respectively, are formed of a brass alloy and are therefore substantially corrosive resistant to the normally corrosive materials contained in waste water. Referring now to FIGS. 2, 4, and 5, it will be seen that coupling member 22 includes a body 24 having a generally cylindrical coupling element 25 integrally formed therewith and projecting outwardly from the front surface 24b thereof. The cylindrical coupling element 25 is internally threaded, as at 26, for a threaded connection to the threaded end of the outlet pipe 15. The rear surface 24c of the body 24 defines a planar coupling face for engagement with a mating coupling face on the coupling member 23. A pair of rearwardly projecting substantially parallel flanges 27 are integral with the body 24 of the coupling member 22 and project rearwardly from opposite edges thereof. Each flange 27 has an inturned terminal flange 28 integral therewith and projecting inwardly therefrom. It will be seen that the inturned flanges are disposed in substantially parallel relation with respect to the coupling face 24c of the body 24. The upper portion 24a of the body 24 flares upwardly and outwardly, as best seen in FIGS. 2 and 5. Similarly, the upper portion of each flange 28 flares upwardly and rearwardly. Each flange 27 has a cylindrical vertically disposed rail engaging element 29 integral therewith and projecting outwardly therefrom. Each rail engaging element 29 is adapted to engage one of the rails 20 for sliding engagement therewith. Each cylindrical rail engaging element 29 is provided with a generally circular cylindrical embossed element 30 which is internally threaded and which communicates with the interior of the associated rail engaging element 29. Each internally threaded embossed element 30 accommodates a set screw 31 which may be tightened into engaging relation with one of the rails 20. This arrangement permits the coupling member 22 to be selectively positioned on the rails 20. The flanges 27 and 28 on each side of the coupling member 22 cooperate with each other to define a vertically disposed guide way 32 for guiding the coupling member 23 into coupled and sealed relation with respect to the coupling 22. The coupling member 23 also includes a body 33 which has a generally cylindrical coupling element 34 integral therewith and projecting outwardly from the front surface 33a thereof. The coupling element 34 is internally threaded, as at 35, and threadedly engages the discharge outlet 18 of the sump pump 16. Referring now to FIGS. 3 and 4, it will be seen that the rear face 33b has an annular embossed element 36 projecting axially therefrom and this annular embossed element 36 defines an annular planar coupling face 37. The planar coupling face 37 has an annular groove 38 therein for accommodating an O-ring seal 39. Referring now to FIG. 4, it will be seen that when the coupling members 22 and 23 are disposed in coupling relation, the coupling face 37 is disposed in confronting relation with the coupling face 24c of the coupling member 22. The O-ring seal 39 will sealingly engage this face so that the coupling element 25 is disposed in sealed relation with the coupling element 34. The coupling member 23 also includes a horizontal flange 40 which is integral with the upper edge portion of the body 33 and which is disposed substantially normal to the general plane of the body 33. The flange 40 has a pair of cylindrical rail engaging elements 41 integral therewith and projecting upwardly from the upper surface thereof adjacent the outer ends thereof. The rail engaging elements 41 also engage the guide rails 20 to permit vertical movement of the coupling member 23 and the sump pump connected to the coupling element 34 thereof. The lower surface of the rail engaging elements 41 is substantially coplanar with the lower surface of the flange 40. Suitable reinforcing ribs 42 project upwardly from the flange 40 and extend to and are integral with the rail engaging elements 41. The rear surface 33b of the body 33 is provided with a vertically disposed embossed element 43 which has a threaded opening 44 therein. The threaded opening 44 therein is adapted to engage an eye bolt 45 having a threaded shank 46, as best seen in FIG. 3. The eye bolt may be connected to a chain C or rope which extends out of the sump when the quick disconnect coupling 19 is connected in coupling relation with a sump pump. With this arrangement, the chain C connected to the eye bolt 45 may be used to raise the coupling member 23 and associated sump pump along the rails 20 to permit the sump pump to be repaired or replaced. Referring again to FIG. 3, it will be seen that the vertical edge portions of the body 33 define a pair of vertical guide elements 47 having substantially straight smooth vertical edges 48. The guide elements 47 are adapted to move to the guide ways when the coupling member 23 is urged into coupling relation with respect to the coupling member 22. In this regard, the vertical edges 48 will engage the inner surfaces of the flanges 27. In order to facilitate vertical sliding movement of the coupling member 23 relative to the coupling member 22, the lower portions 49 of the guide elements 47 are beveled downwardly and inwardly. It will also be noted that the lower edge portion of the body 33 is also beveled downwardly, as at 50. With this arrangement, the vertical guide elements 47 of the coupling member 23 may be readily moved into sliding relation in respect to the coupling member 22. In use, the quick disconnect coupling 19 will be disposed in coupling relation with respect to the sump pump 16 and the outlet pipe 15. The coupling member 22 will be selectively positioned on the rails 20 at the desired elevation, and the set screws 31 will have been tightened to retain the coupling member in this particular position. The coupling member 23 will have the sump pump attached to the cylindrical coupling element 34 and the rail engaging elements 41 will also engage the rails 20. The coupling member 23 will be urged downwardly so that the guide elements 41 engage in and are guided by the guide ways 32. During this coupling action, the coupling member 23 will be moved downwardly until the lower surface of the flange 40 engages the upper edge of the body 24 and the upper edges of flanges 27 and 28. When in this position, the coupling element 34 will be disposed in registering relation with the coupling element 25. Referring now to FIG. 4, it will be seen that the O-ring seal 39 will sealingly engage the coupling face 24 adjacent the opening defined by the cylindrical element 25. An effective fluid seal will be formed by the O-ring 39. The coaction of the guide elements 47 within the guide ways 32 provides a tight pressed coupling arrangement which can only be coupled by raising the coupling member 23 relative to the coupling member 22. In the event that the sump pump 16 fails or must be removed for maintenance, the user will merely pull on the rope or chain C thereby causing the coupling member 23 to be moved vertically along the rails 20 and along the guide ways 32. The pump may then be removed from coupled relation with the coupling member 23 and replaced by the user. When the sump pump 16 is repaired or replaced, and it is then necessary to recouple the sump pump to the outlet pipe, the sump pump is first connected to the coupling element 34 of the coupling member 23, and the user then lowers the coupling member 23 along the rails 20 until the flange 40 engages the upper peripheral edges defined by the body 24, flanges 27, and flanges 28. It will therefore be seen that the quick disconnect coupling 19 permits ready removal and replacement of a sump pump by a user with a minimum of effort by merely raising and lowering one coupling member relative to another coupling member. It is pointed out that the rail engaging elements on each coupling member may be omitted when the coupling device is used in a shallow sump. The model of the quick disconnect coupling will be connected to the sump pump and outlet pipe, as previously described, but will have no rail engaging elements because no guide rails are used in shallow sumps. The user may disconnect the coupling member connected to the sump pump by merely reaching into the sump and manually removing the coupling member. Thus, it will be seen that I have provided a novel quick disconnect coupling for sump pumps and the like, which is not only of simple and inexpensive construction, but one which functions in a more efficient manner than any heretofore known comparable coupling.
A quick disconnect coupling device for a sump pump includes a pair of vertically disposed coupling members formed of a chemically inert metallic material and coupling the discharge outlet of the sump pump to the outlet pipe for the sump. In one embodiment of the invention, each coupling member engages and is vertically slidable on vertical guide rails positioned within the sump. One coupling member is provided with vertical guide ways for receiving guides on the other coupling member which permits ready disconnection and ready reconnection of the coupling members when the sump pump is raised and lowered.
REFERENCE TO RELATED APPLICATION [0001] This is a Continuation-in-Part of Ser. No. 10/283,131, filed Oct. 30, 2002, entitled GEOMETRIC AND PERFORATED PAINT MIXER AND CLEANER, which is a Continuation-in-Part of Ser. No. 09/621,079, filed Jul. 21, 2000, entitled PAINT CLEANUP KIT; which is, in turn, a Continuation-In-Part of Ser. No. 09/273,473, filed Mar. 22, 1999. BACKGROUND OF THE INVENTION [0002] 1. Field of the Invention [0003] The present invention relates to painting accessories, and more particularly to a painting implement that assists in diverse operations of hand painting, such as opening the can, mixing and stirring paint solutions, as well as aiding in cleaning the used paint roller, can and can lip. [0004] 2. Description of the Prior Art [0005] Painting by hand, particularly building walls and partitions and other large objects, requires different tools such as brushes and rollers for applying paint to surfaces being painted. When a painter interrupts his or her work for any reason, it is a wise precaution to clean brushes and rollers so that paint will not dry and cake thereon. Frequently this is done by wiping brushes and even rollers on a convenient surface, such as the inner flange of a paint can. However, wiping leaves a considerable amount of paint on the brush or roller. [0006] The prior art has taken note of the problem of efficient cleaning paint supplies, and has proposed apparatus to expedite cleaning. The prior art as seen in U.S. Pat. No. 3,925,908 (issued to Kirkley J. Dunn on Dec. 16, 1975); U.S. Pat. No. 6,012,473 (issued to Takehiko Koyama on Jan. 11, 2000); U.S. Pat. No. 3,460,268 (issued to Carl F. Greathouse on Aug. 12, 1969); U.S. Pat. No. 4,545,395 (issued to Kolb on Oct. 8, 1985); U.S. Pat. No. 5,984,518 (issued to King et al. on Nov. 16, 1999); and U.S. Pat. No. 2,931,661, (issued to Joseph N. Harris on Apr. 5, 1960) as cited in the previous parent application Ser. Nos. 09/621,079 and 10/283,131 are all incorporated herein as reference. [0007] The prior art is replete with devices designed to address the problems of adequate paint mixing as shown in the references to Cooke (U.S. Pat. No. 4,054,272), Silverman (U.S. Pat. No. 2,799,485), Gibson (U.S. Pat. No. 1,841,435); and Place (U.S. Pat. No. 2,896,925). These disclosed patents provide novel means of mixing paint solutions however none of these devices may also be used during the painting process serving as a paint solution mixer in addition to a paint roller cleaner. [0008] Additionally, U.S. Pat. No. 385,151, issued to George M. Thompson on Jun. 26, 1888, discloses an AGITATOR FOR CASKS OR BARRELS in which a paddle member is rotatably mounted to a shaft for agitating within a barrel after insertion through the bung hole of the barrel. Unlike Thompson, the stop means of the present invention allows the paddle member to travel only through a predetermined arc, preventing the paddle member from becoming fully parallel to the shaft. [0009] None of the above inventions and patents, taken either singly or in combination, is seen to describe the instant invention as claimed. SUMMARY OF THE INVENTION [0010] The present invention sets forth a painting implement which enables the user to open paint cans, mix paint and to clean conventional paint rollers utilizing a hand drill, as well as cleaning the can lip of accumulated paint. The painting implement is selectively adjustable to be configured to mix paint and to support a paint roller for cleaning, thereby being capable of providing several functions. Implements of different diameters may be provided, for cleaning and using an assortment of sizes of paint rollers. The implement is provided with a paddle member that is perforated and of a geometric non-planar form to enhance mixing, as well as a paint can opener tab and a can lip cleaning tab. [0011] It is therefore an object of the invention to provide an implement for painting that performs several painting related functions including can opening, paint mixing, roller cleaning, can lip cleaning. [0012] It is another object of the invention that the implement engage a hand drill for imparting rotation for paint mixing, and cleaning of paint rollers. [0013] It is a further object of the invention that the implement engage paint rollers of different dimensions, thereby cooperating with standard painting tools. [0014] It is an object of the invention to provide a painting implement for the purposes described which is inexpensive, dependable and fully effective in accomplishing its intended purposes. [0015] It is a further object of the invention to provide a painting implement that assumes two different functional positions with ease. [0016] It is an object of the invention to provide a painting implement that is effective but simple in design resulting in lower manufacturing costs. [0017] These and other objects of the present invention will become readily apparent upon further review of the following specification and drawings. BRIEF DESCRIPTION OF THE DRAWINGS [0018] Various other objects, features, and attendant advantages of the present invention will become more fully appreciated as the same becomes better understood when considered in conjunction with the accompanying drawings, in which like reference characters designate the same or similar parts throughout the several views, and wherein: [0019] FIG. 1A is a front view of the inventive painting implement in a first position. [0020] FIG. 1B is a side view of the inventive painting implement in a first position. [0021] FIG. 2A is a front view of the inventive painting implement in a second position. [0022] FIG. 2B is a side view of the inventive painting implement in a second position. [0023] FIG. 3 is an environmental perspective view of a second embodiment of the painting implement of the present invention having a shaft receiving groove formed therein. [0024] FIG. 4A is an environmental perspective view of the painting implement of the present invention stirring paint in a wide container. [0025] FIG. 4B is an environmental perspective view of the painting implement of the present invention stirring paint in a narrow container. [0026] FIG. 5 is an environmental perspective view of the painting implement of the present invention with a paint roller installed thereon. [0027] FIG. 6 is an environmental perspective view of the painting implement in use cleaning a paint roller in a wide container filled with solvent. [0028] FIG. 7 is a front view of a third embodiment of the painting implement of the present invention having a shaft with an axially offset proximal portion. [0029] FIG. 8 is an environmental perspective view of a fourth embodiment of the painting implement wherein a proximal portion of the shaft has a broad shaft paddle. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS [0030] FIGS. 1A and 1B show the inventive, geometrically shaped painting implement 100 . As can be seen, the inventive painting implement 100 has a paddle member 10 , having a length, height and thickness, and ideally having slightly rounded lower corners 28 adapted to lessen the severity of any impacts between the corners of the paddle member and a container in which it is being used. The lower edge 13 of the paddle member 10 may be variously shaped to form a spatula to aid in the removal of paint from a paint can. The paddle member 10 is attached to a proximal end 20 p of a rod shaft 20 , preferably at the center of the paddle member 10 , by a pivoting fastener 16 . The rod shaft 20 is typically cylindrical, transitioning to flattened, opposing faces at the proximal end 20 p, thereby providing a flat interface between the rod shaft 20 and the face of the paddle member 10 . The fastener 16 may comprise any suitable means of connection, such as a rivet, bolt and nut, etc., which provides selective rotational movement of the paddle member 10 relative to the axis of the rod shaft 20 allowing the paddle member 10 to move between two positions, discussed further below. [0031] A plurality of perforations 12 are formed through the paddle member 10 , thereby allowing a viscous fluid (hereinafter referred to as paint, although it would be evident to one of ordinary skill in the art that the implement 100 could be used for stirring other viscous fluids, such as sheetrock mud or spackling) being stirred to pass therethrough, increasing the agitation rate of the paint. The apertures 12 may be formed at differing angles from one another as they pass through the thickness of the paddle member 10 , further aiding in more thorough mixing. [0032] Additionally, a portion of each end of the paddle member 10 is deflected from the plane in which the rest of the paddle member 10 lies. The line of each of the two deflections 14 typically extends from a lower corner 28 of paddle element 10 to a point between the corresponding upper corner 30 and a midpoint between the two upper corners 30 . The two deflections 14 extend, respectively, to opposite sides of the plane of the paddle member 10 from one another, such that as the paddle member 10 rotates around the rod shaft 20 in a clockwise rotation, each of the two deflections 14 precedes the plane of the paddle member 10 , thereby aiding in cutting into the paint as the paddle member 10 rotates, creating a wave action in the paint as it is stirred. It would be evident to one of ordinary skill in the art that the exact shape of the deflections 14 could vary or that paddle member 10 could be formed without the deflections 14 without departing significantly from the spirit of the present invention. [0033] A stop nub 18 extends outwardly from the surface of paddle member 10 to one side of shaft rod 20 , at a point proximate the proximal end 20 p of shaft rod 20 , below the pivoting fastener 16 . The stop nub 18 limits the rotation of the paddle member 10 about the pivoting fastener 16 to an arc, typically less than 90°, by engaging the shaft rod 20 such that paddle member 10 may rotate between a position that is substantially normal to shaft rod 20 or substantially parallel to shaft rod 20 , although preferably at a slight angle from truly parallel, as will be further detailed below. Stop nub 18 has rounded shoulders which allows a user to vary the tightness of the connection between the stop nub 18 and the rod shaft 20 by twisting the paddle to varying degrees, thereby affecting whether or not, and how much, stop nub 18 passes under the rod shaft. [0034] In the preferred embodiment, the upper corners 30 of the paddle member 10 are cut on a diagonal, as opposed to the rounded lower corners 28 . Extending from a first of the diagonal upper corners is a substantially rectilinear paint can opener 22 protrusion dimensioned and configured to fit under the rim of a paint can lid (not shown) to pry it open. The can opener protrusion 22 extends at a slight angle from the plane of the deflection 14 . Extending from the second of the diagonal upper corners is a second substantially rectilinear lip cleaner 24 protrusion dimensioned and configured to fit within the rim lip (not shown) of a paint can to aid in the removal of paint accumulated in the rim lip (not shown). [0035] In an alternative embodiment, rather than shaft rod 20 being attached to the paddle member 10 on one side of paddle member 10 , paddle member 10 can be formed with a groove 26 along the upper edge thereof ( FIG. 3 ), the end of rod shaft 20 being rotatably affixed therein by a pivot fastener 16 such that as the paddle member 10 is rotated about the pivot fastener 16 to the substantially parallel position, the rod shaft 20 fits into the groove 22 , the walls of the groove 26 acting in lieu of the stop nub 18 . [0036] As stated, the inventive painting implement 100 may be set at two functionally distinct positions depending on the operation being performed with paddle member 10 . FIGS. 1A and 1B illustrate the first, lateral or normal position, which is employed when the implement 100 is used as a mixer/stirrer in larger paint containers (typically 1 gallon and larger). As shown in FIGS. 4A, 4B and 6 , the rod shaft 20 is an elongated, rigid shaft for coupling the paddle member 10 to an electrically operated hand drill 50 . It would be evident to one of ordinary skill in the art that the shaft 20 could be grasped in the hand and the implement used as a hand-held stirring device without departing from the spirit of the present invention. [0037] FIGS. 2A and 2B illustrate the painting implement 100 in a second, substantially linear or parallel position wherein the paddle member 10 is positioned substantially parallel to, but with an offset of an acute angle with respect to the rod shaft 20 (described further below). In this second position, the implement 100 functions as an element for mixing/stirring in a smaller container (typically 1 gallon or 1 quart), mixing/stirring thinner fluids in order to prevent excessive splashing which could be caused by using the first position, or for supporting a paint roller for cleaning (described below). [0038] FIGS. 4A & 4B show the implement 100 in use stirring paint 42 within a paint container 40 . The arrows indicate movement currents of the paint 42 as it is being mixed. The perforations 12 within paddle member 10 , in conjunction with the deflections 14 of paddle member 10 , both play a major part in further assisting with the mixing (i.e., stirring motion) of the paint 42 when the paddle is in motion. Additionally, paint may be stirred in a larger, unopened container by inserting the paddle member 10 through the bung hole (not shown) of the lid (not shown) of the container with the paddle in the second, linear position. Centrifugal force may cause the paddle member 10 to open to the lateral position of FIG. 1A , or it may be used in the linear position. [0039] Additionally, this second position may also be employed to assist with the cleaning of a paint roller 60 , as shown at FIGS. 5 and 6 . For the purpose of cleaning the roller 60 , the paddle member 10 is inserted into the interior wall 62 of paint roller 60 , in the linear configuration of FIGS. 2A and 2B , with the slight deviation from the rod shaft 20 allowing the paddle member 10 and the rod shaft 20 to engage the interior of the paint roller 60 to frictionally hold the paint roller 60 on the implement 100 . As shown in FIG. 6 , paint roller 60 may be inserted in a container 70 containing a cleaning solution 72 . A hand drill 50 coupled to the paddle member 10 (by way of a distal end 20 d of shaft 20 ) spins the roller 60 , as indicated by arrow 75 . The centrifugal forces produced by the offset shape of the paddle member 10 during the spinning aids in the removal of paint embedded within the fibers of roller 60 by producing a whipping action. This oscillation greatly enhances the cleaning process. [0040] In addition to being cleaned in a container, the paint roller 60 may be sprayed from a nozzle head (not shown) as the hand drill 50 rotates the element 100 and paint roller 60 . [0041] The present invention is susceptible to variations and modifications which may be introduced thereto without departing from the inventive concept. For example, paddle member 10 may be removable from rod shaft 20 , rather than having a rivet at pivot fastener 16 . Instead, paddle member 10 could be retained by friction in the two operative positions, if desired. Additionally, the size of the paddle member 10 may vary so that it may be usable with paint rollers 60 of different dimensions. The paddle member 10 may have edges formed in a beveled manner, as well, to facilitate other uses of the paddle 10 , such as scraping the interior of paint cans, etc. Furthermore, while a rigid paddle member 10 is preferred, a flexible paddle member 10 may also be utilized, allowing for more flexibility as a spatula for removing paint from a can. [0042] In alternative embodiments, the rod shaft 20 A may have an offset 21 along its length ( FIG. 7 ), proximate the proximal end 20 p. The paddle member 10 is mounted within the offset 21 , which aids in maintaining a center of balance for the implement 100 which lies more along the axis of the shaft 20 A, thereby reducing any wobble which would be caused by the blade 10 being attached to one side of the shaft. [0043] At FIG. 8 , the rod shaft 20 B may have a broad shaft paddle 21 formed therein. The shaft paddle 21 may either have a twist, as shown, or be flat (not shown). The shaft paddle 21 increases the agitation of the paint 42 beyond that of the paddle member 10 . Additionally, the upper 13 and lower 15 edges of the paddle member 10 may be more flexible than the body of the paddle member 10 , thereby providing additional gripping of the interior of a paint roller 60 when it is inserted therein. [0044] It would be evident to one of ordinary skill in the art that the implement 100 of the present invention may be formed in a variety of ways, including extrusions and injection molding, of a variety of materials, and metals and polymers, and in a variety of material weights, from light for paints, to heavy for thicker fluids, such as sheet rock compound. [0045] It is to be understood that the present invention is not limited to the embodiments described above, but encompasses any and all embodiments within the scope of the following claims.
The present invention sets forth an implement which enables the user to mix paint, clean the can and can lip, and to clean conventional paint rollers utilizing a hand drill. The implement is selectively adjustable to be configured to mix paint in large and small containers and to support a paint roller for cleaning, thereby being capable of providing several functions. The implement is provided with a paddle member that is perforated and of a geometric form to enhance mixing. A paint can remover and a paint can rim cleaning device are also incorporated into the implement. A single implement serves the six functions of opening paint cans, stirring paint in large or small containers, cleaning the groove around the lip of a paint can, cleaning a paint roller, and as a spatula to aid in removing paint from a can.
PRIORITY REFERENCE TO RELATED APPLICATIONS This application is a divisional of U.S. application Ser. No. 12/134,221, filed on Jun. 6, 2008 by inventors Itay Sherman, Eyal Bychkov, Yaron Segalov and Uriel R. Brison, which claims benefit of U.S. Provisional Application No. 60/933,780, entitled ADAPTIVE USER INTERFACE FOR MULTI-SOURCE SYSTEMS, filed on Jun. 8, 2007 by inventors Itay Sherman, Eyal Bychkov and Yaron Segalov. FIELD OF THE INVENTION The field of the present invention is electronic devices that are coupled together in a multi-source system. BACKGROUND OF THE INVENTION A standalone device (SAD) is generally fully operative by itself, and can be selectively configured by a user. The SAD may or may not have its own user interface for setting its configuration. When the SAD does not have its own user interface, an external host, such as a PC computer, is used to configure the SAD. Selection of a configuration generally affects functionality of the SAD, since different configurations correspond to different features. For systems that include combinations of two or more inter-connected SADs, setting of their respective configurations is complicated. Such systems are common in consumer electronics and include inter alia, an MP3 player connected to a PC, a digital camera connected to a PC, a digital camera connected to a printer, and a router connected to a PC. Generally, when two SADs are inter-connected, either: i. each SAD is self-configured, and the two SADs merely exchange data; or ii. one of the SAD's is defined as a host and the other SAD is defined as a client. Conventional client-host systems of inter-connected SADs use one of two methods for configuration; namely, a “driver method” and a “screen method”. The driver method is used in cases where a user runs an application that controls the client behavior from a PC host, such as an MP3 player connected to a PC computer. According to the driver method, the host SAD is loaded at the time of connecting the host with the client, or pre-loaded beforehand, with a software stack referred to as a “driver”. The driver instructs the host how to send commands to the client. Drivers may be implemented at different software levels, from low level operating system (OS) drivers to application level drivers with user interfaces. A host SAD loaded with a driver is able to control the client SAD, and to configure operations of the client SAD using a communication channel between the host and the client. Drivers are generally customized for specific operating systems, but are not customized for specific client device original equipment manufacturers (OEMs). The screen method is used in cases where a user browses a configuration screen at a designated IP address, such as a router or a printer connected to a PC computer. According to the screen method, the host SAD displays a graphics screen that includes information transferred from the client SAD. The host itself is unaware of the content displayed on its screen to the user, or of the actions performed by the user. The screen method generally uses internal browsers that are installed in host SADs, and obviates the need for OEMs to develop their own dedicated OS drivers. For a given client SAD, the same configuration screen is displayed for any host SAD connected therewith, since the screen corresponds to the client SAD, which not need be aware of the specific host that is being used to configure it. Both the driver method and the screen method are client-specific and, as such, are unable to provide a uniform “look & feel” for a user. A look & feel refers to visual elements that are presented in a user interface, and include inter alia font, background color, menu design, position and shape of buttons and other controls, and arrangement of various options. As a result of this drawback, the user experiences different interfaces when he switches from a host configuration screen to a client configuration screen. SUMMARY OF THE DESCRIPTION Aspects of the present invention overcome drawbacks of conventional multi-source systems, and provide methods and systems for inter-connecting two or more SADs that communicate with one another, in such a way as to maintain a unified user interface look & feel. Using the present invention, a user experiences the same-looking interface when he switches from a host configuration screen to a client configuration screen. Both screens have the same look & feel, and a client SAD appears transparent to the user and does not appear as a foreign device. Using the present invention, a client SAD is aware of the specific host SAD connected thereto, and adapts its screen graphics to the host's user interface. As such, the same user interface displays both host and client configurations and a unified look & feel is maintained. The present invention is of particular advantage with multi-source systems where a client SAD is connected to one of multiple host SAD's. Methods of the present invention ensure that the user experiences a homogenous look & feel in each host SAD source, when he navigates from the host configuration screen to the client configuration screen. Embodiments of the present invention provide methods and systems for on-line configuration of controlled software, which flexibly support a client connected to one of multiple hosts yet retain the same operational control over the client, and which adapt the look & feel so as to integrate the client control software in the host software environment in a homogeneous way. A host SAD is used to configure the multi-source system, and the host user interface is maintained as a fixed point of reference for the user. Adaptation to the host user interface is carried out in each client SAD. The present invention is advantageous for a network of auxiliary display devices connected to a computer. The present invention introduces a bridge device that displays information on the bridge device screen according to the look & feel of auxiliary display devices connected thereto. As such, the present invention enhances the SideShow™ architecture of Microsoft, by enabling a bridge device to display a plurality of display device's information, and by enabling one display device to display another display device's information, with the look & feel of the other display device. There is thus provided in accordance with an embodiment of the present invention a method for controlling configuration display screens within a client-host multi-source system, including transferring look & feel parameters from a host device to a client device, setting parameters of a configuration program for the client device, according to the look & feel parameters transferred by the transferring, generating, by the configuration program, a graphic image of a screen, the graphic image conforming to the look & feel parameters, and displaying the graphic image on a display screen of the host device. There is further provided in accordance with an embodiment of the present invention a computer-readable storage medium storing program code for causing an electronic device to transfer look & feel parameters from a host device to a client device, to set parameters of a configuration program for the client device, according to the look & feel parameters that were transferred, to generate, by the configuration program, a graphic image of a screen, the graphic image conforming to the look & feel parameters, and to display the graphic image on a display screen of the host device. There is yet further provided in accordance with an embodiment of the present invention a multi-source client-host system that maintains a uniform look & feel user interface, including a host device including a central processing unit, a storage memory for storing look & feel parameters for a graphical user interface, the graphical user interface employing a plurality of graphic images for user interaction, a display for displaying the graphic images employed by the graphical user interface, and a connector for transmitting the look & feel parameters to a client device, and for receiving at least one of the graphic images from the client device, when the client device is attached to the host device, a client device that can be attached to and detached from the host device, including a central processing unit, a configuration program for generating at least one of the graphic images employed by the graphical user interface, the at least one of the graphic images conforming to the look & feel parameters, and a connector, for transmitting the at least one of the graphical images to the host device, and for receiving the look & feel parameters from the host device, when the client device is attached to the host device, and a communication channel between the host transceiver and the client transceiver, for data transmission. There is additionally provided in accordance with an embodiment of the present invention a method for controlling configuration display screens within a client-host multi-source system, including transferring look & feel parameters from a host device to a client device, setting parameters of a configuration program for the client device, according to the look & feel parameters transferred by the transferring, generating, by the configuration program, a web page located at a designated URL, the web page conforming to the look & feel parameters, and browsing the designated URL, by a web browser in the host device. There is moreover provided in accordance with an embodiment of the present invention a computer-readable storage medium storing program code for causing an electronic device to transfer look & feel parameters from a host device to a client device, to set parameters of a configuration program for the client device, according to the look & feel parameters that were transferred, to generate, by the configuration program, a web page located at a designated URL, the web page conforming to the look & feel parameters, and to browse the designated URL, by a web browser in the host device. There is further provided in accordance with an embodiment of the present invention a multi-source client-host system that maintains a uniform look & feel user interface, including a host device including a central processing unit, a storage memory for storing look & feel parameters for a graphical user interface, the graphical user interface employing a plurality of web pages for user interaction, a web browser for browsing and rendering the web pages employed by the graphical user interface, and a connector for transmitting the look & feel parameters to a client device, when the client device is attached to the host device, a client device that can be attached to and detached from the host device, including a central processing unit, a configuration program for generating at least one of the web pages employed by the graphical user interface, the at least one of the web pages conforming to the look & feel parameters, and a connector for receiving the look & feel parameters from the host device, when the client device is attached to the host device, and a communication channel between the host transmitter and the client receiver, for data transmission. There is yet further provided in accordance with an embodiment of the present invention a method for controlling configuration display screens within a client-host multi-source system, including transferring a client configuration program from a client device to a host device, setting parameters of the transferred client configuration program according to look & feel parameters of the host device, generating, by the host device via the client configuration program, a graphic image of a client configuration screen, the graphic image conforming to the host look & feel parameters, and displaying the graphic image on a display screen of the host device. There is additionally provided in accordance with an embodiment of the present invention a computer-readable storage medium storing program code for causing an electronic device to transfer a client configuration program from a client device to a host device, to set parameters of the transferred client configuration program according to look & feel parameters of the host device, to generate, by the host device via the client configuration program, a graphic image of a client configuration screen, the graphic image conforming to the host look & feel parameters, and to display the graphic image on a display screen of the host device. There is further provided in accordance with an embodiment of the present invention an electronic device with extended application functionality, including circuitry for device hardware, for accessing and controlling hardware functions, circuitry for at least one application, a connector for coupling with another hardware device, the other device having circuitry for device hardware, for accessing and controlling hardware functions, and a coupled device control module for enabling the at least one application to identify, access and control the hardware functions on the other device, when the other device is connected to the connector. There is yet further provided in accordance with an embodiment of the present invention a bridge system for auxiliary display devices connected to a computer, including a computer running a plurality of mini-programs, each mini-program sending information of a specific nature from the computer to a corresponding auxiliary display device, for presentation to a user, a plurality of auxiliary display devices, each auxiliary display device including an auxiliary screen and each auxiliary display device having look & feel display parameters, for receiving information from the corresponding plurality of mini-programs, and for displaying the received information on said auxiliary screens according to the corresponding look & feel parameters, and at least one bridge device coupled to the computer, each bridge device coupled to at least one of the auxiliary display devices, each bridge device including a bridge screen, and each bridge device receiving information from a corresponding at least one of the mini-programs, forwarding the received information to the at least one of the auxiliary display devices, and displaying the received information on the bridge screen according to the look & feel display parameters of the at least one of the auxiliary display devices. There is moreover provided in accordance with an embodiment of the present invention a method for managing auxiliary display devices connected to a computer, including receiving XML information of a specific nature from a mini-program running on a computer, and receiving look & feel parameters from an auxiliary display device that displays information in a display format conforming to the look & feel parameters, for presentation to a user, transforming the XML information to a BMP image conforming to the look & feel parameters of the auxiliary display device, transmitting the BMP image to the auxiliary display device for presentation to the user, receiving an indication of an action performed by the user on the auxiliary display device in response to viewing the BMP image, generating a second BMP image based on the received indication, conforming to the look & feel parameters of the auxiliary display device, and further transmitting the second BMP image to the auxiliary display device for further presentation to the user. There is additionally provided in accordance with an embodiment of the present invention a method for managing auxiliary display devices connected to a computer, including receiving XML information of a specific nature from a mini-program running on a computer, and receiving look & feel parameters from an auxiliary display device that displays information in a display format conforming to the look & feel parameters, for presentation to a user, transforming the XML information to a BMP image conforming to the look & feel parameters of the auxiliary display device, transmitting the BMP image to the auxiliary display device for presentation to the user, receiving additional XML information from the mini-program, generating a second BMP image based on the additional XML information, conforming to the look & feel parameters of the auxiliary display device, and further transmitting the second BMP image to the auxiliary display device for further presentation to the user. BRIEF DESCRIPTION OF THE DRAWINGS The present invention will be more fully understood and appreciated from the following detailed description, taken in conjunction with the drawings in which: FIGS. 1A and 1B , FIGS. 2A and 2B , and FIGS. 3A and 3B are displays of various configuration screens for a host SAD and a client SAD connected together within a multi-source system, wherein the host and client screens are controlled so as to have the same look & feel, in accordance with an embodiment of the present invention; FIG. 4 is a simplified block diagram of a multi-source system with a uniform interface for configuring a host and a client, in accordance with an embodiment of the present invention; FIGS. 5A, 5B and 5C are simplified flowcharts of three embodiments of a method for controlling a configuration interface for a client SAD so as to conform to the look & feel of a configuration interface for a host SAD, in accordance with an embodiment of the present invention; FIG. 6 is an illustration of button keys for a multi-source system that have different key assignments for host mode and client mode, but a common look & feel user interface for setting host and client configuration parameters, in accordance with an embodiment of the present invention; FIG. 7 is a simplified block diagram of a system for extending application functionality in modular and coupled hardware devices, in accordance with an embodiment of the present invention; FIG. 8 is a prior art block diagram of auxiliary display devices connected to a computer; FIG. 9 is a simplified block diagram of auxiliary display devices and bridge devices connected to a computer, in accordance with an embodiment of the present invention; FIG. 10 is a simplified flow chart of a method for generating a display on a bridge device according to look & feel parameters of an auxiliary display device, in accordance with an embodiment of the present invention; and FIG. 11 is a simplified flow chart for a method for a client to control the display information sent to it by a host, in accordance with an embodiment of the present invention. DETAILED DESCRIPTION Aspects of the present invention relate to multi-source systems with inter-connected standalone devices (SADs), where one of the SADs serves as a host device, and is used to configure itself and to configure the client devices in the system. Using embodiments of the present invention, the client devices adapt the look & feel of their configuration screens so as to conform to the look & feel of the host configuration screen. The host interface look & feel thereby serves as a fixed and familiar point of reference for a user of the multi-source system. The look & feel of an interface relates to visual elements that a user experiences when he interacts with the interface. The look & feel includes inter alia: screen size (pixel width and height); font type, font size, font color and other visual font characteristics; background color and background pattern (e.g., BMP or JPG image, or multiple images for animation); menu type (e.g., scroll with items selected marked by highlight or zoom); transitional entry effects (e.g., flip, zoom); screen and button topology (e.g., location of specific buttons on the screen, such as the X button at the top-right corner of a window for closing the window); menu topology (e.g., location of items in a specific menu); and screen template (e.g., usage and position of general progress keys, such as Next, Back, Cancel and Enter). In accordance with an embodiment of the present invention, look & feel parameters may be defined in an XML document. Such an XML document may, for example, take the form provided below. Sample XML document with look & feel parameters <definitions> <screen>     <size=800*600>     <touch=yes>     <layout=portrait>     <color quality=32 bit>     ..... </screen> <background>     <color = black>     <pattern = none>     ..... </background> <fonts>     <font1>         <color=yellow>         <size=16>         <type=bold italic>         ......     </font1>     <font2>         <color=blue>         <size=12>         <type=regular>     ......     </font2>     ... </fonts> ... <buttons>     <button1>         <location=bottom left>         <icon=”left_arrow.jpg”>     </button1>     <button2>         <location=bottom right>         <icon=”right_arrow.jpg”>     </button2> </buttons> ... </definitions> Reference is now made to FIGS. 1A and 1B , FIGS. 2A and 2B , and FIGS. 3A and 3B , which are displays of various configuration screens for a host SAD and a client SAD connected together within a multi-source system, wherein the host and client screens are controlled so as to have the same look & feel, in accordance with an embodiment of the present invention. The host shown in FIG. 1A is a media player, such as an MP3 player, and the client shown in FIG. 1B is a cell phone. Shown in FIG. 1A is a sample interface for the host. The screen shown in FIG. 1A corresponds to the host configuration screen, before the client is connected to the host, and the screen shown in FIG. 1B corresponds to the client configuration screen, after the client is connected to the host. It is noted that both screens have the same look & feel. Specifically, when the client SAD is attached to the host SAD, the font size, type and color remain the same, and the screen size and background color remain the same. In addition, the screen template is preserved for two “soft-keys” 110 and 120 and a bar 130 above them that includes their corresponding function names. Soft keys are multi-function keys that use part of a display to identify their function at any moment. Soft-keys are generally located directly below the display. In FIG. 1A soft keys 110 and 120 correspond respectively to Vol. Up and Vol. Down functions, as indicated by bar 130 ; and in FIG. 1B soft keys 110 and 120 correspond respectively to Call and End functions, respectively, as indicated by bar 130 . The host shown in FIG. 2A is a digital camera, and the client shown in FIG. 2B is another electronic device. Shown in FIG. 2A is a sample interface for the host. Again, in accordance with the present invention, the look & feel of FIG. 2B (client configuration) is the same as that of FIG. 2A (host configuration). As may be seen in FIGS. 2A and 2B , the look & feel of the configuration interface includes a left panel 210 and a right panel 220 . The left panel 210 is created by the host. When the client is attached to the host, the left panel may be altered by the host. Thus in FIG. 2B the left panel includes a control element 230 in the shape of a star, for toggling between host mode and client mode. The right panel 220 is controlled by the host when the host mode is running, and controlled by the client when the client mode is running. In either case, the content displayed in the right panel conforms to the look & feel parameters for the host. The “look” parameters of the right panel, including inter alia the dimensions of the right panel, its background color, its font type, size and color, and its menu header and location, are the same in FIGS. 2A and 2B . Similarly, the “feel” parameters of the right panel, including inter alia assignment of client options 1 , 2 and 3 to corresponding host buttons and the jog dial options, are also the same in FIGS. 2A and 2B . The host shown in FIG. 3A is a GPS navigator, and the client shown in FIG. 3B is another electronic device. Shown in FIG. 3A is a sample interface for the host. Again, in accordance with the present invention, the look & feel of FIG. 3B (client configuration) is the same as that of FIG. 3A (host configuration). For example, it is noted that the “X” remains in the top right corner when the client is attached to the host. More generally, reference is now made to FIG. 4 , which is a simplified block diagram of a multi-source system with a uniform interface for configuring a host and a client, in accordance with an embodiment of the present invention. Shown in FIG. 4 is a host SAD 400 , which includes a controller 410 , a display 420 and an optional power management subsystem 430 . Host SAD 400 has its own look & feel parameters 440 stored therein. In accordance with an embodiment of the present invention, some or all of the following files and data are stored with look & feel parameters 440 : font files—including inter alia information about supported fonts; text strings—including inter alia text displayed for the soft keys; resources—including inter alia bitmaps and ring tones; layouts—including inter alia buttons and appearance of screens; settings—including inter alia wallpaper, ringtones, default “look & Feel” and browsing effects; key mappings—mappings of all valid key-presses, including mappings of key-press combinations, so that a key press, a shift-key press and a control-key press are assigned different codes; e.g., “A”, “a”, Shift+“A”, Ctrl+“A” are assigned different codes; audio settings—including inter alia available devices (speaker, microphone), and maximum volume per device; display—screen size (width, height), driver and orientation; and backlight—which LEDs to light up for the keyboard and which LEDs to light up for the display, and light intensity. Also shown in FIG. 4 is a client SAD 450 . Client SAD includes a controller 460 , an optional display 470 and an optional power management subsystem 480 . Client SAD also includes a configuration program 490 , which enables a user to select configuration settings for client SAD 450 . Host SAD 400 and client SAD 450 communicate via respective connectors 405 and 455 over a communication channel 415 . Communication channel 415 may be a physical or a wireless channel. Host look & feel parameters 440 are transmitted by connector 405 over communication channel 415 , and received by connector 455 . In turn, the look & feel parameters are transmitted to configuration program 490 . Configuration program 490 has a default screen look & feel. In accordance with an embodiment of the present invention, configuration program 490 adapts its look & feel accordingly, so as to conform to look & feel parameters 440 of host SAD 400 . Configuration program 490 generates a graphics screen image that conforms to look & feel parameters 440 . The graphics screen image is transmitted to connector 455 , which transmits it further to connector 405 over communication channel 415 . The graphics image is then transmitted to host display 420 , for display to a user. As the user interacts with the displayed graphics image and issues successive commands, the commands are transmitted via communication channel 415 back to configuration program 490 , which generates successive graphics screen images in response to the user commands. The successive graphics screen images, based again on look & feel parameters 440 , are transmitted to display 420 for further display to the user. Reference is now made to FIG. 5A , which is a simplified flowchart of a first embodiment of a method for controlling a configuration interface for a client SAD so as to conform to the look & feel of a configuration interface for a host SAD, in accordance with an embodiment of the present invention. The flowchart of FIG. 5A is divided into three columns. The leftmost column indicates steps performed by a user who is operating a multi-source system including the host SAD and the client SAD. The middle column indicates steps performed by the host SAD, and the rightmost column indicates steps performed by the client SAD. At step 503 the client device is attached to the host device. At step 506 the host transfers its own look & feel parameters to the client. As described hereinabove, the host look & feel parameters may be specified in an XML document. The host may also transfer requisite font files, for fonts specified in the look & feel parameters. At step 509 the client adapts the look & feel of its configuration program according to the host look & feel parameters. At step 512 the client configuration program generates a configuration screen, in the form of a bitmap image, that conforms to the look & feel of the host configuration screen. At step 515 the host receives the bitmap image of the configuration screen from the client, and at step 518 the host displays the bitmap image, which conforms to the host look & feel. As such, the user interface displayed by the host preserves a unified look & feel, even when being used to configure the client. It may thus be appreciated that the host displays its own configuration options and the client configuration options on the same screen, and with a common look & feel. The host may display both configurations at the same time, or may switch between host options and client options, but in each case the same visual user interface is presented to the user. At step 521 the user interacts with the system and performs an action, the response to which may require a change in the display screen. At step 524 the host sends the client a notification of the user action. The notification sent by the client is generally an indication of a key press by the user. For example, the host may have a 4×4 keypad matrix, and sends the client a notification of which of the 16 keys was pressed by the user. At step 527 the client configuration program translates the user action notification into a command, based on an appropriate key assignment table, and generates a new bitmap image for a configuration screen, in response to the command, as appropriate. At step 530 the host receives the new configuration screen, in the form of the new bitmap image, from the client. Finally, at step 533 the host displays the altered screen, which again conforms to the look & feel of the host. The method then returns to step 521 , as the user continues to interact with the system. Reference is now made to FIG. 5B , which is a simplified flowchart of a second embodiment of a method for controlling a configuration interface for a client SAD so as to conform to the look & feel of a configuration interface for a host SAD, in accordance with an embodiment of the present invention. The flowchart of FIG. 5B is divided into three columns. The leftmost column indicates steps performed by a user who is operating a multi-source system including the host SAD and the client SAD. The middle column indicates steps performed by the host SAD, and the rightmost column indicates steps performed by the client SAD. The method of FIG. 5B uses a web interface for a user to configure the client device. At step 536 the client device is attached to the host device. At step 539 the host device transfers its look & feel parameters to the client device. The host may also transfer requisite font files, for fonts specified in the look & feel parameters. At step 542 the client configuration program sets its parameters according to the host look & feel parameters. At step 545 the client configuration program generates a web page, which conforms to the host look & feel parameters. At step 548 the client device uploads the web page to a URL on a web server. At step 551 the host, using a web browser installed therein, browses the URL and renders and displays the web page. Referring back to FIG. 4 , in this second embodiment host device 400 includes a web browser 445 , which browses and renders web pages stored in a web server 495 . The web pages are generated by configuration program 490 so as to comply with host look & feel parameters 440 , and are uploaded to web server 495 . Proceeding now with FIG. 5 , at step 554 a user who is viewing the web page displayed at step 551 performs an action. At step 557 the host sends a notification of the user action to the client. At step 560 the client translates the user action into a command, and the client configuration program generates a new web page, in response to the command, as appropriate. At step 563 the client uploads the web page to a URL on the web server. At step 566 the host browses the URL and renders and displays the new web page. The method then returns to step 554 , as the user continues to interact with the system. Reference is now made to FIG. 5C , which is a simplified flowchart of a third embodiment of a method for controlling a configuration interface for a client SAD so as to conform to the look & feel of a configuration interface for a host SAD, in accordance with an embodiment of the present invention. The flowchart of FIG. 5C is divided into three columns. The leftmost column indicates steps performed by a user who is operating a multi-source system including the host SAD and the client SAD. The middle column indicates steps performed by the host SAD, and the rightmost column indicates steps performed by the client SAD. At step 569 the client device is attached to the host device. At step 572 the client transfers its configuration program to the host, thus enabling the host to generate the appropriate user interfaces. At step 575 the host sets parameters of the client's configuration program corresponding to the host look & feel parameters. At step 578 the host by itself generates a screen image for client configuration, running the client's configuration program. At step 581 the host displays the screen image. At step 584 a user who is viewing and interacting with the user interface issues a command. At step 587 the host generates a new screen image, in response to the user command, as appropriate, running the client's configuration program. At step 590 the host displays the new screen image. The method then returns to step 584 , as the user continues to interact with the system. It will thus be appreciated by those skilled in the art that the methods of FIGS. 5A, 5B and 5C enable a host SAD in a multi-source system to display both host configuration settings and client configuration settings on the host screen, simultaneously, with a uniform look & feel. As such, a user of the system experiences a homogeneous interface, and it is transparent to the user that two different SADs are operating. Implementation Details Generally, key assignments are provided for each host mode of a host device, and for each client mode of a client device. A device may have multiple modes; e.g., a cell phone may have a dialer mode and a messaging mode. Shown in TABLES IA and IB are example button key assignments for a host mode and a client mode, respectively, within a multi-source system. TABLES IA and IB correspond to FIGS. 1A and 1B , respectively, where the host is an MP3 player running in media player mode, and the client is a cell phone running in dialer mode. The buttons of the system are labeled B 1 -B 15 , together with a TOUCH button on the touch screen displayed with a question mark. The buttons have one assignment of functions in host mode and another assignment of functions in client mode. Button B 8 , for example, is assigned a play function in TABLE IA, and is assigned a function to enter the numeral “8” in TABLE IB. Reference is now made to FIG. 6 , which is an illustration of button keys for a multi-source system that have different key assignments for host mode and client mode, but a common look & feel user interface for setting host and client configuration parameters, in accordance with an embodiment of the present invention. As shown in FIG. 6 , buttons B 1 -B 12 correspond to the four rows of three buttons on the keypad, in the order from top left to bottom right. Buttons B 13 and B 14 correspond to the soft keys 110 and 120 in FIGS. 1A and 1B . Button B 15 corresponds to the speaker button. It is also noted that buttons B 4 , B 6 , B 13 and B 14 have dual functions, corresponding to a short duration press and a long duration press. Key-press and key-release events may be analyzed so as to distinguish between long duration and short duration presses. When running in host mode, the key assignments correspond to media player key assignments, as in TABLE IA. However, when running in client mode, the key assignments correspond to conventional cell phone key assignments, as in TABLE IB. It may be seen from TABLE IA that in host mode, buttons B 5 and B 15 are not used, and long button presses are not distinguished from short presses. TABLE IA Media Player Key Assignments Screen Function Assigned Key Media Play B8 Player Stop B11 Mode Next B9 Prev B7 FWD B12 BKD B10 Record B2 Vol. Up B13 Vol. Down B14 Up B1 Down B3 Right B6 Left B4 Help TOUCH TABLE IB Dialer Key Assignments Screen Function Assigned Key Dialer 0 B11 Mode 1 B1 2 B2 3 B3 4 B4 5 B5 6 B6 7 B7 8 B8 9 B9 # B12 * B10 Left LONG B4 Right LONG B6 Call B13 End B14 Erase LONG B14 Options LONG B13 Speaker B15 Help TOUCH In accordance with the present invention, when the client device is not attached to the host device, or when the client device is attached to the host device but the multi-source system is running in host mode, the host key assignments, such as those indicated in TABLE IA, are used. Switching between host mode and client mode may be performed, for example, using a toggle switch such as control element 230 in FIG. 2B . When the client device is attached to the host device, the client sends the host a list of user functions it supports, such as the various functions indicated in TABLE IB. The host then assigns the functions to buttons, and sends the client the key assignments. When the client is attached to the host and the multi-source system is running in client mode, the graphic image displayed on the host screen, or a portion of the graphic image that is assigned to the client, is generated by the client and transmitted to the host for display. When the user presses a button, the button press event is sent to the client, and translated by the client according to the key assignment for that button. If the user presses a touch screen, then the X-Y coordinates of the press location are send to the client. In response, the client generates a new graphic image, conforming to the look & feel parameters that the client received from the host. The new graphic image is transmitted to the host for display, thus completing a cycle of user input and screen display in response to the input. Generally, several such cycles are performed in an interactive session. When the key assignments distinguish between short and long duration presses, as in TABLE IB, the host may do the analysis to make the distinction and pass the result (long press or short press) to the client. In an alternative embodiment, the host may send the key-press and key-release events to the client, and the client then determines the type of press (long or short) from these events. Embodiments of the present invention relate to general methods and systems for enabling a first electronic device, such as client SAD 450 ( FIG. 4 ), to identify, access and control functions of a second electronic device, such as host SAD 400 , that is coupled with the first electronic device. In this regard reference is now made to FIG. 7 , which is a simplified block diagram of a system for extending application functionality in modular and coupled hardware devices, in accordance with an embodiment of the present invention. Shown in FIG. 7 are two devices 710 and 720 , labeled respectively as Device A and Device B. Each device is an electronic device having device hardware 730 and 740 , respectively, that performs various hardware functions. In addition, Device A includes an operating system 750 , one or more applications 760 and a coupled device control module (CDCM) 770 . Device A and Device B are coupled by a physical connector 780 . CDCM 770 is used as a programming layer that allows applications 760 on Device A to access information and functionality of hardware 740 on Device B and to thereby control Device B′s functionality and data. For example, Device A may be a mobile phone and Device B may be a media player. CDCM 770 enables applications on the mobile phone to identify and control hardware features of the media player. In one embodiment of the present invention, CDCM 770 is implemented as a software module extension to a virtual machine (VM). For example, CDCM 770 may be implemented as a Java VM functionality extension set, which can be described in a Java Specification Request (JSR). CDCM 770 is implemented as an application programming interface (API) extension to the VM, which is exposed to applications 760 , and which allows applications 760 to identify, access and control hardware and software functions on Device B. Such API enables identification of device hardware 740 , and facilitates data exchange between applications and hardware and software components, irrespective of their locations on Device A and Device B. In another embodiment of the present invention, CDCM 770 is implemented as an extension to operating system 750 . In yet another embodiment of the present invention, CDCM 770 is implemented as a standalone module. It will thus be appreciated by those skilled in the art that embodiments of the present invention in a broad sense enable a first electronic device to access and control a second electronic device coupled therewith, and to automatically adapt its user interface to be compatible with that of the second device. Bridges for Connecting Auxiliary Displays to a Computer The present invention applies to a network of auxiliary display devices connected to a PC computer. In this regard, reference is now made to FIG. 8 , which is a prior art block diagram of auxiliary display devices connected to a computer. Shown in FIG. 8 is a computer 810 with an input device 820 such as a keyboard or mouse. Computer 810 is connected to multiple auxiliary display devices 831 - 839 . Each auxiliary display device is used to display information stored on computer 810 , such as e-mail messages, calendar reminders, or such other notifications. Auxiliary display devices 831 - 839 include inter alia mobile phones, GPS navigators, remote controls with built-in screens, keyboards with built-in screens, wearable display devices, music players, digital picture frames, game stations, home entertainment systems, and such other consumer electronic (CE) devices. An auxiliary display device may be embedded within computer 810 , such as an in-lid attached laptop display. An auxiliary display device may be a separate peripheral device connected to computer 810 by a wired or wireless connection, including inter alia USB, Bluetooth, TCP/IP or other such data communication protocols, existing now or to be developed in the future. An auxiliary display device may also be a designated area within a main screen of computer 810 . Microsoft Corporation of Redmond, Wash., recently introduced its SideShow™ technology into Windows Vista®, which enables developers to write mini-applications on computer 810 that send appropriate data from computer 810 to auxiliary display devices 831 - 839 , as required by the display devices. These mini-applications, referred to as “gadgets”, communicate with Windows SideShow application programming interfaces (APIs), and are independent of the software layers below them. Examples of such gadgets include (i) a calendar gadget that periodically retrieves data from a calendar application such as Microsoft Outlook®, and sends the data to an auxiliary display device, (ii) a weather gadget that retrieves data from a web service and updates an auxiliary display device with weather information in designated locales, and (iii) an instant messaging gadget that provides presence information regarding a user's buddies on an auxiliary display device. Microsoft SideShow requires that auxiliary display devices 831 - 839 be able to interpret the Simple Content Format (SCF) and, optionally the iCalendar data format. SCF defines a set of XML elements and attributes that allow content, dialog and menu pages to be sent to auxiliary devices 831 - 839 . In addition, SCF enables extended custom content types to be defined. Auxiliary display devices 831 - 839 may be powered even when computer 810 is in a low-power mode such as standby mode or hibernate mode. Auxiliary display devices 831 - 839 behave as client devices, which receive their data from their corresponding gadgets running on computer 810 , which behaves as a host. This is indicated in FIG. 8 by referring to display devices 831 - 839 as client # 1 -client # 9 , respectively, and by referring to computer 810 as host computer. The designation of a device as host or client in FIG. 8 relates to the display of information. A host device sends display information to a client device, which the client device displays on its screen. Display devices 831 - 839 do not manipulate data that they display, and such data remains within the auxiliary display devices and is not transmitted elsewhere. Moreover, if an auxiliary display device has an internal application, then such application is not shared with other devices. As such, display devices 831 - 839 are dumb terminals. Reference is now made to FIG. 9 , which is a simplified block diagram of auxiliary display devices and bridge devices connected to a computer, in accordance with an embodiment of the present invention. Shown in FIG. 9 is a computer 910 with an input device 920 such as a keyboard or mouse, and auxiliary display devices 931 - 939 . FIG. 9 also includes two devices 941 and 942 , referred to as bridges, with respective input devices 951 and 952 . Bridges 941 and 942 are connected directly to computer 910 and connected directly to one another. Auxiliary display devices are arranged so that devices 931 - 933 are connected to bridge 941 , devices 934 - 936 are connected to bridge 942 , and devices 937 - 939 are connected directly to computer 910 . Bridges own two types of gadgets; namely, (i) gadgets generated from their own internal running applications, and (ii) gadgets inherited from other devices. For example, bridge 941 owns its own gadgets, as well as gadgets inherited from host computer 910 and from bridge 942 . Auxiliary display devices generally do not own gadgets, and can only display information from gadgets that are presented to them. Bridge devices 941 and 942 combine capabilities of host computer 910 and client devices 931 - 939 . As clients, bridge devices 941 and 942 receive display information from other devices and present the display information on their own screens. As hosts, bridge devices 941 and 942 act as sources of display information for display on client device screens. For example, bridge 941 may receive display information from computer 910 or from clients 931 - 933 and display such information on its own screen; and conversely, bridge 941 may transmit display information to computer 910 or to clients 931 - 933 for display on their screens. Display information transmitted by bridge 941 may be generated by bridge 941 from internal applications running on device 941 , or may be information received from other devices. Moreover, bridge 941 can combine display information that it generates from its internal applications with display information that it receives from remote devices, the latter referred to as “inherited notifications”, so as to create single display information for devices that connect to bridge 941 . In accordance with an embodiment of the present invention, computer 910 connects to bridges 941 and 942 via wireless links. Although display information is rendered as a bitmap image, it is cumbersome to transmit bitmap images over wireless links, due to their large sizes. Instead, computer 910 transmits display information to bridges 941 and 942 in a compressed XML format. Auxiliary display devices 931 - 939 generally have limited CPU power and limited software resources, and may only support simpler data, such as BMP image data. In such cases, bridges 941 and 942 transform the display information they receive in compressed XML format to a BMP format, for forwarding to display devices 931 - 939 . Further in accordance with an embodiment of the present invention, such transformation uses look & feel parameters appropriate to each corresponding display device, as described hereinabove with reference to FIGS. 5A-5C . The XML→BMP transformation performed by bridges 941 and 942 uses configuration information provided by each corresponding target display device, such as the sample XML document described hereinabove, in transforming the compressed XML data to a BMP image for the target display device. Bridges 941 and 942 may split their displays to include both display information provided by computer 910 , as well as display information generated from internal applications running on bridges 941 and 942 . It is noted that the architecture of FIG. 9 enables clients 931 - 939 to display gadget information that does not originate from host computer 910 . As such preferably each bridge device maintains an assignment table that holds data defining which device owns which gadget and which client performs an operation that requires a change of display. General notifications, such as a new e-mail message transmitted by an e-mail gadget, are distributed to all clients 931 - 939 that use this e-mail gadget. TABLE II is a sample assignment table maintained by bridge device 941 . TABLE II Sample Assignment Table Maintained by Bridge #1 Gadget Owner Notifications for Change of Display E-mail Client #1 Client #2, Client #3 Calendar Client #2 Client #1 Weather Client #3 Reference is now made to FIG. 10 , which is a simplified flow chart of a method for generating a display on a bridge device according to look & feel parameters of an auxiliary display device, in accordance with an embodiment of the present invention. At step 1010 a user connects a bridge, such as bridge 941 , to an auxiliary display device, such as client 931 , and to a PC computer, such as computer 910 . At step 1020 the bridge receives look & feel configuration parameters from client 931 , and XML display information from the gadget installed on computer 910 for device 931 . At step 1030 the bridge transforms the XML display information along with display information generated by applications internal to the bridge, to generate a BMP image for display on the client device, wherein the BMP image conforms to the client device's look & feel parameters. At step 1040 the client device displays the BMP image received from the bridge on its client screen. At step 1050 the user interacts with the client device and performs an action that requires a change in display. Alternatively, at step 1050 a notification is received from the gadget for device 931 . In either case, the method proceeds to step 1030 where the bridge generates a new BMP accordingly, as appropriate. Thus the cycle of user interaction/new notifications ← → new BMP image continues. Referring back to the prior art block diagram of FIG. 8 , it is noted that control over which display information is targeted to which client 831 - 839 is controlled by computer 810 . Computer 810 acts as a “crossbar switch”, routing gadget data to devices. A user of computer 810 configures the exact display information that is presented to each of the connected auxiliary display devices 831 - 839 . In accordance with an embodiment of the present invention, control over such display configuration is extended. Referring now to FIG. 9 , each host device in FIG. 9 may define which gadgets it wishes to expose to devices connected to it. The host device further designates whether each connected device either (i) has permission to further export the gadget display information to devices that are connected to it, or else (ii) is limited to displaying the gadget display information only on its own display. Such an extended display configuration is set up using a utility presented to the user referred to herein as a gadget configuration utility, or as a “gadget configuration gadget”. A bridge device, such as bridge 941 is a host and, as such has its own gadget configuration utility. The bridge presents the user with bridge internal applications that generate display information, and gadgets provided by hosts connected to the bridge, for those gadgets for which the bridge is permitted to forward their display information. Thus bridge 941 , for example, presents the user with its internal applications that generate display information, and with those gadgets for host 910 and bridge 942 , for which host 910 and bridge 942 are permitted to forward the gadget's display information to bridge 941 . A client, such as auxiliary display device 931 , is not responsible for configuring gadgets. However, in accordance with an embodiment of the present invention, a host may define its own configuration utility as a gadget, which in turn enables the client to control the display information sent to it by the host. In this regard, reference is now made to FIG. 11 , which is a simplified flow chart for a method for a client to control the display information sent to it by a host, in accordance with an embodiment of the present invention. At step 1110 a host device arranges its self-owned (internal) gadgets and its inherited (external) gadgets into a gadget configuration gadget. At step 1120 the host device's gadget configuration gadget is sent to client auxiliary displays. At step 1130 a user of the client selects desired gadgets in a configuration display window. At step 1140 the user's selections are sent to the host, which alters its data content accordingly, and sends requested notifications to the client. For example, referring to FIG. 9 , at step 1120 auxiliary display devices 934 - 936 display gadgets on host computer 910 , on bridge 941 and on bridge 942 , via bridge 942 ; and at step 1130 the user can select some or all of these gadgets. It will thus be appreciated by those skilled in the art that by implementing auxiliary display devices as bridges, display information may be shared between the display devices. In distinction, client devices in prior art architectures behave as passive isolated displays. For example, using the present invention, if client 931 is a GPS device, client 932 is an audio player, and client 933 is a mobile phone, and if these clients are implemented as bridges connected to one another, then each client device 931 , 932 and 933 is able to review the other clients' displays. In the foregoing specification, the invention has been described with reference to specific exemplary embodiments thereof. It will, however, be evident that various modifications and changes may be made to the specific exemplary embodiments without departing from the broader spirit and scope of the invention as set forth in the appended claims. Accordingly, the specification and drawings are to be regarded in an illustrative rather than a restrictive sense.
A mufti-source client-host system that maintains a uniform look & feel user interface, including a host device including a central processing unit, a storage memory for storing look & feel parameters for a graphical user interface, the graphical user interface employing a plurality of graphic images for user interaction, and a display for displaying the graphic images employed by the graphical user interface, a client device that can be attached to and detached from the host device, including a central processing unit, and a configuration program for generating at least one of the graphic images employed by the graphical user interface, the at least one of the graphic images conforming to the look & feel parameters, and a communication channel between the host transceiver and the client transceiver, for data transmission.
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates generally to hand tools used in the plumbing trade and more particularly to a special purpose tool for use by plumbers in the installation of plumbing fittings known as angle stop valves. 2. Description of the Prior Art The classical mechanic's and plumber's lament is that for certain jobs he never seems to have enough hands to simultaneously hold parts and make connections thereto. One such situation that is encountered by plumbers in the installation of compression type angle stop valves used in kitchen and bathroom plumbing installations. The problem is that one must in tight quarters both hold the angle stop in position and prevent its rotation as a compression fitting is tightened. Conventionally this operation is accomplished using a crescent wrench, pliers or channel locks, none of which are particularly well suited for the job. SUMMARY OF THE INVENTION It is therefore a principle object of the present invention to provide a novel tool which can be used to both hold and prevent rotation of a single or multiple-way angle stop valve during its installation. Briefly, a presently preferred embodiment of the present invention includes a specially configured holder body having a cavity for matingly receiving the body of an angle stop valve and having passageways formed in the sides thereof through which forward, rear and lateral projections of the valve may protrude. An elongated handle of fixed or variable length extends from the lower side of the body. An important advantage of the present invention is that it allows the plumber to conveniently position and hold an angle stop valve during installation. Another advantage of the present invention is that it is configured to mate with appropriate surfaces of the angle stop valve and requires no adjustment or application of clamping force to hold the valve. Still another advantage of the present invention is that while holding the valve in place it provides open access to all nuts that must be tightened. These and other objects and advantages of the present invention will no doubt become apparent to those skilled in the art after having read the following detailed description of a preferred embodiment illustrated in the several figures of the drawings. IN THE DRAWING FIG. 1 is a perspective view showing an angle stop valve installation in accordance with the present invention; FIG. 2 is an elevational view of the tool shown in FIG. 1 partially broken to illustrate the extension handle feature; FIG. 3 is a transverse cross-section taken along the line 3--3 of FIG. 1; FIG. 4 is a transverse cross-section taken along the line 4--4 of FIG. 1; and FIG. 5 is a transverse cross-section taken along the line 5--5 of FIG. 1. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT Referring now to FIG. 1 of the drawing, an angle stop valve installation tool in accordance with the present invention is depicted at 10 which includes a generally cubically shaped valve body holder 11 having a first receptacle or cavity 12, with a first predetermined set of internal dimensions, and a second receptacle or cavity 14 having a second set of predetermined internal dimensions. Note that the floor of cavity 14 is stepped down relative to that of cavity 12. The wall 16 has a generally rectangular opening 18 defined by the intersection of the interior walls forming the cavity 12 and the exterior surface of wall 16. The left and right side walls 20 and 22 are provided with generally U-shaped openings 24 and 26 respectively extending into the cavity 12. A generally U-shaped opening 28 forms a passage extending through wall 30 and providing a passageway into the rear cavity 14. Affixed to the bottom of holder 11 is a handle 32 which is typically about six (6) inches in length, but as will described below may be extended to any desired length. In FIG. 2 handle 32 is depicted as being a 2-piece unit with the top end of an upper component 34 affixed to the bottom of holder 11 and having a lower component 36 threadably coupled to its lower end as indicated in broken section at 38. Alternatively a foldable or telescopic handle could be provided. Turning now to FIG. 3 of the drawing which is a cross-section taken along the line 3--3 of FIG. 1. An angle stop is depicted by the dashed lines 40 and is shown mated with the present invention as it would be held during installation to a water supply line 50. More particularly, a typical angle stop valve includes a body portion 42, a turn cock handle 44 and associated compression nut 46 at one end, a compression coupling nut 48 at the other end for connection to the pipe 50, and a pair of outlet couplings 52 and 54 at the side and the top respectively. Note that cavity 12 is dimensioned to engage the flat sides and bottom of body 42, while the dimensions of cavity 14 are selected so as not to interfere with nut 46, or if the tool is rotated 180 degrees (see FIG. 4), so as not to interfere with the rear compression nut 48. Note that with the tool in the position illustrated in FIG. 3 adequate clearance is provided between holder 11 and wall 51 to allow a wrench or pliers to engage and tighten nut 48. With the tool in place around body 42 and with an outlet stem and compression nut 52 extending outside the body through one of U-shaped openings 24 and 26, and the upper outlet and compression nut 54 likewise unobstructed it is a simple matter to secure nuts 52 and 54 to outlet pipes 55 and 56 while holding handle 32. Should it be necessary to remove or tighten the front nut 46, this could likewise be facilitated by rotating tool 10 180 degrees into the position illustrated in FIG. 4, such that nut 46 is positioned outside the tool and nut 40 is thus wrench accessible. Note that with tool 10 so orientated valve body 42 is again positioned within cavity 12 but nut 48 is disposed within cavity 14. Referring now to FIG. 5 which is a cross-section taken along the line 5--5 of FIG. 1, it will be noted that in addition to being able to accommodate left side, right side, vertical, two way and even three way angle stops, as suggested by the dashed lines 60, 62 and 64 respectively, the subject device can also accommodate single or dual outlet valves in which the outlets are angled as indicated by the dashed lines 66 and 68. In each case, the outlet fittings are accommodated by the U-shaped openings 24 and 26. Although the preferred embodiment has been disclosed above in terms of a single preferred embodiment, it will be appreciated by those skilled in the art that such apparatus offers numerous advantages to those in the plumbing trade. It is also understood that various modifications and alternatives to the present invention will no doubt become apparent following a reading of the above disclosure. For example, for some applications it may be appropriate to eliminate that part of holder 11 to the rear of dashed line 70. This would result in a simple tuning fork like design with the U-shaped openings 24 and 26 being provided in each upstanding finger. It is therefore intended that the appended claims be interpreted as covering all such alterations and modifications as fall within the true spirit and scope of the invention.
An Angle Stop Installation Tool including a specially configured holder body having a cavity for matingly receiving the body of an angle stop valve and having passageways formed in the sides thereof through which forward, rear and lateral projections of the valve may protrude. An elongated handle of fixed or variable length extends from the lower side of the body.
FIELD OF THE INVENTION This invention is a method and apparatus for effectively separating acid gases, in particular carbon dioxide, from methane-containing gases by treating the gas in at least one distillation zone and a controlled freezing zone. The invention also pertains to apparatus suitable for practicing such a process. BACKGROUND OF THE INVENTION In the past few years, the price of natural gas for use as fuel and chemical feedstock has been steadily increasing. These higher prices have improved the economics of many gas prospects and encouraged the exploration for new reserves of natural gas. Many gas reservoirs, however, have relatively low percentage of hydrocarbons (less than 40% for example) and high percentages of acid gases, principally carbon dioxide, but also hydrogen sulfide, carbonyl sulfide, carbon disulfide, and various mercaptans. Carbon dioxide acts as a diluent and, in the amount noted above, significantly lowers the heat content of the natural gas. The sulfur-bearing compounds are noxious and may be lethal. In addition, in the presence of water, these components render the gas very corrosive. Clearly, it is desirable to remove acid gases to produce a sweet and concentrated natural gas having a heating value of near 1,000 BTU/SCF either for delivery to a pipeline or conversion to LNG. The separation of carbon dioxide from methane is difficult and consequently significant work has been applied to the development of methane/carbon dioxide separation methods. These processes can be placed into four general classes: absorption by physical solvents, absorption by chemical solvents, adsorption by solids, and distillation. Currently, cryogenic distillation is considered one of the most promising methods of separating acid gases, particularly carbon dioxide, from methane. The high relative volatility of methane with respect to carbon dioxide makes such processes theoretically very attractive. However, the methane/carbon dioxide distillative separation has what heretofore has been considered a significant disadvantage in that solid carbon dioxide exists in equilibrium with vapor-liquid mixtures of carbon dioxide and methane at particular conditions of temperature, pressure, and composition. Obviously, the formation of solids in a distillation tower has the potential for plugging the tower and its associated equipment. Increasing the operating pressure of the tower will result in warmer operating temperatures and a consequent increase in the solubility of carbon dioxide, thus narrowing the range of conditions at which solid carbon dioxide forms. However, additional increases in pressure will cause the carbon dioxide-methane mixture to reach and surpass its critical conditions. Upon reaching criticality, the vapor and liquid phases of the mixure are indistinguishable from each other and therefore cannot be separated. A single-tower distillative equilibrium separation operating in the vapor-liquid equilibrium region bounded between carbon dioxide freezing conditions and the carbon dioxide-methane critical pressure line may produce a product methane stream containing 10% or more carbon dioxide. By comparison, specifications for pipeline quality gas typically call for a maximum of 2%-4% carbon dioxide and specifications for an LNG plant typically require less than 100 ppm of carbon dioxide. Clearly, a distillative separation at the above conditions in unacceptable. Various methods have been devised to avoid the conditions at which carbon dioxide freezes and yet obtain an acceptable separation. Processes which utilize additives to aid in the separation are disclosed in U.S. Pat. No. 4,149,864, issued Apr. 17, 1979, to Eakman et al., U.S. Pat. No. 4,318,723, issued Mar. 9, 1982, to Holmes et al, U.S. Pat. No. 4,370,156, issued Jan. 25, 1983, to Goddin et al, and U.S. Application Ser. No. 532,343, filed Sept. 15, 1983 to the inventors herein. Eakman et al discloses a process for separating carbon dioxide from methane in a single distillation column. If insufficient hydrogen is present in the column feedstream, hydrogen is added to provide a concentration from about 6 to 34 mole percent, preferably from about 20 to about 30 mole percent. The separation is said to take place without the formation of solid carbon dioxide. The tower pressure is preferably held between 1025 and 1070 psia. Holmes et al adds alkanes having a molecular weight higher than methane, preferably butane, to the tower feed to increase the solubility of carbon dioxide and decrease its freezing temperature line. The additive n-butane is added in an amount from about 5 moles to 30 moles per 100 moles of feed. Goddin et al uses a lean oil absorbent, preferably containing butanes and pentanes, to absorb carbon dioxide from a gas mixture, to prevent the formation of solid carbon dioxide in the absorber apparatus, to prevent the formation of an azeotrope of carbon dioxide with ethane, and to enhance the separation of any hydrogen sulfide from carbon dioxide. Valencia et al teaches the addition of a light gas, such as helium, to a cryogenic distillation tower to raise the critical pressure of the carbon dioxide-methane mixture therein and allow distillation at a pressure above the critical pressure of methane while avoiding the formation of solid carbon dioxide. As illustrated by the previous references, the distillative separation of methane and carbon dioxide has heretofore been hindered by the existence of solid carbon dioxide in equilibrium with vapor-liquid mixtures of carbon dioxide and methane at particular conditions of temperature, pressure and composition. Formation of solid carbon dioxide plugs currently employed distillation equipment. Therefore, it has been the teaching that the formation of solid carbon dioxide should be avoided in a fractional distillation process. As discussed above, solid carbon dioxide formation has been avoided by stopping the fractional distillation process before product streams of desired purity were produced requiring further separation by other means. Solid carbon dioxide formation has also been avoided by adding a third component to the fluids being separated by distillation requiring subsequent removal of such third component. Therefore, the need exists for a distillative methane-carbon dioxide separation process which can achieve desired product purity without avoiding solid carbon dioxide formation or adding a third component to the separation process. SUMMARY OF THE INVENTION This invention relates generally to a method and means for separating carbon dioxide and other acid gases from methane in a separation means employing both distillation and a freezing zone. Specifically, the method of the invention entails at least two steps. The first step comprises maintaining a distillation zone engineered to produce at least a freezing zone vapor feedstream. The second step comprises maintaining a freezing zone engineered to contact the freezing zone vapor feedstream with at least one liquid feedstream whereby both solids containing carbon dioxide and a methane-enriched vapor stream are formed. The distillation zone, not including the freezing zone, may be similar to those currently used in the art. The freezing zone is designed to control the formation and melting of solids and to prevent the introduction of solids from the freezing zone into the distillation zone. The process is suitable for methane gas streams containing very large concentrations even in excess of 80% of carbon dioxide. The process is operable down to very small amounts of carbon dioxide but is most desirably used on feedstreams containing at least 10% carbon dioxide. A feedstream may contain hydrogen sulfide or other acid gases but it must be dried prior to introduction into the distillation tower. The disclosed process may be used on a stand alone basis for a dried gas stream from a wellhead or may be used as an add-on process to other physical or chemical processes which are used to separate carbon dioxide and methane. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a binary phase diagram for methane and carbon dioxide as a function of temperature at 650 psia. FIG. 2 is a schematic diagram of an example process unit using the present invention. FIG. 3 is a schematic cutaway of the middle section of the distillation device in FIG. 2. FIG. 4 is a temperature-liquid carbon dioxide fraction diagram showing the operation of the separation device exemplified in FIGS. 2 and 3. DESCRIPTION OF THE PREFERRED EMBODIMENTS As mentioned above, one phenomenon which heretofore has been considered a problem in performing the cryogenic distillation of carbon dioxide and methane lies in the formation of carbon dioxide solids in the distillation tower. This is illustrated in FIG. 1, which is a binary phase diagram of carbon dioxide and methane at 650 psia. This diagram is based on data from H. G. Donnelly, and D. L. Katz, Ind. Eng. Chem. 46,511 (1954). The diagram shows regions for the various phases of carbon dioxide: liquid only, vapor only, vapor and liquid existing together, and regions having solids existing with either vapor or liquid. By way of illustration, FIG. 1 shows that the formation of carbon dioxide solids would be expected if separation of a carbon dioxide-methane mixture is attempted at 650 psia. For instance, cooling a 30% methane/70% carbon dioxide mixture initially at 60° F. along line "A" in FIG. 1 will cause liquid to form beginning at about 15° F. At this point, vapor-liquid equilibrium distillation may take place. In the methane enriching section, the vapor, at equilibrium with the liquid, would increase in methane content along line "B". As the temperature is lowered to about -80° F., solid dioxide would begin to form. Further methane enrichment of the vapor product stream cannot be achieved without the formation of solid carbon dioxide. Solid carbon dioxide renders conventional distillation tower internals inoperable. The references cited above in the Background of the Invention each teach to avoid the formation of solid carbon dioxide by one manner or another. Therefore at 650 psia, the product methane stream in the illustration would have as much as 15% carbon dioxide remaining in it. However, the disclosed process utilizes a controlled freezing zone which permits the solidification of carbon dioxide in a controlled manner rather than avoidance of those conditions where it forms. FIG. 2 illustrates, in schematic fasion, one desirable process exemplifying the concept of separating carbon dioxide from methane using just such a controlled freezing zone. TABLE I is approximate material balance showing the temperature and pressure at various points enumerated in FIG. 2. TABLE I__________________________________________________________________________CONTROLLED FREEZING ZONE ("CFZ") OPERATING CONDITIONS__________________________________________________________________________ Number of Theoretical Trays: 10* Feed Tray: 5** CFZ Section: Between trays 4 and 5 Reflux Ratio: 2.0 Raw Tower Condenser Overhead CFZ BottomsStream Gas Feed Feed Product Reflux Sprays Liquid ProductNo. 10 12 14 16 18 20 22 24__________________________________________________________________________Pressure 600 550 550 550 550 550 550 550(psia)Temperature 100 -62 -128 -129 -129 -124 -53 36(°F.)Flowrate 21961 21961 53587 17844 35743 28599 6771 4117(lb. mole/hr)Composition(Mole %)Nitrogen 1.497 1.497 1.198 1.843 0.876 0.406 0.041 --Methane 79.575 79.575 98.386 97.923 98.618 93.242 17.688 0.087CO.sub.2 18.509 18.509 0.414 0.234 0.503 6.109 79.901 97.681H.sub.2 S 0.121 0.121 0.0004 0.0002 0.0005 0.021 0.484 0.644Ethane 0.251 0.251 0.001 -- 0.002 0.222 1.707 1.337Propane 0.030 0.030 -- -- -- -- 0.117 0.160Iso-Butane 0.017 0.017 -- -- -- -- 0.062 0.091__________________________________________________________________________ *Does not include the condenser or the reboiler **Tray numbering begins from top Dried gas stream from a wellhead at about 600 psia containing approximately 79.5% methane, 18.5% carbon dioxide, and the remainder other compounds, such as nitrogen, hydrogen sulfide, and other hydrocarbons, is introduced into the unit through line 10. This feed stream may be cooled in indirect heat exchanger 100 and expanded through Joule-Thompson ("J-T") valve 102. Precooler 100 and J-T valve 102 drop the pressure and temperature to a level suitable for introduction of a stream into the methane-carbon dioxide splitter tower 104. For the purposes of this illustration, the splitter tower 104 is operated at a pressure of 550 psia and the tower feed entering through line 12 is at a temperature of -62° F. TABLE II shows an approximate characterization of the splitter tower 104 made by using an Exxon proprietary computer program based on well known chemical engineering principles. TABLE II__________________________________________________________________________ VAPOR LIQUIDTemperature Flow Methane Carbon Dioxide Flow Methane Carbon Dioxide°F. (lb. moles/hr) (Mole Fraction) (Mole Fraction) (lb. moles/hr) (Mole Fraction) (Mole__________________________________________________________________________ Fraction)Condenser -129.0 17,844 .9792 .0023 35,743 .9862 .0050Tray 1 -128.1 53,787 .9838 .0041 35.374 .9853 .0089Tray 2 -127.5 53,218 .9833 .0067 34,666 .9805 .0146Tray 3 -126.7 52,511 .9800 .0104 33,505 .9719 .0233Tray 4 -125.6 51,350 .9744 .0160 31,673 .9576 .0372Spray -123.7 49,517 .9654 .0246 28,599 .9323 .0611Tray 5 -52.5 2,237 .7268 .2547 6,771 .1769 .7990Tray 6 -11.1 2,654 .4500 .5225 7,518 .0966 .8761Tray 7 15.2 3,400 .2125 .7538 8,118 .0440 .9273Tray 8 27.5 4,001 .0884 .8760 8,432 .0182 .9536Tray 9 32.7 4,315 .0347 .9311 8,563 .0071 .9662Tray 10 34.9 4,446 .0129 .9561 8,607 .0026 .9729Reboiler 36.0 4,489 .0043 .9691 4,117 .0009 .9771__________________________________________________________________________ N.B. The mole fraction of methane and carbon dioxide in this Example do not add up to 1.0 because of the presence of minor amounts of ethane, propane, isobutane, nitrogen, and hydrogen sulfide. The disposition of these constituents in the two tower products is, however, shown in TABLE I. Splitter tower 104 is desirably separated into three distinct sections. The lower distillation section 106, middle controlled freezing zone 108, and an upper distillation section 110. The tower feed, as mentioned above, is introduced into the lower distillation section 106 through line 12 where it undergoes typical distillation. The internals of lower section 106 may include suitable trays, downcomers, and weirs, as are suitable for separating a carbon dioxide-methane mixture. Lower section 106 may instead be packed with known tower packing means. Liquid carbon dioxide product leaves the bottom of the section, is heated in reboiler 112, and a portion is returned to the tower as reboiled liquid. The remainder leaves the process as a product via line 24. This stream is quite versatile in that it may be flashed in J-T valve 114 and its refrigeration values utilized elsewhere in the unit in the manner illustrated by heat exchanger 116. In the lower distillation section 106, the lighter vapors leave this distillation section via chimney tray 118. Once in controlled freezing zone 108, those vapors contact the liquid spray emanating from nozzles or spray jet assemblies 120. The vapor then continues up through the upper distillation section 110 where it contacts reflex introduced to the tower through line 18. Vapor leaves tower 104 through line 14, is partially condensed in reflux condenser 122 and is separated into liquid and vapor phases in reflux drum 124. Liquid from reflux drum 124 is returned to the tower via line 18. The vapor from the drum is taken off as a product in line 16 for subsequent sale to a pipeline or condensation as LNG. The liquid produced in upper distillation section 110 is collected and withdrawn from the tower via line 20. Liquid in line 20 may be accumulated in vessel 126 and returned to the controlled freezing zone using pump 128 and spray nozzles 120. The vapor rising through chimney tray 118 meets the spray emanating from nozzles 120. Solid carbon dioxide forms and falls to the bottom of controlled freezing zone 108. Chimney tray 118 is, of course, made up of a central chimney 130 and a hat 132. A level of liquid (possibly containing some melting solids) is maintained in the bottom of controlled freezing zone 108. The temperature is controlled by heater 134. The heater may use the heat available in product line 26. Alternatively, heater 134 may be electric or use any other suitable and available heat source. Heater 134 may be external to the tower. It is contemplated that under most conditions operation of heater 134 will not be required. In any event, liquid flows down from the bottom of controlled freezing zone 108 through exterior line 22 into the upper end of bottom distillation section 106. Heater 134 insures that no solids leave the controlled freezing zone via line 22 to plug the distillation trays found in the lower distillation section. It is contemplated that in certain circumstances, the upper distillation zone may not be needed, or at least, not desired. In such an instance, at least a portion of the vapor leaving the controlled freezing zone 108 would be condensed and returned in line 20 as liquid feed to the nozzles. FIG. 3 shows the controlled freezing zone 108 of splitter tower 104 in more detail. Beginning at the top of the section, bottom portion of the downcomer 136 is shown at the top of FIG. 3. It is located in the bottom of upper distillation section 110. Tray 138 has an appropriate bubble forming device to insure contact between the downcoming liquid and the upcoming vapor. Tray 138 also has weir 140 to provide a level of liquid on the tray. The downcomer area of tray 138, however, is sealed and the liquid is taken out through nozzle 142 which forms a portion of line 20 (see FIG. 2). Alternatively, a chimney tray may be used instead of tray 138 and weir 140. In either case, the liquid leaves through flanged nozzle 142 and is taken to the accumulator and pump mentioned above with respect to FIG. 2. The pump returns the liquid through upper spray nozzle assembly 120a or lower spray nozzle assembly 120b; the nozzle arrays may have flanges such as those shown at 144. These sprays are used to spray the cold methane-rich liquid into the top of the controlled freezing zone. Vapor going past the bottom spray assembly 120b would no longer be able to form solid carbon dioxide due to its cold temperature and its enrichment in methane. To be conservative, a second spray nozzle assembly 120a may be used for additional contact prior to allowing the vapors into the upper distillation section of the tower. As mnetioned above, the upper distillation section 110 may not always be necessary. The vapor leaving the spray 120a region may be pure enough (around 2% carbon dioxide) to meet pipeline quality standards. However if the produce methane is to be used as LNG or if it is to be sent to a cryogenic nitrogen rejection unit for additional treatment, then further purification on the upper trays may be desired. In any event, the solidification of carbon dioxide into a form resembling snow requires good contact between the rising vapor and the liquid sprayed from the assemblies. Consequently, the spray nozzle size should be carefully chosen to produce small liquid droplets. Booster pump (see 128 in FIG. 2) may be necessary to overcome the pressure drop in the spray nozzles in nozzle assembly 120a and 120b. As noted above, the chimney tray assembly 118 located between controlled freezing zone 108 and lower distillation section 106 is made up of the chimney itself 130 and the hat 132. Chimney hat 132 prevents the solid carbon dioxide particles or flakes from falling to the lower distillation zone. Line 22 takes liquid from chimney tray 118 and brings it down to the vapor-liquid contact devices or packing used in the lower distillation section 106. Baffle 146 may be used at the discharge of line 122 to allow the formation of a relatively undisturbed liquid level on upper tray 148. For the specific example shown herein, it is contemplated that control of the controlled freezing zone may be based on several temperatures and liquid levels. First, the temperature at the bottom of the controlled freezing zone liquid layer found on chimney tray 118 should be maintained within a few degrees (about 5° F.) above the highest temperature at which carbon dioxide can freeze at the operating column pressure. This is achieved by adding heat to the liquid near the bottom of the controlled freezing zone by heater 134 as necessary. Second, the liquid level at the bottom of the controlled freezing zone is maintained at a constant predetermined depth by adjusting the flow rate of the stream flowing in line 22. Third, the temperature at the top spray 120a is maintained a few degrees colder (around 3° to 5° F.) than the coldest temperature at which carbon dioxide can freeze under the operating column pressure. Finally, the proper control of the overhead product purity in line 14 (see FIG. 2), as well as that of the temperature at the top of the controlled freezing zone, is achieved by setting the overhead product temperature through reflux condenser 122 and by adjusting the reflux rate through line 18. It should be apparent that the flow to the sprays is directly related to the reflux rate. Further, although the feedstream in the Example is introduced into the lower distillation section, it is contemplated that other feed sites may be appropriate. For instance, a feedstream may be introduced into the controlled freezing zone as a vapor or as a liquid to the chimney tray liquid or as a liquid (or mixture of gas or liquid) to a spray nozzle assembly. The feedstream introduction point is chosen in much the same manner as it would be in a typical distillation tower. FIG. 4 illustrates the operating line of the previous Example on a chart showing the solubility of carbon dioxide in the liquid phase as a function of temperature. For the Example shown in FIGS. 2 and 3 and TABLES I and II, the operating line for the splitter tower 104 crosses line "R", which conservatively represents the formation of solid carbon dioxide. Hence, carbon dioxide in the upper end of the tower is in the solid free region of the chart at "S". The sprays desirably are operated at a point denoted as point "T" and the operating line crosses into the solid carbon dioxide region at point "U". The controlled freezing zone is the portion of the line "V" between point "U" and point "W". The liquid flowing down into the lower distillation region is partially shown at line "X". The data used to produce line "R" are known from the literature: H. Cheung and E. H. Zander, "Solubility of Carbon Dioxide and Hydrogen Sulfide in Liquid Hydrocarbons at Cryogenic Temperatures," Chemical Engineering Progress Symposium Ser. No. 88, Vol. 64, 1968, and F. Kurata, "Solubility of Carbon Dioxide in Pure Light Hydrocarbons and Mixtures of Light Hydrocarbons," (GPA Research Report RR-10, February, 1974). Where the prior art has avoided the production of solid carbon dioxide in separating carbon dioxide from methane as counterproductive, the disclosed process takes advantage of the phenomenon by freezing the carbon dioxide in a clearly controlled fasion. The advantages offered by this process and accompanying equipment involve the elimination of solvents or additives, corresponding reduction in numbers of equipment pieces and complexity of their operation, and the production of a high pressure liquid carbon dioxide stream. The above description and example of the invention are offered only for the purpose of illustration, and is not intended that the invention be limited except by the scope of the appended claims.
The invention relates to method and apparatus for separating carbon dioxide and other acid gases from methane by treating the feedstream in at least one distillation zone and a controlled freezing zone. The freezing zone produces a carbon dioxide slush which is melted and fed into a distillation section. The apparatus used to practice the process is preferably in a single vessel.
This is a continuation of application Ser. No. 655,631 filed Sept. 28, 1984, now abandoned. BACKGROUND OF THE INVENTION 1. Field of the Invention This invention relates to a two-part curable grouting composition and method for grouting structures and formations, such as sewer lines, to minimize or prevent water leakage through voids, joints, cracks, fissures or other openings therein. In another aspect it relates to the structures and formations so sealed. 2. Description of the Background Art There are a host of water-bearing or holding structures, such as sewer lines, aqueducts, tunnels, wells, settling ponds, and basements of buildings, made of materials such as siliceous materials (e.g., concrete, brick and mortar), plastics (e.g., polyvinylchloride), cast iron, or wood (e.g., cypress or cedar). Because of the nature of such construction materials or the manner of constructing such structures or their locations, such structures inherently have, or develop with time, various discontinuities such as openings, cracks, fissures, joints, or the like which provide an entry or pathway for the undesirable ingress or egress of water into or from such structures. Even hairline cracks or pin-hole size openings in an otherwise sound or water-impervious structure can result in damaging and costly leakage from a temporary or permanent water source. Water-holding structures, such as concrete irrigation water courses, aqueducts, earthen dams, or dikes, bearing a static head or flowing stream of water, also experience leakage due to holes, cracks, fissures, and the like, such leakage being an uneconomical loss of water as well as hazardous in many circumstances. A host of grouting compositions and sealing techniques have been used to prevent the ingress or egress of water into or from such structures. Curable polyurethane compositions containing isocyanate-terminated prepolymers based on polyols have been disclosed as grouting compositions and sealing agents for sewers and similar uses (see U.S. Pat. No. 3,985,688, Canadian Pat. No. 1,035,521 and British Patent Specification No. 1,486,232). Latex reinforced curable polyurethane compositions comprising isocyanate-terminated prepolymers based on polyols have also been disclosed for such uses (see U.S. Pat. No. 4,315,703). Also known is the use of curable isocyanate prepolymers with water to consolidate soil (see U.S. Pat. No. 3,719,050). These prior isocyanate-terminated prepolymer compositions frequently have limited utility, however, because of one or more reasons. Some may cure to form a tough, highly cohesive mass making it difficult to remove contacting equipment after curing. Others may undergo dramatic volume fluctuations with changing environmental conditions, such as changes in moisture conditions. Such volume changes make it difficult to maintain an adequate seal of, for example, a leaking sewer line. Curable monomer compositions comprising aqueous solutions of magnesium diacrylate and alkali metal monoacrylate or hydroxyalkyl monoacrylate have been disclosed for grouting joints and/or cracks in sewer conduits (see U.S. Pat. Nos. 4,312,605 and 4,318,835). These compositions require use of high levels of monomer to achieve good strength in the cured grout. Also an aqueous solution of a water soluble diacrylate ester of a polyoxyalkylene glycol monomer has been disclosed as a grout for stabilizing soil during tunneling or underground construction (see U.S. Pat. No. 4,295,762). However, this composition may lack sufficient stability when exposed to water for long periods of time, particularly where the water contains acidic or basic materials. Perhaps the most successful commercially acceptable composition for sewer grouting is based upon acrylamide, typically in an aqueous solution, which is pumped with specially designed equipment into the site of the leak and into the surrounding soil to form a cured gel which inhibits or prevents leaking. In fact, much of the sewer sealing equipment employed in the United States and in other countries has been designed to utilize such acrylamide-type sealing compositions. Such compositions are desired, not only for their ability to appropriately seal leaks in sewers and in other areas, but also because of their viscosity range is easily adjustable, thus providing an easily pumpable mixture, their desirable cure rate and their physical properties achieved upon curing. The cured acrylamide material generally has an acceptable compressive strength capable of withstanding the compressive forces encountered in sealing situations such as in sewers and when used to bind soil masses and an acceptable resistance to shrinking which is required for the cured material to function properly as a sealant as hydrological conditions vary at the sealing site. Additionally, the acrylamide type sealing compositions will cure in a reasonably brief period of time so that equipment may be advanced to new sealing locations. This is important when sealing sewer lines, for example, where a sewer packer is employed utilizing an inflatable sleeve which is required to be inflated within the sewer line being sealed until the curing of the sealant has been accomplished. Acrylamide-type sealing compositions also cure to a somewhat weakly cohesive mass which permits easy removal of the application equipment, e.g., the sewer packer, so that the equipment may be moved to a new location without difficulty. While the acrylamide-type sealing compositions have all these desirable properties and have proven to be extremely valuable in such applications, they have several serious defects including a serious toxicological problem which has limited their use. Acrylamide-type sealing compositions pose toxicological problems both to workers involved in the application of such compositions who may directly contact the acrylamide and to those later exposed to the liquids which pass through structures sealed with such compositions where unreacted acrylamide is present. That acrylamide is a neurotoxic material, and repeated exposure without normal handling precautions may lead to reversible disturbances of the central nervous system and that in at least one instance, acrylamide contamination of a well, resulting in mild acrylamide poisoning of well users, caused a ban on the use of the product in Japan is taught in Kirk-Othmer, Encylopedia of Chemical Technology, John Wiley & Sons, Third Ed., Vol. 5, p. 371 (1979). This situation requires the replacement of the acrylamide-type sealants with a suitable substitute. The acrylamide-type sealants also create quantities of steam on reacting at the location of the leak. The creation of steam at the location of the leak. The creation of steam at the location of the leak is very undesirable since the steam interferes with the use of remote viewing equipment such as a television camera. SUMMARY OF THE INVENTION It is highly desirable to replace the acrylamide-type grouting compositions with one having the same or similar desired physical properties, both in the liquid state and in the cured state, without the adverse toxicological problems associated therewith. The present invention not only accomplishes this result, but it provides a sewer grouting composition which can be applied using existing application equipment. The present invention is a two-part composition which is cured at the site of application to provide a gel for inhibiting leakage in structures when cured. Unlike acrylamide-type sealants, the sealant of the present invention is relatively non-toxic when contacted orally, dermally, or by inhalation. One part of the composition, hereinafter referred to as "Part A", comprises a first aqueous solution containing (i) about 10 to 50 weight percent of at least one hydrophilic, water soluble polyether prepolymer having a polyether backbone, which backbone preferably has a number average molecular weight of about 500 to 20,000, and terminal active olefinic groups, the olefinic groups being connected to the backbone by linking groups selected from --NH--, --CONH--, --OCONH--, and --NHCONH--, (ii) a chemically effective amount of at least one tertiary amine catalyst, and (iii) about 50 to 90 weight percent water. The term "terminal active olefinic groups" as used herein is meant to include compositions where the active olefinic groups are pendant from the polyether backbone as well as in terminal positions. The second part of the composition, hereinafter referred to as "Part B", comprises a second aqueous solution containing a chemically effective amount of at least one initiator. The method of the present invention for sealing structures to inhibit leakage includes the steps of substantially simultaneously applying at the locus of the leakage Part A and Part B of the above-described composition and permitting these solutions to react. The grouting composition of the present invention is useful for sealing water-bearing or water-holding structures such as sewer lines, aqueducts, tunnels, wells, settling ponds, and basements of buildings, as well as for soil stabilization of such structures as aqueducts, earthen dams, and dikes. BRIEF DESCRIPTION OF DRAWINGS Examples of known equipment suitable for practicing the method of this invention employing the composition of the present invention for grouting a joint in a concrete sewer line are illustrated in the accompanying drawings in which: FIG. 1 is a view in elevation of a three element packer disposed in a sewer line (shown in cross-section) in the vicinity of a joint in the line; FIG. 2 is a view of the packer of FIG. 1 expanded at its ends to isolate the joint and form a circular cavity at the locus of the joint which is filled with the grouting composition; FIG. 3 is a view of the packer of FIG. 1 fully expanded to force the grouting composition from the cavity into the joint; FIG. 4 is a view in elevation of a two element packer disposed in a sewer line (shown in cross-section) in the vicinity of a joint in the line. FIG. 5 is a view of the two element packer of FIG. 4 expanded at its ends to isolate the joint and form a circular cavity between the expanded packer surface and the inner sewer line surface; FIG. 6 is a view of the two element packer of FIG. 4 wherein the grouting composition has filled the cavity described in FIG. 5; and FIG. 7 is a view of the unexpanded packer of FIG. 4 after the grouting composition has been permitted to flow into the joint area and the surrounding soil. DETAILED DESCRIPTION OF THE INVENTION Referring to the accompanying drawing and initially to FIG. 1, reference number 10 denotes generally a sewer line having joint 11 through which the incursion of water is occuring or may occur. Disposed within line 10 is packer 12 with three inflatable diaphragm sections 13, 14, and 16 which can be inflated by means of air, (shown in FIG. 1 in their collapsed conditions). Air used to inflate the packer diaphragm sections is delivered to the packer by means of hoses 17, 18, and 19, each hose serving to inflate one of the packer diaphragm sections. The aqueous prepolymer/catalyst solution (Part A) is delivered to the packer via hose 20 and the aqueous Peroxy initiator solution (Part B) via hose 21, all of the hoses being held together by band 15. Packer 12 can be positioned by means of cables 22a and 22b attached to the packer and to external means for moving the packer, e.g., winches. In FIG. 2 outer diaphragm sections 13 and 14 of packer 12 have been inflated, isolating joint 11 and forming annular cavity 23 at the locus of the joint. Parts A and B of the composition are injected in controlled amounts into cavity 23, for example, by spraying separate streams of these materials into the cavity in such a manner as to cause the two sprays to impinge, thus ensuring rapid admixture and reaction. As Parts A and B are being injected and mixed in cavity 23, center section 16 of packer 12 is gradually inflated as shown in FIG. 3, forcing the reacting, gelling composition into joint 11. This concurrent injection of the grouting composition and inflation of section 16 provides positive placement of the grouting composition in the joint area and substantially prevents a large amount of gelled grouting composition from forming in annular cavity 23. Referring now to FIG. 4, sewer packer 26 is shown with two inflatable diaphragm sections 27 and 28 in their collapsed condition. These diaphragms may be inflated by means of air delivered to the packer by means of air hose 31. The aqueous prepolymer/catalyst solution (Part A) can be delivered by hose 30 and the aqueous peroxy initiator solution (Part B) can be delivered via hose 29. The packer may be positioned by means of cables 22a and 22b attached to the packer and to an external means for moving the packer, e.g., winches. In FIG. 5, inflatable elements 27 and 28 of packer 26 have been inflated isolating joint 11 and forming annular cavity 33 at the locus of the joint between the packer wall and the inner wall of the sewer line. A controlled amount of the grouting composition is injected into cavity 33. Parts A and B of the grouting composition are introduced by pressure controlled flow through separate packer orifices 34 and 35, one orifice for Part A and the other orifice for Part B. When the streams commingle, a reaction occurs, forming the gel-forming grouting composition in the annular cavity as shown in FIG. 6. In a short time after the injection, gel 24 begins to form in cavity 33 and is forced into joint 11 and into the surrounding soil. After the composition has gelled, packer 26 may be deflated as shown in FIG. 7, and moved by means of cables 22 to the next joint and the operation repeated. Water-soluble, hydrophilic, olefinic-terminated polyether prepolymers useful in this invention may be expressed in terms of the general formula ##STR1## where R 1 is an active hydrogen-free residue of a polyether polyol, e.g., ethylene glycol, glycerol, or 1,1,1-trimethylol propane; (R 2 O) a is a hydrophilic poly(oxyalkylene) chain having a plurality of randomly distributed oxyethylene and higher oxyalkylene units, "a" being the number of oxyalkylene units in the poly(oxyalkylene) chain with this number being sufficient to impart water solubility and preferably non-crystallinity to the prepolymer wherein R 2 is a lower alkyl group having 2 to 4 carbon atoms; X is a moiety selected from --OCONH--, --NHCONH--, --NHCO-- and --NH--; R 3 is hydrogen or a lower alkyl group having 1 to 4 carbon atoms, preferably hydrogen or a methyl group, A is a divalent aliphatic radical selected from --R 4 -- and ##STR2## in which R 4 is an alkylene radical having 1 to about 6 carbon atoms, a 5- or 6-membered cycloalkylene radical having 5 to about 10 carbon atoms, or ##STR3## b is zero or 1; and "c" is an integer of from 2 to 6. Preferably A is a divalent carbonyloxyalkylene radical having 2 to 7 carbon atoms. The polyether backbone preferably has a number average molecular weight of from about 500 to 20,000, more preferably 500 to 10,000, and random ethylene oxide groups and higher alkylene oxide groups sufficient ethylene oxide groups being present to provide hydrophilicity to the prepolymer. Generally, the ratio of ethylene oxide groups to higher alkylene oxide groups is in the range of about 1:1 to 4:1. The olefinic termination of the polyether may be achieved by several methods, for example, by esterification of a polyether polyol or amidization of a polyether polyamine using a suitable olefinically unsaturated acid. Polyether polyols or polyether polyamines can be directly reacted with various olefins having ester, acid halide, glycidoxy, nitrile, isocyanate or silane functionalities. A particularly useful method of forming the prepolymer is to react a polyether polyol with an ethylenically unsaturated isocyanate. Commercially available polyether polyol precursors useful in making these water-soluble prepolymers are hydrophilic polyols, e.g., "Carbowax", available from Union Carbide Corp. The degree of overall hydrophilicity of the prepolymer can be modified by small amounts of poly(oxyethylene-oxypropylene) polyols sold under the trademark "Pluronic", by BASF Wyandotte Corp., such as Pluronic-L35, F38, and P46, or hydrophilic polyols with heteric oxyethylene-oxypropylene chain sold as "Polyol Functional Fluids" by Texaco Co., such as WL-580, WL-600, WL-1400, and WL-2800. Preferred ethylenically-unsaturated isocyanates are compounds having the general formula: ##STR4## wherein: R 3 as defined above in Formula I and R 5 is an alkylene radical having 1 to 6 carbon atoms. Preferred ethylenically unsaturated isocyanates falling within Formula II are isocyanatoalkyl acrylates and methacrylates such as isocyanatomethyl acrylate, 2-isocyanatoethyl acrylate, 2-isocyanatoethyl methacrylate, 3-isocyanatopropyl acrylate, 3-isocyanatopropyl methacrylate, and 6-isocyanatohexyl acrylate, with 2-isocyanatoethyl methacrylate being most preferred. Other exemplary compounds falling within Formula II include ethylenically unsaturated isocyanate esters such as allyl isocyanate, methallyl isocyanate, and 4-ethenylcyclohexylisocyanate, but these are less preferred than isocyanatoalkyl acrylates and methacrylates as these compounds form prepolymers having slower curing rates than those formed from the isocyanate alkyl acrylates and methacrylates. The ethylenically unsaturated isocyanates of Formula II can be prepared using methods known to those skilled in the art of organic synthesis. Another particularly useful method of forming the prepolymer is to react a hydroxy functional acrylate or methacrylate monomer with an isocyanate terminated polyether. Suitable isocyanate terminated polyethers are disclosed in U.S. Pat. Nos. 3,539,482, 3,985,688, 3,723,393, and 4,315,703, all of which are incorporated herein by reference. Suitable hydroxy functional acrylate and methacrylate monomers include 2-hydroxy propyl acrylate, 2-hydroxy propyl methacrylate, hydroxy ethyl acrylate, hydroxy ethyl methacrylate, 3-hydroxy propyl acrylate, and 3-hydroxy propyl methacrylate. A further useful method for preparing the olefinic-terminated polyether prepolymer is to react a polyether polyamine with an ethylenically unsaturated acid such as acrylic acid or methacrylic acid. Examples of suitable polyether polyamines are "Jeffamines" available from Texaco Co. A still further method for preparing the olefinic-terminated polyether prepolymer is to react a polyether polyamine, such as a "Jeffamine", with an ethylenically unsaturated isocyanate. Those previously described isocyanates of Formula II would also be suitable for reacting with the polyether polyamines. Another method for preparing the olefinic-terminated polyether prepolymer is to react a polyether polyamine, such as a "Jeffamine", with ethylenically-unsaturated oxiranes, such as glycidyl acrylate, glycidyl methacrylate, and allyl glycidyl ether. Generally, for whichever method is selected for preparing the olefinic-terminated polyether prepolymer, about 1.0 to 1.5 equivalents, preferably about 1.0 to 1.2 equivalents, of the terminating monomer, e.g., ethylenically-unsaturated isocyanates, hydroxy functional acrylates and methacrylates, ethylenically-unsaturated acids, and ethylenically-unsaturated oxiranes, are preferably used for each equivalent of the polyether polyol or polyether polyamine. Where the prepolymer is to be stored prior to use, addition of a storage stabilizer is often desirable to prevent premature gelling. Suitable stabilizers include methyl ethyl hydroquinone, hydroquinone, and BHT. Part A of the grouting composition also contains an effective amount of a water soluble tertiary amine catalyst, which upon mixing with Part B, containing an effective amount of a peroxy initiator, forms a redox curing system which effects the gelling of the composition. Particularly useful amine catalysts are water soluble tertiary amines such as trialkyamines, trialkanol amines and tertiary mixed alkylalkanolamines. Preferred examples of such amines are triethylamine, triisopropylamine, tributylamine, trihexylamine, tri-2-ethylhexylamine, tridodecylamine, methyldiethanolamine, dimethylethanolamine, 2-hydroxyethyldiisopropylamine, and triethanolamine, with triethanolamine being particularly preferred. Generally, Part A contains from about 0.5 to 10.0 parts tertiary amine catalyst for 100 parts prepolymer solution. Part B of the grouting composition of this invention is an aqueous solution of a peroxy initiator. Particularly useful peroxy initiators are water soluble free radical initiators. The initiator concentration in Part B of the composition is selected to provide the desired concentration of initiator when Parts A and B are mixed. Suitable initiators include ammonium persulfate, sodium persulfate, potassium persulfate, sodium sulfite, ferrous sulfate, and t-butyl peroxide. Generally, Part B provides from about 0.5 to 10.0 parts peroxy initiator for 100 parts of Part A. The prepolymers react in the presence of water, forming in situ a cross-linked, cured polymer gel. The mixing of the initiator solution and the prepolymer/catalyst solution initiates the reaction, the mixture initially remaining fluid with a viscosity of about 5 to 10 cps. In a very short period of time, e.g., from 5 to 200 seconds, the mixture cures to form a crosslinked gel. Depending upon the amount of fillers and other additives, the initial viscosity of the composition typically varies between 5 and 1,000 cps, the viscosity being higher at higher loadings of additives. Care should be taken to maintain the viscosity at a level which permits pumping the viscous mass, e.g., from a sewer packer into the leaking structure being sealed. Although the grouting compositions of this invention, when reacted in water form a gel in a very short time, the time necessary to gel will vary depending on the ambient temperature, with a longer gel time usually being necessary in colder conditions. The gel time may be extended or shortened by varying the catalyst concentration. The grouting compositions form gels which exhibit good compressive strength and shrink-resistance, maintaining a seal in a joint through cycles of expansion and contraction as well as cyclical changes from wet to dry conditions. The mixture of prepolymer, amine catalyst, peroxy initiator, and water reacts to produce a high compressive strength gel which will not shrink excessively and pull away from the sealed structure upon dehydration of the gel. The gel also has a substantial resistance to the chemical, physical, and biological activity of sewage. It is preferred to include additional filler materials in the grouting composition to provide a more shrink-resistant, less compressible cured gel. For this purpose, any of a number of filler compositions have been found to be particularly effective. Useful fillers include water-insoluble particulate materials having a particle size less than about 500 microns, preferably 1 to 50 microns and a specific gravity in the range of about 0.1 to 4.0, preferably 1.0 to 3.0. Examples include diatomaceous earth, such as "Celite" products available from The Mansville Corp., fumed silicas such as "Cabosil" products available from Cabot Corp., and "Aerosil" products available from DeGussa Co., clays, calcium carbonate, talc, silica flour, and wood flour. The filler content of the cured grouting composition may be as much as 60 parts by weight filler per 100 parts by weight cured grouting composition, and preferably 5 parts to 20 parts by weight filler per 100 parts by weight. Other additive ingredients may also be included. For example, latex reinforcing agents may be included to reduce shrinking and cracking of the scalant and to increase strength as disclosed in U.S. Pat. No. 4,315,703. Viscosity modifiers may be included to increase or decrease the viscosity, depending on the desired result, to make the composition more adaptable to particular sealing equipment. Additionally, fungicides may be added to prolong the life of the gel from to prevent attack by various fungi. Other active ingredients may be added for various purposes, such as substances to prevent encroachment of plant roots, and the like. Care should be exercised in choosing fillers and other additives to avoid any materials which will have a deleterious effect on the viscosity, reaction time, and stability of the gel being prepared. The compositions described in the Examples were tested for shrinkage and compressive strength as follows: VOLUME SHRINKAGE Volume shrinkage was determined by measuring the percent volume reduction of molded rectangular (7.5 cm×5 cm×1.25 cm) test samples which had been permitted to dry in a forced air oven heated at 55° C. for one week. The percent shrinkage was the difference between the initial volume and the volume after drying divided by the initial volume times 100. Generally, compositions having shrinkage values less than about 25 percent are preferred. More particularly, the shrinkage value of the composition is desirably less than about 10 percent. COMPRESSIVE STRENGTH An 80 ml sample of grouting composition was mixed in a six ounce paper cup and allowed to gel. Two minutes after gellation, the grout was removed from the cup. A wooden load support (0.3 cm thick, 1.9 cm wide, 15 cm long) was placed across the sample. Using a Shore A-2 Penetrometer, the tester was allowed to contact the support using only the weight of the tester. This weight was recorded as the no load (NL) weight and provides an indication of the rigidity of the sample. The weight was then increased until the sample shattered or the load bottomed out. The maximum weight required to shatter the sample or bottom out the load was the load (L) weight. The no load/load values provide a relative comparison of the strength of a gelled composition. (For example, a typical acrylamide-type composition provides a NL value of about 70 and an L value of about 80.) Generally, compositions having NL values of 75 to 80 and L values of 85 to 100 are preferred, although compositions having NL values as low as 40 may be suitable for some end uses. EXAMPLE 1 Prepolymer A To a flask fitted with a nitrogen inlet, stirrer, thermometer, and heating mantle were added 1650 parts "Thanol" 4072, a polyether polyol having a molecular weight in the range of about 4500-5000 available from Texaco Co., and 155 parts isocyanatoethyl methacrylate. The resulting mixture was heated to about 30° C. under a nitrogen purge and 0.90 part stannous octoate was added. The mixture was reacted at 70° C. for 4 hours until no isocyanate was detectible by infrared analysis and titration. The reaction mixture was cooled to 60° C. and 0.03 part hydroquinone methyl other was added. The nitrogen purge was discontinued and the resulting prepolymer was allowed to cool under ambient conditions. The prepolymer formed was a light-colored liquid material having a viscosity of about 3000 cps at 20° C. EXAMPLE 2 Prepolymer B To a flask fitted with a nitrogen inlet, stirrer, thermometer and heating mantle were added 1400 parts of an isocyanate-terminated prepolymer having an equivalent weight of 1400 g/eq. prepared according to Example No. 1 of U.S. Pat. No. 4,315,703 and 99 parts "Carbowax" 600. The mixture was heated to 30° C., 0.51 part stannous octoate was added and this mixture was heated to 75° C. and reacted for 2 hours. After cooling to 60° C., an additional 0.51 part stannous octoate and 130 parts 2-hydroxypropylacrylate were added, the temperature was raised to 70° C., and the resulting mixture reacted until no isocyanate was detectible by infrared analysis. The nitrogen purge was discontinued, the resulting prepolymer was allowed to cool under ambient conditions, and 407 parts water were added. The prepolymer solution formed was a clear liquid having 80 weight percent solids and a viscosity of about 1000 cps at 20° C.. EXAMPLE 3 Prepolymer C To a flask fitted with nitrogen inlet, stirrer, heating mantle and water trap were added 450 parts "Jeffamine" ED-900, an amine-terminated polyether having a molecular weight of 900, available from Texaco Co., 75.6 parts acrylic acid, and 0.26 part t-butyl titanate. The temperature of the mixture was raised to 160° to 200° C. and toluene was added as needed to azeotrope off the water formed by the reacting materials. When the theoretical amount of water formed had been removed, the resulting prepolymer was allowed to cool. The prepolymer formed was a waxy solid. EXAMPLE 4 Prepolymer D In 62.2 parts deionized water were dissolved 220 parts "Jeffamine" ED 2000, an amine-terminated polyether having a molecular weight of 2000, available from Texaco Co. 32.6 Parts isocyanatoethyl methacrylate were slowly added to the polyether solution to avoid uncontrolled exotherm of the reaction. Upon completion of the addition of the isocyanatoethyl methacrylate, the materials were reacted at 50° C. for 2 hours. The resulting prepolymer was then cooled. The prepolymer formed was a clear solution having a viscosity of about 500 cps at 20° C.. EXAMPLE 5 Prepolymer E To a flask fitted with nitrogen inlet, stirrer, and heating mantle were added 450 parts "Jeffamine" ED 900 and 148 parts deionized water. The temperature was raised to 30°-40° C. and 142 parts glycidyl methacrylate were added. The temperature was further raised to 50°-60° C. and the mixture was allowed to react for 5-6 hours. The mixture was cooled under ambient conditions. The resulting prepolymer was a clear liquid having a viscosity of about 8000 cps at 20° C.. EXAMPLES 6-17 In Examples 6-17 various grouting compositions were prepared. For Part A in each example, water, Prepolymer A, and triethanolamine catalyst were mixed in the amounts shown in Table 1. For Part B in each example, water, ammonium persulfate initiator, and "Celite", a diatomaceous earth, available from The Mansville Corp. were mixed in the amounts shown. Parts A and B of each example were then mixed and the gel time noted. The results are set forth in Table 1. The volume shrinkage for the gelled compositions was measured, the results being set forth in Table 1. TABLE 1__________________________________________________________________________Part A Part B Triethanol Ammonium Water Prepolymer A Amine Water Persulfate Celite Water Temperature Gel Time VolumeEx. (Parts) (Parts) (Parts) (Parts) (Parts) (Parts) (°C.) (Sec.) Shrinkage__________________________________________________________________________ (%)6 10 2.5 40 2.5 -- 40 20 10 307 10 2.5 40 2.5 4 40 20 10 158 10 2.5 40 2.5 8 40 20 10 59 12.5 2.5 40 2.5 -- 40 20 9.0 2210 12.5 2.5 40 2.5 4 40 20 9.5 811 12.5 2.5 40 2.5 8 40 20 10.0 512 10 3.0 40 3.0 -- 40 5 25 2813 10 3.0 40 3.0 4 40 5 22 1514 10 3.0 40 3.0 8 40 5 21 515 12.5 3.0 40 3.0 -- 40 5 17 2216 12.5 3.0 40 3.0 4 40 5 18 817 12.5 3.0 40 3.0 8 40 5 18.5 5__________________________________________________________________________ EXAMPLES 18-23 In Examples 18-23, various grouting compositions were prepared. In each example, Part A of the composition was prepared by mixing Prepolymer A, water, and triethanolamine in the amounts shown in Table 2. Part B of each composition was prepared by mixing water and ammonium persulfate in the amounts indicated in Table 2. In each example, Parts A and B were mixed. The gel time and compressive strength of each composition were measured. The results are shown in Table 2. TABLE 2__________________________________________________________________________Part A Part B Triethanol Ammonium Water CompressivePrepolymer A Water Amine Persulfate Water Temperature Gel Time StrengthEx. (Parts) (Parts) (Parts) (Parts) (Parts) (°C.) (Sec.) NL L__________________________________________________________________________18 10.0 30 2.5 2.5 40 20 11 67 9119 12.5 27.5 2.5 2.5 40 20 8.5 81 9220 15.0 25.0 2.5 2.5 40 20 7.0 92 10021 10.0 30 2.5 2.5 40 5 34.0 84 9222 12.5 27.5 2.5 2.5 40 5 25.0 89 9423 15.0 25 2.5 2.5 40 5 21.0 78 97__________________________________________________________________________ EXAMPLES 24-27 A prepolymer, designated Prepolymer F, was prepared as in Example 2 using 1510 parts of an isocyanate-terminated prepolymer having an equivalent weight of 1510 g/eg prepared according to the procedure of Example 1 of U.S. Pat. No. 4,315,703, 90 parts "Carbowax" 600, 130 parts hydroxypropyl acrylate, 1.2 part stannous octoate, and 452 parts deionized water. Another prepolymer, designated Prepolymer G, was prepared as was Prepolymer F, but the amount of hydroxypropyl acrylate was reduced to 117 parts. Grouting compositions were prepared with Part A of each composition containing 20 g prepolymer, 8 g 25% aqueous solution of triethanolamine catalyst, 12 g deionized water, and styrene/butadiene latex in the amount indicated in Table 3. Part B of each composition contained 8 g 25% aqueous solution of ammonium persulfate initiator in 32 g deionized water. Parts A and B of each example were mixed and the gel time was recorded. The samples were then tested for compressive strength and volume shrinkage. The results are set forth in Table 3. TABLE 3______________________________________ Com-Ex- pressiveam- Pre- Latex Gel Time Strength Volumeple polymer Amount (g) (sec) NL L Shrinkage (%)______________________________________24 F 5 17 78 92 16.125 G 5 16 58 88 16.126 F 10 18 72 92 14.527 G 10 15 80 93 14.5______________________________________ EXAMPLE 28 A prepolymer was prepared as in Example 4, using 450 parts "Jeffamine" ED 900 and 156.5 parts isocyanatoethyl methacrylate. A sealant composition was prepared with Part A containing 20 g deionized water and Part B containing 2.5 g ammonium persulfate in 37.5 g deionized water. Parts A and B were mixed. Gel time was 25 sec and compressive strength was measured with NL being 80 and L being 85. EXAMPLES 29-34 In Examples 29-34, various grouting compositions were prepared. In each example, Part A of the composition was prepared by mixing Prepolymer E and water in the amounts shown in Table 4 with 2.5 g triethanolamine and Part B was prepared by mixing 2.5 g ammonium persulfate with 40 g water. The temperature of the water used for making the compositions is given in Table 4. Parts A and B of each composition were mixed and the gel time recorded. The compressive strength of each composition was measured. The results are set forth in Table 4. TABLE 4__________________________________________________________________________ CompressivePrepolymer E Water in Water Gel Time StrengthExampleamount (g) Part A (g) Temperature (°C.) (sec) NL L__________________________________________________________________________29 10 30 20 20 40 7430 12.5 27.5 20 13 64 7031 15 25 20 10 90 10032 10 30 5 37 60 6033 12.5 27.5 5 26 82 9234 15 25 5 23 79 87__________________________________________________________________________ EXAMPLE 35 Field trials were conducted on an 8" concrete sewer line in a city having a population of about 200,000 using a sealant composition of the formulation: ______________________________________Part A Part B______________________________________10 parts Prepolymer A 8 parts ammonium persulfate, 25% aqueous solution8 parts triethanol amine, 42 parts water25% aqueous solution32 parts water______________________________________ Parts A and B were mixed at a ratio of 1.5 to 1 and applied to sewer joints using a three element packer like that shown in FIGS. 1-3. Twenty sewer joints were sealed using about two gallons of sealant per joint. The gel time of the composition was about 20 seconds. The line was pressure tested after one day and after ten months. All joints were found to be satisfactorily sealed. Various modifications and alterations of this invention will be apparent to those skilled in the art without departing from the scope and spirit of the invention and this invention should not be restricted to that set forth herein for illustrative purposes.
A grouting composition for sealing structures to inhibit water leakage therethrough and for stabilizing soil is provided. The composition is provided as a two-part system. Part A is an aqueous solution of a hydrophililc, water soluble prepolymer having a polyether backbone and terminal active olefinic groups, the olefinic groups being connected to the polyether backbone by linking groups selected from --NH--, --CONH--, --OCONH--, and --NHCONH--, and tertiary amine catalyst. Part B is an aqueous solution of a initiator. Parts A and B are mixed and react to form a gel.
CROSS REFERENCE TO RELATED APPLICATIONS [0001] This application is a continuation of U.S. application Ser. No. 12/258,485, filed Oct. 27, 2008 now allowed, which is a continuation of U.S. application Ser. No. 10/680,693, filed Oct. 7, 2003, issued as U.S. Pat. No. 7,455,899; the disclosures of which are incorporated by reference in their entirety herein. FIELD [0002] The present invention relates to reflective coatings for enhancing solar reflectivity for use on roofs, such as on asphalt shingles, and other exterior surfaces. BACKGROUND [0003] For energy conservation purposes, it has become more desirable to reflect solar energy off of roofs and other exterior surfaces. Absorbed solar energy increases energy costs in buildings. In addition, in densely populated areas, such as metropolitan areas, the absorption of solar energy increases ambient air temperatures. A primary absorber of solar energy is building roofs. It is not uncommon for ambient air temperature in metropolitan areas to be 10° F. or more warmer than in surrounding rural areas. This phenomenon is commonly referred to as the urban heat island effect. Reflecting solar energy rather than absorbing it can reduce cooling costs and thereby energy costs in buildings. In addition, reducing solar energy absorption can enhance the quality of life in densely populated areas by helping to decrease ambient air temperatures. [0004] Solar energy reflection can be achieved by using metallic or metal-coated roofing materials. However, because the heat emittance of metallic or metal-coating roofing materials is low, such materials do not produce significant gains in energy conservation and reduced costs since such materials restrict radiant heat flow. [0005] Reflection of solar energy can also be accomplished by using white or light-colored roofs. However, white or light-colored sloped roofs are not accepted in the marketplace due to aesthetic reasons. Instead, darker roofs are preferred. However, darker roofs by their very nature through colored or non-white roofing materials absorb a higher degree of solar energy and reflect less. [0006] Non-flat or sloped roofs typically use shingles coated with colored granules adhered to the outer surface of the shingles. Such shingles are typically made of an asphalt base with the granules embedded in the asphalt. The roofing granules are used both for aesthetic reasons and to protect the underlying base of the shingle. The very nature of such granules creates significant surface roughness on the shingle. Solar radiation thereby encounters decreased reflectivity since the radiation is scattered in a multi-scattering manner that leads to increased absorption when compared to the same coating placed on a smooth surface. SUMMARY [0007] The present invention provides a non-white construction surface comprising a substrate, a first reflective coating on at least a portion of an outer surface of a substrate, such that the substrate with this first reflective coating exhibits a minimum direct solar reflectance value of at least about 25%, and a second reflective coating on at least a portion of the first reflective coating, wherein the combination of the first reflective coating and the second reflective coating provide the substrate with a reflectivity of at least about 20% at substantially all points in the wavelength range between 770 and 2500 nm. [0008] In another aspect, the invention provides a non-white construction surface comprising a substrate, a first reflective coating on at least a portion of an outer surface of a substrate, such that the substrate with this first reflective coating exhibits a minimum direct solar reflectance value of at least about 25%, and a second reflective coating on at least a portion of the first reflective coating, wherein the combination of the first reflective coating and the second reflective coating provide the substrate with a summed reflectance value of at least about 7,000 as measured in the range between 770 and 2500 nm inclusive. [0009] In another aspect, the invention provides a method of producing a non-white construction surface comprising applying a first coating solution to at least a portion of an outer surface of a substrate, curing the first coating solution to form a first reflective coating to form a coated substrate, the first reflective coating exhibiting a minimum direct solar reflectance value of at least about 25%, applying a second coating solution over at least a portion of the coated substrate, and curing the second coating solution to form a second reflective coating wherein the combination of the first reflective coating and the second reflective coating provide at least one of (i) a reflectivity of at least about 20% at substantially all points in the wavelength range between 770 and 2500 nm, and (ii) a summed reflectance value of at least 7000 as measured in the range between 770 and 2500 nm inclusive. [0010] In yet another aspect, the invention provides a non-white construction surface comprising an inorganic, non-metallic substrate, a first reflective coating on at least a portion of an outer surface of the substrate, the coated substrate exhibiting a minimum direct solar reflectance value of at least about 25%, and [0000] a second reflective coating on at least a portion of the first reflective coating, wherein the combination of the first reflective coating and the second reflective coating provide the substrate with at least one of (i) a reflectivity of at least about 20% at substantially all points in the wavelength range between 770 and 2500 nm, and (ii) a summed reflectance value of at least 7000 as measured in the range between 770 and 2500 nm inclusive. [0011] It is an advantage of the present invention in one aspect to provide construction substrates having solar energy reflecting properties. Examples of construction substrates include roofing shingles and tiles. Other features and advantages of the invention will be apparent from the following detailed description of the invention and the claims. The above summary is not intended to describe each illustrated embodiment or every implementation of the present disclosure. The description that follows more particularly describes and exemplifies certain preferred embodiments using the principles disclosed herein. BRIEF DESCRIPTION OF THE DRAWING [0012] FIG. 1 shows a roofing granule comprising a substrate, a first coating, and a second coating according to one embodiment of the present invention. DETAILED DESCRIPTION [0013] The present invention includes a non-white construction surface comprising a coated substrate such as granules for use in roofing that have enhanced solar reflectivity relative to conventional roofing granules. The enhanced reflectivity is obtained by first providing a reflective primary or undercoating to the substrate granules and then providing a secondary coating over the undercoating with the secondary coating containing a non-white pigment. In some embodiments, the pigment may have enhanced reflectivity in the near-infrared (NIR) (700-2500 nm) portion of the solar spectrum. In some embodiments, the substrate is inorganic and non-metallic. Although roofing granules will be referred to throughout the description, the undercoating and outer coating may be placed on other construction surfaces such as glass, tile such as clay or concrete tile, roof substances, concrete, rock, which materials can be, but need not be, in granular form. [0014] It has been discovered that roofing granules consisting of a base mineral coated with a reflective primary or undercoat and a secondary or outer coating containing non-white pigments exhibit enhanced solar reflectivity with respect to granules of similar visible color having a single coating. In some embodiments the resulting reflectivity exceeds at least 20% at the wavelengths of interest. Solar reflectivity values of at least 25% meet the present solar reflectivity standard set forth by the U.S. Environmental Protection Agency (EPA) under the program entitled “Energy Star”. The phrase solar reflectivity and direct solar reflectance are used interchangeably in the present application. The EPA permits manufacturers to use the designation “Energy Star” for those roofing products that meet certain energy specifications. This “Energy Star” designation is a desirable designation to place on roofing products. [0015] In some embodiments, the present invention employs colored pigments that exhibit enhanced reflectivity in the NIR portion of the solar spectrum as compared to previous colorants. The NIR comprises approximately 50-60% of the sun's incident energy. Improved reflectivity in the NIR portion of the solar spectrum leads to significant gains in energy efficiency and such pigments are useful in some embodiments of the present invention. [0016] By direct solar reflectance is meant that fraction reflected of the incident solar radiation received on a surface perpendicular to the axis of the radiation within the wavelength range of 300 to 2500 nm as computed according to a modification of the ordinate procedure defined in ASTM Method G159. A spreadsheet, available upon request from Lawrence Berkley Laboratory, Berkley, Calif., combining the direct and hemispherical Solar Irradiance Air Mass 1.5 data from ASTM method G159 was used to compute interpolated irradiance data at 5 nm intervals in the region of interest. The 5 nm interval data was used to create weighting factors by dividing the individual irradiances by the total summed irradiance from 300 to 2500 nm. The weighting factors were then multiplied by the experimental reflectance data taken at 5 nm intervals to obtain the direct solar reflectance at those wavelengths. [0017] By summed reflectance value is meant the sum of the numerical value of the discrete percentage reflectance measured at 5 nm intervals in the range between 770 and 2500 nm inclusive. [0018] CIELAB is the second of two systems adopted by CIE in 1976 as models that better showed uniform color spacing in their values. CIELAB is an opponent color system based on the earlier (1942) system of Richard Hunter called L, a, b. Color opposition correlates with discoveries in the mid-1960s that somewhere between the optical nerve and the brain, retinal color stimuli are translated into distinctions between light and dark, red and green, and blue and yellow. CIELAB indicates these values with three axes: L*, a*, and b*. (The full nomenclature is 1976 CIE L*a*b* Space.) The central vertical axis represents lightness (signified as L*) whose values run from 0 (black) to 100 (white). The color axes are based on the fact that a color cannot be both red and green, or both blue and yellow, because these colors oppose each other. On each axis the values run from positive to negative. On the a-a′ axis, positive values indicate amounts of red while negative values indicate amounts of green. On the b-b′ axis, yellow is positive and blue is negative. For both axes, zero is neutral gray. [0019] For the purposes of this application, articles having a color falling within the inverted conical volume defined by the equation: [0000] −( L *)+[(( L 0 *)+( y ( a *)̂2+ z ( b *)̂2)̂0.5)/ x]≦ 0 [0000] where L 0 *=67, x=1.05, y=1.0, z=1.0 and the values, L*, a*, and b*, are defined on the CIE L*a*b* scale are said to be white and articles having a color falling outside the cone are said to be non-white. [0020] Values of the color space corresponding to white fall within the cone close to the vertical L* axis, are not strongly colored as indicated by their small displacements along either or both of the a* and b* axes, and have a relatively high degree of lightness as indicated by an L* greater than L 0 *. L 0 * is the vertex of the cone. [0021] Referring now to FIG. 1 , a non-white construction surface is shown in the embodiment of a solar-reflective roofing granule ( 1 ). A first reflective coating ( 3 ) is applied over at least a portion of the surface of substrate ( 2 ), which in this embodiment is a base roofing granule. A second reflective coating ( 4 ) is applied over at least a portion of first reflective coating ( 3 ). Although the coatings are preferably continuous in most embodiments of the invention, incidental voids in either coating or in both coatings are acceptable in some aspects, such as when the overall coated construction surface possesses the necessary reflective properties. Additional layers also may be used. [0022] In one aspect of the invention, the preferred pigment for use as the undercoating (or primary coating) is titanium dioxide (TiO 2 ). Other suitable pigments for the undercoating include V-9415 and V-9416 (Ferro Corp., Cleveland, Ohio) and Yellow 195 (the Shepherd Color Company, Cincinnati, Ohio), all of which are considered yellow pigments. The primary undercoating can be any color such that the resulting layer exhibits a minimum direct solar reflectance of at least about 25%. [0023] In some embodiments, the secondary or outermost coating includes those pigments having enhanced NIR reflectivity. Suitable pigments for this coating include those described above, as well as: “10415 Golden Yellow”, “10411 Golden Yellow”, “10364 Brown”, “10201 Eclipse Black”, “V-780 IR BRN Black”, “10241 Forest Green”, “V-9248 Blue”, “V-9250 Bright Blue”, “F-5686 Turquoise”, “10202 Eclipse Black”, “V-13810 Red”, “V-12600 IR Cobalt Green”, “V-12650 Hi IR Green”, “V-778 IR Brn Black”, “V-799 Black”, and “10203 Eclipse Blue Black” (from Ferro Corp.); and Yellow 193, Brown 156, Brown 8, Brown 157, Green 187B, Green 223, Blue 424, Black 411, Black 10C909 (from Shepherd Color Co.). These pigments also are useful in the undercoating. [0024] The resulting coated granule of the present invention is non-white in color. A white granule which would have acceptable solar reflectivity is not, however widely acceptable to the marketplace. [0025] The process for coating the granules of the present invention is generally described in U.S. Pat. Nos. 6,238,794 and 5,411,803, herein incorporated by reference. The substrate used for the granules of the present invention is inorganic. The inorganic substrate may be selected from any one of a wide class of rocks, minerals or recycled materials. Examples of rocks and minerals include basalt, diabase, gabbro, argillite, rhyolite, dacite, latite, andesite, greenstone, granite, silica sand, slate, nepheline syenite, quartz, or slag (recycled material). [0026] Preferably, the inorganic material is crushed to a particle size having a diameter in the range of about 300 micrometers (μm) to about 1800 μm. [0027] The coatings used to supply the pigments in both the under or primary coating, and the secondary or outer coating can have essentially the same constituents except for the pigment. The coatings are formed from an aqueous slurry of pigment, alkali metal silicate, an aluminosilicate, and an optional borate compound. The alkali metal silicate and the aluminosilicate act as an inorganic binder and are a major constituent of the coating. As a major constituent, this material is present at an amount greater than any other component and in some embodiments present at an amount of at least about 50 volume percent of the coating. The coatings from this slurry are generally considered ceramic in nature. [0028] Aqueous sodium silicate is the preferred alkali metal silicate due to its availability and economy, although equivalent materials such as potassium silicate may also be substituted wholly or partially therefore. The alkali metal silicate may be designated as M 2 O:SiO 2 , where M represents an alkali metal such as sodium (Na), potassium (K), mixture of sodium and potassium, and the like. The weight ratio of SiO 2 to M 2 O preferably ranges from about 1.4:1 to about 3.75:1. In some embodiments, ratios of about 2.75:1 and about 3.22:1 are particularly preferred, depending on the color of the granular material to be produced, the former preferred when light colored granules are produced, while the latter is preferred when dark colored granules are desired. [0029] The aluminosilicate used is preferably a clay having the formula Al 2 Si 2 O 5 (OH) 4 . Another preferred aluminosilicate is kaolin, Al 2 O 3 .2H 2 O, and its derivatives formed either by weathering (kaolinite), by moderate heating (dickite), or by hypogene processes (nakrite). The particle size of the clay is not critical to the invention; however, it is preferred that the clay contain not more than about 0.5 percent coarse particles (particles greater than about 0.002 millimeters in diameter). Other commercially available and useful aluminosilicate clays for use in the ceramic coating of the granules in the present invention are the aluminosilicates known under the trade designations “Dover” from Grace Davison, Columbia, Md. and “Sno-brite” from Unimin Corporation, New Canaan, Conn. [0030] The borate compound, when incorporated, is present at a level of at least about 0.5 g per kg of substrate granules but preferably not more than about 3 g per kg of substrate granules. The preferred borate compound is sodium borate available as Borax® (U.S. Borax Inc., Valencia, Calif.); however, other borates may be used, such as zinc borate, sodium fluoroborate, sodium tetraborate-pentahydrate, sodium perborate-tetrahydrate, calcium metaborate-hexahydrate, potassium pentaborate, potassium tetraborate, and mixtures thereof. An alternative borate compound is sodium borosilicate obtained by heating waste borosilicate glass to a temperature sufficient to dehydrate the glass. [0031] Inorganic substrate granules, preheated to a temperature range of about 125-140° C. in a rotary kiln or by equivalent means, are then coated with the slurry to form a plurality of slurry-coated inorganic granules. The water flashes off and the temperature of the granules drops to a range of about 50-70° C. The slurry-coated granules are then heated for a time and at a temperature sufficient to form a plurality of ceramic-coated inorganic granules. Typically and preferably the slurry-coated granules are heated at a temperature of about 400° C. to about 530° C. for a time ranging from about 1 to about 10 minutes. Those skilled in the art will recognize that shorter times may be used at higher temperatures. The heat typically and preferably emanates from the combustion of a fuel, such as a hydrocarbon gas or oil. The desired color of the granules may be influenced somewhat by the combustion conditions (time, temperature, % oxygen the combustion gases, and the like). [0032] The second or outer coating is then applied in a similar fashion. [0033] Bituminous sheet materials such as roofing shingles may be produced using the granules of the invention. Roofing shingles typically comprise materials such as felt, fiberglass, and the like. Application of a saturate or impregnant such as asphalt is essential to entirely permeate the felt or fiberglass base. Typically, applied over the impregnated base is a waterproof or water-resistant coating, such as asphaltum, upon which is then applied a surfacing of mineral granules, which completes the conventional roofing shingle. [0034] The following examples are provided to further illustrate aspects of the invention. The examples are not intended to limit the scope of this invention in anyway. Examples Materials [0035] The following materials are used in the Examples: [0000] Sodium silicate solution (39.4% solids, 2.75 ratio SiO 2 to Na 2 O) available from PQ Corp., Valley Forge, Pa. Kaolin clay (available as Snobrite™ from Unimin Corporation, New Canaan, Conn., typical composition: 45.5% SiO 2 , 38.0% Al 2 O 3 , 1.65% TiO 2 and small amounts of Fe 2 O 3 , CaO, MgO, K 2 O and Na 2 O). Borax (Sodium Borate, 5 Mol, typical composition: 21.7% Na 2 O, 48.8% B 2 O 3 , and 29.5% H 2 O) available from U.S. Borax, Boron, Calif. Titanium dioxide (Tronox® CR-800, typical composition: 95% TiO 2 , alumina treated) available from the Kerr-McGee Corporation, Hamilton, Miss. Pigments (10411 Golden Yellow, 10241 Forest Green, V-3810 Red, V-9250 Bright Blue) available from Ferro Corporation, Cleveland, Ohio. Grade #11 uncoated roofing granules (quartz lattite/dacite porphyry) (available from 3M Company, St. Paul, Minn.) specified by the following ranges (as per ASTM D451): [0000] TABLE 1 Nominal % Retained U.S. Sieve No. Opening Minimum Maximum Target Typical 8 2.36 mm 0 0.1 — — 12 1.70 mm 4 10 8 — 16 1.18 mm 30.0* 45.0* — 37.5 20 850 μm 25.0* 35.0* — 30 30 600 μm 15.0* 25.0* — 20 40 425 μm 2.0* 9.0* — 5.5 −40 −425 μm 0 2 1 — *Typical Range Test Method 1 [0036] Reflectance measurements were made with a Perkin Elmer Lambda 900 Spectrophotometer fitted with a PELA-1000 integrating sphere accessory. This sphere is 150 mm (6 inches) in diameter and complies with ASTM methods E903, D1003, and E308 as published in “ASTM Standards on Color and Appearance Measurement,” Third Ed., ASTM, 1991. Diffuse Luminous Reflectance (DLR) was measured over the spectral range of 250-2500 nm. UV-visible integration was set at 0.44 seconds. Slit width was 4 nm. A “trap” was utilized to eliminate complications arising from specular reflectance. [0037] Measurements were all made with a clean and optically flat fused silica (quartz) plate in front of the sample or in front of a standard white plate. A cup having a diameter of about 50 mm and a depth of about 10 mm was filled with the granules to be characterized. Test Method 2 [0038] L*a*b* color measurements were made using a Labscan XE spectrophotometer (Hunter Associates Laboratory, Reston, Va.) fitted with a sample holder and using a traversing roller to ensure that a uniformly level surface was prepared for measurement. The holder was filled to a depth of about 5 mm to ensure that the measured values were attributable to the granules. For a more detailed description of the sample holder and sample preparation refer to U.S. Pat. No. 4,582,425, which is herein incorporated by reference. Granule Coating Method [0039] The slurry components indicated in Table 2 were combined in a vertical mixer. 1000 parts by weight of substrate were pre-heated to 90-95° C. and then combined with the indicated amount of slurry in a vertical or horizontal mixer. Example 1 used Grade #11 uncoated roofing granules as the substrate. Examples 2-4 used granules produced as in example 1 as the substrate. The slurry coated granules were then fired in a rotary kiln (natural gas/oxygen flame) reaching the indicated temperature over a period of about 10 minutes. Following firing, the granules were allowed to cool to room temperature. Examples 1-4 [0040] Examples 1-4 were produced by Granule Coating Method 1 and tested according to Test Methods 1 and 2. The results are summarized in Table 3. [0000] TABLE 2 The amounts listed are in parts by weight unless otherwise indicated. Example 1 2 3 4 Kaolin clay 22.5 15 20 20 Sodium silicate solution 65 34 40 40 Water 15 15 15 15 CR 800 titanium dioxide 8.75 — 3 0.8 10241 Forest Green — 14 — 1.6 10411 Golden Yellow — 1.2 4 — V-13810 Red — — 0.2 — V-9250 Bright Blue — — — 0.6 Borax 3 1 1 — Slurry Parts Per 1000 57.1 40.1 41.6 39.0 Final Firing Temperature 470° C. 460° C. 460° C. 460° C. [0000] TABLE 3 Example 1 2 3 4 Direct Solar 30 27 34 30 Reflectance (%) L* 68.75 55.90 64.40 62.63 a* −0.46 −8.62 5.96 −5.32 b* 1.27 12.45 26.06 2.29 Minimum 20.53% 29.07% 23.83% 20.21% Reflectivity (770-2500 nm) Summed 8560 12078 10659 9686 Reflectance Value [0041] Although the present invention has been described with reference to preferred embodiments, workers skilled in the art will recognize that changes may be made in form and detail without departing from the spirit and scope of the invention.
Provides a non-white construction surface comprising a substrate, a first reflective coating on at least a portion of an outer surface of the substrate, the coated substrate exhibiting a minimum direct solar reflectance value of at least about 25%, and a second reflective coating on at least a portion of the first reflective coating, wherein the combination of the first reflective coating and the second reflective coating provide the substrate with a CIELAB L* lightness value of less than about 69, and at least one of (i) a reflectivity of at least about 20% at substantially all points in the wavelength range between 770 and 2500 nm; and (ii) a summed reflectance value of at least 7000 as measured in the range between 770 and 2500 nm inclusive. Also provided are various substrates having the coatings described as well as methods of providing the described construction surfaces.
REFERENCE TO RELATED APPLICATION This application is a continuation-in-part application of U.S. application Ser. No. 318,541 filed Mar. 3, 1989 (now abandoned). BACKGROUND OF THE INVENTION This invention relates to an electrostatic erasing abrasionproof coating and method for forming the same. Abrasion-proof coatings are formed over surfaces which has a tendency to take scratches due to external rubbing actions. The surface of glass plates which may be used for transmitting light therethrough is a typical example of such a surface. Contact image sensor, which have been recently developed, are suitable for use in compact facsimile machines, copying machines or the like. The image sensor makes direct contact with an original and scans the surface of the original by moving relative to this. An example of the contact image sensor is illustrated in FIG. 1. The sensor comprises a glass substrate 1, a photosensitive semiconductor device 2, a transparent protective layer 3, an adhesive layer 4, an ITO film 5 and a glass pane 6. An original bearing an image to be sensed is placed in contact with the external surface of the glass pane 6. The ITO film, which is a transparent conductive film, is grounded for the purpose of canceling out electrostatic charges collected on the contact surface of the pane 6 due to rubbing action between the original 9 and the glass pane 6. In case of treatment of usual papers, the size of scratches may be of the order of 1 micron meter or less so that the performance of the sensor is not substantially deteriorated by the scratches. However, if a staple is held to a paper to be telefaxed, the paper may give scratches of substantial size which degrade the quality of the transmission. Furthermore, the use of the ITO film for canceling out static electricity increases the size and the production cost of the device. SUMMARY OF THE INVENTION It is therefore an object of the invention to provide an excellent abrasion-proof coatings and methods for forming the same which produce no static electricity on the coating even when rubbing action takes place thereon. In order to accomplish the above and other objects, it is proposed to coat a surface with carbon films in different deposition conditions in order that the external surface of the coating has a higher degree of hardness for providing an abrasion-proof surface and that the carbon coating includes an inner layer whose resistivity is comparatively low (conducting) to extinguish the influence of static electricity. This structure can be realized by inverting the polarity of the pair of electrodes, between which direct or high frequency electric energy is supplied, an object to be coated being mounted on one of the electrodes. When the electrode supporting the object is supplied with high frequency energy (that is to say, the electrode functions as the cathode), the hardness of carbon material becomes high. On the other hand, when the electrode supporting the object is grounded (i.e., the electrode functions as an anode), the hardness becomes low but the conductivity thereof becomes high. By letting the surface be a cathode, carbon material being deposited is eliminated due to bombardment of positive ions such as hydrogen ions, where the elimination rate of soft carbon material is higher than that of hard carbon material. According to a preferred embodiment of the present invention, the energy band gap of carbon product for forming the external abrasion-proof surface of the coating is not lower than 1.0 eV, preferably 1.5 to 5.5 eV: the Vickers hardness is not lower than 500 Kg/mm 2 , preferably not lower than 2000 Kg/mm 2 , ideally not lower than 6500 Kg/mm 2 , at the external surface of carbon coatings: the resistivity ranges from 10 10 to 10 15 ohm centimeter: and the thermal conductivity of the product is not lower than 2.5 W/cm deg, preferably 4.0 to 6.0 OW/cm deg. When used for thermal heads or contact image sensors which are frequently subjected to rubbing action, the smooth, hard and static erasing surface of the carbon coating is very suitable. The carbon coating includes an inner layer region having a low resistivity. The Vickers hardness and the resistivity of the inner layer region are not higher than 1000 Kg/mm 2 , preferably 500 to 700 Kg/mm 2 , and not higher than 10 12 ohm centimeter, preferably 1×10 2 to 1×10 6 ohm centimeter. The inner layer region has lower Vickers hardness and higher conductivity than the external surface. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a cross sectional view showing a prior art contact image sensor. FIG. 2 is a schematic diagram showing a CVD apparatus for depositing carbon material in accordance with the present invention. FIG. 3 is a graphical diagram showing the Vickers hardness and the resistivity of carbon films which have been deposited on an electrode functioning as a cathode. FIG. 4 is a graphical diagram showing the Vickers hardness and the resistivity of carbon films which have been deposited on an electrode functioning as an anode. FIG. 5 is a schematic diagram of a carbon coating in accordance with the present invention. FIGS. 6(A), 6(B), 7(A), 7(B), 8(A), and 8(B) are graphical diagrams showing the variations of the hardness and the resistivity of carbon films through the depth thereof in accordance with the present invention. FIG. 9 is a cross sectional view showing an image sensor given a carbon coating in accordance with the present invention. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS Referring to FIG. 2, there is shown a plasma CVD apparatus for depositing carbon material on a surface in accordance with the teaching of the present invention. The surface to be coated may, for example, be made of semiconductor, glass, metal, ceramics, organic resins, magnetic substance, and so forth. The apparatus comprises a reaction chamber 18 defining a reaction space 30 therein, first and second electrodes 21 and 22, a high frequency electric power source 23 for supplying electric power between the electrodes 21 and 22 through a matching transformer 24, a DC bias source 15 connected in series between the electrodes 21 and 22, a gas feeding system 11 consisting of four passages 12 to 15 each of which is provided with a flow meter 17 and a valve 16, a microwave energy supply 11, a nozzle 19 through which gas excited by the microwave energy supply 20 is introduced into the reaction space 30, and an exhaust system 26 including a pressure control valve 27, a turbomolecular pump 28 and a rotary pump 29. The electrodes are designed such that (the area of the first electrode 21)/(the area of the second electrode 22) <1. A pair of switching means 31 and 32 is provided for inverting the polarities of the electrodes 21 and 22. In a first position of the switching means, the electrode is grounded while the other electrode 21 is supplied with high frequency electric energy from the power source 23. In the other second position, the electrode 21 is grounded while the electrode 22 is supplied with high frequency electric energy from the power source 23. An object having the surface to be coated is mounted on the electrode 21. In operation of this apparatus, a carrier gas of hydrogen is introduced to the reaction space 30 from the gas feeding passage 12 as well as a reactive gas of a hydrocarbon such as methane or ethylene from the gas feeding passage 13. The gas introduction rates of hydrogen and the hydrocarbon are 3:1 to 1:3, preferably 1:1. In addition to this, a V-Group dopant gas such as NH 3 or PH 3 , or a III-Group dopant gas may be inputted to the reaction space 30 through the gas feeding passage 14 or 15 in order to form impurity semiconductors. Pre-excitation may be effected by the microwave energy supply 10. The pressure in the reaction space is maintained within the range between 0.001 to 10 Torr, preferably 0.01 to 0.5 Torr. High frequency electric energy at a frequency not lower than 1 GHz, e.g. 2.45 GHz, is applied to the reactive gas at 0.1 to 5 kilo Watt for breaking C--H bonds. When the frequency is selected to be 0.1 to 50 MHz, C═C bonds can be broken and transformed to --C--C-- bonds. By virtue of this reaction, carbon atoms are deposited atoms in the form of a structure in which the diamond structure occurs at least locally. A bias voltage of, for example, -200 to 600 V is set at the DC bias source 15. The effective bias voltage level is substantially -400 to +400 V when a self bias level in this case of -200 V is spontaneously applied between the electrodes 21 and 22 with the bias voltage level of the source 15 being zero. Generally, the high frequency input power is chosen between 10 Watt and 5 kilo Watt, preferably between 50 Watt and 1 kilo Watt. This input power corresponds to 0.03 to 3 Watt/cm 2 in terms of plasma energy. The substrate temperature is maintained in a range of +250° to -100° C. by means of a temperature control means (not shown). When diamond deposition is desired, the substrate temperature has to be elevated further. FIG. 3 shows the resistivity and the Vickers hardness of films deposited on a surface, to which high frequency electric energy was applied through the electrode 21 at various power levels. As can be seen from the figure, a harder film was deposited by inputting higher power energy. FIG. 4 shows the resistivity and the Vickers hardness of films deposited on a surface which was grounded. Comparing FIG. 4 with FIG. 3, it will be apparent that the resistivity of carbon films formed at the anode side (on the grounded electrode) becomes lower than that in the cathode side (supplied with high frequency energy). In accordance with the teaching of the present invention, a surface is coated with a carbon coating while the deposition condition is changed in order that the hardness of the carbon initially or intermediately deposited on the substrate is relatively low, but the deposition condition is changed such that hardness of the carbon finally deposited becomes very high in order to provide a hard external abrasion-proof surface. This procedure can be carried out in two ways. As seen from FIG. 6(A), the hardness may be changed in steps by stepwise change of the deposition condition in accordance with the above description. Alternatively, as seen from FIG. 6(B), the hardness may be changed continuously from the inner surface to the external surface of the carbon coating. The hardness or resistivity of the carbon coating can be changed from hard to soft and then back to hard, rather than monotonically, in order that an intermediate region of the coating is conductive and sandwiched by hard carbon regions. FIG. 5 illustrates such a case including three carbon film regions. The lower and top films 41 and 43 are deposited to have a high degree of hardness while the intermediate film 42 is deposited to have low resistivity. This example can be realized in two ways as illustrated in FIG. 7 (stepwise change) and FIG. 8 (continuous change). The lower hard film 41 is semi-insulating so that it protects the surface to be coated electrically and mechanically. Further the lower hard film has a function as a blocking layer to prevent impurity from entering into the intermediate film 42 and also a function of improving adhesivity to the substrate and the electrical property. The intermediate region 42 has conductivity and functions as a Buffer layer to alleviate distortion generated by mechanical stress. EXPERIMENT 1 A carbon coating was deposited on a transparent polyimide film 35 as shown in FIG. 9. An amorphous silicon photosensitive semiconductor device 34 was formed on a glass substrate 33 in a conventional manner as well as the polyimide film 35. A first carbon film 36 of 0.6 micron meter thickness was formed on the polyimide film 35 under deposition conditions that the structure was placed on the electrode (cathode) supplied with high frequency energy of 260 W, the introduction rate of carbide gas such as methane, ethylene, or ethane diluted by hydrogen (e.g. methane:hydrogen=1:1) was 100SCCM, the pressure of the reactive gas was 0.03 Torr, and the deposition time was 60 minutes. The hardness and the resistivity were measured to be 1000 Kg/mm 2 and 1×10 12 ohm centimeter. A second carbon film 37 of 0.5 micron meter thickness was formed on the first film 36 under deposition conditions that the electrode supporting the structure was grounded (as an anode), the input high frequency energy was 300 W, the introduction rate of carbide gas such as methane, ethylene, or ethane diluted by hydrogen (e.g. methane:hydrogen =1:1) was 100 SCCM, the pressure of the reactive gas was 0.03 Torr, and the deposition time was 40 minutes. The hardness and the resistivity were measured to be 600 Kg/mm 2 and 1×10 10 ohm centimeter. Finally, a third carbon film 38 was deposited in the same deposition conditions as the first film 36. The first film may be dispensed with. EXPERIMENT 2 This was carried out in accordance with the diagram shown in FIGS. 8(A) and 8(B) rather than FIGS. 7(A) and 7(B). That is, the resistivity and the hardness were continuously decreased and increased along with the decrease and the increase of input energy. Carbon deposition was started under the deposition conditions that the structure was placed on the electrode (cathode) supplied with high frequency energy of 300 W, the introduction rate of carbide gas such as methane, ethylene, or ethane diluted by hydrogen (e.g. methane:hydrogen=1:1) was 100 SCCM, and the pressure of the reactive gas was 0.03 Torr. The input high frequency energy was gradually decreased from 300 W to 200 W at 0.5 to 2.5 W/min. The hardness and the resistivity were decreased, along with the decrease of the input energy, from 1000 Kg/mm 2 to 500 Kg/mm 2 and from 1×10 12 ohm centimeter to not lower than 1×10 8 ohm centimeter respectively. The total thickness of this carbon coating was 0.2 micron meter. After the positions of the switch 31 and 32 were reversed (i.e. the electrode 21 was grounded as an anode), carbon deposition was resumed while the input power was decreased from 300 W to 200 W and subsequently increased from 200 W to 300 W at 0.5 to 2.5 W/min. The hardness and the resistivity were changed along with the change of the input energy, that is, the hardness was decreased from 500 Kg/mm 2 to 300 Kg/mm 2 and subsequently increased from 300 Kg/mm 2 to 500 Kg/mm 2 and the resistivity was decreased and then increased within the range between 1×10 12 ohm centimeter and 1 ×10 8 ohm centimeter. However, the resistivity of this intermediate layer should be lower than that of the underlying hard carbon coating as illustrated in FIGS. 8(A) and 8(B). The total thickness of this carbon coating was 0.4 to 1 micron. After the positions of the switch 31 and 32 were reversed again in the initial positions (i.e. the electrode 21 was supplied with high frequency energy as a cathode), carbon deposition was resumed while the input power was increased from 200 W to 300 W at 0.5 to 2.5 W/min. The hardness and the resistivity were increased, along with the input energy, from 500 Kg/mm 2 to 2000 Kg/mm 2 and from not lower than 1×10 8 ohm centimeter to 1× 10 12 ohm centimeter. However, the resistivity of this upper carbon film should be higher than that of the intermediate layer. The total thickness of this carbon coating was 0.3 to 0.7 micron. EXPERIMENT 3 A first carbon film of 0.6 micron thickness was formed on the polymide film under deposition conditions that the structure was placed on the electrode (cathode) supplied with high frequency energy of 260 W, the introduction rate of carbide gas such as methane, ethylene, or ethane diluted by hydrogen (e.g. methane:hydrogen=1:1) was 100SCCM, the pressure of the reactive gas was 0.03 Torr, and the deposition time was 60 minutes. The hardness and the resistivity were measured to be 1000 Kg/mm 2 and 1×10 12 ohm centimeter. A second carbon film of 0.5 micron thickness was formed on the first film under deposition conditions that the electrode supporting the structure was grounded (as an anode), the input high frequency energy was 300 W, the introduction rate of carbide gas such as methane, ethylene, or ethane diluted by hydrogen was 100SCCM, the pressure of the reactive gas was 0.03 Torr, and the deposition time was 40 minutes. The hardness and the resistivity were measured to be 600 Kg/mm 2 and 1×10 10 ohm centimeter. On the second film, a third external film was deposited at an input energy of 80 W for 50 min., at 150 W for 50 min. and at 300 W for 40 min. sequentially. Then the third film was formed, having its resistivities of 5×10 10 , 2×10 12 , and 1×10 14 ohm centimeter across its thickness of 1.7 microns. EXPERIMENT 4 A first carbon film of 0.6 micron thickness was formed on the polyimide film under deposition conditions that the structure was placed on the electrode (cathode) supplied with high frequency energy of 260 W, the introduction rate of carbide gas such as methane, ethylene, or ethane diluted by hydrogen (e.g. methane:hydrogen=1:1) was 100SCCM, the pressure of the reactive gas was 0.03 Torr, and the deposition time was 60 minutes. The hardness and the resistivity were measured to be 1000 Kg/mm 2 and 1×10 12 ohm centimeter. After the positions of the switch 31 and 32 were reversed (i.e. the electrode 21 was grounded as an anode), a second carbon film was formed while the input power was decreased from 300 W to 200 W and subsequently increased from 200 W to 300 W at 0.5 to 2.5 W/min. The hardness and the resistivity were changed along with the change of the input energy, that is, the hardness was decreased from 500 Kg/mm 2 to 300 Kg/mm 2 and subsequently increased from 300 Kg/mm 2 to 500 Kg/mm 2 and the resistivity was decreased and then increased within the range between 1×10 12 ohm centimeter and 1×10 8 ohm centimeter. However, the resistivity of this second carbon film should be lower than that of the first carbon film. The total thickness of this carbon coating was 0.4 to 1 micron. On the second film, a third external film was deposited at an input energy of 80 W for 50 min., at 150 W for 50 min. and at 300 W for 40 min. sequentially. Then the third film was formed, having its resistivities of 5×10 10 , 2×10 12 , and 1×10 14 ohm centimeter across its thickness of 1.7 microns. EXPERIMENT 5 First and third carbon films were deposited in diamond structure. The deposition conditions required to deposited carbon crystals (diamond) were 700° to 900° C. (substrate temperature), 1.0 to 5 KW (input high frequency energy), 12 hours (deposition time) and CH 4 /H 2 =0.1 to 4 (reactive gas), 3 to 80 Torr (pressure). The thickness of the first and third films were 0.6 micron respectively. The Vickers hardness was measured to be 10,000 Kg/mm 2 . The resistivity was 1×10 15 ohm centimeter. After the first film deposition, a second carbon film (i.e. intermediate film) of 0.5 micron thickness was formed on the first film under deposition conditions that the electrode supporting the structure was grounded (as an anode), the input high frequency energy was 300 W, the introduction rate of methane diluted by hydrogen was 100SCCM, the pressure of the reactive gas was 0.03 Torr, and the deposition time was 40 minutes. The hardness and the resistivity were measured to be 600 Kg/mm 2 and 1×10 10 ohm centimeter. Subsequently, the third film was formed under the above deposition conditions. While a description has been made for several embodiments, the present invention should be limited only by the appended claims and should not be limited by the particular examples, and there may be caused to artisan some modifications and variation according to the invention. For example, it has been proved effective to add hydrogen, a halogen, boron, nitrogen, phosphorus or the like into the carbon coating. Preferably, the proportion of hydrogen or a halogen is not higher than 25 atomic % and the proportion of the other additives are not higher than 5%. Also, though the experiments were carried out for deposition carbon coatings on semiconductor substrates, the carbon coatings can be deposited on a substrate made of an organic resin such as PET (POLYETHYLENETEREPHTHALATE), PES, PMMA, teflon, epoxy and polyimides, metallic meshes, papers, glass, metals, ceramics, parts for magnetic heads, magnetic discs, and others. The types of carbon coatings deposited in accordance with the present invention includes amorphous, polycrystals (comprising diamond powders), and diamond films. In the case of a dual film, lower and upper films may be, respectively, amorphous and amorphous (having different hardnesses), amorphous and polycrystals, polycrystals and polycrystals, or polycrystals and a diamond film.
An abrasion-proof and static-erasing coating is formed on the contact surface of a contact image sensor. The coating comprises a first film having a high hardness and a low conductivity, a second film formed on the first film and having a low hardness and a high conductivity, and a third film having a high hardness and a high resistivity providing an abrasion-proof insulating external surface.
This is a continuation of U.S. patent application Ser. No. 09/223,197, filed on Dec. 30, 1998, now U.S. Pat. No. 6,255,233. FIELD OF THE INVENTION The present invention is useful in the field of semiconductor processing. More specifically, the present invention discloses a method of depositing materials onto a substrate. BACKGROUND Semiconductor devices contain transistor elements and conductive lines of metal integrated with one another on a semiconductor substrate. In terms of location, the transistor elements are at the bottom of the device, so that they may be in direct contact with the underlying semiconductor substrate. Metal contacts electrically couple the transistor elements with the first level of metallization. Metal vias electrically couple the metal layers to one another. A dielectric thin film insulates the metal layers. The insulator exists between metallization layers, as well as surrounding the contacts and vias. A semiconductor device is manufactured sequentially where one film is fabricated at a time. The sequence generally includes depositing a thin film material, patterning the material using photolithography and plasma etching, and then depositing another thin film material on the patterned material. There may be planarization steps in between the deposition steps, to reduce topographical effects that can limit photolithography and etching. The metallization sequence of fabrication is usually as follows. A layer of silicon oxide is deposited on the substrate. The substrate may be the transistor elements or an underlying layer of metallization. Openings are formed in the silicon oxide. These openings are usually shaped as holes. The openings are filled with a conductor metal, usually aluminum or tungsten to form contacts or vias, as the case may be. Excess metal is removed from the surface and the surface is planarized. Then, a metallization material is deposited on the planarized silicon oxide containing contact or via plugs, usually this is aluminum. The aluminum is patterned using plasma etching to form electrically conductive lines that are coupled to the underlying metal or transistor elements through vias or contacts. Then, the patterned aluminum lines are covered with silicon oxide. Then, openings are formed in the silicon oxide, and the openings are filled with metal to create vias. The sequence is repeated until the desired number of metallization layers is attained. In a semiconductor manufacturing method known as “damascene”, a layer of silicon oxide is deposited on a substrate surface, and openings are formed in the silicon oxide to create a trench pattern in the shape of metal lines. Then, metal is deposited into the trenches of the pattern. The metal may be planarized to remove excess from the top surface of the silicon oxide. The result is a series of metal lines surrounded by silicon oxide, but it is achieved by depositing the metal into trenches in the silicon oxide, as opposed to depositing a blanket layer of metal and patterning it to form lines. As the trend in semiconductor fabrication moves toward using copper as the conductive metal, it is desirable to use damascene, which avoids etching metal, because of technical problems with plasma etching copper. A limitation to the damascene process, however, is that it is difficult to properly endpoint the silicon oxide etch. This is because, the silicon oxide not only serves as the filler material around the metal lines, it also serves as insulation between metallization layers. Thus, a portion of the silicon oxide resides below the level on which to place the metal lines. It is evident, then, that when the silicon oxide must be etched, endpointing is difficult because it must be etched to enough depth to expose underlying vias, but in places where there are no vias to expose, the etch simply must be stopped to a measured depth. Simply stopping an etch to a measured depth can be done at a designated point on a substrate, but difficulties arise when the etch stop must be done uniformly across the substrate. To address the etch stop problem with damascene, it is desirable to utilize an etch stop film, so that when the etch stop film is reached, the selectivity of the etch process favoring the silicon oxide will enable etch endpointing to be done more uniformly across the substrate. The etch stop film must also have insulative properties. A proposed etch stop film is silicon nitride. Silicon nitride and silicon oxide are both formed using chemical vapor deposition. Each is traditionally formed in separate processing chambers. A sequence for forming the films may be to insert a substrate into a silicon nitride process chamber, deposit the silicon nitride, remove the substrate, insert the substrate into a silicon oxide chamber, and form the silicon oxide. There is an obvious downside to using two separate chambers which is that, when the substrate is removed from the silicon nitride process chamber, contamination could form on the film. The contamination could inhibit the formation of chemical bonds and result in adhesion problems when the silicon oxide is formed on the silicon nitride. Another problem with using two separate processing chambers is simply added process time from maneuvering the substrate from one processing chamber to another. Another problem with using silicon nitride and silicon oxide as a stack is the abruptness of the nitrogen content and oxygen content of the films at the film interface. An abrupt interface leads to adhesion problems for the silicon oxide due to large interfacial stresses. It would be advantageous to avoid the contamination, extra processing time, and adhesion problems when utilizing a stacked dielectric film of silicon nitride and silicon oxide as the insulator in semiconductor devices. SUMMARY OF THE INVENTION The invention discloses a process for forming a silicon oxide film over silicon nitride on a substrate. A substrate is placed within a plasma processing chamber, and a silicon nitride film is deposited thereon. Then, the silicon oxide film is formed within the same plasma process chamber. In a further aspect of the invention, a novel semiconductor device is disclosed. There is a substrate, on which there is a layer of silicon nitride. There is a layer of graded silicon oxynitride on the silicon nitride. A layer of silicon oxide is on the graded silicon oxynitride. BRIEF DESCRIPTION OF THE DRAWINGS Drawings are provided to further illustrate details of the disclosure below. The drawings do not limit the scope of the invention to the features shown. The features are not drawn to scale. FIG. 1 is a cross-sectional view illustrating a silicon nitride film, a graded silicon oxynitride film thereon, and a silicon oxide film thereon. FIG. 2 is a graph illustrating the silicon nitride to silicon oxide gradation. FIG. 3 is a cross-sectional view illustrating a semiconductor device having a silicon nitride film, a graded silicon oxynitride film, and a silicon oxide film. FIG. 4 is an illustration of a side view of the interior or a plasma processing chamber where the three films, silicon nitride, silicon oxynitride and silicon oxide, may be formed. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS Disclosed is a method of formation of a stacked film of silicon nitride and silicon oxide. The two films are preferably formed within the same plasma processing chamber. In another aspect, the two films contain a silicon oxynitride layer between the two films, where the oxygen percentage in the silicon oxynitride is graded to avoid an abrupt silicon nitride and silicon oxide interface. The invention will be described first in terms of the stacked film structure. Then, a method of forming the stacked film will be described. Any reference to dimensions are purely for illustrative purposes, and are determined by the design rules of a particular semiconductor device. Any reference to “approximate” or like term, should be construed as a target manufacturing specification, plus or minus variation within reasonable manufacturing tolerances, unless otherwise specified. The detailed description will refer to silicon oxide, but it should be construed very generically, so that silicon oxide includes any oxides of silicon in varying compositions, and various additives that may be used. For example, a “silicon oxide” film may actually be fluorinated silicon oxide. The context of the description will be in semiconductor processing, and more specifically, in the use of copper for metallization in a damascene process. Although the context is copper damascene process, the invention is not limited to that context in any way. Nor is the invention limited to semiconductor devices or semiconductor processing. A person of ordinary skill in the art is expected to apply the invention to various contexts as reasonably can be expected of a person of such skill; the description below should not be construed to limit the scope of the invention to the aspects described. FIG. 1 illustrates in cross-section, a preferred embodiment of the stacked silicon nitride and silicon oxide film. There is a substrate 10 , which may be a silicon wafer or a silicon wafer with silicon oxide deposited on top of the wafer. A silicon nitride film 20 is formed on substrate 10 . Silicon nitride film 20 may be approximately 100 angstroms to 2000 angstroms in thickness. A silicon oxide film 30 is held a distance above silicon nitride film 20 . Silicon oxide film 30 may be approximately 5000 angstroms to 3 microns in thickness. A layer of graded silicon oxynitride 40 separates silicon nitride film 20 from silicon oxide film 30 . Silicon oxynitride 40 may be approximately 300 to 2000 angstroms thick. The percentage of oxygen is graded in silicon oxynitride 40 so that the bottom of silicon oxynitride 40 at the silicon nitride interface 50 contains approximately zero percentage of oxygen. The top of silicon oxynitride 40 at the silicon oxide interface 60 contains an oxygen composition that is approximately 50 to 70% oxygen, preferably approximately 60% oxygen. The minimum thickness of silicon oxynitride 40 is determined by the desired oxygen and nitrogen concentration gradients. The amount of oxygen in silicon oxynitride 40 can be measured in estimate using depth profile SIMS or similar technique. Because in semiconductor manufacturing it is advantageous to minimize processing time, the maximum thickness of silicon oxynitride 40 is preferably determined by the minimum thickness required for the desired concentration profiles plus added thickness for manufacturing tolerances including film thickness uniformity across substrate 10 . FIG. 2 shows a graphical description of the gradation of oxygen in silicon oxynitride 40 of FIG. 1 . At approximately zero film thickness, the oxygen percentage is about zero. At approximately 500 to 1000 angstroms distance from silicon nitride, the oxygen percentage is about 60%. Note that varying the process for forming silicon oxynitride 40 can vary the composition of oxygen with respect to film thickness. A description of a process is provided further below. FIG. 3 shows in cross section an application of the present invention to a copper metallization semiconductor device. There is a semiconductor wafer substrate 100 . Transistor structures 110 are built on substrate 100 . A first dielectric layer 120 is disposed above transistor structures 110 . First dielectric layer 120 may be silicon oxide, at a thickness of approximately 1 to 2 microns. First dielectric layer 120 may be deposited over transistor structures using a chemical vapor deposition, to create a blanket layer of first dielectric 120 . Contact holes 140 within first dielectric 120 are filled with a metal such as tungsten, aluminum or copper, to enable electrical interconnection between transistor structures 110 and electrical wiring above the device. Contact holes 140 may be created in first dielectric layer 120 by plasma etching a hole pattern into first dielectric layer 120 , and filling the pattern with the desired metal using vapor deposition techniques. Directly above first dielectric layer 120 containing filled contact holes 140 , there is a second dielectric layer 125 . Second dielectric layer 125 surrounds a first metallization 145 . Second dielectric layer 125 may be a fluorinated silicon oxide, so that the dielectric constant of the film is lower than that of pure silicon dioxide. First metallization 145 may be made of copper, and is patterned in a series of lines for forming a first wiring plane in the semiconductor device. First metallization 145 is formed by opening a pattern in second dielectric layer 125 by plasma etching, and then filling the openings in the pattern with copper or other preferred metal, and then planarizing the surface to remove any excess metal. This “single damascene” technique effectively fills contact holes 140 with the desired metal. First metallization 145 and second dielectric 125 may be approximately 5000 angstroms to 1 micron in thickness. The next series of films is a silicon nitride/silicon oxynitride/silicon oxide stack. There is a first silicon nitride etch stop 150 that is directly above the surface of second dielectric 125 and first metallization 145 . Directly above the surface of first silicon nitride 150 is a first graded silicon oxynitride 160 . Directly above the surface of first graded silicon oxynitride 160 is a third dielectric layer 170 , which may be a fluorinated silicon oxide (“SiOF”) also referred to as first SiOF so that the dielectric constant of third dielectric layer 170 is lower than that of pure silicon dioxide. First silicon nitride 150 is formed directly over second dielectric 125 and first metallization 145 preferably by vapor deposition, to a thickness of approximately 40 nanometers to 500 nanometers, or 400 angstroms to 5000 angstroms. It is important to make first silicon nitride 150 as thin as possible within manufacturing feasibility to avoid dielectric constant increase effects. First graded silicon oxynitride 160 is preferably formed using vapor deposition, within the same processing chamber used for forming first silicon nitride 150 , by combining the process gases used for forming first silicon nitride 150 and SiOF 170 . First graded silicon oxynitride 160 is preferably a thickness of approximately 300 to 2000 angstroms. SiOF 170 is preferably formed using vapor deposition, within the same processing chamber used for forming first silicon nitride 150 and graded silicon oxynitride 160 , to a thickness of approximately 5000 angstroms to 3 microns. Within the plane of SiOF 170 there is a dual structure of a first via 155 and a second metallization 165 . First via 155 is formed by etching a hole at a first dimension within SiOF 170 , and, while forming a larger opening at a second dimension within SiOF 170 to create the space for second metallization 165 , extending the hole at the first dimension through the thickness of SiOF 170 , first graded silicon oxynitride 160 and first silicon nitride 150 so that the hole extends to first metallization 145 . First via 155 is in direct metal-to-metal contact with first metallization 145 . Second metallization 165 is formed by etching line patterns into SiOF 170 , preferably in-situ with cutting the hole for first via 155 as explained above. The line patterns for second metallization 165 are aligned with the hole patterns for first via 155 to enable direct metal-to-metal contact between first via 155 and second metallization 165 . After creating the hole openings and line openings within the stack of SiOF 170 , first silicon oxynitride 160 and first silicon nitride 150 , first via 155 and second metallization 165 are completed by filling the openings with copper or other desired electrically conductive material, utilizing a vapor deposition process. The next structure layer of the semiconductor device may be similar to the above. There is a second silicon nitride 180 , formed directly above the surface of SiOF 170 and second metallization 165 . Directly above the surface of second silicon nitride 180 is a second graded silicon oxynitride 190 . Directly above the surface of second graded silicon oxynitride 190 is a fourth dielectric layer 200 , also referred to as second SiOF 200 , so that the dielectric constant of second SiOF 200 is lower than that of pure silicon dioxide. Second silicon nitride 180 is formed preferably by vapor deposition, to a thickness of approximately 40 nanometers to 500 nanometers, or 400 angstroms to 5000 angstroms. It is important to make second silicon nitride 180 as thin as possible within manufacturing feasibility to avoid dielectric constant increase effects. Second graded silicon oxynitride 190 is preferably formed using vapor deposition, within the same processing chamber used for forming second silicon nitride 180 , by combining the process gases used for forming second silicon nitride 180 and second SiOF 200 . Second graded silicon oxynitride 190 is preferably a thickness of approximately 300 to 2000 angstroms. Second SiOF 200 is preferably formed using vapor deposition, within the same processing chamber used for forming second silicon nitride 180 and second graded silicon oxynitride 190 , to a thickness of approximately 5000 angstroms to 3 microns. Within the plane of second SiOF 200 there is a dual structure of a second via 175 and a third metallization 185 . Second via 175 is formed by etching a hole within second SiOF 200 , and, while forming a larger opening within second SiOF 200 to create the third metallization 185 , extending the hole through the thickness of second SiOF 200 , second graded silicon oxynitride 190 and second silicon nitride 180 so that the hole extends to second metallization 165 . Second via 175 is in direct metal-to-metal contact with second metallization 165 . Third metallization 185 is formed as explained above, by etching line patterns into second SiOF 200 , preferably in-situ with cuffing the hole for second via 175 . The line patterns for third metallization 185 are aligned with the hole patterns for second via 175 to enable direct metal-to-metal contact between second via 175 and third metallization 185 . After creating the hole openings and line openings within the stack of second silicon nitride 180 , second silicon oxynitride 190 and second SiOF 200 , second via 175 and third metallization 185 are completed by filling the openings with copper or other desired electrically conductive material, utilizing a vapor deposition process. The process for forming the stacked silicon nitride and silicon oxide film can be carried out in a commercial chemical vapor deposition chamber. An example process is described in reference to FIG. 4 . Substrate 300 is placed within a plasma processing chamber 310 . The preferred plasma processing chamber utilizes microwave frequency source 320 for forming plasma in an electron cyclotron resonance. Other sources of plasma such as inductive coupling can be used. The processing chamber 310 is pumped down to a minimum pressure for processing chamber 310 . Then, silicon nitride-forming gases are introduced, preferably these are silane (SiH 4 ) 330 and nitrogen (N 2 ) gas 340 . The gases flow through an inlet 350 (ammonia may be used if the system is not a high density plasma system capable of dissociating diatomic nitrogen). The gas flow rates depend on the size of the processing chamber 310 and the size of the substrate 300 ; an example of a total gas flow rate may be approximately 80 standard cubic centimeters per minute (sccm) to 200 sccm. A ratio of approximately ¼ to ½ of SiH 4 to N 2 may be used. The temperature of substrate 300 is regulated at approximately 375 to 450 degrees celsius. The substrate temperature is regulated by securing the substrate 300 against a helium-cooled chuck 360 preferably by electrostatic force or a mechanical clamp. The plasma is formed by applying a microwave frequency to the process gases, at a power level of approximately 450 to 750 watts. Substrate 300 may be biased using radio frequency at about 3000 watts. The various process parameters may be adjusted to achieve a desired refractive index and deposition rate for the silicon nitride. Silicon nitride is formed to a desired thickness. Next, a graded silicon oxynitride is formed. Without modifying the electrical or thermal parameters described above, the flowrate of the gases used for forming silicon nitride is reduced by approximately one half, and gases for forming SiOF (since it can be desirable to use SiOF in lieu of pure silicon dioxide) are added to the mixture, for an example total gas flow rate of approximately 130 to 250 sccm. Such gases for forming SiOF may be silicon tetrafluoride (SF 4 ) 370 and oxygen (O 2 ) 380 . SiF 4 370 may be approximately 10 to 20% of the total gas flow, and O 2 380 may be approximately 50 to 75% of the total gas flow, and the ratio of SiH 4 330 to N 2 340 remain approximately the same as for depositing silicon nitride. Silicon oxynitride is formed to a desired thickness. Next, without removing substrate 300 from processing chamber 310 , the SiOF is formed. The flow rates of SiF 4 370 and O 2 380 from the previous step are approximately doubled, and SiH 4 330 is preferably turned off. N 2 340 may remain on but preferably at a flowrate reduced by 75 to 50% from the previous step, for an example total gas flow rate of approximately 200 to 300 sccm. Power levels for substrate bias and microwave power may be adjusted to obtain the desired refractive index and deposition rate for silicon oxide. When the desired amount of silicon oxide is formed, the plasma is turned off, and substrate 330 is removed from process chamber 310 . A process has been described for forming a silicon nitride, silicon oxynitride and SiOF films all within the same plasma processing chamber. Forming a stacked silicon nitride and silicon oxide film structure in the same chamber has the advantage of avoiding contamination to the silicon nitride surface that may result if the substrate is removed from the processing chamber and inserted into a separate chamber for forming the silicon oxide. Additionally, a graded silicon oxynitride can be formed by having a step in between the formation of silicon nitride and silicon oxide, where the gases for forming the two films are merged together. A graded silicon oxynitride is advantageous in that it helps the silicon oxide adhere to the silicon nitride by having a gradual, rather than abrupt, interface. While details have been provided on the process for formation of a silicon nitride, silicon oxynitride and silicon oxide stacked film, they are provided for an example in a semiconductor fabrication context only. Gas chemistries, power levels, bias, pressure, and temperature may differ depending on the processing chamber used, the type of plasma that is formed, the size or type of substrate, and the desired refractive indices and deposition rates. Other details that may have not been provided may be obtained by experimentation by a person of ordinary skill in the art. While particulars of the structure and process have been provided here, such details should not be construed to limit the present invention in any way, as the invention is limited only by the claims below.
A structure to enable damascene copper semiconductor fabrication is disclosed. There is a silicon nitride film for providing a diffusion barrier for Cu as well as an etch stop for the duel damascene process. Directly above the silicon nitride film is a silicon oxynitride film. The silicon oxynitride film is graded, to form a gradual change in composition of nitrogen and oxygen within the film. Directly above the silicon oxynitride film is silicon oxide. The silicon oxide serves as an insulator for metal lines. Preferably, the film stack of silicon nitride, silicon oxynitride and silicon oxide is all formed in sequence, within the same plasma-processing chamber, by modifying the composition of film-forming gases for forming each film.
CROSS-REFERENCE TO RELATED APPLICATIONS [0001] This Non-Provisional Patent application claims the benefit of Provisional Patent Application No. 61/688,133. Confirmation No. 8512. Filing date: May 9, 2012; Name of Applicants: Mark T. Johnson and Jacob A. Hauck; Title of that invention: Self-aligning Auto-driving Drill. STATEMENT REGARDING FEDERALLY SPONSORED RESEARCH OR DEVELOPMENT [0002] Not applicable THE NAMES OF THE PARTIES TO A JOINT RESEARCH AGREEMENT. Not applicable INCORPORATION-BY-REFERENCE OF MATERIAL SUBMITTED ON A COMPACT DISC. Not applicable BACKGROUND OF INVENTION [0003] The field of endeavor to which this invention pertains is that of hand-held power drills. [0004] Description of Related Art including information disclosed under 37 CFR 1.97 and 1.98. The applicant is not aware of prior art that specifically addresses the objects of this invention, nor any that teaches the disclosed implementation. There exists art intended to address tight space drilling: (Wrobel, U.S. Pat. No. 8,246,279), (Sassatelli U.S. Pat. No. 8,382,402). Wrobel and Sassatelli both recognize the impediments surrounding drilling tight spaces. However, Wrobel and Sassatelli accomplish tight space drilling through the use of mounting the apparatus between workpieces, and are not hand-held. [0005] There exists art using novel right-angle drill mechanisms, such as that achieved through gearing (Murphy, U.S. Pat. No. 7,484,438), that achieved by adding speeds and a larger motor (Potter, U.S. Pat. No. 6,461,088), or through attachments (Duennes, U.S. PAT D439124). Murphy, Potter, and Duennes all recognize the benefits of a right-angle drill presentation, but they do not teach automaticity of the drill feed. All require, to varying extent, some operator force. [0006] Finally there exists relevant art intended to address problems of illumination (Hara, U.S. Pat. No. 7,137,761), and reducing stress on the operator (Bodine, US 20100107423 A1). Hara and Bodine do not identify anything resolved in the apparatus disclosed herein, except to illustrate that the field of hand-held right angle drills is a common field, due its usefulness. [0007] None of the prior art teaches the three features of automatic driving of the drilling implement, together with the positional advantages of a right-hand drill, all in a hand-held apparatus. BRIEF SUMMARY OF THE INVENTION [0008] There exists an unmet need for tradesmen to easily align and drill straight holes in studs, joists and other work pieces. In current practice, to drill holes in studs to run electrical conduit, an operator using a conventional pistol-style drill must position himself facing the wide dimension of a 2×4 or 2×6, supply the desired angle and force, and continually push as the hole is drilled. See FIG. 1 ( 38 ) for the angle that the electrician would use to drill said hole. This is laborious because the operator must supply the force to advance the drill. He is, however, able to put his body weight “to bear” in this position. The position, however, is awkward, because he must position himself and the drill between existing studs. This space is often as little as 12 inches, and is commonly only 16 inches. Finally, this method produces holes that are angled, because due to the space limitations, the drilling implement cannot be presented substantially orthogonal to the workpiece. [0009] An electrician can also drill conduit holes using a right-angle drill. This tool mitigates the body-position limitations, as compared to drilling with a pistol-style drill. It also allows straight holes to be drilled, because the drilling implement is presented substantially orthogonal to the stud. However, in using a right-angle drill, the operator must expend much more energy than when using a pistol-style drill, because he cannot put his body-weight to bear on a right-angle drill. He must push from the side using only his arms, which is physically taxing on the operator. This limitation effectively eliminates the use of a right-angle drill in running electrical conduit, since hundreds or even thousands of holes must be drilled in each structure. [0010] In using the object of this invention, an automatically driving drill apparatus, as described herein, the labor to advance the drill is accomplished by the invention, without sacrificing the benefits of presenting the drilling implement orthogonally to the workpiece. See FIG. 1 ( 37 ) for the angle used for the Self-Aligning Automatically Driving Drill Apparatus described herein. This angle is the benefit derived from conventional right-angle drills. But in the Self-Aligning Automatically Driving Drill Apparatus, the Carriage Feed Motor ( 4 ) supplies all the force required to advance the drill bit, not the operator, unlike a conventional pistol-style or right-angle drill. BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWING [0011] 1. FIG. 1 depicts the angle ( 37 ) by which the operator will approach a workpiece when using the automatically driving drill apparatus, versus the angle ( 38 ) by which the operator is forced to approach a workpiece when using a conventional pistol-style drill. [0012] 2. FIG. 2 depicts the preferred embodiment of the automatically driving drill apparatus described herein. [0013] 3. FIG. 3 depicts the Label Key numbers used in this application and on the other drawings. Numbers used in parentheses in the specification and in the drawings correspond to their description on this Label Key. [0014] 4. FIG. 4 depicts an illustration of the drilling cycle. [0015] 5. FIG. 5 depicts the preferred embodiment of the electronic subsystem in block diagram form. [0016] 6. FIG. 6 depicts the preferred embodiment of the workpiece saddle. DETAILED DESCRIPTION OF THE INVENTION [0017] 1). The embodiment of the design described herein is a hand-held, power drill. The preferred embodiment is depicted on FIG. 2 . [0018] 2). To begin operation, the operator will plug the Power Cord and Plug ( 24 ) into a suitable power outlet. In the preferred embodiment, the Carriage Feed Motor ( 4 ) and Drill Motor ( 1 ) are well known electric motors running on alternating current. Once the drill apparatus is powered the operator will then engage the Main Power Switch ( 25 ). [0019] 3). Once powered, the Carriage Feed Motor ( 4 ), which is mounted on the Feed Motor Mounting Plate ( 8 ), will begin rotating the Lead Screw ( 5 ) clockwise. The rotation of the Lead Screw ( 5 ) engages the female threads in the Drill Carriage ( 6 ), thereby guiding the Drill Carriage ( 6 ) to the right along the Linear Rails ( 7 ). The Linear Rails ( 7 ) are mounted on the Linear Rail Mounting Plate ( 9 ). The Drill Carriage ( 6 ) will travel until the Right Limit Switch ( 11 ) is triggered. Once the Right Limit Switch ( 11 ) is triggered, the Controller ( 23 ) turns off the Carriage Feed Motor ( 4 ). The operator may change the Drill Bit ( 3 ) by removing it from the Drill Chuck ( 2 ). [0020] 4). The operator next grasps the Left and Right Hand Grips ( 20 ) which are mounted to Handle Mounting Plate ( 22 ). The operator guides the workpiece saddle, comprised of the Right Stud Plate Guide ( 14 ), Left Stud Plate Guide ( 16 ) and Stud Back Plate Guide ( 15 ), over the desired workpiece at the desired vertical location. These guide plates can be manipulated to accommodate workpieces of varying thicknesses. As depicted on FIG. 6 , manual manipulation of the Shaft Collars ( 35 ) causes the Linear Guide Shafts ( 34 ) to slide the Left Stud Plate Guide ( 16 ) in or out as needed to accommodate a workpiece dimension. The Lock Knob ( 36 ) is then tightened when the desired dimension is achieved. The operator can adjust the lateral location of the hole on the workpiece by rotating the Stud Depth Adjustment Knob ( 17 ). [0021] 5.) The operator will then engage the Start Button ( 21 ). Once the Start Button ( 21 ) has been engaged, the Controller ( 23 ) will determine if both the Upper Stud Detect Sensor ( 18 ), and the Lower Stud Detect Sensor ( 19 ), have been activated. If either, or both, of the Upper and Lower Stud Detect Sensor's are not activated, the Drill Motor ( 1 ) will not turn on. This is a safety feature. The Stud Sensors ( 18 ) and ( 19 ) may be implemented with standard micro-switches that close with pressure. [0022] 6). If both Upper ( 18 ) and Lower Stud Detect Sensors ( 19 ) are activated, the Drill Motor ( 1 ) will turn on. The Drill Motor is mounted on Drill Motor Mount ( 13 ). The Carriage Feed Motor ( 4 ) will also turn on, and turn the Lead Screw ( 5 ) counter-clockwise, driving the Drill Carriage ( 6 ) left toward the stud. The tip of the Drill Bit ( 3 ) will eventually trigger the Drill Tip Proximity Sensor ( 27 ). The function of the Drill Tip Proximity Sensor ( 27 ) is to sense when the Drill Bit ( 3 ) is located near the workpiece. The Drill Tip Proximity Sensor ( 27 ) may be of the inductive type, capable of sensing a metallic bit, a photo-electric sensor, or another suitable proximity sensor. When the tip of the Drill Bit ( 3 ) triggers the Drill Bit Tip Proximity Sensor ( 27 ), the Controller ( 23 ) will zero out the position of the Feed Motor Encoder ( 26 ). The Feed Motor Encoder ( 26 ) is a sensor well known in the art that provides counts of fractional turns of the motor to the Controller ( 23 ), and this information, along with information of the pitch of the Lead Screw ( 5 ) allows the Controller ( 23 ) to calculate the precise location of the Drill Bit ( 3 ) relative to its zeroed position. With the Drill Motor ( 1 ) still on, the Carriage Feed Motor ( 4 ) will continue to turn the Lead Screw ( 5 ) counter-clockwise, driving the Drill Carriage ( 6 ), and thus the Drill Bit ( 3 ), through the workpiece. The Controller ( 23 ) will stop the Carriage Feed Motor ( 4 ) when the encoder count reaches a pre-programmed encoder limit. For example, 2.5 inches of carriage travel will ensure that the Drill Bit ( 3 ) has travelled cleanly through a standard thickness workpiece. In one embodiment, the encoder limit can be user programmed to accommodate a range of desired drilling distances, for example from ½ inch to 8 inches. The user setting of the drill encoder limit may be accomplished with a dial indicating inches or fractions thereof, or other well known user input means such as a keypad. [0023] Once the encoded limit is reached, the Controller ( 23 ) reverses the direction of the Carriage Feed Motor ( 4 ), which will drive Lead Screw ( 5 ) clock-wise, which will drive the Drill Carriage ( 6 ) to the right until it encounters Right Limit Switch ( 11 ) at which point the Controller ( 23 ) will stop both the Carriage Feed Motor ( 4 ) and the Drill Motor ( 1 ). This completes the drilling cycle. [0024] 7.) The electronic subsystem block diagram is depicted in FIG. 5 . The functions of all of the elements depicted on FIG. 5 are been discussed elsewhere except for Power Relay ( 30 ) and H-Bridge ( 31 ). The Power Relay ( 30 ) allows the logic output of the Controller ( 23 ) to control the on or off state of the Drill Motor ( 1 ). The H-Bridge ( 31 ) is a well-known switch configuration that allows logic signals from the Controller ( 23 ) to change the polarity of voltage to the Carriage Feed Motor ( 4 ), and thus support changes in direction. In addition, it allows the Controller ( 23 ) to disable power to the Carriage Feed Motor ( 4 ). [0025] 8.) The RPM sensor ( 32 ) is depicted on FIG. 5 . The RPM sensor ( 32 ) senses binding of the Drill Motor ( 1 ) by monitoring the RPMs of the drill motor. If the motor RPMs fall below a pre-determined limit, drill binding is likely occurring. In most cases this will be due to the hardness of a workpiece. It could also be due to a dull Drill Bit ( 3 ), or other factors. If drill binding is occurring, it is advantageous to slow the carriage advance until the RPMs recover to normal. This is accomplished by the RPM Sensor ( 32 ) relaying Drill Motor ( 1 ) RPMs to the Controller ( 23 ), which is programmed to slow or halt Carriage Feed Motor ( 4 ) until the RPMs regain their pre-programmed limit. [0026] 9.) FIG. 2 depicts a Laser Distance Sensor ( 28 ) mounted on the apparatus. The Laser Distance Sensor ( 28 ) uses well known “laser ruler” technology of measuring distance to a surface, such as the floor. The Laser Distance Sensor ( 28 ) is interfaced to the Controller ( 23 ), and when the apparatus is at a desired, programmed distance from the floor, an indicator provides feedback to the user that the apparatus is at the desired drilling height. The indicator may be a lamp or LED. If the operator has the apparatus positioned too high or too low, the laser determined value will be out of range and the system logic circuitry will turn the indicator off. This feature allows the operator to drill all holes at the same height. The desired distance from the floor is user settable, using a dial or other well known user input means providing said input to the system microcontroller. [0027] 10.) FIG. 2 depicts two Shoulder Strap Mounts ( 29 ) mounted to the apparatus. A shoulder strap connects to the Shoulder Strap Mounts ( 29 ) and is placed over the left shoulder of the operator. This feature eases the strain of holding the apparatus by disbursing the weight of the apparatus to the operator's body. This feature also increases the maneuverability of the apparatus. This completes the discussion of the preferred embodiment. [0028] 11.) In an alternative design embodiment, a Current Sensor ( 33 ) rather than a RPM Sensor ( 32 ) is employed to monitor drill binding. The Current Sensor ( 33 ) monitors the electrical current used by the apparatus. If more current is being used than normal, it is likely drill binding is occurring. If the Current Sensor ( 33 ) relays that current beyond the pre-determined limit is being used, the Controller ( 23 ) is programmed to slow or halt the Carriage Feed Motor ( 4 ). [0029] 12.) An alternative design embodiment uses gearing rather than the Lead Screw ( 5 ) configuration described herein. In this embodiment, use of gearing arrangements will accomplish the same right angle position as the Lead Screw ( 5 ) configuration accomplishes. These gearing arrangements could be well known arrangements such as a worm, bevel, or spiral gear, or of another kind The automaticity of drill feed would not be affected by an alternative gearing arrangement. [0030] 13.) An alternative design embodiment uses the same components and processes as described in the preferred embodiment. However, some components are rearranged, and are incorporated on the left hand side of the apparatus, instead of the right side, as they are arranged in the preferred embodiment. These components include the Carriage Feed Motor ( 4 ), Feed Motor Encoder ( 26 ), and the Feed Motor Mounting Plate ( 8 ). The benefit of this embodiment is the spatial dimensions of the apparatus are reduced, increasing maneuverability. The weight distribution of the apparatus is also more balanced left-to-right with this alternative design embodiment, thus reducing operator fatigue. [0031] 14.) An alternative design embodiment uses batteries, or another source of power, to power the Drill Motor ( 1 ) and Carriage Feed Motor ( 4 ), instead of using alternating electrical current via the Power Cord and Plug ( 24 ). [0032] 15.) Although the invention has been described herein in what are conceived to be practical and preferred embodiments, it is recognized that departures may be made there from, and yet remain within the scope of the invention.
A handheld power drill apparatus includes a drill motor, a carriage motor, a drilling implement with an interchangeable locking chuck, an electronic controller, and guide plates. The guide plate is slidably mounted to accommodate different work piece thicknesses. The drilling implement is coaxially mounted on a lead screw mounting plate. The drilling implement is positioned substantially orthogonal to the workpiece. The drilling implement is automatically driven into a workpiece by the carriage motor.
CROSS-REFERENCE TO RELATED APPLICATIONS The present application claims benefit of and priority to U.S. Ser. No. 60/474,411, entitled “NOVEL TRICHODERMA GENES”, filed May 29, 2003, by Foreman et al. and U.S. Ser. No. 60/475,826, entitled “NOVEL TRICHODERMA GENES”, filed Jun. 3, 2003, by Foreman et al. STATEMENT AS TO RIGHTS TO INVENTIONS MADE UNDER FEDERALLY SPONSORED RESEARCH AND DEVELOPMENT Portions of this work were funded by Subcontract No. ZCO-0-30017-01 with the National Renewable Energy Laboratory under Prime Contract No. DE-AC36-99GO10337 with the U.S. Department of Energy. Accordingly, the United States Government may have certain rights in this invention. FIELD OF THE INVENTION Disclosed herein are four genes—two genes encoding proteins comprising a cellulose binding domain, one arabinofuranosidase and one acetylxylan esterase. Also disclosed herein are the deduced proteins, and compositions containing the novel proteins. These compositions are especially useful in the textile, detergent, biomass conversion, feed and food applications, and pulp and paper industries. The genes were isolated from a filamentous fungus, Trichoderma reesei (also called Hypocrea jecorina interchangeably herein). BACKGROUND OF THE INVENTION Cellulose and hemicellulose are the most abundant plant materials produced by photosynthesis. They can be degraded and used as an energy source by numerous microorganisms, including bacteria, yeast and fungi, that produce extracellular enzymes capable of hydrolysis of the polymeric substrates to monomeric sugars (Aro et al., J. Biol. Chem., 10.1074/M003624200, Apr. 13, 2001). As the limits of non-renewable resources approach, the potential of cellulose to become a major renewable energy resource is enormous (Krishna et al., Bioresource Tech. 77:193-196, 2001). The effective utilization of cellulose through biological processes is one approach to overcoming the shortage of foods, feeds, and fuels (Ohmiya et al., Biotechnol. Gen. Engineer. Rev. 14:365-414, 1997). Cellulose is a linear polysaccharide of glucose residues connected by β-1,4 linkages. In nature, cellulose is usually associated with lignin together with hemicelluloses such as xylans and glucomannans. The practical use of cellulases has been hampered by the nature of the known cellulases, which are often mixtures of cellulases having a variety of activities and substrate specificities. For that reason, it is desirable to identify cellulases having only the desired activities or proteins that may facilitate cellulase action. Hemicellulose is one of any of several heteropolymers (matrix polysaccharides) present in almost all cell walls along with cellulose. Their molecular weights are usually lower than that of cellulose and they have a weak undifferentiated structure compared to crystalline cellulose. But the chains form a ‘ground’—they bind with pectin to cellulose to form a network of cross-linked fibers. Thus, it would be beneficial to enhance hemicellulose degradation. O-Glycosyl hydrolases (EC 3.2.1.-) are a widespread group of enzymes that hydrolyse the glycosidic bond between two or more carbohydrates, or between a carbohydrate and a non-carbohydrate moiety. A classification system for glycosyl hydrolases, based on sequence similarity, has led to the definition of up to 60 different families [HENRISSAT, B. AND BAIROCH, A. New families in the classification of glycosyl hydrolases based on amino acid sequence similarities. BIOCHEM. J. 293 781-788 (1993); HENRISSAT, B. A classification of glycosyl hydrolases based on amino acid sequence similarities. BIOCHEM. J. 280 309-316 (1991); DAVIES, G. AND HENRISSAT, B. Structures and mechanisms of glycosyl hydrolases. STRUCTURE 3 853-859 (1995); and HENRISSAT, B. AND BAIROCH, A. Updating the sequence-based classification of glycosyl hydrolases. BIOCHEM. J. 316 695-696 (1996)]. Acetyl xylan esterases (EC 3.1.1.72) are a group of enzymes that remove acetyl side groups from xylan. A classification system for carbohydrate esterases, based on sequence similarity, has led to the definition of 13 families, seven of which contain acetyl xylan esterases (COUTINHO, P. M. AND HENRISSAT, B., 1999 Carbohydrate-active enzymes server at URL: <http://afmb.cnrs-mrs.fr/CAZY/index.html>). In order to be efficient, the digestion of cellulose requires several types of enzymes acting cooperatively. At least three categories of enzymes are necessary to convert cellulose into glucose: endo (1,4)-beta-D-glucanases (EC 3.2.1.4) that cut the cellulose chains at random; cellobiohydrolases (EC 3.2.1.91) which cleave cellobiosyl units from the cellulose chain ends and beta-glucosidases (EC 3.2.1.21) that convert cellobiose and soluble cellodextrins into glucose. It is an object of the present invention to provide improved proteins having cellulose- or hemicellulose-degrading activity and polynucleotides encoding the proteins. It is an object of the present invention to provide improved proteins having cellulose- or hemicellulose-binding activity and polynucleotides encoding the proteins. The improved proteins may improve the degradation of cell wall material, e.g., cellulose and/or hemicellulose. The proteins may also improve the stability or activity of other enzymes involved in the degradation of plant cell wall material, e.g., biomass. SUMMARY OF THE INVENTION Provided herein are a novel genes, herein called cip1, cip2, axe2 and abf2. Also provided herein are the gene products encoded by the novel genes. At least two of the genes are co-expressed with genes in the cellulase family. In a first aspect the present invention relates to a polynucleotide having a nucleotide sequence which encodes for the polypeptide of the invention. In one embodiment the invention includes an isolated polynucleotide having a sequence which encodes CIP1, a sequence complementary to the cip1 gene coding sequence, and/or a composition comprising the polynucleotide. The polynucleotide may be mRNA, DNA, cDNA, genomic DNA, or an antisense analog thereof. In another embodiment, a cip1 polynucleotide may comprise an isolated nucleic acid molecule which hybridizes to the complement of the nucleic acid presented as SEQ ID NO:1 under moderate to high stringency conditions, where the nucleic acid molecule encodes a CIP1 polypeptide that exhibits cellulose binding activity. In another embodiment, the polynucleotide has at least 80%, 85%, 90%, 95%, 98% or more sequence identity to the sequence presented as SEQ ID NO:1 and encodes a CIP1 protein. In a specific embodiment, the polynucleotide comprises a sequence substantially identical to SEQ ID NO:1. The invention also contemplates fragments of the polynucleotide, preferably at least about 15-30 nucleotides in length. In a second aspect, CIP1 polypeptides or proteins comprise a sequence having at least 80%, 85%, 90%, 95%, 98% or more sequence identity to the sequence presented as SEQ ID NO:3 or SEQ ID NO:5. In one embodiment, the invention includes (i) fragments of CIP1, preferably at least about 20-100 amino acids in length, more preferably about 100-200 amino acids in length, and (ii) a composition comprising CIP1. In various embodiments, the fragment corresponds to the N-terminal domain of CIP1 or the C-terminal domain of CIP1. It is an object of the invention to provide enzyme preparations that contain one or more cellulases and CIP1. In a third aspect the present invention relates to a nucleic acid construct comprising the nucleotide sequence, which encodes for the polypeptide of the invention, operably linked to one or more control sequences that direct the production of the polypeptide in a suitable host. In a fourth aspect the present invention relates to a recombinant expression vector comprising the nucleic acid construct of the invention. The invention further provides recombinant expression vectors containing a nucleic acid sequence encoding CIP1 or a fragment or splice variant thereof, operably linked to regulatory elements effective for expression of the protein in a selected host. In a related aspect, the invention includes a host cell containing the vector. In a fifth aspect the present invention relates to a recombinant host cell comprising the nucleic acid construct of the invention. The invention further includes a method for producing CIP1 by recombinant techniques, by culturing recombinant prokaryotic or eukaryotic host cells comprising nucleic acid sequence encoding CIP1 under conditions effective to promote expression of the protein, and subsequent recovery of the protein from the host cell or the cell culture medium. In a sixth aspect the present invention relates to a method for producing a polypeptide of the invention, the method comprising: (a) cultivating a microorganism capable of producing the polypeptide; and (b) recovering the polypeptide. In a seventh aspect the invention provides for an enzymatic composition useful in the conversion of cellulose to, ethanol. In a preferred embodiment the enzymatic composition comprises CIP1. The composition may further comprise additional cellulase or hemicellulase enzymes such as endoglucanases and/or cellbiohydrolases and/or xylanases and the like. The composition may be enriched in CIP1. Further provided herein are analytical methods for detecting cip1 nucleic acids and CIP1 proteins also form part of the invention. CIP2 In a first aspect the present invention relates to a polynucleotide having a nucleotide sequence which encodes for the polypeptide of the invention. In one embodiment the invention includes an isolated polynucleotide having a sequence which encodes CIP2 (SEQ ID NO:7 or SEQ ID NO:9), a sequence complementary to the cip2 gene coding sequence (SEQ ID NO:6), and/or a composition comprising the polynucleotide. The polynucleotide may be mRNA, DNA, cDNA, genomic DNA, or an antisense analog thereof. In another embodiment, a cip2 polynucleotide may comprise an isolated nucleic acid molecule which hybridizes to the complement of the nucleic acid presented as SEQ ID NO:6 under moderate to high stringency conditions, where the nucleic acid molecule encodes a CIP2 polypeptide that exhibits cellulose binding activity. In another embodiment, the polynucleotide has at least 80%, 85%, 90%, 95%, 98% or more sequence identity to the sequence presented as SEQ ID NO:6 and encodes a CIP2 protein (SEQ ID NO:7) or portion thereof. In a specific embodiment, the polynucleotide comprises a sequence substantially identical to SEQ ID NO:6. The invention also contemplates fragments of the polynucleotide, preferably at least about 15-30 nucleotides in length. In a second aspect, CIP2 polypeptides or proteins comprise a sequence having at least 80%, 85%, 90%, 95%, 98% or more sequence identity to the sequence presented as SEQ ID NO:7 or SEQ ID NO:9. In one embodiment, the invention includes (i) fragments of CIP2, preferably at least about 20-100 amino acids in length, more preferably about 100-200 amino acids in length, and (ii) a composition comprising CIP2. In various embodiments, the fragment corresponds to the N-terminal domain of CIP2 or the C-terminal domain of CIP2. It is an object of the invention to provide enzyme preparations that contain one or more cellulases and CIP2. In a third aspect the present invention relates to a nucleic acid construct comprising the nucleotide sequence, which encodes for the polypeptide of the invention, operably linked to one or more control sequences that direct the production of the polypeptide in a suitable host. In a fourth aspect the present invention relates to a recombinant expression vector comprising the nucleic acid construct of the invention. The invention further provides recombinant expression vectors containing a nucleic acid sequence encoding CIP2 or a fragment or splice variant thereof, operably linked to regulatory elements effective for expression of the protein in a selected host. In a related aspect, the invention includes a host cell containing the vector. In a fifth aspect the present invention relates to a recombinant host cell comprising the nucleic acid construct of the invention. The invention further includes a method for producing CIP2 by recombinant techniques, by culturing recombinant prokaryotic or eukaryotic host cells comprising nucleic acid sequence encoding CIP2 under conditions effective to promote expression of the protein, and subsequent recovery of the protein from the host cell or the cell culture medium. In a sixth aspect the present invention relates to a method for producing a polypeptide of the invention, the method comprising: (a) cultivating a microorganism capable of producing the polypeptide; and (b) recovering the polypeptide. In a seventh aspect the invention provides for an enzymatic composition useful in the conversion of cellulose to ethanol. In a preferred embodiment the enzymatic composition comprises CIP2. The composition may further comprise additional cellulase or hemicellulase enzymes such as endoglucanases and/or cellbiohydrolases and/or xylanases and the like. The composition may be enriched in CIP2. Further provided herein are analytical methods for detecting cip2 nucleic acids and CIP2 proteins also form part of the invention. AXE2 In a first aspect the present invention relates to a polynucleotide having a nucleotide sequence which encodes for the polypeptide of the invention. In one embodiment the invention includes an isolated polynucleotide having a sequence which encodes AXE2, a sequence complementary to the axe2 gene coding sequence, and/or a composition comprising the polynucleotide. The polynucleotide may be mRNA, DNA, cDNA, genomic DNA, or an antisense analog thereof. In another embodiment, a axe2 polynucleotide may comprise an isolated nucleic acid molecule which hybridizes to the complement of the nucleic acid presented as SEQ ID NO:14 under moderate to high stringency conditions, where the nucleic acid molecule encodes a AXE2 polypeptide, wherein the nucleic acid molecule encodes a AXE2 polypeptide that exhibits acetylxylan exterase activity. In another embodiment, the polynucleotide has at least 80%, 85%, 90%, 95%, 98% or more sequence identity to the sequence presented as SEQ ID NO:14 and encodes a AXE2 protein (SEQ ID NO:17 or SEQ ID NO:15). In a specific embodiment, the polynucleotide comprises a sequence substantially identical to SEQ ID NO:14. The invention also contemplates fragments of the polynucleotide, preferably at least about 15-30 nucleotides in length. In a second aspect, AXE2 polypeptides or proteins comprise a sequence having at least 80%, 85%, 90%, 95%, 98% or more sequence identity to the sequence presented, as SEQ ID NO:17 or SEQ ID NO:15. In one embodiment, the invention includes (i) fragments of AXE2, preferably at least about 20-100 amino acids in length, more preferably about 100-200 amino acids in length, and (ii) a composition comprising AXE2. In various embodiments, the fragment corresponds to the N-terminal domain of AXE2 or the C-terminal domain of AXE2. It is an object of the invention to provide enzyme preparations that contain one or more hemicellulases and AXE2. In a third aspect the present invention relates to a nucleic acid construct comprising the nucleotide sequence, which encodes for the polypeptide of the invention, operably linked to one or more control sequences that direct the production of the polypeptide in a suitable host. In a fourth aspect the present invention relates to a recombinant expression vector comprising the nucleic acid construct of the invention. The invention further provides recombinant expression vectors containing a nucleic acid sequence encoding AXE2 or a fragment or splice variant thereof, operably linked to regulatory elements effective for expression of the protein in a selected host. In a related aspect, the invention includes a host cell containing the vector. In a fifth aspect the present invention relates to a recombinant host cell comprising the nucleic acid construct of the invention. The invention further includes a method for producing AXE2 by recombinant techniques, by culturing recombinant prokaryotic or eukaryotic host cells comprising nucleic acid sequence encoding AXE2 under conditions effective to promote expression of the protein, and subsequent recovery of the protein from the host cell or the cell culture medium. In a sixth aspect the present invention relates to a method for producing a polypeptide of the invention, the method comprising: (a) cultivating a microorganism capable of producing the polypeptide; and (b) recovering the polypeptide. In a seventh aspect the invention provides for an enzymatic composition useful in the conversion of cellulose to ethanol. In a preferred embodiment the enzymatic composition comprises AXE2. The composition may further comprise additional cellulase or hemicellulase enzymes such as endoglucanases and/or cellbiohydrolases and/or xylanases and the like. The composition may be enriched in AXE2. Further provided herein are analytical methods for detecting axe2 nucleic acids and AXE2 proteins also form part of the invention. ABF2 In a first aspect the present invention relates to a polynucleotide having a nucleotide sequence which encodes for the polypeptide of the invention. In one embodiment the invention includes an isolated polynucleotide having a sequence which encodes ABF2, a sequence complementary to the abf2 gene coding sequence, and/or a composition comprising the polynucleotide. The polynucleotide may be mRNA, DNA, cDNA, genomic DNA, or an antisense analog thereof. In another embodiment, an abf2 polynucleotide may comprise an isolated nucleic acid molecule which hybridizes to the complement of the nucleic acid presented as SEQ ID NO:10 under moderate to high stringency conditions, where the nucleic acid molecule encodes a ABF2 polypeptide, wherein the nucleic acid molecule encodes a ABF2 polypeptide that exhibits arabinofuranosidase activity. In another embodiment, the polynucleotide has at least 80%, 85%, 90%, 95%, 98% or more sequence identity to the sequence presented as SEQ ID NO:10 and encodes a ABF2 protein. In a specific embodiment, the polynucleotide comprises a sequence substantially identical to SEQ ID NO:10. The invention also contemplates fragments of the polynucleotide, preferably at least about 15-30 nucleotides in length. In a second aspect, ABF2 polypeptides or proteins comprise a sequence having at least 80%, 85%, 90%, 95%, 98% or more sequence identity to the sequence presented as SEQ ID NO:11 or SEQ ID NO:13. In one embodiment, the invention includes (i) fragments of ABF2, preferably at least about 20-100 amino acids in length, more preferably about 100-200 amino acids in length, and (ii) a composition comprising ABF2. In various embodiments, the fragment corresponds to the N-terminal domain of ABF2 or the C-terminal domain of ABF2. It is an object of the invention to provide enzyme preparations that contain one or more hemicellulases and ABF2. In a third aspect the present invention relates to a nucleic acid construct comprising the nucleotide sequence, which encodes for the polypeptide of the invention, operably linked to one or more control sequences that direct the production of the polypeptide in a suitable host. In a fourth aspect the present invention relates to a recombinant expression vector comprising the nucleic acid construct of the invention. The invention further provides recombinant expression vectors containing a nucleic acid sequence encoding ABF2 or a fragment or splice variant thereof, operably linked to regulatory elements effective for expression of the protein in a selected host. In a related aspect, the invention includes a host cell containing the vector. In a fifth aspect the present invention relates to a recombinant host cell comprising the nucleic acid construct of the invention. The invention further includes a method for producing ABF2 by recombinant techniques, by culturing recombinant prokaryotic or eukaryotic host cells comprising nucleic acid sequence encoding ABF2 under conditions effective to promote expression of the protein, and subsequent recovery of the protein from the host cell or the cell culture medium. In a sixth aspect the present invention relates to a method for producing a polypeptide of the invention, the method comprising: (a) cultivating a microorganism capable of producing the polypeptide; and (b) recovering the polypeptide. In a seventh aspect the invention provides for an enzymatic composition useful in the conversion of cellulose to ethanol. In a preferred embodiment the enzymatic composition comprises ABF2. The composition may further comprise additional cellulase or hemicellulase enzymes such as endoglucanases and/or cellbiohydrolases and/or xylanases and the like. The composition may be enriched in ABF2. Further provided herein are analytical methods for detecting abf2 nucleic acids and ABF2 proteins also form part of the invention. Other objects, features and advantages of the present invention will become apparent from the following detailed description. It should be understood, however, that the detailed description and specific examples, while indicating preferred embodiments of the invention, are given by way of illustration only, since various changes and modifications within the scope and spirit of the invention will become apparent to one skilled in the art from this detailed description. BRIEF DESCRIPTION OF THE FIGURES FIG. 1 is a single stranded depiction of the nucleic acid sequence (SEQ ID NO:1), of the T. reesei cip1 cDNA, wherein the non-coding sequence is underlined. FIG. 2 is the coding sequence for T. reesei cip1 (SEQ ID NO:2) wherein the encoded signal sequence is indicated as bold nucleotides. FIG. 3 shows the predicted amino acid sequence of CIP1 (SEQ ID NO:3), signal sequence (SEQ ID NO:4) and the mature protein sequence (SEQ ID NO:5) based on the nucleotide sequence provided in FIG. 1 . FIG. 4 is the coding sequence for T. reesei cip2 (SEQ ID NO:6). FIG. 5 shows the predicted amino acid sequence of CIP2 (SEQ ID NO:7), signal sequence (SEQ ID NO:8) and mature protein sequence (SEQ ID NO:9) based on the nucleotide sequence provided in FIG. 4 . FIG. 6 is an alignment of CIP2 (SEQ ID NO:7) with R.flavefaciens cesA CAB55348 (SEQ ID NO:18). CIP2 has a predicted N-terminal signal sequence of 17 amino acids followed by 36 amino acids comprising a carbohydrate binding module of family CBM1and a linker region which ends at approximately amino acid 95. FIG. 7 is a single stranded depiction of the nucleic acid sequence (SEQ ID NO:10), of the T. reesei abf2 gene encoding an arabinofuranosidase. FIG. 8 shows the predicted amino acid sequence of ABF2 (SEQ ID NO:11), signal sequence (SEQ ID NO:12) and mature protein sequence (SEQ ID NO:13) based on the nucleotide sequence provided in FIG. 7 . FIG. 9 is an alignment of ABF2 (SEQ ID NO:20) with C. carbonum ARF1 (SEQ ID NO:20) and S. thermoviolaceus stxIV (SEQ ID NO:21). FIG. 10 is the cDNA sequence for the axe2 gene (SEQ ID NO:14) encoding an acetylxylan esterase. FIG. 11 shows the predicted amino acid sequence of AXE2 (SEQ ID NO:15), signal sequence (SEQ ID NO:16) and mature protein sequence (SEQ ID NO:17) based on the nucleotide sequence provided in FIG. 9 . FIG. 12 is a sequence alignment of AXE2 (SEQ ID NO:15) with the T. reesei AXE1 (SEQ ID NO:24). FIG. 13 shows a Northern blot for two fungal strains under varying conditions. Cultures of QM6a and RLP-37 were grown in glucose (lanes A), cellulose (lanes B), glycerol (lanes C) or glycerol supplemented with sophorose (lanes D). mRNA from each of the cultures was analyzed by Northern blot. The top set of bands for each gene was probed with labeled cDNAs as indicated. The lower set of bands for each gene was probed with an actin probe to correct for loading differences and differences in exposure times required to visualize the bands. FIG. 14 is a representation of the results from microarray analysis performed to assess expression levels for each of the genes indicated. A) Shake flask analysis of induction by sophorose in two different strains. mRNA from cultures of QM6a and RL-P37 grown in glycerol or glycerol supplemented with 1 mM sophorose were individually labeled with Cy5 and with Cy3 fluorescent dyes. Labeled mRNA from sophorose-grown cultures was combined with reciprocally labeled mRNA from glycerol-grown cultures and hybridized to microarrays. The log ratio of the two different labeled mRNA species that bound to probes for each of the genes is indicated according to the color bar below. L.R.: log ratio. Color reflects the magnitude of sophorose-meditated induction of each of the genes indicated. Column 1: sophorose induction in RL-P37 cultures. Column 2: sophorose induction in QM6a cultures. Column 3: Fluorescently labeled mRNA from sophorose-induced RL-P37 cultures was co hybridized with reciprocally labeled mRNA from sophorose-induced QM6a cultures grown under like conditions. Color reflects the abundance of mRNA corresponding to each of the genes in RL-P37 relative to QM6a. B) Analysis of expression levels during culture on different carbon sources in fermenters. RLP-37 and QM6a mycelia were grown initially in glucose-containing medium. One hour after the glucose had been completely utilized; cultures were fed lactose at a rate that prevented accumulation in the medium. Samples were obtained during the glucose feed during carbon deprivation and 24 and 48 hours after commencement of the lactose feeding. Microarrays were used to determine the expression levels at each of the times relative to expression at carbon deprivation. Column 4: RL-P37 glucose feed, column 5: QM6a glucose feed, column 6: RL-P37 lactose feed 24 hours, column 7: RL-P37 lactose feed 48 hours, column 8: QM6a lactose feed 24 hours, column 9 QM6a lactose feed 48 hours. FIG. 15 is a schematic map of the pREP3Y vector. FIG. 16 is a sequence alignment of CIP1 with the putative secreted hydrolase Streptomyces coelicolor A3 (accession number CAA18323, SEQ ID NO:26). FIG. 17 is schematic of the vector pTrex3g. FIG. 18 is schematic of the vector pENTR/D-TOPO (Invitrogen). FIG. 19 is schematic of the pExpression construct which will comprise a gene of interest. The gene of interest is selected from cip1 or cip2 or axe2 or abf2. FIG. 20 is a photograph of a SDS-PAGE gel of shake flask supernatant from host cells transformed with an expression vector comprising the cip1 gene. Lane 1 contains the molecular weight markers, Mark 12, from Invitrogen. Lanes 2-12 the supernatant from individual transformant strains. The arrow on the left of the gel designates where on the gel the CIP1 protein would be located if it were expressed and secreted in detectable quantities. FIG. 21 is a photograph of a SDS-PAGE gel of shake flask supernatant from host cell transformed with an expression vector comprising the cip2 gene. Lane 1 contains the molecular weight markers, Mark 12, from Invitrogen. Lanes 2-12 the supernatant from individual transformant strains. The arrow on the left of the gel designates where on the gel the CIP2 protein would be located if it were expressed and secreted in detectable quantities. FIG. 22 is a photograph of a SDS-PAGE gel of shake flask supernatant from host cell transformed with an expression vector comprising the abf2 gene. Lane 1 contains the supernatant from the quad delete Trichoderma strain described herein. Lane 2 contains the molecular weight markers, Mark 12, from Invitrogen. Lane 3 is contains the supernatant from an individual abf2 transformant. The arrow on the right of the gel designates the band corresponding to where the ABF2 protein would be located if it were expressed. DETAILED DESCRIPTION OF THE INVENTION The invention will now be described in detail by way of reference only using the following definitions and examples. All patents and publications, including all sequences disclosed within such patents and publications, referred to herein are expressly incorporated by reference. Unless defined otherwise herein, all technical and scientific terms used herein have the same meaning as commonly understood by one of ordinary skill in the art to which this invention belongs. Singleton, et al., D ICTIONARY OF M ICROBIOLOGY AND M OLECULAR B IOLOGY, 2 D E D ., John Wiley and Sons, New York (1994), and Hale & Marham, T HE H ARPER C OLLINS D ICTIONARY OF B IOLOGY , Harper Perennial, N.Y. (1991) provide one of skill with a general dictionary of many of the terms used in this invention. Although any methods and materials similar or equivalent to those described herein can be used in the practice or testing of the present invention, the preferred methods and materials are described. Numeric ranges are inclusive of the numbers defining the range. Unless otherwise indicated, nucleic acids are written left to right in 5′ to 3′ orientation; amino acid sequences are written left to right in amino to carboxy orientation, respectively. Practitioners are particularly directed to Sambrook et al., M OLECULAR C LONING : A L ABORATORY M ANUAL (Second Edition), Cold Spring Harbor Press, Plainview, N.Y., 1989, and Ausubel F M et al., C URRENT P ROTOCOLS IN M OLECULAR B IOLOGY , John Wiley & Sons, New York, N.Y., 1993, for definitions and terms of the art. It is to be understood that this invention is not limited to the particular methodology, protocols, and reagents described, as these may vary. The present invention provides the nucleotide sequences of Trichoderma reesei genes involved in cellulose and biomass degradation. The genes encode proteins with an enzyme activity that is either in use in an industry or of interest to an industry. The genomic sequences of the invention that encode the enzymes are identified primarily by comparison of nucleotide sequences of T. reesei genomic DNA and the nucleotide sequences of known enzyme genes of other microorganisms. Prior to this invention, the nucleotide sequences of these T. reesei genes, the reading frames, the positions of exons and introns, the structure of the enzymes, and their potential usefulness in various industries, such as those involved in the making of food and feed, beverages, textiles and detergents, were not known. Without limitation, the polynucleotides of the enzyme genes can be used to express recombinant enzymes for characterization, modifications or industrial uses; to compare with the nucleic acid sequence of Trichoderma reesei to identify duplicated genes or paralogs having the same or similar biochemical activity and/or function; to compare with nucleic acid sequences of other related or distant fungal organisms to identify potential orthologous enzyme genes; for selecting and making oligomers for attachment to a nucleic acid array for examination of expression patterns; and to raise anti-protein antibodies using nucleic acid immunization techniques. The sequence information provided herein can also form a basis for the design and testing of genetically modified enzymes which possess desirable chemical and physical characteristics. All publications cited herein are expressly incorporated herein by reference for the purpose of describing and disclosing compositions and methodologies that might be used in connection with the invention. The term “polypeptide” as used herein refers to a compound made up of a single chain of amino acid residues linked by peptide bonds. The term “protein” as used herein is used interchangably with the term “polypeptide”. The term “nucleic acid molecule” includes RNA, DNA and cDNA molecules. It will be understood that, as a result of the degeneracy of the genetic code, a multitude of nucleotide sequences encoding a given protein such as, for example, CIP1 (or any other protein) may be produced. The present invention contemplates every possible variant nucleotide sequence, encoding CIP1 , all of which are possible given the degeneracy of the genetic code. A “heterologous” nucleic acid construct or sequence has a portion of the sequence which is not native to the cell in which it is expressed. Heterologous, with respect to a control sequence refers to a control sequence (i.e. promoter or enhancer) that does not function in nature to regulate the same gene the expression of which it is currently regulating. Generally, heterologous nucleic acid sequences are not endogenous to the cell or part of the genome in which they are present, and have been added to the cell, by infection, transfection, transformation, microinjection, electroporation, or the like. A “heterologous” nucleic acid construct may contain a control sequence/DNA coding sequence combination that is the same as, or different from a control sequence/DNA coding sequence combination found in the native cell. As used herein, the term “vector” refers to a nucleic acid construct designed for transfer between different host cells. An “expression vector” refers to a vector that has the ability to incorporate and express heterologous DNA fragments in a foreign cell. Many prokaryotic and eukaryotic expression vectors are commercially available. Selection of appropriate expression vectors is within the knowledge of those having skill in the art. Accordingly, an “expression cassette” or “expression vector” is a nucleic acid construct generated recombinantly or synthetically, with a series of specified nucleic acid elements that permit transcription of a particular nucleic acid in a target cell. The recombinant expression cassette can be incorporated into a plasmid, chromosome, mitochondrial DNA, plastid DNA, virus, or nucleic acid fragment. Typically, the recombinant expression cassette portion of an expression vector includes, among other sequences, a nucleic acid sequence to be transcribed and a promoter. As used herein, the term “plasmid” refers to a circular double-stranded (ds) DNA construct used as a cloning vector, and which forms an extrachromosomal self-replicating genetic element in many bacteria and some eukaryotes. As used herein, the term “selectable marker-encoding nucleotide sequence” refers to a nucleotide sequence which is capable of expression in cells and where expression of the selectable marker confers to cells containing the expressed gene the ability to grow in the presence of a corresponding selective agent, or under corresponding selective growth conditions. As used herein, the term “promoter” refers to a nucleic acid sequence that functions to direct transcription of a downstream gene. The promoter will generally be appropriate to the host cell in which the target gene is being expressed. The promoter together with other transcriptional and translational regulatory nucleic acid sequences (also termed “control sequences”) are necessary to express a given gene. In general, the transcriptional and translational regulatory sequences include, but are not limited to, promoter sequences, ribosomal binding sites, transcriptional start and stop sequences, translational start and stop sequences, and enhancer or activator sequences. “Chimeric gene” or “heterologous nucleic acid construct”, as defined herein refers to a non-native gene (i.e., one that has been introduced into a host) that may be composed of parts of different genes, including regulatory elements. A chimeric gene construct for transformation of a host cell is typically composed of a transcriptional regulatory region (promoter) operably linked to a heterologous protein coding sequence, or, in a selectable marker chimeric gene, to a selectable marker gene encoding a protein conferring antibiotic resistance to transformed cells. A typical chimeric gene of the present invention, for transformation into a host cell, includes a transcriptional regulatory region that is constitutive or inducible, a protein coding sequence, and a terminator sequence. A chimeric gene construct may also include a second DNA sequence encoding a signal peptide if secretion of the target protein is desired. A nucleic acid is “operably linked” when it is placed into a functional relationship with another nucleic acid sequence. For example, DNA encoding a secretory leader is operably linked to DNA for a polypeptide if it is expressed as a preprotein that participates in the secretion of the polypeptide; a promoter or enhancer is operably linked to a coding sequence if it affects the transcription of the sequence; or a ribosome binding site is operably linked to a coding sequence if it is positioned so as to facilitate translation. Generally, “operably linked” means that the DNA sequences being linked are contiguous, and, in the case of a secretory leader, contiguous and in reading frame. However, enhancers do not have to be contiguous. Linking is accomplished by ligation at convenient restriction sites. If such sites do not exist, the synthetic oligonucleotide adaptors, linkers or primers for PCR are used in accordance with conventional practice. As used herein, the term “gene” means the segment of DNA involved in producing a polypeptide chain, that may or may not include regions preceding and following the coding region, e.g. 5′ untranslated (5′ UTR) or “leader” sequences and 3′ UTR or “trailer” sequences, as well as intervening sequences (introns) between individual coding segments (exons). In general, nucleic acid molecules which encode a novel protein as described herein or an analog or homologue thereof will hybridize, under moderate to high stringency conditions to the protein's corresponding nucleic acid sequence provided herein. However, in some cases a novel protein-encoding nucleotide sequence is employed that possesses a substantially different codon usage, while the protein encoded by the novel protein-encoding nucleotide sequence has the same or substantially the same amino acid sequence as the native protein. For example, the coding sequence may be modified to facilitate faster expression of the novel protein in a particular prokaryotic or eukaryotic expression system, in accordance with the frequency with which a particular codon is utilized by the host. Te'o, et al. FEMS Microbiology Letters 190:13-19, (2000), for example, describes the optimization of genes for expression in filamentous fungi. A nucleic acid sequence is considered to be “selectively hybridizable” to a reference nucleic acid sequence if the two sequences specifically hybridize to one another under moderate to high stringency hybridization and wash conditions. Hybridization conditions are based on the melting temperature (Tm) of the nucleic acid binding complex or probe. For example, “maximum stringency” typically occurs at about Tm-5° C. (50° below the Tm of the probe); “high stringency” at about 5-10° below the Tm; “intermediate stringency” at about 10-20° below the Tm of the probe; and “low stringency” at about 20-250° below the Tm. Functionally, maximum stringency conditions may be used to identify sequences having strict identity or near-strict identity with the hybridization probe; while high stringency conditions are used to identify sequences having about 80% or more sequence identity with the probe. Moderate and high stringency hybridization conditions are well known in-the art (see, for example, Sambrook, et al, 1989, Chapters 9 and 11, and in Ausubel, F. M:, et al., 1993, expressly incorporated by reference herein). An example of high stringency conditions includes hybridization at about 42° C. in 50% formamide, 5×SSC, 5× Denhardt's solution, 0.5% SDS and 100 μg/ml denatured carrier DNA followed by washing two times in 2×SSC and 0.5% SDS at room temperature and two additional times in 0.1×SSC and 0.5% SDS at 42° C. As used herein, “recombinant” includes reference to a cell or vector, that has been modified by the introduction of a heterologous nucleic acid sequence or that the cell is derived from a cell so modified. Thus, for example, recombinant cells-express genes that are not found in identical form within the native (non-recombinant) form of the cell or express native genes that are otherwise abnormally expressed, under expressed or not expressed at all as a result of deliberate human intervention. As used herein, the terms “transformed”, “stably transformed” or “transgenic” with reference to a cell means the cell has a non-native (heterologous) nucleic acid sequence integrated into its genome or as an episomal plasmid that is maintained through multiple generations. As used herein, the term “expression” refers to the process by which a polypeptide is produced based on the nucleic acid sequence of a gene. The process includes both transcription and translation. The term “introduced” in the context of inserting a nucleic acid sequence into a cell, means “transfection”, or “transformation” or “transduction” and includes reference to the incorporation of a nucleic acid sequence into a eukaryotic or prokaryotic cell where the nucleic acid sequence may be incorporated into the genome of the cell (for example, chromosome, plasmid, plastid, or mitochondrial DNA), converted into an autonomous replicon, or transiently expressed (for example, transfected mRNA). As used herein, the phrase “novel protein” refers to at least one of the four novel proteins described herein, ABF2, AXE2, CIP1 and/or CIP2. It follows that the term “novel protein expression” refers to transcription and translation of the novel protein-encoding gene, the products of which include precursor RNA, mRNA, polypeptide, post-translationally processed polypeptides, and derivatives thereof, including corresponding novel proteins from related species such as Trichoderma longibrachiatum ( reesei ), Trichoderma viride, Trichoderma koningii, Hypocrea jecorina and Hypocrea schweinitzii . By way of example, assays for the expression of novel proteins include Western blot for the novel protein, Northern blot analysis and reverse transcriptase polymerase chain reaction (RT-PCR) assays for the novel protein mRNA. The term “alternative splicing” refers to the process whereby multiple polypeptide isoforms are generated from a single gene, and involves the splicing together of nonconsecutive exons during the processing of some, but not all, transcripts of the gene. Thus a particular exon may be connected to any one of several alternative exons to form messenger RNAs. The alternatively-spliced mRNAs produce polypeptides (“splice variants”) in which some parts are common while other parts are different. The term “signal sequence” refers to a sequence of amino acids at the N-terminal portion of a protein which facilitates the secretion of the mature form of the protein outside the cell. The mature form of the extracellular protein lacks the signal sequence which is cleaved off during the secretion process. By the term “host cell” is meant a cell that contains a vector and supports the replication, and/or transcription or transcription and translation (expression) of the expression construct. Host cells for use in the present invention can be prokaryotic cells, such as E. coli , or eukaryotic cells such as yeast, plant, insect, amphibian, or mammalian cells. In general, host cells are filamentous fungi. The term “filamentous fungi” means any and all filamentous fungi recognized by those of skill in the art. A preferred fungus is selected from the group consisting of Aspergillus, Trichoderma, Fusarium, Chrysosporium, Penicillium, Humicola, Neurospora, or alternative sexual forms thereof such as Emericella, Hypocrea. The term “cellooligosaccharide” refers to oligosaccharide groups containing from 2-8 glucose units and having β-1,4 linkages, e.g., cellobiose. The term “cellulase” refers to a category of enzymes capable of hydrolyzing cellulose polymers to shorter cello-oligosaccharide oligomers, cellobiose and/or glucose. Numerous examples of cellulases, such as exoglucanases, exocellobiohydrolases, endoglucanases, and glucosidases have been obtained from cellulolytic organisms, particularly including fungi, plants and bacteria. The terms “cellulose binding domain” or “CBD” or “cellulose binding module” or “CBM” as used herein refer to a portion of the amino acid sequence of a protein or a region of the enzyme that is involved in the cellulose binding activity of a cellulolytic enzyme or derivative thereof. A domain is a stable part of a protein with different protein domains carrying out different functions. Thus, a catalytic core domain (or simply the core) contains the active site and carries out the enzymatic reaction. Similarly, cellulose binding domains generally function by non-covalently binding the cellulase to cellulose, a cellulose derivative or other polysaccharide equivalent thereof. Cellulose binding domains permit or facilitate hydrolysis of cellulose fibers by the structurally distinct catalytic core region, and typically function independent of the catalytic core. Thus, a cellulose binding domain will not possess the significant hydrolytic activity attributable to a catalytic core. In other words, a cellulose binding domain is a structural element of the cellulolytic enzyme protein tertiary structure that is distinct from the structural element which possesses catalytic activity. If a protein has more than one domain the domains are usually connected by a linker. As used herein, the term “decrease or elimination in expression of the gene encoding a novel protein” means that either that the gene encoding the novel protein has been deleted from the genome and therefore cannot be expressed by the recombinant host microorganism; or that the gene encoding the novel protein has been modified such that a functional novel protein is not produced by the recombinant host microorganism, or the use of RNAi to specifically target a novel gene's product, resulting in null or hypomorphic phenotypes. The term “% homology” is used interchangeably herein with the term “% identity” herein and refers to the level of nucleic acid or amino acid sequence identity between the nucleic acid sequence that encodes any one of the inventive polypeptides or the inventive polypeptide's amino acid sequence, when aligned using a sequence alignment program. For example, as used herein, 80% homology means the same thing as 80% sequence identity determined by a defined algorithm, and accordingly a homologue of a given sequence has greater than 80% sequence identity over a length of the given sequence. Exemplary levels of sequence identity include, but are not limited to, 80, 85, 90, 95, 98% or more sequence identity to a given sequence, e.g., the coding sequence for any one of the inventive polypeptides, as described herein. Exemplary computer programs which can be used to determine identity between two sequences include, but are not limited to, the suite of BLAST programs, e.g., BLASTN, BLASTX, and TBLASTX, BLASTP and TBLASTN. See also, Altschul, et al., 1990 and Altschul, et al., 1997. Sequence searches are typically carried out using the BLASTN program when evaluating a given nucleic acid sequence relative to nucleic acid sequences in the GenBank DNA Sequences and other public databases. The BLASTX program is preferred for searching nucleic acid sequences that have been translated in all reading frames against amino acid sequences in the GenBank Protein Sequences and other public databases. Both BLASTN and BLASTX are run using default parameters of an open gap penalty of 11.0, and an extended gap penalty of 1.0, and utilize the BLOSUM-62 matrix. (See, e.g., Altschul, S. F., et al., Nucleic Acids Res. 25:3389-3402, 1997.) A preferred alignment of selected sequences in order to determine “% identity” between two or more sequences, is performed using for example, the CLUSTAL-W program in MacVector version 6.5, operated with default parameters, including an open gap penalty of 10.0, an extended gap penalty of 0.1, and a BLOSUM 30 similarity matrix. The term “altered gene” or “altered gene encoding the novel protein” means that the nucleic acid sequence of the gene has been altered by removing, adding, and/or manipulating the coding sequence or the amino acid sequence of the expressed protein has been modified. As used herein, the term “purifying” generally refers to subjecting nucleic acid or protein containing cells to biochemical purification and/or column chromatography. The terms “isolated” or “purified” as used herein refer to a nucleic acid or protein that is removed from at least one component with which it is naturally associated. In the present context, the term “substantially pure polypeptide” means a polypeptide preparation which contains at the most 10% by weight of other polypeptide material with which it is natively associated (lower percentages of other polypeptide material are preferred, e.g. at the most 8% by weight, at the most 6% by weight, at the most 5% by weight, at the most 4% at the most 3% by weight, at the most 2% by weight, at the most 1% by weight, and at the most ½% by weight). Thus, it is preferred that the substantially pure polypeptide is at least 92% pure, i.e. that the polypeptide constitutes at least 92% by weight of the total polypeptide material present in the preparation, and higher percentages are preferred such as at least 94% pure, at least 95% pure, at least 96% pure, at least 96% pure, at least 97% pure, at least 98% pure, at least 99%, and at the most 99.5% pure. The polypeptides disclosed herein are preferably in a substantially pure form. In particular, it is preferred that the polypeptides disclosed herein are in “essentially pure form”, i.e. that the polypeptide preparation is essentially free of other polypeptide material with which it is natively associated. This can be accomplished, for example, by preparing the polypeptide by means of well-known recombinant methods. Herein, the term “substantially pure polypeptide” is synonymous with the terms “isolated polypeptide” and “polypeptide in isolated form”. As used herein, the terms “active” and “biologically active” refer to a biological activity associated with a particular protein, such as the enzymatic activity associated with a protease. It follows that the biological activity of a given protein refers to any biological activity typically attributed to that protein by those of skill in the art. As used herein, the term “enriched” means that the novel protein is found in a concentration that is greater relative to the novel protein concentration found in a wild-type, or naturally occurring, fungal cellulase composition. When employed in enzymatic solutions, the novel protein component is generally added in an amount sufficient to: for the CIP proteins, enhance the action of the CBH and endoglucanase components found in the cellulase composition; for the arabinofuranosidase and acetylxylanesterase, enhance the action of a xylanase. The amount of novel-protein component added depends upon the level of enhanced action desired provided by the novel protein, which can be readily determined by the skilled artisan. However, when employed, the weight percent of the novel protein component is preferably from about 1, preferably about 5, preferably about 10, preferably about 15, or preferably about 20 weight percent to preferably about 25, preferably about 30, preferably about 35, preferably about 40, preferably about 45 or preferably about 50 weight percent. Furthermore, preferred ranges may be about 0.5 to about 15 weight percent, about 0.5 to about 20 weight percent, from about 1 to about 10 weight percent, from about 1 to about 15 weight percent, from about 1 to about 20 weight percent, from about 1 to about 25 weight percent, from about 5 to about 20 weight percent, from about 5 to about 25 weight percent, from about 5 to about 30 weight percent, from about 5 to about 35 weight percent, from about 5 to about 40 weight percent, from about 5 to about 45 weight percent, from about 5 to about 50 weight percent, from about 10 to about 20 weight percent, from about 10 to about 25 weight percent, from about 10 to about 30 weight percent, from about 10 to about 35 weight percent, from about 10 to about 40 weight percent, from about 10 to about 45 weight percent, from about 10 to about 50 weight percent, from about 15 to about 20 weight percent, from about 15 to about 25 weight percent, from about 15 to about 30 weight percent, from about 15 to about 35 weight percent, from about 15 to about 30 weight percent, from about 15 to about 45 weight percent, from about 15 to about 50 weight percent. Scope of Invention Trichoderma reesei strains used in this study were obtained from the American Type Culture collection. However, it is to be understood that other microbial sources may be utilized to identify corresponding polypeptide homologs. It should be noted that the name Hypocrea jecorina may be used interchangeably herein with Trichoderma reesei. The headings provided herein are not limitations of the various aspects or embodiments of the invention which can be had by reference to the specification as a whole. Accordingly, the terms defined immediately below are more fully defined by reference to the specification as a whole. I. Host Organisms Filamentous fungi include all filamentous forms of the subdivision Eumycota and Oomycota. The filamentous fungi are characterized by vegetative mycelium having a cell wall composed of chitin, glucan, chitosan, mannan, and other complex polysaccharides, with vegetative growth by hyphal elongation and carbon catabolism that is obligately aerobic. In the present invention, the filamentous fungal parent cell may be a cell of a species of, but not limited to, Trichoderma , e.g., Trichoderma longibrachiatum, Trichoderma viride, Trichoderma koningii, Trichoderma harzianum; Penicillium sp.; Humicola sp., including Humicola insolens and Humicola grisea; Chrysosporium sp., including C. lucknowense; Gliocladium sp.; Aspergillus sp.; Fusarium sp., Neurospora sp., Hypocrea sp., and Emericella sp. As used herein, the term “ Trichoderma ” or “ Trichoderma sp.” refers to any fungal strains which have previously been classified as Trichoderma or are currently classified as Trichoderma. In one preferred embodiment, the filamentous fungal parent cell is an Aspergillus niger, Aspergillus awamori, Aspergillus tubingensis, Aspergillus foetidus, Aspergillus oryzae, Aspergillus sojae, Aspergillus aculeatus , or Aspergillus nidulans cell. In another preferred embodiment, the filamentous fungal parent cell is a Trichoderma reesei cell. II. Molecular Biology In one embodiment this invention provides for the expression of the novel genes described herein under the control of a promoter functional in a filamentous fungus. Therefore, this invention relies on routine techniques in the field of recombinant genetics. Basic texts disclosing the general methods of use in this invention include Sambrook et al., Molecular Cloning, A Laboratory Manual (2nd ed. 1989); Kriegler, Gene Transfer and Expression: A Laboratory Manual (1990); and Ausubel et al., eds., Current Protocols in Molecular Biology (1994)). A. Methods of Identifying Novel Sequences Techniques that can be used to isolate the novel protein-encoding DNA sequences are well known in the art and include, but are not limited to, cDNA and/or genomic library screening with a homologous DNA probes and expression screening with activity assays or antibodies against the novel proteins. Any of these methods can be found in Sambrook, et al. or in C URRENT P ROTOCOLS IN M OLECULAR B IOLOGY , F. Ausubel, et al., ed. Greene Publishing and Wiley-Interscience, New York (1987) (“Ausubel”). Over 5000 cDNAs from T. reesei were partially or fully sequenced. Four cDNAs encoding new enzymes with putative roles in biomass degradation were discovered. Open reading frames (ORFs) are analyzed following full or partial sequencing of clones of cDNA libraries derived from T. reesei mRNA and are further analyzed using sequence analysis software, and by determining homology to known sequences in databases (public/private). The nucleotide sequences were initially annotated by software programs, such as Genescan and Glimmer M (The Institute of Genome Research, Rockville, N.M.), which can identify putative coding regions, introns, and splice junctions. Further automated and manual curation of the nucleotide sequences were performed to refine and establish precise characterization of the coding regions and other gene features. B. Nucleic Acid Constructs/Expression Vectors. Natural or synthetic polynucleotide fragments encoding a novel protein may be incorporated into heterologous nucleic acid constructs or vectors, capable of introduction into, and replication in, a filamentous fungal or yeast cell. The vectors and methods disclosed herein are suitable for use in host cells for the expression of a novel protein. Any vector may be used as long as it is replicable and viable in the cells into which it is introduced. Large numbers of suitable vectors and promoters are known to those of skill in the art, and are commercially available. Cloning and expression vectors are also described in Sambrook et al., 1989, Ausubel FM et al., 1989, and Strathern et al., The Molecular Biology of the Yeast Saccharomyces 1981, each of which is expressly incorporated by reference herein. Appropriate expression vectors for fungi are described in van den Hondel, C.A.M.J.J. et al. (1991) In: Bennett, J. W. and Lasure, L. L. (eds.) More Gene Manipulations in Fungi. Academic Press, pp. 396-428. The appropriate DNA sequence may be inserted into a plasmid or vector (collectively referred to herein as “vectors”) by a variety of procedures. In general, the DNA sequence is inserted into an appropriate restriction endonuclease site(s) by standard procedures. Such procedures and related sub-cloning procedures are deemed to be within the scope of knowledge of those skilled in the art. Recombinant filamentous fungi comprising the coding sequence for a novel protein may be produced by introducing a heterologous nucleic acid construct comprising the novel protein coding sequence into the cells of a selected strain of the filamentous fungi. Once the desired form of a novel protein nucleic acid sequence is obtained, it may be modified in a variety of ways. Where the sequence involves non-coding flanking regions, the flanking regions may be subjected to resection, mutagenesis, etc. Thus, transitions, transversions, deletions, and insertions may be performed on the naturally occurring sequence. A selected novel protein coding sequence may be inserted into a suitable vector according to well-known recombinant techniques and used to transform filamentous fungi capable of heterologous protein expression. Due to the inherent degeneracy of the genetic code, other nucleic acid sequences which encode substantially the same or a functionally equivalent amino acid sequence may be used to clone and express a novel protein. Therefore it is appreciated that such substitutions in the coding region fall within the sequence variants covered by the present invention. The present invention also includes recombinant nucleic acid constructs comprising one or more of the novel protein-encoding nucleic acid sequences as described above. The constructs comprise a vector, such as a plasmid or viral vector, into which a sequence of the invention has been inserted, in a forward or reverse orientation. Heterologous nucleic acid constructs may include the coding sequence for a novel protein: (i) in isolation; (ii) in combination with additional coding sequences; such as fusion protein or signal peptide coding sequences, where the novel protein coding sequence is the dominant coding sequence; (iii) in combination with non-coding sequences, such as introns and control elements, such as promoter and terminator elements or 5′ and/or 3′ untranslated regions, effective for expression of the coding sequence in a suitable host; and/or (iv) in a vector or host environment in which the novel protein coding sequence is a heterologous gene. In one aspect of the present invention, a heterologous nucleic acid construct is employed to transfer a novel protein-encoding nucleic acid sequence into a cell in vitro, with established filamentous fungal and yeast lines preferred. For long-term, production of a novel protein, stable expression is preferred. It follows that any method effective to generate stable transformants may be used in practicing the invention. Appropriate vectors are typically equipped with a selectable marker-encoding nucleic acid sequence, insertion sites, and suitable control elements, such as promoter and termination sequences. The vector may comprise regulatory sequences, including, for example, non-coding sequences, such as introns and control elements, i.e., promoter and terminator elements or 5′ and/or 3′ untranslated regions, effective for expression of the coding sequence in host cells (and/or in a vector or host cell environment in which a modified soluble protein antigen coding sequence is not normally expressed), operably linked to the coding sequence. Large numbers of suitable vectors and promoters are known to those of skill in the art, many of which are commercially available and/or are described in Sambrook, et al., (supra). Exemplary promoters include both constitutive promoters and inducible promoters, examples of which include a CMV promoter, an SV40 early promoter, an RSV promoter, an EF-1α promoter, a promoter containing the tet responsive element (TRE) in the tet-on or tet-off system as described (ClonTech and BASF), the beta actin promoter and the metallothionine promoter that can upregulated by addition of certain metal salts. A promoter sequence is a DNA sequence which is recognized by the particular filamentous fungus for expression purposes. It is operably linked to DNA sequence encoding a novel protein. Such linkage comprises positioning of the promoter with respect to the initiation codon of the DNA sequence encoding the novel protein in the disclosed expression vectors. The promoter sequence contains transcription and translation control sequence which mediate the expression of the novel protein. Examples include the promoters from the Aspergillus niger, A awamori or A. oryzae glucoamylase, alpha-amylase, or alpha-glucosidase encoding genes; the A. nidulans gpdA, olic or trpC Genes; the Neurospora crassa cbh1 or trp1 genes; the A. niger or Rhizomucor miehei aspartic proteinase encoding genes; the T. reesei ( Hypocrea jecorina ) cbh1, cbh2, egl1, egl2, or other cellulase encoding genes. The choice of the proper selectable marker will depend on the host cell, and appropriate markers for different hosts are well known in the art. Typical selectable marker genes include argB from A. nidulans or T. reesei ( H. jecorina ), amdS from A. nidulans , pyr4 from Neurospora crassa or H. jecorina , pyrG from Aspergillus niger or A. nidulans. Additional exemplary selectable markers include, but are not limited to trpc, trp1, oliC31, niaD or leu2, which are included in heterologous nucleic acid constructs used to transform a mutant strain such as trp-, pyr-, leu- and the like. Such selectable markers confer to transformants the ability to utilize a metabolite that is usually not metabolized by the filamentous fungi. For example, the amdS gene from H. jecorina which encodes the enzyme acetamidase that allows transformant cells to grow on acetamide as a nitrogen source. The selectable marker (e.g. pyrG) may restore the ability of an auxotrophic mutant strain to grow on a selective minimal medium or the selectable marker (e.g. olic31) may confer to transformants the ability to grow in the presence of an inhibitory drug or antibiotic. The selectable marker coding sequence is cloned into any suitable plasmid using methods generally employed in the art. Exemplary plasmids include pUC18, pBR322, pRAX and pUC100. The pRAX plasmid contains AMA1 sequences from A. nidulans , which make it possible to replicate in A. niger. The practice of the present invention will employ, unless otherwise indicated, conventional techniques of molecular biology, microbiology, recombinant DNA, and immunology, which are within the skill of the art. Such techniques are explained fully in the literature. See, for example, Sambrook et al., 1989; Freshney, A NIMAL C ELL C ULTURE, 1987; Ausubel, et al., C URRENT P ROTOCOLS IN M OLECULAR B IOLOGY , John Wiley & Sons, New York, N.Y., 1993; and Coligan et al., C URRENT P ROTOCOLS IN I MMUNOLOGY 1991. All patents, patent applications, articles and publications mentioned herein, are hereby expressly incorporated herein by reference. C. Methods for Transforming a Host Cell In the present invention, the filamentous fungal parent cell may be a cell of a species of, but not limited to, Trichoderma , e.g., Trichoderma longibrachiatum ( reesei ), Trichoderma viride, Trichoderma koningii, Trichoderma harzianum; Penicillium sp.; Humicola sp., including Humicola insolens; Chrysosporium sp., including C. lucknowense; Gliocladium sp.; Aspergillus sp.; Fusarium sp., Neurospora sp., Hypocrea sp., and Emericella sp. As used herein, the term “ Trichoderma ” or “ Trichoderma sp.” refers to any fungal strains which have previously been classified as Trichoderma or are currently classified as Trichoderma. Examples of parental cell lines which may be treated and/or modified for novel protein expression include, but are not limited to, filamentous fungal cells. Examples of appropriate primary cell types for use in practicing the invention include, but are not limited to, Aspergillus and Trichoderma. In one embodiment, the filamentous fungal parent cell is an Aspergillus niger, Aspergillus awamori, Aspergillus tubingensis, Aspergillus foetidus, Aspergillus oryzae, Aspergillus sojae, Aspergillus aculeatus , or Aspergillus nidulans cell. In a second embodiment, the filamentous fungal parent cell is a Hypocrea jecorina cell. This cell was previously referred to as T. reesei. After DNA sequences that encode the novel protein have been cloned into DNA constructs, the DNA is used to transform microorganisms. The microorganism to be transformed for the purpose of expressing a novel protein according to the present invention may advantageously comprise a strain derived from Trichoderma sp. Thus, a preferred mode for preparing novel protein according to the present invention comprises transforming a Trichoderma sp. host cell with a DNA construct comprising at least a fragment of DNA encoding a portion or all of the novel protein. The DNA construct will generally be functionally attached, i.e., operably linked, to a promoter. The transformed host cell is then grown under conditions so as to express the novel protein. Subsequently, the novel protein may be isolated. It may be desirable to have the novel protein in a substantially pure form. Similarly, it may be desirable to have the novel protein in an essentially pure form. However, it may in fact be that the best expression vehicle for a given DNA encoding a novel protein may differ from H. jecorina (i.e., T. reesei ). Thus, it may be that it will be most advantageous to express a protein in a transformation host that bears phylogenetic similarity to the source organism for the novel protein. In an alternative embodiment, Aspergillus niger can be used as an expression vehicle. For a description of transformation techniques with A. niger , see WO 98/31821, the disclosure of which is incorporated by reference in its entirety. Accordingly, the present description of a Trichoderma spp. expression system is provided for illustrative purposes only and as one option for expressing the novel protein of the invention. One of skill in the art, however, may be inclined to express the DNA encoding novel protein in a different host cell if appropriate and it should be understood that the source of the novel protein should be considered in determining the optimal expression host. Additionally, the skilled worker in the field will be capable of selecting the best expression system for a particular gene through routine techniques utilizing the tools available in the art. D. Methods for Expressing a Novel Protein The methods of the invention rely on the use of cells to express a novel protein, with no particular method of expression required. The invention provides host cells that have been transduced, transformed or transfected with an expression vector comprising a novel protein-encoding nucleic acid sequence. The culture conditions, such as temperature, pH and the like, are those previously used for the parental host cell prior to transduction, transformation or transfection and will be apparent to those skilled in the art. In one approach, a filamentous fungal cell or yeast cell is transfected with an expression vector having a promoter or biologically active promoter fragment or one or more (e.g., a series) of enhancers which functions in the host cell line, operably linked to a DNA segment encoding a novel protein, such that the novel protein is expressed in the cell line. Thus, the present invention provides filamentous fungi comprising cells which have been modified, selected and cultured in a manner effective to result in novel protein production or expression relative to the corresponding non-transformed parental fungi. Examples of species of parental filamentous fungi that may be treated and/or modified for novel protein expression include, but are not limited to Trichoderma, Penicillium sp., Humicola sp., including Humicola insolens; Aspergillus sp., including Aspergillus niger, Chrysosponium sp., Fusarium sp., Hypocrea sp., and Emericella sp. Cells expressing a novel protein are cultured under conditions typically employed to culture the parental fungal line. Generally, cells are cultured in a standard medium containing physiological salts and nutrients, such as described in Pourquie, J. et al., Biochemistry and Genetics of Cellulose Degradation, eds. Aubert, J. P. et al., Academic Press, pp. 71-86, 1988 and Ilmen, M. et al., Appl. Environ. Microbiol. 63:1298-1306, 1997. Culture conditions are also standard, e.g., cultures are incubated at 28° C. in shaker cultures or fermenters until desired levels of novel protein expression are achieved. Preferred culture conditions for a given filamentous fungus may be found in the scientific literature and/or from the source of the fungi such as the American Type Culture Collection (ATCC). After fungal growth has been established, the cells are exposed to conditions effective to cause or permit the expression of a novel protein. In cases where a novel protein-coding sequence is under the control of an inducible promoter, the inducing agent, e.g., a sugar, metal salt or antibiotics, is added to the medium at a concentration effective to induce novel protein expression. In one embodiment, the strain comprises Aspergillus niger , which is a useful strain for obtaining overexpressed protein. For example A. niger var awamori dgr246 is known to secrete elevated amounts of secreted cellulases (Goedegebuur et al, Curr. Genet (2002) 41: 89-98). Other strains of Aspergillus niger var awamori such as GCDAP3, GCDAP4 and GAP3-4 are known (Ward, M, Wilson, L. J. and Kodama, K. H., 1993, Appl. Microbiol. Biotechnol. 39:738-743). In another embodiment, the strain comprises Trichoderma reesei , which is a useful strain for obtaining overexpressed protein. For example, RL-P37, described by Sheir-Neiss, et al., Appl. Microbiol. Biotechnol. 20:46-53 (1984) is known to secrete elevated amounts of cellulase enzymes. Functional equivalents of RL-P37 include Trichoderma reesei strain RUT-C30 (ATCC No. 56765) and strain QM9414 (ATCC No. 26921). It is contemplated that these strains would also be useful in overexpressing a novel protein. Where it is desired to obtain the desired novel protein in the absence of potentially detrimental native cellulolytic activity, it is useful to obtain a host cell strain which has had one or more cellulase genes deleted prior to introduction of a DNA construct or plasmid containing the DNA fragment encoding the novel protein. Such strains may be prepared by the method disclosed in U.S. Pat. No. 5,246,853 and WO 92/06209, which disclosures are hereby incorporated by reference. By expressing a novel protein in a host microorganism that is missing one or more cellulase genes, the identification and subsequent purification procedures are simplified. Any gene from Trichoderma sp. which has been cloned can be deleted, for example, the cbh1, cbh2, egl1, and egl2 genes as well as those encoding EGV protein (see e.g., U.S. Pat. No. 5,475,101 and WO 94/28117, respectively). Gene deletion may be accomplished by inserting a form of the desired gene to be deleted or disrupted into a plasmid by methods known in the art. The deletion plasmid is then cut at an appropriate restriction enzyme site(s), internal to the desired gene coding region, and the gene coding sequence or part thereof replaced with a selectable marker. Flanking DNA sequences from the locus of the gene to be deleted or disrupted, preferably between about 0.5 to 2.0 kb, remain on either side of the selectable marker gene. An appropriate deletion plasmid will generally have unique restriction enzyme sites present therein to enable the fragment containing the deleted gene, including flanking DNA sequences, and the selectable marker gene to be removed as a single linear piece. A selectable marker must be chosen so as to enable detection of the transformed microorganism. Any selectable marker gene that is expressed in the selected microorganism will be suitable. For example, with Aspergillus sp., the selectable marker is chosen so that the presence of the selectable marker in the transformants will not significantly affect the properties thereof. Such a selectable marker may be a gene that encodes an assayable product. For example, a functional copy of a Aspergillus sp. gene may be used which if lacking in the host strain results in the host strain displaying an auxotrophic phenotype. In one embodiment, a pyrG − derivative strain of Aspergillus sp. is transformed with a functional pyrG gene, which thus provides a selectable marker for transformation. A pyrG − derivative strain may be obtained by selection of Aspergillus sp. strains that are resistant to fluoroorotic acid (FOA). The pyrG gene encodes orotidine-5′-monophosphate decarboxylase, an enzyme required for the biosynthesis of uridine. Strains with an intact pyrG gene grow in a medium lacking uridine but are sensitive to fluoroorotic acid. It is possible to select pyrG − derivative strains that lack a functional orotidine monophosphate decarboxylase enzyme and require uridine for growth by selecting for FOA resistance. Using the FOA selection technique it is also possible to obtain uridine-requiring strains which lack a functional orotate pyrophosphoribosyl transferase. It is possible to transform these cells with a functional copy of the gene encoding this enzyme (Berges & Barreau, Curr. Genet 19:359-365 (1991), and van Hartingsveldte et al., (1986) Development of a homologous transformation system for Aspergillus niger based on the pyrG gene. Mol. Gen. Genet. 206:71-75). Selection of derivative strains is easily performed using the FOA resistance technique referred to above, and thus, the pyrG gene is preferably employed as a selectable marker. In another embodiment, a pyr4 − derivative strain of Trichoderma sp. is transformed with a functional pyr4 gene, which thus provides a selectable marker for transformation. Although the following discusses the Aspergillus system, similar procedures for Trichoderma and other fungal systems may be used as will be appreciated by one skilled in the art. To transform pyrG − Aspergillus sp. so as to be lacking in the ability to express one or more cellulase genes, a single DNA fragment comprising a disrupted or deleted cellulase gene is then isolated from the deletion plasmid and used to transform an appropriate pyr − Aspergillus host. Transformants are then identified and selected based on their ability to express the pyrG gene product and thus compliment the uridine auxotrophy of the host strain. Southern blot analysis is then carried out on the resultant transformants to identify and confirm a double crossover integration event that replaces part or all of the coding region of the genomic copy of the gene to be deleted with the pyr4 selectable markers. Although the specific plasmid vectors described above relate to preparation of pyr − transformants, the present invention is not limited to these vectors. Various genes can be deleted and replaced in the Aspergillus sp. strain using the above techniques. In addition, any available selectable markers can be used, as discussed above. In fact, any Aspergillus sp. gene that has been cloned, and thus identified, can be deleted from the genome using the above-described strategy. As stated above, the host strains used are derivatives of Aspergillus sp. that lack or have a nonfunctional gene or genes corresponding to the selectable marker chosen. For example, if the selectable marker of pyrG is chosen, then a specific pyrG − derivative strain is used as a recipient in the transformation procedure. Similarly, selectable markers comprising Aspergillus sp. genes equivalent to the Aspergillus nidulans genes amdS, argB, trpC, niaD may be used. The corresponding recipient strain must therefore be a derivative strain such as argB − , trpC − , niaD − , respectively. DNA encoding the novel protein is then prepared for insertion into an appropriate microorganism. According to the present invention, DNA encoding a novel protein comprises the DNA necessary to encode for a protein that has functional activity, e.g., enzymatic activity and/or substrate binding. The DNA fragment encoding the novel protein may be functionally attached to a fungal promoter sequence, for example, the promoter of the glaA gene. It is also contemplated that more than one copy of DNA encoding a novel protein may be recombined into the strain to facilitate overexpression. The DNA encoding the novel protein may be prepared by the construction of an expression vector carrying the DNA encoding the novel protein. The expression vector carrying the inserted DNA fragment encoding the novel protein may be any vector which is capable of replicating autonomously in a given host organism or of integrating into the DNA of the host, typically a plasmid. In preferred embodiments two types of expression vectors for obtaining expression of genes are contemplated. The first contains DNA sequences in which the promoter, gene-coding region, and terminator sequence all originate from the gene to be expressed. Gene truncation may be obtained where desired by deleting undesired DNA sequences (e.g., coding for unwanted domains) to leave the domain to be expressed under control of its own transcriptional and translational regulatory sequences. A selectable marker is also contained on the vector allowing the selection for integration into the host of multiple copies of the novel gene sequences. The second type of expression vector is preassembled and contains sequences required for high-level transcription and a selectable marker. It is contemplated that the coding region for a gene or part thereof can be inserted into this general-purpose expression vector such that it is under the transcriptional control of the expression cassettes promoter and terminator sequences. For example, pRAX is such a general-purpose expression vector. Genes or part thereof can be inserted downstream of the strong glaA promoter. An example of an integrative expression vector is the pTrex vector. Genes or part thereof can be inserted downstream of the strong cbh1 promoter. In the vector, the DNA sequence encoding the novel protein of the present invention should be operably linked to transcriptional and translational sequences, i.e., a suitable promoter sequence and signal sequence in reading frame to the structural gene. The promoter may be any DNA sequence that shows transcriptional activity in the host cell and may be derived from genes encoding proteins either homologous or heterologous to the host cell. An optional signal peptide provides for extracellular production of the novel protein. The DNA encoding the signal sequence is preferably that which is naturally associated with the gene to be expressed, however the signal sequence from any suitable source is contemplated in the present invention. The procedures used to fuse the DNA sequences coding for the novel protein of the present invention with the promoter into suitable vectors are well known in the art. Various methods may be employed for delivering an expression vector, DNA vector or construct described above into cells in vitro. Methods of introducing nucleic acids into cells for expression of heterologous nucleic acid sequences are also known to the ordinarily skilled artisan, including, but not limited to electroporation; nuclear microinjection or direct microinjection into single cells; bacterial protoplast fusion with intact cells; use of polycations, e.g., polybrene or polyornithine; membrane fusion with liposomes, lipofectamine or lipofection-mediated transfection; high velocity bombardment with DNA-coated microprojectiles; incubation with calcium phosphate-DNA precipitate; DEAE-Dextran mediated transfection; infection with modified viral nucleic acids; Agrobacterium -mediated transfer of DNA; and the like. In addition, heterologous nucleic acid constructs comprising a novel protein-encoding nucleic acid sequence can be transcribed in vitro, and the resulting RNA introduced into the host cell by well-known methods, e.g., by injection. The preferred method in the present invention to prepare Aspergillus sp. for transformation involves the preparation of protoplasts from fungal mycelium. See Campbell et al. Improved transformation efficiency of A. niger using homologous niaD gene for nitrate reductase. Curr. Genet. 16:53-56; 1989. The mycelium can be obtained from germinated vegetative spores. The mycelium is treated with an enzyme that digests the cell wall resulting in protoplasts. The protoplasts are then protected by the presence of an osmotic stabilizer in the suspending medium. These stabilizers include sorbitol, mannitol, potassium chloride, magnesium sulfate and the like. Usually the concentration of these stabilizers varies between 0.8 M and 1.2 M. It is preferable to use about a 1.2 M solution of sorbitol in the suspension medium. Uptake of the DNA into the host Aspergillus sp. strain is dependent upon the calcium ion concentration. Generally between about 10 mM CaCl 2 and 50 mM CaCl 2 is used in an uptake solution. Besides the need for the calcium ion in the uptake solution, other items generally included are a buffering system such as TE buffer (10 Mm Tris, pH 7.4; 1 mM EDTA) or 10 mM MOPS, pH 6.0 buffer (morpholinepropanesulfonic acid) and polyethylene glycol (PEG). It is believed that the polyethylene glycol acts to fuse the cell membranes thus permitting the contents of the medium to be delivered into the cytoplasm of the Aspergillus sp. strain and the plasmid DNA is transferred to the nucleus. This fusion frequently leaves multiple copies of the plasmid DNA tenderly integrated into the host chromosome. Usually a suspension containing the Aspergillus sp. protoplasts or cells that have been subjected to a permeability treatment at a density of 10 5 to 10 6 /mL, preferably 2×10 5 /mL are used in transformation. A volume of 100 μL of these protoplasts or cells in an appropriate solution (e.g., 1.2 M sorbitol; 50 mM CaCl 2 ) are mixed with the desired DNA. Generally a high concentration of PEG is added to the uptake solution. From 0.1 to 1 volume of 25% PEG 4000 can be added to the protoplast suspension. However, it is preferable to add about 0.25 volumes to the protoplast suspension. Additives such as dimethyl sulfoxide, heparin, spermidine, potassium chloride and the like may also be added to the uptake solution and aid in transformation. Similar procedures are available for other fungal host cells. See, for example, U.S. Pat. No. 6,268,328, the contents of which are hereby incorporated by reference. Generally, the mixture is then incubated at approximately 0° C. for a period of between 10 to 30 minutes. Additional PEG is then added to the mixture to further enhance the uptake of the desired gene or DNA sequence. The 25% PEG 4000 is generally added in volumes of 5 to 15 times the volume of the transformation mixture; however, greater and lesser volumes may be suitable. The 25% PEG 4000 is preferably about 10 times the volume of the transformation mixture. After the PEG is added, the transformation mixture is then incubated either at room temperature or on ice before the addition of a sorbitol and CaCl 2 solution. The protoplast suspension is then further added to molten aliquots of a growth medium. This growth medium permits the growth of transformants only. Any growth medium can be used in the present invention that is suitable to grow the desired transformants. However, if Pyr + transformants are being selected it is preferable to use a growth medium that contains no uridine. The subsequent colonies are transferred and purified on a growth medium depleted of uridine. At this stage, stable transformants may be distinguished from unstable transformants by their faster growth rate and the formation of circular colonies with a smooth, rather than ragged outline on solid culture medium lacking uridine. Additionally, in some cases a further test of stability may made by growing the transformants on solid non-selective medium (i.e. containing uridine), harvesting spores from this culture medium and determining the percentage of these spores which will subsequently germinate and grow on selective medium lacking uridine. Alternatively, other methods known in the art may be used to select transformants. In a particular embodiment of the above method, the novel protein are recovered in active form from the host cell after growth in liquid media either as a result of the appropriate post translational processing of the novel protein. E. Methods of Analysis For Novel Protein Nucleic Acid Coding Sequences and/or Protein Expression. In order to evaluate the expression of a novel protein by a cell line that has been transformed with a novel protein-encoding nucleic acid construct, assays can be carried out at the protein level, the RNA level or by use of functional bioassays particular to the novel protein's activity and/or production. In one exemplary application of the novel protein nucleic acid and protein sequences described herein, a genetically modified strain of filamentous fungi, e.g., Trichoderma reesei, is engineered to produce an increased amount of a novel protein. Such genetically modified filamentous fungi would be useful to produce a cellulase or hemicellulase product with greater increased cellulolytic or hemicellulolytic capacity. In one approach, this is accomplished by introducing the coding sequence for a novel protein into a suitable host, e.g., a filamentous fungi such as Aspergillus niger. Accordingly, the invention includes methods for expressing a novel protein in a filamentous fungus or other suitable host by introducing an expression vector containing the DNA sequence encoding a novel protein into cells of the filamentous fungus or other suitable host. In another aspect, the invention includes methods for modifying the expression of a novel protein in a filamentous fungus or other suitable host. Such modification includes a decrease or elimination in expression of the endogenous novel protein. In general, assays employed to analyze the expression of a novel protein include, Northern blotting, dot blotting (DNA or RNA analysis), RT-PCR (reverse transcriptase polymerase chain reaction), or in situ hybridization, using an appropriately labeled probe (based on the nucleic acid coding sequence) and conventional Southern blotting and autoradiography. The production and/or expression of a novel protein may be measured in a sample of cell lysate or culture supernatant directly by sodium dodecyl sulphate-polyacrylamide gel electrophoresis (SDS-PAGE) using methods known in the art. After separation of proteins in the sample by electrophoresis and staining with a suitable dye (e.g., Coomassie Brilliant Blue) production of a novel protein would be demonstrated by appearance of a novel protein band. In addition, the production and/or expression of a novel protein may be measured in a sample directly, for example, by assays for enzymatic activity, expression and/or production. In addition, protein expression, may be evaluated by immunological methods, such as immunohistochemical staining of cells, tissue sections or immunoassay of tissue culture medium, e.g., by Western blot or ELISA. Such immunoassays can be used to qualitatively and quantitatively evaluate expression of a novel protein. The details of such methods are known to those of skill in the art and many reagents for practicing such methods are commercially available. A purified form of a novel protein may be used to produce either monoclonal or polyclonal antibodies specific to the expressed protein for use in various immunoassays. (See, e.g., Hu et al., Mol Cell Biol. 11:5792-9,1991). Exemplary assays include ELISA, competitive immunoassays, radioimmunoassays, Western blot, indirect immunofluorescent assays and the like. F. Methods for Purifying a Novel Protein In general, a novel protein produced in cell culture is secreted into the medium and may be purified or isolated, e.g., by removing unwanted components from the cell culture medium. However, in some cases, a novel protein may be produced in a cellular form necessitating recovery from a cell lysate. In such cases the novel protein is purified from the cells in which it was produced using techniques routinely employed by those of skill in the art. Examples include, but are not limited to, affinity chromatography (Tilbeurgh et al., FEBS Lett. 16:215, 1984), ion-exchange chromatographic methods (Goyal et al., Bioresource Technol. 36:37, 1991; Fliess et al., Eur. J. Appl. Microbiol. Biotechnol. 17:314, 1983; Bhikhabhai et al., J. Appl. Biochem. 6:336, 1984; Ellouz et al., J. Chromatography 396:307, 1987), including ion-exchange using materials with high resolution power (Medve et al., J. Chromatography A 808:153,1998), hydrophobic interaction chromatography (Tomaz and Queiroz, J. Chromatography A 865:123-128, 1999), and two-phase partitioning (Brumbauer, et al., Bioseparation 7:287-295, 1999). Typically, the novel protein is fractionated to segregate proteins having selected properties, such as binding affinity to particular binding agents, e.g., antibodies or receptors; or which have a selected molecular weight range, or range of isoelectric points. Once expression of a given novel protein is achieved, the novel protein thereby produced is purified from the cells or cell culture. Exemplary procedures suitable for such purification include the following: antibody-affinity column chromatography, ion exchange chromatography; ethanol precipitation; reverse phase HPLC; chromatography on silica or on a cation-exchange resin such as DEAE; chromatofocusing; SDS-PAGE; ammonium sulfate precipitation; and gel filtration using, e.g., Sephadex G-75. Various methods of protein purification may be employed and such methods are known in the art and described e.g. in Deutscher, Methods Enzymol. 182:779-80, 1990; Scopes, Methods Enzymol. 90 Pt E:479-90, 1982. The purification step(s) selected will depend, e.g.; on the nature of the production process used and the particular protein produced. Ill. Biochemical Characteristics of the Novel Protein A. Acetyl Xylan Esterases (Axe2) The AXE2 protein is predicted to have 299 amino acids and a molecular weight of about 30 kDaltons. The predicted protein is composed of 15 strongly basic(+) amino acids (K,R), 28 strongly acidic(−) amino acids (D,E), 91 hydrophobic amino acids (A,I,L,F,W,V), and 108 polar amino acids (N,C,Q,S,T,Y). AXE2 is predicted to have an isoelectric point of 4.5 and a −12.9 charge at pH 7.0. Axe2 is a member of the carbohydrate esterase family 5 (CE5). It is predicted to have N-terminal signal sequence of 21 amino acids. See FIG. 11 . It has a putative GPI-anchor attachment site at amino acid number 274, corresponding to the serine residue at position 291 in the alignment (Udenfriend, S. and K. Kodukula. 1995. Prediction of o site in nascent precursor of glycophosphatidylinositol protein. Methods in Enzymology. 250:57-82). See FIG. 12 . A form of this protein that can be secreted to the culture medium of a host organism may be generated by construction of an expression vector for a version of the axe2 gene that lacks the putative GPI-anchor attachment site and associated carboxyl terminal hydrophobic domain. B. Arabinofuranosidase (Abf2) The ABF2 protein is predicted to have 322 amino acids and a molecular weight of about 35 kDaltons. The predicted protein is composed of 17 strongly basic(+) amino acids (K,R), 18 strongly acidic(−) amino acids (D,E), 107 hydrophobic amino acids (A,I,L,F,W,V), and 118 polar amino acids (N,C,Q,S,T,Y). ABF2 is predicted to have an isoelectric point of 6.4 and a −0.9 charge at pH 7.0. Abf2 is a member of the glycosyl hydrolase family 62. It is predicted to have an N-terminal signal sequence of 19 amino acids. See FIGS. 8 and 9 . C. CIP1 The CIP1 protein is predicted to have 316 amino acids and a molecular weight of about 33 kDaltons. The predicted protein is composed of 14 strongly basic(+) amino acids (K,R), 23 strongly acidic(−) amino acids (D,E), 86 hydrophobic amino acids (A,I,L,F,W,V), and 116 polar amino acids (N,C,Q,S,T,Y). CIP1 is predicted to have an isoelectric point of 4.8 and a −8.3 charge at pH7.0. The protein sequence predicted from the DNA sequence has a predicted signal sequence for secretion, a C-terminal cellulose binding domain. The amino acid sequence has been compared and appeared to have regions homologous to: core: 42% identical to a putative secreted hydrolase gene from Streptomyces coelicolor linker: 48% identical to T. reesei EG4 CBD: 100% identical to the CBD of T. reesei CBH1 The sequence of CIP1 predicts that it contains a carbohydrate (cellulose) binding module and a secretion signal. CIP1 does not fit into any of the currently defined classes of glycosyl hydrolases, but is very similar to the unassigned putative secreted hydrolase from Streptomyces coelicolor (TrEMBL accession number 069962). The regulation of cip1 among strains with varying cellulase-producing capabilities and across a variety of conditions is indistinguishable from the endoglucanases and particularly the cellobiohydrolase cbh1. Its pronounced coregulation with this canonically-regulated cellulase component furthers the notion that cip1 encodes a previously unrecognized activity with a potential role in biomass degradation. See FIG. 3 . D. CIP2 The CIP2 protein is predicted to have 460 amino acids and a molecular weight of about 48 kDaltons. The predicted protein is composed of 24 strongly basic(+) amino acids (K,R), 24 strongly acidic(−) amino acids (D,E), 160 hydrophobic amino acids (A,I,L,F,W,V), and 165 polar amino acids (N,C,Q,S,T,Y). CIP2 is predicted to have an isoelectric point of 7.1 and a 0.27 charge at pH 7.0. CIP2 has a predicted N-terminal signal sequence of 17 amino acids followed by 36 amino acids comprising a carbohydrate binding module of family CBM1 and a linker region which ends at approximately amino acid 95. See FIGS. 5 and 6 . IV. Utility of Identified Genes of Interest The inventive genes can be used in a variety of different applications. A. Acetyl Xylan Esterases (AXE2) It is anticipated that acetyl xylan esterase functionality encoded by SEQ ID NO:14 (see FIG. 10 ) will provide a synergistic effect when used, in combination with xylanase, in applications where it is desirable to hydrolyze xylan based substrates to xylose. The primary xylan hydrolysis would be enhanced by the ability of acetyl xylan esterase to remove acetyl side groups, thereby rendering the xylan chains present in various substrates more accessible to xylanase activity. The above acetyl xylan esterase functionality would be of potential benefit in a number of agricultural and industrial applications: in vivo modification of xylan containing animal feeds to improve digestability. general applications resulting from biomass degradation to fermentable sugars. processing aids used in pulp and paper de-lignification. component of enzymatic scouring systems for textiles. food applications—specifically baking—in combination with other enzymatic functionalities to improve the physical properties of baked goods. laundry detergent applications—removal of grass stains—in combination with other enzyme functionalities. B. CIP1 and CIP 2 (Cellulose Induced Protein 1 and 2) The CBD containing CIP1 encoded by SEQ ID NOS:1 and/or 2 (see FIGS. 1 and 2 ) and CIP2 encoded by SEQ ID NO:6 (see FIG. 4 ) may have (synergistic) uses in biomass conversion or in other applications for which CBD containing genes are appropriate. Thus, the gene product may find uses in applications such as detergents, textiles, biomass conversion, feed and food applications, and pulp and paper industries. C. Arabinofuranosidase (ABF2) It is anticipated that alpha arabinofuranosidase functionality encoded by SEQ ID NO:10 (see FIG. 7 ) will provide a synergistic effect when used, in combination with xylanase, in applications where it is desirable to hydrolyze xylan based substrates to xylose. The primary xylan hydrolysis would be enhanced by the ability of alpha arabinofuranosidase to remove arabinose side groups, thereby rendering the xylan chains present in various substrates more accessible to xylanase activity. The above arabinofuranosidase functionality would be of potential benefit in a number of agricultural and industrial applications: in vivo modification of xylan containing animal feeds to improve digestability. general applications resulting from biomass degradation to fermentable sugars. component of enzymatic scouring systems for textiles. food applications—specifically baking—in combination with other enzymatic functionalities to improve the physical properties of baked goods. The following preparations and examples are given to enable those skilled in the art to more clearly understand and practice the present invention. They should not be considered as limiting the scope and/or spirit of the invention, but merely as being illustrative and representative thereof. In the experimental disclosure which follows, the following abbreviations apply: eq (equivalents); M (Molar); μM (micromolar); N (Normal); mol (moles); mmol (millimoles); μmol (micromoles); nmol (nanomoles); g (grams); mg (milligrams); kg (kilograms); μg (micrograms); L (liters); ml (milliliters); μl (microliters); cm (centimeters); mm (millimeters); μm (micrometers); nm (nanometers); ° C. (degrees Centigrade); h (hours); min (minutes); sec (seconds); msec (milliseconds); Ci (Curies) mCi (milliCuries); μCi (microCuries); TLC (thin layer achromatography); Ts (tosyl); Bn (benzyl); Ph (phenyl); Ms (mesyl); Et (ethyl), Me (methyl). EXAMPLES The following examples are offered to illustrate, but not to limit the claimed invention. Example 1 Construction of T. reesei cDNA Libraries T. reesei (ATCC 13631) was grown under different conditions to generate mycelium expressing growth condition-specific mRNA profiles. The RNA was then isolated, pooled and cDNA libraries were generated. 1A. Growth of T. reesei Mycelia All cultures were grown in yeast extract/glucose (YEG) liquid medium overnight at 28° C. They were then transferred to the following conditions and cultured for the time stated at 28° C., unless otherwise indicated: Experiment 1: A. Vogel's +2% avicel, 3 days and 6 days B. Vogel's +2% solkafloc, 3 days and 6 days C. Vogel's +2% wheat bran, 6 days D. Vogel's +2% beet pulp, 6 days E. Solid state culture on wheat bran (15 g wheat bran, 1 g Proflo, 1 g solkafloc, 30 ml water), 7 days F. Solid state culture on beet pulp (15 g beet pulp, 1 g Proflo, 1 g solkafloc, 30 ml water), 9 days Experiment 2: A. Vogel's +2% glucose, 24 h B. Vogel's +2% lactose, 24 h C. Vogel's +2% xylose, 24 h D. Vogel's +2% fructose, 24 h E. Vogel's +2% maltose, 24 h F. Vogel's w/o any carbon added, 24 h G. Vogel's w/o any nitrogen added, 24 h H. Vogel's +2% wheat bran, 3 days I. Vogel's +2% wheat bran, 6 days J. Vogel's +2% sblkafloc, 3 days K. Vogel's +2% solkafloc, 6 days L. Vogel's +2% avicel, 3 days M. Vogel's +2% avicel, 6 days N. Vogels +2% phosphoric swollen cellulose, 3 days O. Solid state (15 g wheat bran, 1 g Proflo, 1 g solkafloc, 30 mL water), 6 days P. YEG, 42° C. for 1.5 h (heat shock) Q. YEG, 20 mM DTT for 1.5 h (redox stress) R. YEG, unagitated in closed container for 1.5 h at RT (anoxia) Media Preparations Yeast Extract/Glucose medium −1 liter 1. dH 2 0 1000 ml 2. Yeast extract 5 g 3. Glucose 20 g Vogel's Solution—1 liter 1. 50× Vogels Stock Solution 25 ml 2. dH 2 O 975 ml 3. Autoclave 50× Vogel's Stock Solution—1 liter 1. Na 3 citrate 150 g 2. KH 2 PO 4 250 g 3. NH 4 NO 3 100 g 4. MgSO 4 *7H 2 O 10 g 5. CaCl 2 *2H 2 O 5 g 6. Trace Element Solution 5 ml 7. Biotin Solution 2.5 ml 8. in dH 2 O, bring to a final volume of 1 liter Trace Element Solution—1 liter 1. Citric Acid 50 g 2. ZnSO 4 *7H 2 O 50 g 3. Fe(NH − 4 ) 2 SO 4 *6H 2 O 10 g 4. CuSO 4 *5H 2 O 2.5 g 5. MnSO 4 *4H 2 O 0.5 g 6. H 3 BO 3 0.5 g 7. NaMoO 4 *2H 2 O 0.5 g 8. in dH 2 O, bring to a final volume of 1 liter Biotin Solution—1 liter 1. d-Biotin 0.1 g 2. in dH 2 O, bring to a final volume of 1 liter 1B. Isolation of RNA Total RNA was isolated using Life Technologies™ TRIZOL® Reagent (Catalog No. 15596-026) and a slight modification of its accompanying RNA isolation protocol (incorporated herein in its entirety). Unless otherwise stated, the procedure was carried out at 15 to 30° C. T. reesei mycelium from the different cultures described in 1A was filtered to remove excess liquid and frozen in liquid nitrogen. The frozen mycelium was ground in a mortar and pestle and added to TRIZOL Reagent (approximately 9 ml per 1 ml of ground mycelium). The homogenate was then centrifuged at 12,000×g for 10 minutes at 2 to 8° C. The cleared homogenate solution (supernatant) was transferred to a fresh tube. The homogenized samples were incubated for 5 minutes at 15 to 30° C. to permit the complete dissociation of nucleoprotein complexes. Then, 0.2 mL of chloroform per 1 mL of TRIZOL Reagent was added and the sample tubes were capped securely. The tubes were shaken vigorously by hand for 15 seconds, then incubated at 15 to 30° C. for 2 to 3 minutes. The samples were then centrifuged at no more than 12,000×g for 15 minutes at 2 to 8° C. Following centrifugation, the mixture separates into a lower red, phenol-chloroform phase, an interphase, and a colorless upper aqueous phase. The aqueous phase (about 60% of the volume of reagent) was then transferred to a fresh tube. The RNA from the aqueous phase was precipitated by adding 0.25 mL of isopropanol followed by 0.25 mL of a high salt precipitation solution (0.8 M sodium citrate and 1.2 M NaCl) per 1 mL of TRIZOL Reagent used for the homogenization. The resulting solution was mixed and the samples were incubated at 15 to 30° C. for 10 minutes, then centrifuged at no more than 12,000×g for 10 minutes at 2 to 8° C. The supernatant was removed and the gel-like RNA pellet was washed once with 75% ethanol (made with RNase-free water), using at least 1 mL of 75% ethanol per 1 mL of TRIZOL Reagent used for the initial homogenization. The sample was then mixed by vortexing and centrifuged at no more than 7,500×g for 5 minutes at 2 to 8° C. The supernatant was again removed and the RNA pellet was briefly dried (air-dry or vacuum-dry for 5-10 minutes). The RNA was dissolved in RNase-free water by passing the solution a few times through a pipette tip and then incubating for 10 minutes at 55 to 60° C. Purity of the isolated RNA was checked by gel electrophoresis. 1C. Construction of cDNA Library Equal volumes of RNA obtained from each of the growth conditions described for Experiment 1 in 1A was pooled and a total of 2 mg was forwarded to Life Technologies (Rockville, Md.; now Invitrogen) for construction of a cDNA library. Similarly, RNA from Experiment 2 in 1A was pooled and forwarded to Life Technologies for cDNA construction. The cDNA libraries were produced using standard procedures in the art. The following is a summary of the steps taken. Poly-A RNA was isolated from the total RNA by chromatography. The total RNA was run on an oligo(dT) cellulose column, and the poly-A RNA (mRNA) was subsequently eluted. From the mRNA, cDNA were generated by Life Technologies (Rockville, Md.) using the Life Technologies™ cDNA Synthesis System (the Instruction Manual for which is hereby incorporated in its entirety). The following outlines procedures to be used. First Strand Synthesis Reaction components for production of a first strand of cDNA from the isolated T. reesei mRNA are combined in a 1.5 ml microcentrifuge tube on ice. The reaction mixture, in a volume of 50 μl, contains the following components: 50 mM Tris-HCl (pH 8.3) 75 mM KCl 3 mM MgCl 2 10 mM DTT 500 μM each dATP, dCTP, dGTP and dTTP 50 μg/ml oligo(dT) 12-18 100 μg/ml poly (A) RNA (from T. reesei ) 10,000 units/ml Moloney Murine Leukemia Virus (M-MLV) reverse transcriptase The reverse transcriptase is added last, with mixing, to initiate the reaction. Optionally, a 10 μl, aliquot is immediately removed and transferred to a separate tube containing 1 μCi [∝- 32 P]dCTP tracer. Both tubes are then incubated at 37° C. for 1 hour. The tubes are placed back on ice after incubation and the reaction is terminated by adding 1 μl of 0.25 M Na 2 EDTA (pH 7.5). The 40 μl reaction mixture is used for second strand cDNA synthesis. If made, the tracer mixture is diluted with 89 μl water and duplicate 5 μl aliquots are spotted onto filters (e.g., glass fiber filters). The second filter is washed three times (sequentially), 5 minutes each, with about 50 ml per wash of ice-cold TCA. The second filter is then washed with 50 ml of 95% ethanol for about 5 minutes at room temperature, then dried. The two filters are counted in standard scintillant to determine the amount of 32 P in the mixture (from the first filter) and the amount of 32 P incorporated in the first strand cDNA (from the second filter) to determine the yield of first strand cDNA. The remainder of the tracer mixture is extracted with phenol and ethanol precipitated. The pellet is isolated and gel electrophoresis using alkaline agarose gel is performed to determine the size of the single strand products. Second Strand Synthesis Double stranded cDNA may be produced using a procedure tailored for the production of cDNA to which linkers will be added. In a 1.5 ml microcentrifuge tube on ice, components are added to 40 μl of the first strand reaction product to produce 300 μl of a second strand reaction mixture. The components are added in the following order: DEPC-treated water, dNTP mixture, concentrated buffer/salt solution, E. coli DNA polymerase I, E. coli RNase H and E. coli DNA ligase. The final reaction mixture has the following composition, in addition to the original components in the first strand reaction product: 25 mM Tris-HCl (pH 8.3) 100 mM KCl 10 mM (NH 4 ) 2 SO 4 5 mM MgCl 2 250 μM ea. dATP, dCTP (including 10 μCi of [∝- 32 P]dCTP), dGTP, dTTP 0.15 mM NAD 5 mM DTT 250 U/ml DNA polymerase I 8.5 U/ml RNase H 30 U/ml DNA ligase The tube is vortexed gently to mix and incubated at 16° C. for 2 hours. The tube is then placed on ice and 25 μl of Na 2 EDTA (pH 7.5) is added. A 10 μl amount of the mixture is added to 90 μl water. A 5 μl aliquot of this is spotted onto a first glass fiber filter and dried. Another 10 μl amount of the undiluted mixture is spotted onto a second glass fiber filter, which is washed three times, 5 minutes each, with 50 ml ice-cold TCA each wash. The second filter is then washed once at room temperature with 95% ethanol for 5 minutes. The filters are counted in standard scintillant, the first to be used to determine the amount of 32 P in the mixture (specific activity) and the second to be used to determine the amount of 32 P incorporated into the cDNA. The remainder of the reaction mixture is extracted in phenol and precipitated with ethanol. The pellet is then dissolved in 200 μl of sterile TE buffer (10 mM Tris-HCl (pH 7.5), 1 mM Na 2 EDTA), to which 100 μl of 7.5 M ammonium acetate, followed by 500 μl of ethanol are then added to precipitate. The pellet is dried, then dissolved in 20 μl of sterile TE buffer. 2 μl are removed and analyzed by alkaline agarose gel electrophoresis. Linkers or adapters are added to the remainder for incorporation into a vector. For linker addition, the cDNA is first methylated with a methylase specific for the linker to be used to protect internal restriction sites. The termini of the cDNA are repaired with T4 DNA polymerase, and linkers are then added by blunt end ligation. Linkers should be provided at a high concentration for efficient addition. The cDNA is digested with the selected restriction endonuclease(s), then purified from the small digestion products (e.g., by column chromatography. The vector is digested with the same restriction endonuclease(s) and combined with the cDNA, which are then ligated into the vector as an insert The linkers or adapters added to the cDNA contained restriction endonuclease sites such that a SalI site is found 5′ to the cDNA sequence corresponding to the original mRNA and a NotI site 3′ to the cDNA sequence corresponding to the original mRNA. The cDNA were then inserted into a pREP3Y shuttle vector. The pREP3Y vector is a modified pREP3X vector (ATCC number 87603), wherein the vector was digested with BamHI endonuclease, and a synthetic oligonucleotide inserted to add restriction sites. The resulting vector has the following restriction sites in the polylinker region: Xho1, SalI, XbaI, BamHI, SmaI, NotI and SmaI. The vector and cDNA were digested with SalI/NotI and the cDNA inserted into the vector. See FIG. 15 . cDNA libraries were constructed by Invitrogen Life Technologies in the vector pREP3Y. E. coli strain DH12S was transformed with the vector to create the cDNA library. An unamplified library was returned to the inventors. Individual clones were then plated and grown on LA plus 50 mg/ml carbenicillin (Bacto tryptone, 20 g/l; Bacto yeast extract, 10 g/l; NaCl, 1 g/l; Bacto agar, 17.5 g/l; 1 ml/l of 50 mg/ml carbenicillin added after sterilization by autoclave and cooling but prior to solidification). Example 2 Identification of LT1-24 and the Cip1 Gene The following rationale was used to find the cip1 gene: 1) Grow the library on Hybond+ membranes from Amersham; 2) Lyse the cells and fixate the library DNA on the membrane; 3) Hybridize the blot with the gene specific probes; 4) Hybridize the blot a second time, but now with a mixed CBM probe; 5) Subtract the gene specific from the CBM spots; and Select and analyze the new spots. Colony Isolation The cellulose induced cDNA library from T. reesei was used for these hybridization experiments. The E. coli cDNA library was plated out on agar plates (20×20 cm) to obtain a sufficient amount of clones. cDNA libraries were plated on 200 ml 2xTY (Bacto-Trypton 16 g/l, Yeast Extract 10 g/l, NaCl 5 g/l) solidified with 1.5% agar in the presence of 100 μg/ml ampicillin (AMP). Efficient picking can be obtained when 1500 cfu are plated on 20×20 cm agar plates (Genetix, Q-Tray). 1 ml of the appropriate dilution was plated using glass beads. Plates were grown overnight at 37° C. Colonies were picked and transferred to microtiter plates using a Q-Pix (Genetix Ltd.). This resulted in the growth and storage of 45312 clones. The microtiter plates can be stored at −80 C with 10% glycerol until ready for use. From these T. reesei cDNA containing clones 34500 clones were arrayed on nylon membranes and used for hybridization experiments. The Q-Pix (Genetix Ltd.) is used for picking of the clones into 384-well MTPs. After growth, the Q-Pix is used for the gridding of the 384-well MTPs on membrane filters. These membrane filters were used for hybridization experiments with CBM containing probes to search for novel CBM containing cellulases. Probe Preparation Probes were generated using primers as specified in Table 1. The CBM probes were designed using known sequences of Trichoderma reesei carbohydrate binding modules. See Paul Birch, Curr. Genet (1998) 33; 70-76. Briefly, for CBM probes total T. reesei QM6A genomic DNA (100 ng/50 μl) was mixed with 10 μM 1 μl/50 μl volume FRG164 and 100 μM 1 μl/50 μl volume FRG165, FRG166 or FRG167. FRG166 resulted in no amplification (Ser codon was AGY) while FRG167 resulted in amplification (Ser codon was TCN). Thus, the FRG167 primer was used in the amplification. This fragment was mixed with the fragment produced with FRG165 as primer. The two separate fragments were mixed and contained a mix of CBM sequences present in T. reesei and used as the CBM probe. In summary: the CBM probe has been prepared by mixing the fragments obtained by PCR using the combinations: FRG164+FRG165 and FRG164+FRG167, 2.5 units platinum TAQ polymerase, 5 μl 10× TAQ buffer, 1.5 μl MgCl2 and 1 μl 10 mM dNTP's. The PCR was performed as follows: 1 cycle: 1 minute at 98° C. 10 cycles: 1 minute at 94° C. 1.5 minutes at 65-50° C. 1 minute at 72° C. 25 cycles: 1 minute at 94° C. 1.5 minutes at 50° C. 1 minute at 72° C. stop the reaction and store at 15° C. For catalytic core (i.e., gene specific) probes, total T. reesei QM6A genomic DNA (100 ng/50 μl) was mixed with 1 μl of 10 mM primer concentration in a total volume of 50 μl, 2.5 units platinum TAQ polymerase, 5 μl 10× TAQ buffer, 1.5 μl MgCl2 and 1 μl 10 mM dNTP's using the above protocol, but instead of 50° C., 55° C. has been used. The probes were purified using standard methods. In this series of experiments, the probes were purified by gel purification using Qiagen gel purification KIT. Detection Colony samples picked from the microtiter plates were spotted on 20×20 cm nylon membrane filters (Hybond+ (RPN.82B), Amersham) and grown overnight at 37° C. after placing the filters on large agar 2xTY (100 μg/ml ampicillin). Each 20×20 cm membrane contained 4600 clones in duplicate. Plates were then processed by ECL according to manufacturer's instructions for the presence of either the gene specific or CBM sequences. Prehybridization was performed in ECL buffer provided with the ECL Direct kit for 20 minutes during which time the probe was labeled (exactly according to the protocol). Probe was added directly to the prehybridization solution to a concentration of 10 ng/ml and hybridized for about 60 minutes at 42° C. The filters were then washed twice in primary buffer (6M urea, 0.5×SSC, 0.4% SDS) at 42° C. for 20 minutes/wash and twice in secondary buffer (2×SSC) at room temperature for 5 minutes/wash. After draining excess wash buffer, detection was carried out by adding an equal volume mixture of ECL detection reagents directly to the filters to 0.125 ml/cm2. After one minute, excess reagent was drained, the membranes wrapped in SaranWrap™ and exposed to Hyperfilm™ ECL (RPN.2 103) for less than two hours, typically for 10 minutes. Colonies showing positive signal were then selected for further analysis by other methods such as sub-cloning, DNA sequencing, restriction mapping and PCR. Because the ECL system utilizes an enzyme label, and this becomes inactivated following the chemiluminescent reaction, it is not necessary to strip the blot of old probe before starting second and subsequent hybridization. The blot should be kept in detection reagent over night before reprobing the blot according to the described protocol. All 9 gene specific probes, i.e., probes for the catalytic modules, were mixed and used as a “mega”-probe. After this hybridization the same blots were re-used and hybridized with the CBM probe. By subtracting the spots of the “mega”-probe from the CBM's, the unknowns were detected. Total of 34500 clones have been screened; 264 clones have been cherry picked and probed with specific catalytic probes; 20 clones hybridized with a gene specific probe from LT1-24. The primers used were as follows: Forward primer: P002248: GAC AAT CCA AAC GAC GCT (SEQ ID NO:28); and Reverse primer: PVS173: CAA TCG AGA TGT CGT CGA AC (SEQ ID NO:29). One clone, LT1-24, comprising cip1, was identified that gave a signal when probed with a mixed pool of CBM probes but failed to generate a signal when probed with the pooled catalytic domain probes. Thus, subtraction hybridization resulted in the identification of a novel CBM containing T. reesei gene, cip1. The complete sequence of the cDNA of this gene was determined using techniques well known in the art. It has a predicted secretion signal, a “catalytic” region of unknown function, a linker region and a C-terminal cellulose binding module (CBM). TABLE 1 Gene-specific (catalytic domain) and degenerate (CBM) primers of the known CBM containing genes in T. reesei (Chromosomal DNA: QM6A). N (= A or C or G or T), R (= A or G) Y (= C or T), D (G or A or T) gene (catalytic domain) specific primers orienta- Gene tion primer sequence cbh1 forward FRG168 CTC CTC CAC ACC CGG TGC CG (SEQ ID NO: 30) reverse FRG169 TGC TGC CAA TGG GTC CG (SEQ ID NO: 31) cbh2 forward FRG170 ACG TAT TCA GGC AAC CC (SEQ ID NO: 32) reverse FRG171 GCA GTG GCC ATG GCT CC (SEQ ID NO: 33) egl1 forward FRG172 CCA GTA CAT GAA CTG GC (SEQ ID NO: 34) reverse FRG173 AGA CCC AAT GTC TCC CC (SEQ ID NO: 35) egl2 forward FRG184 CGA ATT GTG CTC CTG GC (SEQ ID NO: 36) reverse FRG185 GTG GTT GGA CCG GAT GG (SEQ ID NO: 37) egl4 forward FRG176 CCT ACC GTG GTA TCA GG (SEQ ID NO: 38) reverse FRG177 TGG TTC TGC TGG TCG GG (SEQ ID NO: 39) egl5 forward FRG178 CAT TTC GAC ATC ATG GC (SEQ ID NO: 40) reverse FRG179 CTG TCC CAC GCA GAG GC (SEQ ID NO: 41) axe1 forward FRG180 CCG GCT GGC TTC GTC TG (SEQ ID NO: 42) reverse FRG181 TGG CCG TAA CCT TGG TG (SEQ ID NO: 43) man1 forward FRG182 CCT CTC TCA CGA CTC GC (SEQ ID NO: 44) reverse FRG183 GTT CGA TGA GTT GTA CC (SEQ ID NO: 45) swo1 forward PVS159 CCC CCA AAC GGA ACA ACT TCC (SEQ ID NO: 46) rev PVS160 CTG TAT CTG TGG TTG TGT AGG (SEQ ID NO: 47) CBM degenerate primers orienta- Box tion primer sequence deg. GQCGG Forward FRG164 GGN CAR TGY GGN GG 64X (SEQ ID NO: 48) YSQC(L/I) Reverse FRG165 AD RCA YTG NGA RTA 96X (SEQ ID NO: 49) YSQC(L/I) Reverse FRG166 AD RCA YTG RCT RTA 32X (SEQ ID NO: 50) YAQC(L/I) Reverse FRG167 AD RCA YTG NGC RTA 96X (SEQ ID NO: 51) Example 3 Identification and Sequence Analysis of T. reesei Genes of Interest Partial sequencing of anonymous cDNA clones is a widely used technique for gene identification. These partial cDNA sequences, or Expressed Sequence Tags (ESTs) have potential application for the identification of important genes involved in cellulose degradation. The plasmid containing a cDNA insert was isolated from clones of the libraries described in Example 1 and a single pass 5′ sequence of the cDNA insert was obtained from approximately 18,000 clones at North Carolina State University (Fungal Genomics Laboratory, College of Agriculture and Life Sciences, Raleigh, N.C.). Sequences of the cDNA were obtained using a primer corresponding to the vector sequence adjacent to the 5′ end of the cDNA insert. The sequences of individual sequence reads were compared and overlapping segments were assembled to form 2101 contigs consisting of two or more reads. 3030 individual reads did not have significant sequence overlap with any other reads in the data set. The predicted coding regions of the EST set were compared by BLAST (See Altschul et al. 1990. Basic local alignment search tool. J. Mol. Biol. 215:403-410) to all publicly available sequence databases. Clones containing cDNA sequences similar to known glycosyl hydrolases, carbohydratre esterases or carbohydrate binding modules were identified for further investigation using the BLAST program (BLASTX and BLASTN) using default parameters. See Altschul et al., 1990. Basic local alignment search tool. J. Mol. Biol. 215:403-410. Full-length cDNAs corresponding to these gene products were sequenced in their entirety using techniques well known in the art. Sequences were analyzed using the DNAstar or Vector NTI software package using default parameters. Genes of interest identified by this method are shown in Table 2. TABLE 2 Biomass degrading activities and their genes in Trichoderma reesei Gene Family a Function b Features c Accession # axe2 CE5 Acetyl xylan esterase SS, GPI AY281376 cip2 Unassigned Unknown SS, CBM AY281368 abf2 GH62 Arabinofuranosidase SS AY281369 cip1 Unassigned Unknown SS, CBM AY281370 a GH, glycosyl hydrolase family; CE, carbohydrate esterase family. b Function of proteins identified in this study is predicted from encoded amino acid sequence. c SS, N-terminal signal sequence; CBM, carbohydrate binding module; GPI, glycosyl phosphatidyloinositol anchor. Features of proteins identified in this study are predicted from encoded amino acid sequence. Example 4 Co-regulation of the cip1 and cip2 Genes with Other Cellulase Genes Previously identified endoglucanases are induced during growth on media containing cellulose, sophorose or lactose. To determine whether the newly discovered polypeptides, CIP1 and CIP2; with putative roles in biomass degradation are similarly regulated, we examined mRNA levels for each of these gene products by Northern blot. Two different strains were used: QM6a, a wild type isolate of T. reesei and RL-P37, a strain that has been selected for improved production of cellulolytic enzymes. Mycelia from each of these strains were grown in flasks in minimal media containing glucose, crystalline cellulose (avicel), or glycerol as the sole carbon source, or glycerol supplemented with 1 mM sophorose. Microarrays were used to examine the regulation of the cip1 and cip2 genes. Generation of mRNA Trichoderma reesei strains used were obtained from the American Type Culture collection. For Northern blot analysis, ˜1×10 7 spores were inoculated into 50 ml minimal medium supplemented with 5% glucose and grown for 24 hours. Mycelia were collected by centrifugation, washed in carbon-free medium and resuspended to an optical density of ˜0.3 in 50 ml minimal medium supplemented with 5% glucose, 2% avicel, 2% glycerol or 2% glycerol containing 1 mM sophorose (Sigma). Cultures were grown at 30° C. in flasks with vigorous aeration for 20 hours. Mycelia were harvested by filtration through miracloth and were quick frozen in liquid nitrogen. RNA was prepared from the mycelia by grinding under liquid nitrogen with a mortar and pestle and extracting using Trizol reagent (Invitrogen Life Technologies) according to manufacturers instructions. Polyadenylated RNA was selected 2 times using Oligotex (Qiagen). Blotting was performed using a NorthemMax-Gly Kit (Ambion). 32 P-labelled probes were generated using aDECAprime Kit (Ambion). Hybridization was performed using ULTRAhyb Ultrasensitive Hybridization Buffer (Ambion). The known T. reesei endoglucanases are induced during growth on media containing cellulose, sophorose or lactose. To determine whether cip1 is similarly regulated, we examined mRNA levels for the endoglucanases gll, eglll and cip1 by Northern blotting. Two different strains were used: QM6a, a wild type isolate of T. reesei and RL-P37, a strain that has been selected for improved production of cellulolytic enzymes. Mycelia from each of these strains were grown in flasks in minimal media containing glucose, crystalline cellulose (avicel), or glycerol as the sole carbon source, or glycerol supplemented with 1 mM sophorose. As shown in FIG. 13 , the endoglucanases were regulated very similarly to one another and to cip1. Induction by sophorose resulted in much higher levels of expression than did growth on cellulose over the time period examined. In addition, expression of these genes was substantially higher in the strain RL-P37 than it was in QM6a. Microarrays To measure the expression levels of cip1 and cip2 microarrays were constructed. Sixty bp oligonucleotide probes containing unique sequences from within each of the ESTs were designed to query the abundance of their corresponding mRNAs. The oligonucleotide probes were synthesized and arrayed as described in Hughes et al. (2001) Nature Biotechnol 19:342-347 by Agilent Technologies, Palo Alto, Calif. In all of the experiments performed the microarrays were used to determine the relative expression levels between two different samples. mRNAs comprising the samples of interest were labeled with Cy5 and Cy3 fluorescent dyes Perkin Elmer/NEN. Reciprocally labeled pairs of samples were combined and co-hybridized to the arrays. The log of the ratio (log ratio) of the two fluorescent species bound to each of the probes reflects the relative expression levels of the cognate genes in the two samples. See Hughes et al. (2001), supra, and DeRisi et al. (1996) Nat Genet 14:457-460. Although the two putative glycolytic enzymes, cip1 and cip2, do not fit into any currently defined class of GHs their regulation parallels known GHs. The regulation of cip1 among strains with varying cellulase-producing capabilities and across a variety of conditions is indistinguishable from the endoglucanases and particularly the cellobiohydrolase cbh1/cel7a ( FIGS. 13 and 14 ). Similarly, cip2 has a pattern of expression in common with these genes, particularly in RL-P37. The coregulation of these genes with canonically-regulated cellulase components and the fact that they contain distinct cellulose-binding modules furthers the notion that cip1 and cip2 encode previously unrecognized activities with potential roles in biomass degradation. The hemicellulase-encoding genes axe2 and abf2 appear to be differentially induced by lactose and by sophorose in either QM6a or in RL-P37 or both. Most notably, abf2, was substantially more induced in RL-P37 during growth on lactose than in sophorose. (Data not shown.) This shows that the novel genes, cip1 and cip2, are regulated in a coordinate fashion with other cellulose degrading enzymes. Example 5 Construction of a Strain of Trichoderma reesei Deleted for Four Cellulase Genes (Quad Delete) This example describes the construction of a suitable expression host. More specifically, described in this example is the construction of a Trichoderma expression host that has had the major cellulase genes deleted. The methods used herein have been described previously in, for example, U.S. Pat. Nos. 5,650,322, 5,874,276 and 6,286,196. We have constructed a strain of T. reesei in which the genes encoding cellobiohydrolase I (CBHI, Cel7a), cellobiohydrolase II (CBHII, Cel6a), endoglucanase I (EGI, Cel7b), and endoglucanase II (EGII, Cel5a) have been inactivated by deletion or disruption using molecular genetic techniques. This strain (a quad delete strain) is useful as a host for over-expression of genes encoding other T. reesei secreted proteins. The T. reesei host strain used was strain RL-P37 which has previously been used to manufacture commercial cellulase preparations by Genencor International, Inc. The derivation and characterisation of this strain has been published previously (Sheir-Neiss, G. and Montenecourt, B. S. (1984) Appl. Microbiol. Biotechnol. 20:46-53; U.S. Pat. No. 4,797,361). It is a cellulase over-producing mutant strain which has been obtained as a result of several mutagenesis steps from the wild-type strain (QM6a). 1) Isolation of a Pyr4 Mutant Strain. In order to prepare strain RL-P37 for transformation with plasmid DNA it was necessary to isolate a derivative having a null mutation in the pyr4 gene. The pyr4 gene encodes orotidine-5′-monophosphate decarboxylase, an enzyme required for the biosynthesis of uridine. The toxic inhibitor 5-fluoroorotic acid (FOA) is incorporated into uridine by wild-type cells and thus poisons the cells. However, cells defective in the pyr4 gene are resistant to this inhibitor but require uridine for growth. It is, therefore, possible to select for pyr4 mutant strains using FOA. In practice, spores of T. reesei strain RL-P 37 were spread on the surface of a solidified medium containing 2 mg/ml uridine and 1.2 mg/ml FOA. Spontaneous FOA-resistant colonies appeared within three to four days. We subsequently identified those FOA-resistant mutants which required uridine for growth. In order to identify those mutants which specifically had a defective pyr4 gene protoplasts were generated and transformed with a plasmid containing a wild-type pyr4 gene (Smith, J. L., Bayliss, F. T. and Ward, M. (1991) Curr. Genet. 19:27-33). Following transformation protoplasts were plated on medium lacking uridine. Subsequent growth of transformed colonies demonstrated complementation of a defective pyr4 gene by the plasmid-borne pyr4 gene. In this way strain GC69 was identified as a pyr4 mutant of strain RL-P37. 2) Construction of a Plasmid Designed to Delete the CBHI Encoding Gene. The cbh1 gene, encoding the CBHI protein, was cloned from the genomic DNA of strain RL-P37 by hybridization with an oligonucleotide probe designed on the basis of the published sequence for this gene (Shoemaker, S., Schweickart, V., Ladner, M., Gelfand, D., Kwok, S., Myambo, K. and Innis, M. (1983) Biotechnology 1:691-696). The cbh1 gene resides on a 6.5 kb PstI fragment and was inserted into the PstI site of pUC4K (Pharmacia Inc., Piscataway, N.J., USA) replacing the kanamycin-resistance gene of this vector. The resulting plasmid, pUC4K:cbh1, was then cut with HindIII and the larger fragment was isolated and religated to give pUC4K:cbh1ΔH/H. This procedure removed the entire cbh1 coding sequence and approximately 1.2 kb of 5′ and 1.5 kb of 3′ flanking sequences. Approximately 1 kb of flanking DNA remained from either end of the original PstI fragment. The T. reesei pyr4 gene was cloned as a 6.5 kb HindIII fragment of genomic DNA in pUC18 to form pTpyr2 (Smith, J. L., Bayliss, F. T. and Ward, M. (1991) Curr. Genet. 19:27-33). The plasmid pUC4K:cbh1ΔH/H was cut with HindIII and the ends were dephosphorylated with calf intestinal alkaline phosphatase. This DNA was ligated with the 6.5 kb HindIII fragment containing the pyr4 gene to give pΔCBHIpyr4. Digestion of pΔCBHIpyr4 with EcoRI liberated a larger fragment which consisted of flanking regions of the cbh1 locus at either end with the pyr4 gene replacing the cbh1 coding sequence in the center. The only DNA on this fragment which was not derived from T. reesei was a 21 bp fragment derived from the multiple cloning site of pUC4K 3) Deletion of the Cbh1 Gene of T. reesei. Protoplasts isolated from mycelium of strain GC69 were transformed with EcoRI digested plasmid pΔCBHIpyr4 using methods outlined by Smith et al., 1991. Stable transformants were obtained and those from which the cbh1 gene had been deleted were identified as described below. Total DNA was isolated from the transformants, digested with PstI, subjected to agarose gel electrophoresis and blotted to a membrane filter. The filter was then hybridised with 32 P labelled pΔCBHIpyr4 and the pattern of hybridisation observed by autoradiography. This probe hybridised with the native cbh1 and pyr4 genes in an untransferred strain. In one transformant (strain P37PΔCBHI) a pattern of hybridisation was observed which would be predicted if a double cross-over integration event had occurred. That is, the cbh1 gene had been deleted by integration of a single copy of the larger EcoRI fragment obtained from pΔCBHIpyr4 at the cbh1 locus of strain RL-P37. Southern analysis was also performed as above except that the probe used was radiolabelled pIntCBHI. This plasmid consists of a pUC vector containing a 2 kb BgIII fragment from the cbh1 locus within the region that was deleted in pUC4K:cbh1ΔH/H. This plasmid hybridised to the cbh1 locus of strain GC69 but did not hybridise to DNA from strain P37PΔCBHI. This confirms that the cbh1 gene had been deleted and that the pUC DNA fragment from pΔCBHIpyr4 had not been incorporated by the deleted strain. Analysis of secreted proteins by separation on isoelectric focusing gels showed that the CBHI protein was not produced by strain P37PΔCBHI. 4) Generation of a Pyr4 Null Mutant of P37PΔCBHI. Spores of the transformant (P37PΔCBHI) which was deleted for the cbh1 gene were spread onto medium containing FOA. A pyr4 deficient derivative of this transformant was subsequently obtained using the methods described in section 1 above. This pyr4 deficient strain was designated P37PΔCBHIPyr − 26. Southern analysis has shown that a spontaneous deletion had occurred when strain P37PΔCBHIPyr − 26 was selected. This deletion completely removed the pyr4 gene which had integrated at the cbh1 locus in strain P37PΔCBHI, as well as flanking DNA from the cbh1 locus beyond the extent of the 6.5 kb PstI fragment of genomic DNA which was originally cloned. 5) Construction of a Vector Designed to Delete the Cbh2 Gene. The cbh2 gene of T reesei , encoding the CBHII protein, has been cloned as a 4.1 kb EcoRI fragment of genomic DNA (Chen et al., 1987, Biotechnology 5:274-278). This 4.1 kb fragment was inserted between the EcoRI sites of pUC4XL. The latter plasmid is a pUC derivative (constructed by R. M. Berka, Genencor International Inc.) which contains a multiple cloning site with a symetrical pattern of restriction endonuclease sites arranged in the order shown here. EcoRI, BamHI, SacI, SmaI, HindIII, XhoI, BglII, ClaI, BglII, XhoI, HindIII, SmaI, SacI, BamHI, EcoRI. The plasmid, pPΔCBHII was constructed in which a 1.7 kb central region of this cbh2 clone, between a HindIII site (at 74 bp 3′ of the CBHII translation initiation site) and a ClaI site (at 265 bp 3′ of the last codon of CBHII), has been removed and replaced by a 1.6 kb HindIII-ClaI DNA fragment containing the T. reesei pyr4 gene obtained as follows. The T. reesei pyr4 gene was excised from pTpyr2 on a 1.6 kb NheI-SphI fragment and inserted between the SphI and XbaI sites of pUC219 (derived from pUC119 by expanding the multiple cloning site to include restriction sites for BglII, ClaI and XhoI; Wilson et al., 1989, Gene 77:69-78) to create p219M (Smith et al., 1991, Curr. Genet. 19:27-33). The pyr4 gene could then be removed as a HindIII-ClaI fragment having seven bp of DNA at one end and six bp of DNA at the other end derived from the pUC219 multiple cloning site and inserted into the HindIII and ClaI sites of the cbh2 gene to form the plasmid pPΔCBHII. Digestion of this plasmid with EcoRI liberated a fragment having 0.7 kb of flanking DNA from the cbh2 locus at one end, 1.7 kb of flanking DNA from the cbh2 locus at the other end and the T. reesei pyr4 gene in the middle. The only DNA in this fragment which was not derived from T. reesei was the 6 bp and 7 bp fragments of the pUC219 multiple cloning site at either end of the pyr4 gene. 6) Deletion of Cbh2 Gene from Strain P37PΔCBHIPyr − 26. Protoplasts of strain P37PΔCBHIPyr − 26 were generated and transformed with EcoRI digested pPΔCBHII according to the methods outlined in 3 above. Stable transformants were cultured in shake flasks and the protein in the culture supernatants was examined by isoelectric focussing. One transformant (designated P37PΔΔCBH67) was identified which did not produce any CBHII (nor CBHI) protein. DNA was extracted from strain P37PΔΔCBH67, digested with EcoRI and Asp718, and subjected to agarose gel electrophoresis. The DNA from this gel was blotted to a membrane filter and hybridized with 32 P labelled pPΔCBHII. The 4.1 kb EcoRI fragment containing the wild-type cbh2 gene was observed in the DNA from an untransferred control strain. In contrast, in strain P37PΔΔCBH67 the single 4.1 kb band was eliminated and replaced by two bands of approximately 0.9 and 3.1 kb. This is the expected pattern if a single copy of the larger EcoRI fragment from pPΔCBHII had integrated precisely at the cbh2 locus and deleted the cbh2 gene. The same DNA samples were also digested with EcoRI and Southern analysis was performed as above. In this example the probe was 32 P labelled pIntCBHII. This plasmid contains a portion of the cbh2 gene coding sequence from within that segment of cbh2 DNA which was deleted in plasmid pPΔCBHII. No hybridization was seen with DNA from strain P37PΔCBH67 confirming that the cbh2 gene was deleted and that the pUC plasmid fragment of pPΔCBHII had not been incorporated by this strain. 7) Selection of a Pyr4 Null Mutant of Strain P37PΔΔCBH67. Spores of the transformant (P37PΔΔBH67) which was deleted for both the cbh1 and cbh2 genes were spread onto medium containing FOA. A pyr4 deficient derivative of this transformant was subsequently obtained using the methods described in section 1 above. This pyr4 deficient strain was designated P37PΔΔCBH67Pyr − 1. Southern analysis has shown that a spontaneous deletion had occurred when strain P37PΔΔCBH67Pyr − 1 was selected. This deletion completely removed the pyr4 gene which had integrated at the cbh2 locus in strain P37PΔΔCBH67, as well as flanking DNA from the cbh2 locus beyond the extent of the 4.1 kb EcoRI fragment of genomic DNA which was originally cloned. The short (6 bp and 7 bp) fragments of DNA derived from the pUC219 multiple cloning site which were present at either end of the pyr4 gene would also have been removed from the genome by this deletion. 8) Construction of a Plasmid Designed to Disrupt the Egl2 Gene. The egl2 gene, encoding EGII (previously referred to as EGIII by some), has been cloned from T. reesei and the DNA sequence published (Saloheimo et al., 1988, Gene 63:11-21). We have obtained the gene from strain RL-P37 as an approximately 4 kb PstI-XhoI fragment of genomic DNA inserted between the PsfI and XhoI sites of pUC219. The T. reesei pyr4 gene, present on a 2.7 kb SalI fragment of genomic DNA obtained from pTpyr2, was inserted into a SalI site within the EGII coding sequence to create plasmid pEGII:P-1. This resulted in disruption of the EGII coding sequence but without deletion of any sequences. The plasmid, pEGII:P-1, can be digested with HindIII and BamHI to yield a linear fragment of DNA derived exclusively from T. reesei except for 5 bp on one end and 16 bp on the other end both of which are derived from the multiple cloning site of pUC219. 9) Disruption of the Egl2 Gene of Strain P37PΔCBH67Pyr − 1. Strain P37PΔΔCBH67Pyr − 1 was transformed with pEGII:P-1 which had been previously digested with HindIII and BamHI and stable transformants were selected. Total DNA was isolated from transformants and Southern analysis used to identify strains in which the fragment of plasmid DNA containing the pyr4 and egl2 genes had integrated at the egl2 locus and consequently disrupted the EGII coding sequence. Southern analysis was performed using as a probe an approximately 4 kb Pst1 fragment of T. reesei DNA containing the egl2 gene. When DNA isolated from strain P37PΔΔ67P − 1 was digested with PstI for Southern analysis the egl2 locus was subsequently visualised as a single 4 kb band on the autoradiograph. However, for a transformant disrupted for the egl2 gene this band was lost and was replaced by two new bands as expected. When the DNA was digested with BglII or EcoRV the size of the band corresponding to the egl2 gene increased in size by approximately 2.7 kb (the size of the inserted pyr4 fragment) between the untransformed P37PΔΔ67P − 1 strain and the transformant disrupted for egl2. This latter transformant, now deleted for the cbh1, cbh2, and egl2 genes, was designated as strain B31. Further Southern analysis confirmed that the pUC DNA fragment of pEGII:P-1 was not incorporated in this strain. 10) Selection of a Pyr4 Null Mutant of Strain B31. Spores of the transformant (B31) which was deleted for the cbh1, cbh2 and egl2 genes were spread onto medium containing FOA. A pyr4 deficient derivative of this transformant was subsequently obtained using the methods described in section 1 above. This pyr4 deficient strain was designated B31 P6. Souther analysis has shown that a spontaneous deletion had occurred when strain B31 P6 was selected. This deletion removed the majority of the pyr4 gene which had integrated at the egl2 locus in strain B31, but did not extend into the flanking DNA of the egl2 locus. 11) Construction of a Plasmid Designed to Delete the Egl1 Gene. The egl1 gene of T. reesei has been cloned and the DNA sequence of the gene has been published (Penttila et al., 1986, Gene 45:253-263; van Arsdell et al., 1987, Bio/technology 5:60-64). We have obtained this gene from T. reesei strain RL-P37 as a 4.2 kb HindIII fragment of genomic DNA inserted at the HindIII site of pUC100 (a derivative of pUC18 with an oligonucleotide inserted into the multiple cloning site adding restriction sites for BglII, ClaI and XhoI) to give pUCEGI. An approximately 1 kb EcoRV fragment extending from a position close to the middle of the EGI coding sequence to a position beyond the 3′ end of the coding sequence was removed and replaced by a 3.5 kb ScaI fragment of T. reesei DNA containing the pyr4 gene obtained from pTpyr2. The resulting plasmid was called pPΔEGI. The plasmid, pPΔEGI could be digested with HindIII to release a DNA fragment comprising only T. reesei genomic DNA having a segment of the egl1 gene at either end and the pyr4 gene, replacing part-of the EGI coding sequence, in the center. 12) Deletion of the Egl1 Gene in Strain B31P6. Two forms of pPΔEG1 were constructed which differed only in the orientation of the pyr4 gene with respect to the egl1 flanking regions. Strain B31 P6 was transformed with a mixture of both forms of the plasmid after they had been digested with HindIII. Total DNA was extracted from stable transformants, digested with HindIII and subjected to Southern analysis. The probe used was radio-labelled pUCEGI. Hybridisation was observed to a 4.2 kb fragment of DNA from strain B31 P6 representing the undeleted egl1 gene. A transformant (strain 1A52) was identified in which this 4.2 kb was no longer present but had been replaced by a fragment of approximately 6.8 kb. This is the pattern expected if the larger HindIII fragment from pPΔEGI had integrated precisely as predicted at the egl1 locus leading to deletion of part of the EGI coding sequence and insertion of pyr4 at this position. Using a pUC plasmid as a probe for Southern analysis it was confirmed that the pUC DNA fragment of pPΔEGI had not been incorporated in strain 1A52. Example 6 Construction of the pTrex3g Expression Vector This example describes the construction of the basic vector used to express the genes of interest. This vector is based on the E. coli vector pSL1180 (Pharmacia Inc., Piscataway, N.J., USA) which is a pUC118 phagemid based vector (Brosius, J. (1989) DNA 8:759) with an extended multiple cloning site containing 64 hexamer restriction enzyme recognition sequences. It was designed as a Gateway destination vector (Hartley, J. L., Temple, G. F. and Brasch, M. A. (2000) Genome Research 10:1788-1795) to allow insertion using Gateway technology (Invitrogen) of any desired open reading frame between the promoter and terminator regions of the T. reesei cbh1 gene. It also contains the Aspergillus nidulans amdS gene for use as a selectable marker in transformation of T. reesei. The details of pTrex3g are as follows (see FIG. 17 ). The vector is 10.3 kb in size. Inserted into the polylinker region of pSL1180 are the following segments of DNA: 1. A 2.2 bp segment of DNA from the promoter region of the T. reesei cbh1 gene 2. The 1.7 kb Gateway reading frame A cassette aquired from Invitrogen that includes the attR1 and attR2 recombination sites at either end flanking the chloramphenicol resistance gene (CmR) and the ccdB gene 3. A 336 bp segment of DNA from the terminator region of the T. reesei cbh1 gene 4. A 2.7 kb fragment of DNA containing the Aspergillus nidulans amdS gene with its native promoter and terminator regions Example 7 Insertion of the Cip1 Coding Region into pTrex3g This example describes the construction of the expression vector for cip1. The open reading frame of cip1 was amplified by polymerase chain reaction (PCR) using purified genomic DNA from Trichoderma reesei strain QM6A (ATCC 13631) as the template. The PCR machine used was a Peltier Thermal Cycler PTC-200 (MJ Research). The DNA polymerase used in PCR was Herculase (Stratagene). The primers used to amplify the cip1 gene were primer 170 (forward) 5′-CACCATGGTTCGCCGGACTGCTCTG-3′ (SEQ ID NO:52), and primer 171 (reverse) 5′-TTATAAGCACTGGGAGTAGTATGG-3′ (SEQ ID NO:53). The forward primer contained an additional four nucleotides (sequence—CACC) at the 5′ end that did not correspond to the cip1 gene but was required for cloning into the pENTR/D-TOPO vector. The PCR conditions for amplifying the cip1 open reading frame were as follows: Step 1:94 C for 2 min. Step 2:94 C for 30 sec. Step 3:58 C for 30 sec. Step 4: 72 C for 35 sec. Steps 2, 3 and 4 were repeated for an additional 21 cycles. Step 5:72 C for 5 min. The PCR product was purified using a Qiaquick PCR Purification Kit (Qiagen). The purified PCR product was initially cloned into the pENTR/D-TOPO vector (Invitrogen, FIG. 18 ), transformed into TOP10 chemically competent E. coli cells (Invitrogen) and plated on LA plates with 50 ppm kanamyacin. Plasmid DNA was obtained from the E. coli transformants using a QIAspin plasmid preparation kit (Qiagen). Sequence data was obtained for the inserted DNA in the pENTR/D-TOPO vector using M13 forward and reverse primers. A pENTR/D-TOPO vector with the correct DNA sequence inserted was recombined with the pTrex3g vector using LR clonase (Invitrogen) according to the manufacturers instructions. The product of LR clonase reaction was subsequently transformed into TOP 10 chemically competent E. coli cells which were then plated on LA containing 50ppm carbenicillin. The resulting pExpression construct ( FIG. 19 ) was pTrex3g containing the cip1 gene that resulted from the recombination event between the attR1 and attR2 sites of pTrex3g and the attL1 and attL2 sites of pENTR/D-TOPO. DNA of the pExpression construct containing the cip1 open reading frame was isolated using a Qiagen miniprep kit for biolistic transformation of Trichoderma reesei spores. Example 8 Insertion of the Cip2 Coding Region into pTrex3g This example describes the construction of the expression vector for cip2. The open reading frame of cip2 was amplified by PCR using purified genomic DNA from Trichoderma reesei strain QM6A as the template. The PCR machine used was a Peltier Thermal Cycler PTC-200 (MJ Research). The DNA polymerase used was Herculase (Stratagene). The primers used to amplify cip2 were primer 230 (forward) 5′-CACCATGGCTTCCCGCTTCTTTG-3′ (SEQ ID NO:54), and primer 231 (reverse) 5′-TCAACTCAGCGTTGGGGTTG-3′ (SEQ ID NO:55). The forward primer contained an additional four nucleotides (sequence —CACC) at the 5′ end that did not correspond to the cip2 gene, but was required for cloning into the pENTR/D-TOPO vector. The PCR conditions for amplifying the cip2 open reading frame were as follows: Step 1:94 C for 2 min. Step 2:94 C for 30 sec. Step 3:56 C for 30 sec. Step 4:72 C for 1 min. 15 sec. Steps 2, 3 and 4 were repeated for an additional 21 cycles. Step 5:72 C for 5 min. The PCR product was purified using a Qiaquick PCR Purification Kit (Qiagen). The purified PCR product was initially cloned into the pENTR/b-TOPO vector (Invitrogen, FIG. 18 ), transformed into TOP10 chemically competent E. coli cells (Invitrogen) and plated on LA plates with 50 ppm kanamyacin. Plasmid DNA was obtained from the E. coli transformants using a QIAspin plasmid preparation kit (Qiagen). Sequence data was obtained for the inserted DNA in the pENTR/D-TOPO vector using M13 forward and reverse primers. A pENTR/D-TOPO vector with the correct DNA sequence inserted was recombined with the pTrex3g vector using LR clonase (Invitrogen) according to the manufacturers instructions. The product of LR clonase reaction was subsequently transformed into TOP 10 chemically competent E. coli cells which were then plated on LA containing 50 ppm carbenicillin. The resulting pExpression construct ( FIG. 19 ) was pTrex3g containing the cip2 gene that resulted from the recombination event between the attR1 and attR2 sites of pTrex3g and the attL1 and attL2 sites of pENTR/D-TOPO. DNA of the pExpression construct containing the cip2 open reading frame was isolated using a Qiagen miniprep kit for biolistic transformation of Trichoderma reesei spores. Example 9 Insertion of the abf2 Coding Region into pTrex3g This example describes the construction of the expression vector for abf2. The open reading frame of abf2 was amplified by PCR using purified genomic DNA from Trichoderma reesei strain QM6A as the template. The PCR machine used was a Peltier Thermal Cycler PTC-200 (MJ Research). The DNA polymerase used was Pfu Turbo cx Hotstart (Stratagene). The primers used to amplify abf2 were NSP071 (forward): 5′-GGGGACAAGTTTGTACAAAAAAGCAGGCTATGGAGCTTAAAGCACTCAGTGCCG -3′(SEQ ID NO:56) and NSP072 (reverse): 5′-GGGGACCACTTTGTACAAGAAAGCTGGGTTCAGCGCTGGAGAGTTAGCAGC -3′ (SEQ ID NO:57). Both the forward and reverse primers included 29 nucleotides at the 5′ end that did not correspond to the abf2 gene, but represent the attB1 site required for cloning into the pDONR201 vector (Invitrogen). The PCR conditions for amplifying the abf2 open reading frame were as follows: Step 1:95 C for 2 min. Step 2:95 C for 30 sec. Step 3:68 C for 30 sec. Step 4:72 C for 3 min. Steps 2, 3 and 4 were repeated for an additional 29 cycles. Step 5:72 C for 1 min. The PCR product was cloned into the pDONR201 vector via the BP clonase reaction using the PCR cloning kit with Gateway® technology (Invitrogen) according to the manufacturers instructions. Sequence data was obtained for the inserted DNA in the pDONR201 vector using M13 forward and reverse primers. A pDONR201 vector with the correct DNA sequence inserted was recombined with the pTrex3g vector using LR clonase (Invitrogen) according to the manufacturers instructions. The product of the LR clonase reaction was subsequently transformed into TOP 10 chemically competent E coli cells which were then plated on LA containing 50 ppm carbenicillin. The resulting pExpression construct ( FIG. 8 ) was pTrex3g containing the abf2 gene that resulted from the recombination event between the attR1 and attR2 sites of pTrex3g and the attL1 and attL2 sites of pDONR201. DNA of the pExpression construct containing the abf2 open reading frame was isolated using a Qiagen miniprep kit for biolistic transformation of Trichoderma reesei spores. Example 10 Insertion of the axe2 Coding Region into pTrex3g This example describes the construction of the expression vector for axe2. The open reading frame of axe2 was amplified by PCR using purified genomic DNA from Trichoderma reesei strain QM6A as the template. The PCR machine used was a Peltier Thermal Cycler PTC-200 (MJ Research). The DNA polymerase used was Pfu Turbo cx Hotstart (Stratagene). The primers used to amplify axe2 were NSP111 (forward): 5′-GGGGACAAGTTTGTACAAAAAAGCAGGCTATGCGCGCCCTCTCACTCTCC -3′ (SEQ ID NO:58) and NSP112(reverse): 5′-GGGGACCACTTTGTACAAGAAAGCTGGGTTCACAGCATCTGAGACACCGCC -3′ (SEQ ID NO:59). Both the forward and reverse primers included 29 nucleotides at the 5′ end that did not correspond to the axe2 gene, but represent the attB1site required for cloning into the pDONR201 vector (Invitrogen). The PCR conditions for amplifying the abf2 open reading frame were as follows: Step 1:95 C for 2 min. Step 2:95 C for 30 sec. Step 3:68 C for 30 The PCR product was cloned into the pDONR201 vector via the BP clonase reaction using the PCR cloning kit with Gateway® technology (Invitrogen) according to the manufacturers instructions. Sequence data was obtained for the inserted DNA in the pDONR201 vector using M13 forward and reverse primers. A pDONR201 vector with the correct DNA sequence inserted was recombined with the pTrex3g vector using LR clonase (Invitrogen) according to the manufacturers instructions. The product of the LR clonase reaction was subsequently transformed into TOP 10 chemically competent E. coli cells which were then plated on LA containing 50 ppm carbenicillin. The resulting pExpression construct ( FIG. 19 ) was pTrex3g containing the axe2 gene that resulted from the recombination event between the attR1 and attR2 sites of pTrex3g and the attL1 and attL2 sites of pDONR201. Example 11 Transformation of a Guad Delete T. reesei Strain This example describes the transformation of a Trichoderma strain with an expression construct. Biolistic transformation of T. reesei with the pTrex3g expression vectors with cip1, cip2 or abf2 open reading frames (pExpression constructs) was performed using the protocol outilined below. A suspension of spores (approximately 5×10 8 spores/ml) from a quad deleted strain of T. reesei was prepared. 100 ul-200 ul of spore suspension was spread onto the center of plates of MM acetamide medium. MM acetamide medium had the following composition: 0.6 g/L acetamide; 1.68 g/L CsCl; 20 g/L glucose; 20 g/L KH 2 PO 4 ; 0.6 g/L CaCl 2 .2H 2 O; 1 ml/L 1000× trace elements solution; 20 g/L Noble agar; pH 5.5. 1000× trace elements solution contained 5.0 g/l FeSO 4 .7H 2 O, 1.6 g/l MnSO 4 .H 2 O, 1.4 g/l ZnSO 4 .7H 2 O and 1.0 g/l CoCl 2 .6H 2 O. The spore suspension was allowed to dry on the surface of the MM acetamide medium. Transformation of T. reesei by the biolistic was performed using a Biolistic® PDS-1000/He Particle Delivery System from Bio-Rad (Hercules, Calif.) following the manufacturers instructions. Briefly, 60 mg of M10 tungsten particles were placed in a microcentrifuge tube. 1 mL of ethanol was added and allowed to stand for 15 minutes. The particles were centrifuged at 15,000 rpm for 15 seconds. The ethanol was removed and the particles were washed three times with sterile dH2O before 1 mL of 50% (v/v) sterile glycerol was added. 25 ul of tungsten particle suspension was placed into a microcentrifuge tube. While continuously vortexing, the following were added; 0.5-5 ul (100-200 ng/ul) of plasmid DNA, 25 ul of 2.5M CaCl 2 and 10 ul of 0.1 M spermidine. The particles were centrifuged for 3 seconds. The supernatant was removed, the particles were washed with 200 ul of 70% (v/v) ethanol and centrifuged for 3 seconds. The supernatant was removed, the particles were washed with 200 ul of 100% ethanol and centrifuged for 3 seconds. The supematant was removed and 24 ul 100% ethanol was added, mixed by pipetting, and the tube was placed in an ultrasonic cleaning bath for approximately 15 seconds. While the tube was in the ultrasonic bath, 8 ul aliquots of particles were removed and placed onto the center of macrocarrier disks that were held in a desicator. Once the tungsten/DNA solution had dried the microcarrrier disk was placed in the bombardment chamber along with the plate of MM acetamide with spores and the bombardment process was performed according to the manufacturers instructions. After the bombardment of the plated spres with the tungsten/DNA particles the plates were placed incubated at 28 C. Transformed colonies were picked to fresh plates of MM acetamide after 4 days. After 5 days of growth on MM acetamide plates tranformants displaying stable morphology were inoculated into 250 ml shake flasks containing 30 ml of Proflo medium. Proflo medium contains: 30 g/L α-lactose; 6.5 g/L (NH 4 ) 2 SO 4 ; 2 g/L KH 2 PO 4 ; 0.3 g/L MgSO 4 .7H 2 O; 0.2 g/L CaCl 2 ; 1 ml/L 1000× trace element salt solution; 2 mV L 10% Tween 80; 22.5 g/L Proflo cottonseed flour (Traders Protein, Memphis, Tenn.); 0.72 g/L CaCO 3 . After two days of growth at 28 C and 140 rpm, 10% of the Proflo culture was transferred to a 250 ml shake flask containing 30 ml of Lactose Defined Media. The composition of Lactose Defined Media was as follows: 5 g/L (NH 4 ) 2 SO 4 ; 33 g/L PIPPS buffer, 9 g/L casamino acids; 4.5 g/L KH 2 PO 4 ; 1 g/L MgSO 4 .7H 2 O; 5 ml/L Mazu DF60-P antifoam (Mazur Chemicals, Gurnee, Ill.); 1 ml/L 1000× trace elements solution; pH 5.5. 40 ml/L of 40% (w/v) lactose solution was added to the medium after sterilization. The Lactose Defined medium shake flasks were incubated at 28 C, 140 rpm for 4-5 days. Samples of culture supernatant were mixed with an appropriate volume of 2× sample loading buffer with reducing agent and subjected to sodium dodecyl sulfate-polyacrylamide gel electrophoresis (SDS-PAGE) using precast gels according to the manufacturers instructions (The NuPAGE Bis-Tris Electrophoresis System from Invitrogen Corporation, Carlsbad, Calif. Either NuPAGE 10% Bis-Tris or NuPAGE 4-12% Bis-Tris gels were used with MOPS buffer. NuPAGE LDS sample buffer and NuPAGE reducing agent were used.). The gels were stained for protein with Coomassie Brilliant Blue stain. On SDS-PAGE analysis a protein band that was not observed in supernatant from a quad delete strain was observed in the supernatant of some transformants with the pTrex3g vector containing the cip1 open reading frame ( FIG. 20 ). This new protein band had an apparent molecular mass of approximately 50 kDa. This is somewhat higher than the size of 33 kDa predicted from the gene sequence. The discrepency could be accounted for by the post-translational addition of glycosylation. In addition, it is known that some proteins do not migrate according to their size on SDS-PAGE (Saloheimo et al., 1997). This result confirms that Cip1 is a secreted protein. On SDS-PAGE analysis a protein band that was not observed in supernatant from a quad delete strain was observed in the supernatant of some transformants with the pTrex3g vector containing the cip2 open reading frame ( FIG. 21 ). This new protein band had an apparent molecular mass of approximately 56 kDa. This is somewhat higher than the size of 48 kDa predicted from the gene sequence. The discrepency could be accounted for by the post-translational addition of glycosylation. In addition, it is known that some proteins do not migrate according to their size on SDS-PAGE (Saloheimo et al., 1997). This result confirms that Cip2 is a secreted protein. On SDS-PAGE analysis a protein band that was not observed in supernatant from a quad delete strain was observed in the supernatant of some transformants with the pTrex3g vector containing the abf2 open reading frame ( FIG. 22 ). This new protein band had an apparent molecular mass very close to the 35 kDa predicted from the gene sequence. This result confirms that Abf2 is a secreted protein. Example 12 Purification of Cip1 Protein and Activity Assays Cip1 protein was purified from culture supernatant using a BioCAD Sprint (Perseptive Biosystems, Cambridge, Mass.) chromatography workstation by the following protocol. A Poros 20 HP2 10 column was from Perseptive Biosystems (Cambridge, Mass.) hydrophobic interaction chromatography column was equilibrated with 5 column volumes of 0.5M (NH 4 ) 2 SO 4 /0.02M NaH 2 PO 4 , pH 6.80. The total protein concentration in the supernatant sample was determined using a Bio-Rad (Hercules, Calif.) protein assay kit according to the manufacturers instructions and 20% of the column capacity (20 mg/ml) was applied to the column. The column was washed with 10 column volumes of 0.5M (NH 4 ) 2 SO 4 /0.02M NaH 2 PO 4 , pH 6.80. Cip1 protein was eluted with 5 column volumes of 0.02M NaH 2 PO 4 , pH 6.80. At this point Cip1 was approximately 70% pure. The eluate was concentrated to 13 ml by ultrafiltration using centrifugal filter units with a nominal molecular weight limit of 5,000 (Biomax5K; Millipore, Bedford Mass.). A gel filtration column (Superdex 75, Amersham Biosciences) was equilibrated with 2 column volumes of 0.02M NaH 2 PO 4 , pH 6.80 and the concentrated eluate from the previous column was applied. Fractions were collected and analyzed for protein MW by SDS-PAGE and for activity against p-nitrophenyl-β-D cellobioside (p-NPC). The Cip1 protein was greater than 95% pure at this point. For p-NPC assays 20 ul p-NPC (7.5 mg/ml) was mixed with 10 ul sample and 100 ul 50 mM sodium acetate, pH 5.0. After incubation at 50° C. for 30 minutes the reaction was stopped by the addition of 100 ul of 100 mM glycine, pH 10. The optical density was measured at a wavelength of 405 nm. Although a specific activity was not determined it was clear that Cip1 had activity against p-NPC. In one experiment the background reaction with no added enzyme gave an OD405 of 0.071 whereas with Cip1 the OD405 was 0.121. This demonstrates that Cip1 protein has some activity on a substrate commonly used to measure activity of cellulases (both endoglucanases and cellobiohydrolases). Although the foregoing invention has been described in some detail by way of illustration and example for purposes of clarity and understanding, it will be obvious that certain changes and modifications may be practiced within the scope of the appended claims.
Described herein are novel gene sequences isolated from Trichoderma reesei. Two genes encoding proteins comprising a cellulose binding domain, one encoding an arabionfuranosidase and one encoding an acetylxylanesterase are described. The sequences, CIP1 and CIP2, contain a cellulose binding domain. These proteins are especially useful in the textile and detergent industry and in pulp and paper industry. Cip1 cDNA sequence (SEQ ID NO: 1) GACTAGTTCA TAATACAGTA GTTGAGTTCA TAGCAACTTC 50 ACTCTCTAGC TGAACAAATT ATCTGCGCAA AC ATGGTTCG CCGGACTGCT 100 CTGCTGGCCC TTGGGGCTCT CTCAACGCTC TCTATGGCCC AAATCTCAGA 150 CGACTTCGAG TCGGGCTGGG ATCAGACTAA ATGGCCCATT TCGGCACCAG 200 ACTGTAACCA GGGCGGCACC GTCAGCCTCG ACACCACAGT AGCCCACAGC 250 GGCAGCAACT CCATGAAGGT CGTTGGTGGC CCCAATGGCT ACTGTGGACA 300 CATCTTCTTC GGCACTACCC AGGTGCCAAC TGGGGATGTA TATGTCAGAG 350 CTTGGATTCG GCTTCAGACT GCTCTCGGCA GCAACCACGT CACATTCATC 400 ATCATGCCAG ACACCGCTCA GGGAGGGAAG CACCTCCGAA TTGGTGGCCA 450 AAGCCAAGTT CTCGACTACA ACCGCGAGTC CGACGATGCC ACTCTTCCGG 500 ACCTGTCTCC CAACGGCATT GCCTCCACCG TCACTCTGCC TACCGGCGCG 550 TTCCAGTGCT TCGAGTACCA CCTGGGCACT GACGGAACCA TCGAGACGTG 600 GCTCAACGGC AGCCTCATCC CGGGCATGAC CGTGGGCCCT GGCGTCGACA 650 ATCCAAACGA CGCTGGCTGG ACGAGGGCCA GCTATATTCC GGAGATCACC 700 GGTGTCAACT TTGGCTGGGA GGCCTACAGC GGAGACGTCA ACACCGTCTG 750 GTTCGACGAC ATCTCGATTG CGTCGACCCG CGTGGGATGC GGCCCCGGCA 800 GCCCCGGCGG TCCTGGAAGC TCGACGACTG GGCGTAGCAG CACCTCGGGC 850 CCGACGAGCA CTTCGAGGCC AAGCACCACC ATTCCGCCAC CGACTTCCAG 900 GACAACGACC GCCACGGGTC CGACTCAGAC ACACTATGGC CAGTGCGGAG 1000 GGATTGGTTA CAGCGGGCCT ACGGTCTGCG CGAGCGGCAC GACCTGCCAG 1050 GTCCTGAACC CATACTACTC CCAGTGCTTA TAA GGGGATG AGCATGGAGT 1100 GAAGTGAAGT GAAGTGGAGA GAGTTGAAGT GGCATTGCGC TCGGCTGGGT 1150 AGATAAAAGT CAGCAGCTAT GAATACTCTA TGTGATGCTC ATTGGCGTGT 1200 ACGTTTTAAA AAAAAAAAAA AAAAAAAAAA AAAAAAAAAA AAAAAAAAAA 1250 AAAAAAAAAA AAAAAAAAAG GGGGCGGCCG C 1271
FIELD OF INVENTION [0001] This invention relates to a method of treating waste water. The invention has particular application to the treatment of waste water where there is a relatively long period before the waste water is discharged. This invention has particular but not exclusive application to the treatment of sewerage effluent and for illustrative purposes only reference will be made to this application. PRIOR ART [0002] The conventional method of treating sewerage involves firstly collecting sewerage effluent through a conduit system of sewerage conduits and delivering it to a sewerage treatment plant at or close to the site where the treated effluent will be released. At the conventional sewerage treatment plants, the sewerage effluent undergoes a series of anaerobic and aerobic incubations to remove waste including organic matter, other solids, nitrogen and phosphate and disinfection to reduce the number of pathogenic organisms in the sewerage effluent prior to its release. [0003] As the sewerage effluent passes through the sewerage mains and pumping stations, the sewerage effluent becomes an incubating culture. The composition of the sewerage effluent is continuously changing during its passage. Many of the reactions promoted by the naturally occurring micro-organism populations are undesirable. And produce reaction products such as hydrogen sulphide and ammonia and possibly also other nitrogenous containing compounds such as mercaptans. For example they cause major odour problems in the areas near pumping wells and also produce nasty gaseous chemicals that tend to corrode the conduits and other surfaces in the conduit system. [0004] Further when the sewerage reaches the sewerage plant it has deteriorated in the sense that it contains more complex chemicals and is more difficult to treat than when it entered the system. These chemicals have been generated by the reactions propagated by the naturally occurring micro-organism populations in the conduit system. As a consequence the treatment of the sewerage at the treatment plant involves more unit operations and is more technically difficult than would otherwise be the case. [0005] For example a common problem is the proliferation of filamentous bacteria incubating in a nutrient rich environment. The foaming problem in aerobic tanks results in the need for additional treatment and extends the time for treatment before the treated sewerage effluent can be released. These problems cause the cost of treatment to rise. [0006] In the specification the term “comprising” shall be understood to have a broad meaning similar to the term “including” and will be understood to imply the inclusion of a stated integer or step or group of integers or steps but not the exclusion of any other integer or step of group of integers or steps. This definition also applies to variations on the term “comprising” such as “comprise” and “comprises”. SUMMARY OF THE INVENTION [0007] According to one aspect of this invention there is provided a method of treating waste water passed through a conduit system comprising at least one conduit extending from at least one entry point to a discharge point and including at least one quiescent zone where water is at least temporarily slowed in its displacement towards the discharge point, the method including introducing an inoculum into the system at at least one primary inoculation site for facilitating its entry into the quiescent zone where it incubates and forms an inoculum culture that influences the type and quantity of certain micro-organism populations in the system both within the quiescent zone and downstream thereof, the inoculum culture encouraging micro-organisms that assist with the break down or degradation of organic compounds within the waste water and retarding micro-organisms that tend to form undesirable compounds from the organic compounds in the waste water [0008] Applicant has noted that sustained high velocity water flow significantly retards production of negative effects in waste water streams. Conversely, applicant has noted that unfavourable organisms tend to flourish in these quiescent zones where they attach to the surfaces of the conduit and the like. The organisms attach to both submerged surfaces in contact with the water and exposed surfaces in contact with the gas or air space above the surface of the water. This incubation of cultures of the harmful micro-organisms in the quiescent zones occurs because of the fact that the water does not have a significant path length or longitudinal velocity in these zones. The cultures produce chemical compounds that release toxic gases, eg hydrogen sulphide, and compounds that attack the materials of the conduit, eg concrete. [0009] Applicant has discovered that these same quiescent zones can be used to produce positive reactions in the waste water (i.e. partial break-down) by a careful process of introduction of beneficial organisms (i.e. those which work to effect break-down of organic matter in the waste stream without large scale production of negative substances or effects) to the system by incubating an inoculum. This allows organisms which would not otherwise flourish (or would otherwise be out-competed) to maintain viable populations. Put another way the micro-organism population can be altered to produce catabolic-type reaction products rather than more complex anabolic reaction products. [0010] Thus an inoculum is introduced to a quiescent zone where it encourages the propagation of beneficial organisms and suppresses the growth of harmful organisms, ie that react with the waste compounds to produce undesirable compounds such as ammonia and hydrogen sulphide. [0011] In this specification the term quiescent zone means a zone somewhere along the length of the pipe where the water flow is interrupted or at least significantly reduced. The typical flow through the conduit resembles plug flow where all water is travelling at the same speed through the conduit towards the discharge point. However in the quiescent zone the water does not significantly advance towards the discharge point although there may be internal mixing in the zone. A quiescent zone may for example be formed by a pumping well, a low point at the interconnection of two conduit sections or any other zone where part of the pipe is exposed and water vapour collects. A quiescent zone may also occur intermittently in a given conduit in relation to intermittent cycles of a pump or variations in volumes of flow through the conduit. [0012] The culture incubated by the inoculum typically comprises both aerobic and anaerobic micro-organisms and the micro-organisms in the inoculum culture typically exist in a symbiotic relationship with each other. Thus when the micro-organisms in the inoculum culture are carried by the water into an anaerobic environment a viable population of aerobic organisms will remain and when the micro-organisms incubated by the inoculum culture are carried in the water into an aerobic environment a viable population of anaerobic micro-organisms will remain. [0013] Applicant has noted that the cultures of undesirable micro-organisms which produce negative effects in waste water systems (e.g. odour, aggressive atmospheres) are largely located on the surfaces of the conduits in the quiescent zones as distinct from in the waste water itself. Accordingly it is the cultures on the surfaces of the quiescent zones which have to be addressed. Prior methods have assumed that cultures causing negative effects are resident primarily in the water as distinct from on the surfaces which is incorrect. [0014] Typically the system includes at least one surface, typically a plurality of surfaces, in the quiescent zone and the miro-organisms formed by the inoculum adhere tenaciously to the surface/s of the system. [0015] The surfaces may be formed by the conduits and the micro-organisms formed by the inoculum preferably have the ability to adhere at least as tenaciously to the surface/s as the harmful microorganisms in the system. [0016] The introduction and nurturing of these beneficial organisms at specific sites and the resultant positive effects (and suppression of negative effects) is the basis of this invention. [0017] The inoculum culture may be introduced into the quiescent zone either directly or indirectly. By indirect introduction is meant putting the culture into the conduit/s either upstream or downstream of the quiescent zone and letting the fluid flow in the system, ie gas or liquid, carry it to the zone. More specifically the inoculum culture may be introduced indirectly into the quiescent zone by being introduced into the water upstream of the quiescent zone and allowing it to flow with the water to the quiescent zone. Alternatively the inoculum culture may be introduced into an air space in the conduit as fine droplets either upstream or downstream of the quiescent zone, and be carried by the air upstream to the quiescent zone. [0018] The inoculum may include photosynthetic micro-organisms, heterotrophic bacteria, and lactic acid bacteria and the inoculum may further include a substrate. [0019] The inoculum of selected microorganisms is preferably a mixed population the proportions of which may vary depending on the type of waste introduced into the waste water reticulation system. Further, the type of microorganisms selected may vary depending on the type of waste introduced into the waste water reticulation system. For example, where the waste introduced into the waste water reticulation system has a high proportion of fat or oil, lactic acid bacteria may be selected and an inoculum may contain a high proportion of lactic acid bacteria compared with other microorganisms. In creating the conditions where an inoculum contains a high proportion of lactic acid bacteria a relatively large amount of sugar may be added during preparation of the inoculum to serve as a substrate for lacto-bacillus and other fermentative organisms which as a consequence forms a combination of lactic and acetic acids that assists in degrading the fat and oil substrates. The selected microorganisms are chosen because of their ability to co-operate in reducing the waste to small molecular weight products, including water and CO 2 . The microorganisms are preferably chosen based on their ability to breakdown each other's products. [0020] The inoculum may include purple non-sulphur producing heterotrophic photosynthetic bacteria, lactobacillus, yeasts, actinomycetes, Nocardia species, ray fungi, plankton and other chemoautotrophic bacteria. In a preferred form the inoculum is produced from the EM (Effective Microorganisms) formulation which is commercially available. [0021] Often the conduit system has a plurality of conduits and specifically a plurality of conduits in parallel. The method may include introducing inoculum into a plurality of primary inoculation sites located in parallel conduits. [0022] This enables a widespread conduit network, with many branches towards the upstream end thereof, to be treated in a way that has an effect throughout the system. The inoculum is introduced to a quiescent zone in each of the conduits. [0023] Preferably each primary inoculation site is positioned such that at least 50% of the water passing through the primary inoculation site has spent less than 25% of its total residence time in the system when it passes through the inoculation site. [0024] Very often the conduit systems are long and contain a plurality of quiescent zones along their length and it is desirable to introduce further inoculum downstream of the primary inoculation site. This replenishes the culture of beneficial micro-organisms and perpetuates or maintains the favourable environment produced by the inoculum further downstream in the conduit system. [0025] The conduit systems may include at least one secondary inoculation site positioned downstream of the primary inoculation site/s, each secondary inoculation site introducing inoculum to a yet further quiescent zone and positioned such that at least 50% of the water passing through the site has spent 50 to 75% of its residence time in the system. [0026] Preferably the system also includes at least one further inoculation site called a tertiary inoculation site downstream of the secondary inoculation site. The purpose of the tertiary inoculation site is the same as the secondary inoculation site namely to bolster and maintain the culture of beneficial micro organisms at an appropriate level in a downstream quiescent zone through which the water passes before it reaches the discharge point. [0027] Typically there is only one tertiary inoculation site and substantially all of the water flows through the site. Preferably the tertiary site is positioned such that the water passing therethrough has spent 50 to 80% of its residence time in the conduit system. Further preferably the tertiary inoculation site is positioned so that the inoculum introduced at the site is incubated in a quiescent zone, eg a pumping well prior to the rising main or a quiescent zone in the rising main. A tertiary site inoculation is preferred where more than 750 kiloliters per day of effluent or waste is collected for transfer by rising main of more than one thousand meters in length. [0028] The secondary and tertiary inoculation sites serve to boost the population of the selected microorganisms. As indicated above, the waste water effluent in a waste water reticulation system is dynamic and undergoes constant change depending on the conditions that prevail at different stages in the waste water reticulation system. As a result of incubation at any one particular zone, the population of some of the selected microorganisms will increase whereas the population of other selected microorganisms will decrease. It is therefore preferred that the waste water effluent is subjected to further inoculation as it travels through the waste water reticulation system. By inoculating the waste water effluent again the population of the desired selected microorganisms can be increased and thus continue to degrade the waste in the waste water effluent. The subsequent inoculation can effectively augment deficiencies in the culture of the previously inoculated waste water effluent. In this way, a blanket effect is achieved which covers substantially all of the incubating cultures affecting the waste water effluent and found in quiescent zones throughout the system. [0029] Generally the amount of inoculum introduced into the conduit system per unit time may be a function of the surface area of the interior surface of the conduit system and the volume or size and number of quiescent zones through which the effluent passes and not necessarily related to the volume of water flowing through the system. [0030] The difference between dosage rates of inoculum at the various primary inoculation sites may be less than 20%, preferably less than 5%. More preferably the dosage rates are substantially the same across a majority of the sites. However often a system will have one or more sites having higher dosage rates. This is due to the fact that the positioning of the sites has to fit in with the existing characteristics of the conduit system. Usually the sites having higher dosage rates are secondary and tertiary sites although they may also include primary sites. [0031] The method may further include providing at least two secondary inoculation sites positioned downstream of the primary inoculation site/s, and having the difference between dosage rates of inoculum at the secondary inoculation sites being less than 20%, preferably less than 5%. More preferably the dosage rates are substantially the same across all the secondary sites. [0032] Where the conduit has at least one tertiary site, the difference between dosage rate of inoculum between the tertiary site and the secondary sites may be less than 20%. [0033] Each of the primary and secondary inoculation sites may have a difference in dosage rate of not more than 5%. [0034] In this invention the conduit system is used to effectively treat the sewerage effluent before it reaches the discharge point. The invention uses rising mains, gravity mains and various pumping wells as quiescent zones to incubate specific cultures of microorganisms. The inoculation with selected microorganisms results in competition for available nutrients and thus alters the type and number of microorganisms and the type and quantity of fermentation products produced in the sewerage effluent. [0035] According to another aspect of this invention there is provided a method of treating waste water passed through a conduit system comprising at least one conduit extending from at least one entry point to a discharge point the method including introducing an inoculum into the system at at least two inoculation sites longitudinally spaced apart from each other along the conduit system, the sites being used to introduce inoculum to the conduit system where it incubates and forms an inoculum culture that influences the type and quantity of certain microorganism populations in the system both proximate to the inoculation site and downstream thereof, the inoculum culture encouraging micro-organisms that assist with the break down or degradation of organic compounds within the system and retarding micro-organisms that tend to form undesirable compounds from the organic compounds in the system. [0036] Advantageously the location of the spaced sites is chosen such that the negative substrates produced by normally occurring populations of micro-organisms incubated within the conduit system are relatively stable downstream of the site and the substrates produced by populations of the micro-organisms established at a said site would not vary dramatically, that is by more than 20% between the inoculation site and a point downstream of the site. This point may be proximate or it may be remote. This indicates a resistance to uncontrolled swings in populations of undesirable micro-organisms (blooms). This stability is very important to any program aimed at treating the water. [0037] The spacing of the inoculation sites may be chosen such that the substrates produced by populations of micro-organisms fostered by the inoculum culture are relatively stable and would not vary by more than 20% at any points along the conduit path between longitudinally spaced inoculation sites. The downstream sites are chosen to suitably boost the population of favourable microorganisms at the appropriate point along the conduit system and are positioned so as to do this before any major changes in substrates and populations occur. [0038] Preferably the dosage rate of inoculum at each of the inoculation sites is substantially the same and the inoculum is delivered regularly and evenly over a unit time period. [0039] The system may have either two or three said inoculation sites longitudinally spaced apart from each other, preferably three. [0040] Inoculation at an inoculation site preferably occurs by inoculation means which may include spraying of inoculum from a nozzle or passing waste water over a support medium seeded with selected microorganisms. Inoculation sites may be associated with sewerage mains, pump wells, silt collection traps and transfer stations. In addition, the surface area in the collection and transfer network provides potential sites for support mediums of inoculating microorganisms. [0041] The inoculation means may include an inoculation chamber which is positioned between two mains and serves as a site to inoculate waste water effluent. The inoculation chamber may be positioned in a pump well or inline between conduits. [0042] The inoculation means employed at a particular site will depend on the conditions at the site. For example, where the level of anaerobic activity will be high because of long rising mains or long retention time due to slow flow, aerosol inoculation is preferred as it allows proliferation of augmented aerobic and heterotrophic organisms to help maintain a balance of aerobic and anaerobic activity and this is particularly relevant where the inoculum contains organisms which allow an increased level of dissolved oxygen to appear in the effluent during anaerobic activity. In another example where there are long gravity mains and there will be relatively high aerobic activity, a support medium of seeded selected microorganisms are positioned so that effluent passing over is inoculated with the microorganisms. This allows proliferation of augmented anaerobic organisms in the effluent to help maintain a balance of aerobic and anaerobic activity allowing some increased anaerobic activity in a largely aerobic environment. [0043] The inoculum of selected microorganisms may be prepared by a batch process or alternatively a continuous process. [0044] The incubated inoculated effluent in the inoculation chambers allows the selected microorganisms to adapt to and reproduce in the effluent and in the quiescent zones associated with the effluent as a partially prepared medium source. This reduces the environmental shock load on the selected microorganisms when they are released to the reticulation system. In a pump well inoculation chamber the subsequent culture may incubate until a sensor signals for the release of the culture into the mains. In an in-line inoculation chamber the subsequent culture constantly incubates in the system and the flow of water releases the culture. The incubating culture in the inoculating chamber serves to inoculate further untreated effluent. [0045] The inoculation means may include an inoculum reservoir having a housing, an inoculum source contained within the housing and means for dispensing the inoculum at a particular site. The inoculum may be in a concentrated form and be diluted prior to being dispensed. Other additives may be dispensed with the inoculum. Other additives may include acetic acid, citric acid, or sugar solutions. [0046] In another aspect, the invention broadly resides in an inoculation chamber including [0047] a housing with a waste water inlet and a waste water outlet; and [0048] a support medium means seeded with selected micro-organisms wherein the waste water substantially passes over and through the support medium and is inoculated with micro-organisms released therefrom. [0049] The support medium means preferably includes a base layer of sand or crushed rock such as crushed blue metal but preferably zeolite. The support medium preferably includes a second layer of porous clay or concrete bricks. Alternatively the second layer may include crushed rock such as blue metal that has a larger diameter than the material used for the first layer. The support medium means preferably includes a third layer of similar material as used in the first layer such as zeolite. The support medium means preferably includes a fourth upper layer of biologically activated ceramic. The biologically activated ceramic preferably includes selected microorganisms contained therein. The biologically activated ceramic preferably is arranged so that the waste water effluent becomes mixed, aerated with turbulence and inoculated with microorganisms therefrom. [0050] The inoculation chamber may also include a spray means for providing a spray inoculum to the waste water flowing into the chamber and to surfaces of the inoculation chamber, including the support medium. BRIEF DESCRIPTION OF THE DRAWINGS [0051] In order that this invention may be more readily understood and put into practical effect, reference will now be made to the accompanying drawings which illustrate a preferred embodiment of the invention. In the drawings: [0052] [0052]FIG. 1 is a diagrammatic view of the inoculation sites of the current invention in different residential, commercial and industrial locations; [0053] [0053]FIG. 2 is an inoculation site of the current invention at a mains pump well; [0054] [0054]FIG. 3 is a diagrammatic view of inoculation chamber of the current invention; and [0055] [0055]FIG. 4 is a diagrammatic view of an inoculum reservoir of the current invention. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS [0056] With reference to FIG. 1 there is shown a conduit system comprising a plurality of conduits extending from a plurality of entry points to a discharge point. The system has a number of primary inoculation sites, secondary inoculation sites and a tertiary inoculation site relative to various residential locations, commercial locations and industrial locations. [0057] A quiescent zone in the conduit system is associated with each inoculation site. A quiescent zone is a zone where the water flow is interrupted or at least significantly reduced. The typical flow through the conduit resembles plug flow where all water is travelling at the same speed through the conduit towards the discharge point. However in the quiescent zone the water does not significantly advance towards the discharge point although there may be internal mixing in the zone. A quiescent zone may for example be formed by a pumping well, a low point at the interconnection of two conduit sections or any other zone where part of the pipe is exposed and water vapour collects. The inoculation site is the entry point into the system and in particular the quiescent zone. [0058] With reference to FIG. 2, there is shown a conventional pump well 10 having a housing 11 , a waste water effluent inlet 12 and a waste water effluent outlet 13 . The waste water effluent enters through the inlet 12 into the internal region 14 of the pump well 10 . The waste water effluent 15 shown in the pump well 10 rises to a point where a sensor (not shown) actuates a signal for the pump (not shown) to start and pump the effluent 15 out of the chamber 10 through the outlet 13 . The method of the current invention treats waste water effluent by introducing an inoculum of selected microorganisms 16 into the well or chamber 10 and some of which is carried by the waste water passing through the well or chamber. The inoculum 16 is introduced by a spray nozzle 17 connected to a dosing line 18 . The dosing line 18 is subsequently connected to an inoculum reservoir [0059] With reference to FIG. 3, there is shown an inoculation chamber 20 having a housing 21 , an inlet 22 , and an outlet 23 . The inoculation chamber 20 includes a support medium 31 that consists of four layers. The base or first layer 24 consists of crushed zeolite. A second layer 25 consists of porous, clay or concrete perforated bricks. These bricks may also be stacked on top of each other to increase the depth of the layer 25 . The third layer 26 consists of crushed zeolite and provides a flat surface for the fourth layer 27 . The fourth layer 27 consists of biologically activated ceramic 28 mounted on polyethylene rods 29 . The polyethylene rods 29 are connected together by a elongate shaft 30 which serves as a backbone holding the rods 29 relative to each other. The shaft 30 is made of stainless steel. The flow of the waste water effluent entering the inoculation chamber 20 from the inlet 22 becomes turbulent because of the position of the biologically activated ceramic 28 lying transversely across the direction of flow. The turbulence mixes the waste water effluent, aerates the waste water effluent, and inoculates the waste water effluent with microorganisms from the biologically activated ceramic 28 as it passes over and through the support medium. The inoculation chamber also includes a spray nozzle 33 connected to a dosing line 34 which in turn is connected to an inoculum reservoir. The spray nozzle 33 sprays the chamber 10 with inoculum of selected microorganisms. [0060] With reference to FIG. 4, there is shown an inoculum reservoir 40 having a housing 41 , inoculum source 42 , and supply lines 43 for providing an inoculum to the dosing line 44 . The inoculum reservoir 40 also contains a water source 45 for diluting the inoculum as it is provided to the dosing line 44 . Other additives may be added to the inoculum at the dosing line 44 . These additives may include acetic acid, citric acid and sugar solutions. The inoculum source 42 is preferably a batch culture maintained at or near an exponential phase with the provision of nutrient substrate. Preferably there is provided a floating baffle which assists in maintaining anerobic bacteria. The water source may be refilled with potable water from the released treated effluent and may return some beneficial microorganisms. The water source and upper inoculum holding tank may contain at least one kg of biologically activated ceramic media which helps to incubate organisms which perform an antioxidant function. [0061] The preferred embodiment of the method of treating waste water in a waste water reticulation system includes inoculating substantially all the waste water effluent at least once, approximately 75% of the waste water effluent is inoculated twice, and approximately 50% of effluent is inoculated three times. Collection/retention/incubation areas are available in line such that 50% of all effluent passes through one such area within the first quarter of its residence time in the system en route to the Treatment Plant. This may entail ensuring that dosed pump wells allow constant retention of approximately 750 mm of fluid by adjusting float sensors or, where gravity collection predominates, provision of the innoculation chambers. Preferably one inoculation/pump well inoculation chamber is present for each 125000 L/Day [7.5% for smaller systems] of expected normal effluent flow. Approximately 20% of the inoculation chambers would preferably be in-line inoculation chambers as shown in FIG. 3. In a preferred embodiment, treated effluent is recycled to a primary inoculation site or sites to maintain a flow of effluent through the site for at least 8 hours a day. [0062] These inoculation sites should be divided into three categories: Primary sites, Secondary (booster) sites, and Tertiary (booster) sites. [0063] Primary inoculation sites are chosen at the earliest possible collection point (either existing or installed) where flow is constant for at least eight (8) hours per day. In practical terms, this generally equates to the earliest Pumping Station or a nominated inoculation chamber site in a collection system which will generally process a maximum of 75000 L/day of effluent [or ten per cent (10%) for smaller systems of the total system effluent flow]. [0064] Secondary (booster) inoculation sites are chosen to cover confluence of effluent such that one site exists for each two hundred thousand liters per day (200,000 L/day) [or twenty per cent (20%) of the total effluent flow in the system for smaller systems]. [0065] Tertiary (booster) inoculation sites are installed at each Main Pumping Station, that is those which pump directly to a Treatment Works or a major collection point in the system, and collects seven hundred and fifty thousand liters to one megaliter per day of effluent. [0066] Where there is a rising main following of 0.25 km or more there is preferably an inoculation site. Any trouble spot locations are considered for further inoculation sites or to coincide with choice of primary or secondary inoculation sites as above. Trouble spots include areas where there is a high fat concentration in the effluent or areas where there is unusually long retention times. [0067] Overall inoculation sites are selected such that there is on average one inoculation site for each 125000 Liters of effluent flow per day provided that in each case at least a primary and secondary inoculation as set out above is achieved and that systems which have a main collection point with either rising main or gravity transfer main following of 1.0 km or more also have a tertiary inoculation site or sites prior to such transfers. [0068] Typically selection of inoculation sites according to this formula will mean that for a collection system which transfers one megaliter per day will have 13 inoculation sites of which, 8 will be primary inoculation sites, 4 will be secondary inoculation sites and 1 will be a tertiary inoculation site. This pattern may be repeated over several sections of a larger system. However, it is likely that significant economies of scale will be produced where accumulative dosing is possible between several sections on a system of 10 megaliters per day flow volume or more. [0069] Using EM “Effective Microorganisms” formulations or similar containing purple non-sulphur producing heterotrophic photosynthetic bacteria, lactobacillus, yeasts, actinomycetes, ray fungi, bacillus, chemoautotrophic organisms, phytoplankton and other synergistic organisms; treatment for odour control and fat removal typically requires an overall inoculation rate of 2.5 ppm inoculum concentrate to the effluent. [0070] For further accumulative treatment to effect partial nutrient removal, removal of remaining aggressive atmospheres, and reduction in BOD, TSS and other indicators over time overall inoculation rate of 25 ppm inoculum to effluent is required. Inoculation at higher rates may be needed to target specific problems in a given system. For example a 1,000,000 L/day effluent flow requires approximately 25 L/day of inoculum concentrate for treatment. [0071] The preferred embodiment uses Em-1 as the inoculum concentrate. This concentrated inoculum may be extended/diluted such that material to be injected is made up in the following manner: [0072] 3% Inoculum concentrate, [0073] 3% molasses or sugar solution, and [0074] 94% Aged water (being chlorinated or otherwise disinfected water left to stand out of sunlight in a closed but vented vessel for a minimum of 3 days) [0075] (e.g. 25 liters of inoculum concentrate equates to 833 liters of extended inoculum). [0076] The extended inoculum is preferably allowed to stand in a sealed and pressure vented container in indirect sunlight for 5 to 7 days or until pH of the dilution reaches 3.5 or lower. Further dilution may occur at a ratio of at least 4 parts water to one part extended inoculum on site at the point of inoculation. The above extended inoculum should be delivered such that 10% is injected in the tertiary phase of system inoculation and the balance is divided evenly between all other sites. (e.g. Tertiary site 83 liters/day plus all other sites 12×62.5 liters per day is 833 L/day for a 1,000,000 l/day effluent stream.) [0077] At all inoculation sites, dosing of extended inoculum should be completed such that inoculum is delivered evenly and regularly over a given period (i.e. 24 hours per day). At primary and secondary inoculation sites this dosing should be done with a pulse action dosing pump with pulse intervals and volumes quantified to deliver the required amounts of material over each 24 hour period. At tertiary inoculation sites this delivery should be by pressurised constant delivery over each 24 hour period. [0078] Where there is a relatively high fat or oil concentration, formulations including a ratio of sugars closer to 3% concentrated inoculum and 10% sugars/molasses more effectively address the problem. The extended inoculum produces a much higher concentration of acetic acid. This same result can be achieved by adding citric acid or acetic acid to the inoculum prior to dilution with carrier water. [0079] The spray nozzle sprays directly at the surface of the incoming effluent and over the point of turbulence. It is preferred to encourage the inoculum to mix with effluent at this point. The dosing spray should not reach either walls of the chamber or other equipment in the well. Spray droplets should pass directly to the surface of the effluent. [0080] Low dose, multipoint, regular inoculation can be applied to any system. This includes partially closed loop systems and on-site systems. In these instances, early dosing points are selected (as early as the first waste trap or grease trap or the toilet cistern(s) or bowl(s)) and a recirculation loop is installed in the on-site plant to encourage re-inoculation or accumulation (booster) dosing. A secondary inoculation point is installed in an incubation chamber closer to the plant (normally a transfer station or pump well). Again, fermentation in an anaerobic state is conducted first followed by chambers for aerobic activity. In this case fermentation will normally be conducted in installed fermentation tanks or chambers (generally above ground) and aeration will be in similar tanks with air driven clarification. Bio-filtration (inoculation chambers) and return of potable water from end of system may be used in the seeding process. [0081] The present invention concerns a system wide inoculation method (as opposed point inoculation) and addresses all the effluent in a system several times over a period (as effluent proceeds through the system). Calculation of appropriate inoculation rates are made given the time waste water spends in the system, ie residence time and any extension of it by up-line retention points. [0082] A variation of the method splits the functions at the treatment plant and provides for installation of large chambers designed to perform both fermentation and aeration functions up line in a collection system. That is, installing tanks and equipment to collect from each 50 to 70 households or equivalent in a system and having only tertiary treatment processes down line. [0083] In in-line inoculation chambers the cylindrical shape of the ceramic pieces, threaded onto a flexible rod allows a rippling motion of the effluent as it passes through the inoculation chamber. This motion approximates the motion of a creek over a gravel bed and does encourage transfer between the anaerobic holding areas below the sheet of ceramics in the inoculation chamber. Also encourages some aerobic activity in and on the ceramics themselves. The rippling motion also serves to keep a partial self-cleaning process going in the inoculation chamber. [0084] Substantially the same principals of early, accumulative, consistent inoculation can be applied to an on-site, recirculating effluent treatment system. For example, in an aquaculture environment, these principals may be employed to bring about substantially total recirculation of water. This requires: [0085] a Primary inoculation at the point of entry of top-up water to Growing/Holding Tanks. [0086] b Installation of inoculated in-line biological filter(s) at or near the point of water draw-off from holding/growing tanks. [0087] c Installation of anaerobic retention point with inoculation prior to water return line to holding/growing tanks. [0088] d Consistent Inoculation system wide to approximate to 10 ppm to the body of water held. [0089] e Retention of any floculant/sludge captured in the anaerobic tanks—even between crops. [0090] Similarly, in an on-site sewerage treatment system, early inoculation at or near the first collection point for effluent (even as early as the toilet bowl or waste trap), installation of a macerating pit with inoculation before anaerobic fermentation tank(s), installation of an inoculated in-line biological filtration point after aerated processes and recirculation of approximately 10% of flow from the biological filter to the initial macerating sump. In this instance consistent inoculation rates may be initially as high as for flow-through waste collection systems (i.e. 25 ppm) but will generally decline over a period of 6 to 12 months until they reach an equilibrium level of approximately 2.5 ppm to effluent flow. [0091] The use of the method described above may provide the following advantages: [0092] 1 Odour Control: Reduction in odour has been recorded throughout the system with the use of the aforementioned method. [0093] 2 Fat Consumption: A noticeable result of consistent inoculation is consumption of fats in wells and residence points throughout the system downstream of inoculation points. Fats do not build up as normal in downstream wells. Where fat build-up has been a problem in a system, maintenance becomes significantly simplified in that any remaining material on well walls can be easily hosed off. Build-ups do not extend in caked structures off the walls and do not require manual scraping or chipping to remove. Similarly, there are no large fat loads downstream after cleaning and no re-constitution of fats later in the system. Typically, measurable reductions in water borne fat content are observable after approximately ninety days of consistent inoculation. [0094] 3 Foaming Control: As a consequence of the above, lower fat content in the sewerage Treatment Plant results in lower populations of filamentous bacteria and consequently, significant reductions in foaming at the treatment plant. [0095] 4 Noxious and aggressive atmosphere control: Upon establishment of a consistent and accumulative inoculation program, noxious and aggressive atmospheres are significantly reduced throughout a collection and transfer system. This is particularly important for maintenance and complaint management issues as well as workplace health and safety issues. [0096] 5 Oxygen bonus in long rising mains: Traditionally, long rising mains have been a source of some concern for collection and transfer systems in that anaerobic activity and putrefaction in-line in such mains can lead to production of large amounts of hydrogen sulphide and other gases. In many instances, the injection of oxygen to such lines has been employed as a chemical counter-measure to address this issue. An early inoculation program and the development of a persistent culture of low temperature fermentation organisms—particularly including non-sulphur producing, heterotrophic photosynthetic bacteria—throughout a given system results in a balance of micro-organic activity occurring which allows the presence of dissolved oxygen to be equivalent to or higher than that obtained by normal levels of oxygen injection. This suggests that where a full program of early inoculation is adopted (including primary, secondary and tertiary level inoculation as described here), oxygen injection costs can be reduced. [0097] 6 Up-Line Nutrient Removal: An accumulative reduction in nutrient (N&P) levels in waste water is achieved where a consistent inoculation program is continued over a 12 to 18 month period. As beneficial cultures develop, the rate of reduction of N & P in effluent to Sewerage Treatment Plant increases to a plateau level of 50% removal. It is noticeable, however, that given a tertiary phase treatment in Plant, rapid nutrient removal occurs where seeded cultures of microorganisms are already present in effluent from the Treatment Plant. [0098] 7 BOD (biochemical oxygen demand) reduction up-line: A trend of BOD reduction occurs gradually over a period of time as beneficial cultures are established. [0099] 8 TSS (total suspended solids) Reduction up-line: A trend of TSS reduction occurs gradually over a period of time as beneficial cultures are established. [0100] 9 Pathogen Control: This feature is of particular importance as a means of diversifying the risks involved in sewerage spills, overflows and the like. It appears as if competitive activity among beneficial microorganisms in the inoculum results in far less proliferation of pathogens than would otherwise be expected in effluent and in a much more rapid decline in such pathogenic populations given discharge to the environment than would otherwise be expected. This is particularly true where discharge occurs in an area where effluent containing “EM” formulations as the inoculum is exposed to sunlight. [0101] It will of course be realised that while the foregoing has been given by way of illustrative example of this invention, all such and other modifications and variations thereto as would be apparent to persons skilled in the art are deemed to fall within the broad scope and ambit of this invention as is herein set forth.
A method of treating waste water and particularly sewerage is disclosed. The method involves treating the conduit system making up the sewerage network before it reaches a typical sewerage treatment plant. A key feature of the method involves introducing an inoculum of selected micro-organisms into a quiescent zone in the conduit system. The quiescent zone is where the water is significantly slowed in its passage along the conduit system and may even be temporarily stationary eg, a pumping well or low point in two sections of pipe. Applicant has ascertained that undesirable micro-organisms tend to flourish in these quiescent zones. By introducing the inoculum a competitive culture of favourable micro-organisms is incubated which is able to out compete the undesirable micro-organisms and lead to break down and degradation of the sewerage in the conduit system before it gets to the treatment plant. Further by suppressing the undesirable micro-organisms damage to the conduit system and problems with odorous gases are reduced.
CROSS-REFERENCE TO RELATED APPLICATION [0001] This application claims priority to U.S. provisional patent application Ser. No. 61/545,384, filed on Oct. 10, 2011, the disclosure of which is incorporated herein by reference in its entirety. FIELD OF THE INVENTION [0002] The present invention relates to door locking mechanisms, more particularly to electric door locking mechanisms known as electric strikes. BACKGROUND OF THE INVENTION [0003] Electric strikes, also known as electric door openers, electric releases and electric release strikes, are part of a locking mechanism conventionally used to control access to buildings or areas, for example. An electric strike assembly is typically mounted into a door jamb and receives a locking feature, such as a latch bolt and/or a dead bolt, which is part of a locking mechanism typically mounted in a door. An actuation means (e.g., an electrically driven motor or solenoid) is used to either block or release a rotatable keeper to either prevent or allow release of a door's latch bolt, to lock the door or allow it to be opened. [0004] There is a wide variety of lock sets available in the market, with different lock sets often having locking features that engage the strike assembly at different positions with respect to a strike center. Conventional strike assemblies thus include faceplates having a hole(s) provided at a set position for receiving the locking feature(s). As such, when a different lock set is desired and/or exchanged for a replacement lock set, often the faceplate and/or the entire strike assembly must be simultaneously replaced to accommodate the dimensions of the new lock set. Due to this lack of interchangeability, conventional lock sets and strikes are often sold together as a packaged set even if only one component may be desired. The result is an increase in the cost of the product to the consumer as well as an increased cost for the associated installation of the packaged set. There is a need and desire for an electric strike assembly that is adjustable to accommodate dimensional differences in the locking features of corresponding lock sets. [0005] Furthermore, for conventional electric strike assemblies to include certain optional devices, such as a latch monitor module, for example, the optional device must be built into the strike assembly. As such, a consumer must decide up front whether to include or exclude the optional feature or face the prospect of having to entirely replace the strike assembly and/or lock set if the optional feature later becomes desirable or, conversely, is no longer required. Accordingly, there is a need and desire to improve the form, fit and function of electric strike assemblies by providing an electric strike assembly having interchangeable and adjustable optional components that may be easily and efficiently installed or removed. SUMMARY OF THE INVENTION [0006] Embodiments of the present invention advantageously provide an electric strike assembly and methods of use thereof A preferred embodiment of an electric strike assembly includes a housing having a positioning member and an internal longitudinal cavity, a keeper pivotally arranged in the housing, and a selectively locatable component having an engagement member to cooperate with the positioning member to secure the component at a predetermined incremental position along a length of the cavity. [0007] In accordance with other aspects of the present invention, an electric strike assembly includes a housing including an internal longitudinal cavity, a keeper pivotally arranged in the housing, and a keeper shim assembly, including a shim, slidably engaged with the keeper to position the shim at a predetermined position along a length of the cavity. [0008] In accordance with yet other aspects of the present invention, a method of securely capturing a latch bolt mounted in a door includes mounting an electric strike assembly in an associated door jamb, the electric strike assembly having a housing including an internal longitudinal cavity, a keeper pivotally arranged in the housing, and a keeper shim assembly, including a shim, slidably engaged with the keeper to position the shim at a predetermined position along a length of the cavity, and positioning the shim at the predetermined position in the cavity to be in substantial alignment with a position of the latch bolt mounted in the door. [0009] There has thus been outlined, rather broadly, certain embodiments of the invention in order that the detailed description thereof may be better understood, and in order that the present contribution to the art may be better appreciated. There are, of course, additional embodiments of the invention that will be described below and which will form the subject matter of the claims appended hereto. [0010] In this respect, before explaining at least one embodiment of the invention in detail, it is to be understood that the invention is not limited in its application to the details of construction and to the arrangements of the components set forth in the following description or illustrated in the drawings. The invention is capable of embodiments in addition to those described and of being practiced and carried out in various ways. Also, it is to be understood that the phraseology and terminology employed herein, as well as the abstract, are for the purpose of description and should not be regarded as limiting. [0011] As such, those skilled in the art will appreciate that the conception upon which this disclosure is based may readily be utilized as a basis for the designing of other structures, methods and systems for carrying out the several purposes of the present invention. It is important, therefore, that the claims be regarded as including such equivalent constructions insofar as they do not depart from the spirit and scope of the present invention. BRIEF DESCRIPTION OF THE DRAWINGS [0012] The accompanying drawings, which are incorporated in and constitute a part of this specification, illustrate various embodiments consistent with the invention, and, together with the description, serve to explain the principles of the invention. [0013] FIG. 1 is a front perspective view of an electric strike assembly, in accordance with certain aspects of the present invention; [0014] FIG. 2 is a front perspective view of an electric strike assembly with a faceplate removed, in accordance with certain aspects of the present invention; [0015] FIG. 3 is a rear perspective view of an electric strike assembly, in accordance with certain aspects of the present invention; [0016] FIG. 4 is a rear perspective view of an electric strike assembly having an adjustable keeper shim assembly, in accordance with certain aspects of the present invention; [0017] FIGS. 5A and 5B are bottom rear perspective views of an electric strike assembly showing a solenoid and blocking assembly in alternate positions according to a selected mode of the electric strike assembly, in accordance with certain aspects of the present invention; [0018] FIGS. 6A and 6B are bottom rear perspective views of the corresponding electric strike assemblies illustrated in FIGS. 5A and 5B respectively to illustrate a bottom plate with locator holes for positioning the solenoid and blocking assembly in alternate positions according to the selected mode of the electric strike assembly, in accordance with certain aspects of the present invention; [0019] FIG. 7 is a perspective view of a keeper with an adjustable keeper shim assembly, in accordance with certain aspects of the present invention; [0020] FIG. 8 illustrates an adjustment member engaged with a keeper for positioning a keeper shim assembly, in accordance with certain aspects of the present invention; [0021] FIG. 9 is an exploded view of a keeper shim assembly positioned for coupling with an adjustment member, in accordance with certain aspects of the present invention; [0022] FIG. 10 is a front perspective view of an electric strike assembly having a dead locking lever or guard bolt bracket positioned on the strike housing, in accordance with certain aspects of the present invention; [0023] FIG. 11 is an enlarged front perspective view of a dead locking lever or guard bolt bracket having multiple positional engagement members for engaging multiple positional locking members on an electric strike assembly, in accordance with certain aspects of the present invention; [0024] FIG. 12 is an enlarged rear perspective view of a dead locking lever or guard bolt bracket having multiple positional engagement members for engaging multiple positional locking members on an electric strike assembly, in accordance with certain aspects of the present invention; [0025] FIG. 13 illustrates a securing assembly for securing the dead locking lever or guard bolt bracket in a predetermined position, in accordance with certain aspects of the present invention; [0026] FIG. 14 is a front perspective view of an electric strike assembly having a dead bolt bracket positioned on the strike housing, in accordance with certain aspects of the present invention; [0027] FIG. 15 illustrates a securing assembly for securing the dead bolt bracket in a predetermined position, in accordance with certain aspects of the present invention; [0028] FIG. 16 is a front perspective view of an electric strike assembly having a dead bolt plug positioned on the strike housing, in accordance with certain aspects of the present invention; [0029] FIG. 17 illustrates a securing assembly for securing the dead bolt plug in a predetermined position, in accordance with certain aspects of the present invention; [0030] FIG. 18 is a front perspective view of an electric strike assembly having a latch monitor module positioned on the strike housing, in accordance with certain aspects of the present invention; [0031] FIG. 19 illustrates a securing assembly for securing the latch monitor module in a predetermined position, in accordance with certain aspects of the present invention; [0032] FIG. 20 illustrates a perspective view of a latch monitor module, in accordance with certain aspects of the present invention. [0033] FIG. 21 illustrates another perspective view of the latch monitor module shown in FIG. 20 , in accordance with certain aspects of the present invention. DETAILED DESCRIPTION [0034] The invention will now be described with reference to the drawing figures, in which like reference numerals refer to like parts throughout. [0035] Various aspects of an electric strike assembly may be illustrated by describing components that are coupled, attached, and/or joined together. As used herein, the terms “coupled”, “attached”, and/or “joined” are used to indicate either a direct connection between two components or, where appropriate, an indirect connection to one another through intervening or intermediate components. In contrast, when a component is referred to as being “directly coupled”, “directly attached”, and/or “directly joined” to another component, there are no intervening elements present. [0036] Relative terms such as “lower” or “bottom” and “upper” or “top” may be used herein to describe one element's relationship to another element illustrated in the drawings. It will be understood that relative terms are intended to encompass different orientations of an electric strike assembly in addition to the orientation depicted in the drawings. By way of example, if aspects of an electric strike assembly shown in the drawings are turned over, elements described as being on the “bottom” side of the other elements would then be oriented on the “top” side of the other elements. The term “bottom” can therefore encompass both an orientation of “bottom” and “top” depending on the particular orientation of the apparatus. [0037] Various aspects of an electric strike assembly may be illustrated with reference to one or more exemplary embodiments. As used herein, the term “exemplary” means “serving as an example, instance, or illustration,” and should not necessarily be construed as preferred or advantageous over other embodiments of an electric strike assembly disclosed herein. [0038] FIGS. 1-4 illustrate an assembled electric strike assembly 100 in accordance with aspects of the present invention. The strike assembly 100 includes a keeper 200 pivotably mounted in a housing 300 . The keeper 200 may be pivotable between a rotated position (see FIG. 9 ), which allows a latch bolt of a door to be removed from the strike to open the door, and a home position where the keeper 200 , if prevented from moving, blocks removal of the latch bolt and thus keeps the door locked. When the keeper 200 is allowed to pivot, the latch bolt can push the keeper aside, so that the door can be opened. [0039] As shown in FIGS. 1-6 , the housing 300 may be integrally formed with end walls 310 and a rear wall 320 extending longitudinally between the end walls 310 , and a bottom front wall 330 extending longitudinally between the end walls 310 and covering only a portion of the distance measured from the top to bottom of the strike assembly 100 . A bottom plate 340 (see FIGS. 6A and 6B ) may be separately mounted to the housing 300 to enclose an open bottom portion of the strike assembly 100 . As shown in FIGS. 5A and 5B , a solenoid 242 and blocking element assembly 244 may be accessed for maintenance, for example, through the open bottom portion of the strike assembly 100 by removing the bottom plate 340 . In accordance with yet other aspects of the present invention, the bottom plate 340 may be configured to have a louver surface 342 that slides behind a portion of the bottom front wall 330 to prevent tampering. As shown in FIGS. 1-6 , pivot holes 312 may be provided in the end walls 310 for rotatably mounting the keeper 200 to the housing 300 via the pivot pins 210 (see also FIG. 7 ). [0040] Control over the pivoting of the keeper 200 may be provided by the solenoid 242 and the blocking element assembly 244 , as is well known in the art. For example, the keeper 200 may be biased toward the home position by a suitable biasing means, such as a torsion spring 230 (see FIG. 7 ). For the door to be locked, i.e. for the keeper 200 to be prevented from pivoting, the keeper 200 has at least one and preferably several abutments 240 that are opposed by the blocking element assembly 244 . For the door to be able to open freely, i.e. for the keeper 200 to be allowed to pivot, the abutments 240 are not opposed by the blocking element assembly 244 so that the keeper 200 may freely rotate about one or more pivot pins 210 . In a fail-secure mode, as shown in FIG. 5A , for example, the blocking element assembly 244 is actuated by the solenoid to move out of engagement with the abutments 240 (i.e., the abutments 240 do block in a power-off mode so the door is locked) and/or in a fail-safe mode, as shown in FIG. 5B , the blocking element assembly 244 is actuated by the solenoid 242 to block the abutments 240 (i.e., the abutments do not block in a power-off mode so the door is unlocked). In either case, when the solenoid is energized, the blocking element assembly 244 will be actuated to allow or deny the pivoting motion of the keeper 200 . [0041] In accordance with yet another aspect of the present invention, as shown in FIGS. 6A and 6B , which correspond with FIGS. 5A and 5B respectively, the bottom plate 340 may be formed with solenoid locator holes 344 to mate with corresponding locating posts on the solenoid frame in order to selectively position the solenoid 242 and/or the blocking element assembly 244 . In this manner, selection of the appropriate bottom plate 340 positions the locator holes 344 so that the solenoid 242 and blocking assembly 244 are positioned to enable the strike assembly 100 to function in one of a fail-secure mode or a fail-safe mode. For example, configuring the locator holes 344 to be located as shown in FIG. 6A may result in positioning of the solenoid 242 and blocking element assembly 244 as shown in FIG. 5A , corresponding to the fail-secure mode. On the other hand, configuring the locator holes 344 to be located as shown in FIG. 6B , which may be slightly offset in one direction as shown by the circle and arrow, may result in positioning of the solenoid 242 and blocking element assembly 244 as shown in FIG. 5B , corresponding to the fail-safe mode. [0042] As shown in FIGS. 1-4 , a strike cavity 350 is defined in the housing 300 by the end walls 310 , the rear wall 320 , the keeper 200 and a mid-wall 325 (see FIG. 9 ) that extends from the rear wall 320 and forms a substantially planar surface with a ledge 220 of the keeper 200 (see FIG. 8 ). In accordance with other aspects of the present invention, the mid-wall 325 may be a feature of the solenoid and blocking element assembly, such as an upper wall of a solenoid housing, for example. [0043] As described in further detail infra, the strike cavity 350 may extend substantially the entire longitudinal length of the strike assembly 100 and be configured to accommodate interchangeable and adjustable components including, among others, a keeper shim assembly 400 (see FIGS. 7-9 ), a dead locking lever or guard bolt bracket 500 (see FIGS. 10-13 ), a dead bolt bracket 600 (see FIGS. 14-15 ), a dead bolt plug, 700 (see FIGS. 16-17 ), and/or a latch monitor module 900 (see FIGS. 18 and 19 ). As shown in FIGS. 1 , 13 , 15 , 17 , and 19 , a securing assembly 800 , including a faceplate 810 and/or a suitable adhesive 812 , for example, may be provided to further secure these components at predetermined positions in the strike cavity 350 . [0044] In an exemplary configuration, the faceplate 810 may secure the electric strike assembly 100 to the door jamb by a suitable attachment device, such as screws 820 , which may extend through holes 825 in the faceplate 810 . As shown more particularly in FIGS. 2 and 3 , the housing 300 may include mounting flanges 360 extending perpendicularly from the end plates 310 . The screws 820 may extend through the holes 825 in the faceplate 810 , through holes or slots 365 provided in the mounting flanges 360 , and into the door jamb to effectively secure the electric strike assembly 100 in place. The slots 365 in the mounting flanges 360 allow the position of the housing 300 to be longitudinally adjusted in relation to the faceplate 810 . [0045] When installed in the door jamb, by virtue of the strike cavity 350 extending substantially the entire length of the strike assembly 100 , the strike cavity 350 may receive the locking feature(s) of the lock set, such as the latch bolt, dead bolt and/or guard bolt, along any longitudinal point of the strike assembly 100 regardless of where dimensionally the locking feature(s) is located with respect to the center of the strike assembly 100 . Furthermore, the interchangeability and adjustability of optional components allows an installer to configure the fit and function of the strike assembly 100 to provide the performance desired. For example, often there remains a certain amount of play between the door and frame after strike installation, which may be due to a horizontal gap formed between the latch bolt and the keeper 200 when the latch bolt is received in the cavity 350 of the strike assembly 100 . As shown in FIGS. 7-9 , an adjustable keeper shim assembly 400 may be slidably mounted into a shim channel 250 provided on a face 260 of the keeper 200 . The adjustable keeper shim assembly 400 may include an adjustable member 410 slidably mounted and retained in the shim channel 250 , such as through a dove-tail connection. As shown in FIG. 9 , a configurable shim 420 , which may be of a particular thickness (e.g., 1.5 mm or 3 mm) appropriately sized to eliminate the horizontal gap, may be removably attached to the adjustable member 410 by an appropriate attachment device, such as screws 430 , which extend through holes 422 in the shim 420 to engage shim mounting holes 412 on the adjustable member 410 . With the shim 420 thus mounted to the adjustable member 410 , a locking screw 440 may be provided to lock the adjustable keeper shim assembly 400 at an appropriate position along the shim channel 250 corresponding to the longitudinal dimensional position of the corresponding latch bolt. In accordance with another aspect of the present invention, the screws 430 may be used to lock the adjustable keeper shim assembly 400 at an appropriate position along the shim channel 250 , alone or in combination with the locking screw 440 . Moreover, in accordance with yet another aspect of the present invention, when a shim 420 is not required, the locking screw 440 may be used to lock the adjustable member 410 at an appropriate location along the shim channel 250 . [0046] In accordance with aspects of the present invention, various mounting devices may be provided for mounting and adjusting the position of optional interchangeable components that may be provided in the cavity 350 . For example, as shown in FIGS. 10-12 , a dead locking lever or guard bolt bracket 500 may be mounted into the cavity 350 at a desired longitudinal position. The dead locking lever or guard bolt bracket 500 may be easily locked into a predetermined position to interfere or push in the guard bolt of a lock set for providing extra security. When the guard bolt of a lock set is pushed in, the latch bolt cannot be depressed or pushed in. Furthermore, the dead locking lever or guard bolt bracket 500 may divide the cavity 350 into multiple compartments, wherein optional components, for example, can be simultaneously provided and protected from interference. In addition, the dead locking lever or guard bolt bracket 500 may provide additional support and strength to an optional component, such as a dead bolt bracket 600 , by further bracing the dead bolt bracket 600 in a desired position. [0047] As shown in FIG. 11 , each optional component, and in this case the dead locking lever or guard bolt bracket 500 , may be provided with a mounting member 510 , such as an integrally formed hook flange, for example, to allow the dead locking lever or guard bolt bracket 500 to slidably engage an upper rail surface 322 of the rear wall 320 . A body portion 520 of the dead locking lever or guard bolt bracket 500 may be formed with an abutment surface 522 for engaging the rear wall 320 of the housing and cooperating with the mounting member 510 to mount and support the dead locking lever or guard bolt bracket 500 on the rear wall 320 . [0048] One or more positioning members may be provided or integrally formed with the housing 300 , for example, to lock the dead locking lever or guard bolt bracket 500 at a predetermined longitudinal position in the cavity 350 . As shown in FIG. 11 , for example, the mid-wall 325 may have a rail slot 324 formed at the intersection of the mid-wall 325 with the rear wall 320 . The rail slot 324 may be configured to extend a predetermined depth into the mid-wall 325 and have a series of teeth 326 , or suitable peaks and valleys, for engaging a lock extension 526 on the dead lock lever or guard bolt bracket 500 . The lock extension 526 may be a continuation of the abutment surface 522 extending below the body portion 520 of the dead lock lever or guard bolt bracket 500 , and may be formed with a positional engagement member 528 , which may be a protrusion, such as a tooth, configured to engage one of the valleys between the teeth 326 of the rail slot 324 . The lock extension 526 may thus slide down into the rail slot 324 so that the positional engagement member 528 is keyed to fit into a particular valley for positioning the dead lock lever or guard bolt bracket 500 at a predetermined longitudinal position in the cavity 350 . The teeth 326 of the rail slot 324 may be formed to provide a series of precise, predetermined dimensional settings extending substantially the entire length of the cavity 350 . For example, the positioning member described above may be configured to allow the optional component, i.e., the dead lock lever or guard bolt bracket 500 , to be incrementally adjusted in 2 mm increments to position the optional component at virtually any position along the longitudinal length of the cavity 350 . [0049] As shown in FIG. 12 , in accordance with another aspect of the present invention, a second positioning member may be provided or integrally formed with the housing 300 for mounting and locking the optional component, in this case the dead lock lever or guard bolt bracket 500 , at a particular position in the cavity 350 , alone or in combination with the positioning member discussed above. The rear wall 320 may be integrally formed with a rail 380 having a series of teeth 382 , which form intervening valleys for engaging a corresponding mating member 512 , such as a tooth, on the mounting member 510 . The rail 380 may be formed on an upper portion of the rear wall 320 toward the upper rail surface 322 in order that a substantial portion of the rear wall 320 may remain as thick as possible, allowing for increased strength and stability of the strike assembly 100 . When formed with dual positioning members, the teeth 382 of the rail 380 may be incrementally formed in parallel and in line with the teeth 326 of the rail slot 324 so that the positional engagement member 528 and the mating member 512 may lock into place at the same predetermined longitudinal position with respect to the length of the cavity 350 . In accordance with another aspect of the present invention, one or more of the positional engagement member 528 , the mating member 512 , the teeth 382 and the teeth 326 may be provided in an offset configuration. For example, the positional engagement member 528 may engage the teeth 326 of the rail slot 324 at a different relative longitudinal position in the cavity 350 than the position at which the mating member 512 engages the teeth 382 of the rail 380 . [0050] As shown in FIG. 13 , the strike assembly 100 may be assembled by placing the optional component, i.e. the dead locking lever or guard bolt bracket 500 , at a desired position along the longitudinal length of the cavity 350 . The mounting devices lock the dead locking lever or guard bolt bracket 500 at the predetermined position and, as described above, the securing assembly 800 , including a faceplate 810 and/or a suitable adhesive layer 812 may be provided to further secure the dead locking lever or guard bolt bracket 500 at the predetermined position in the strike cavity 350 by preventing the mounting member 510 from disengaging the upper rail surface 322 of the rear wall 320 . In an exemplary configuration, the faceplate 810 may secure the electric strike assembly 100 to the door jamb by a suitable attachment device, such as screws 820 , which may extend through holes 825 in the faceplate 810 , through the slots 365 provided in the mounting flanges 360 , and into the door jamb to effectively secure the electric strike assembly 100 in place. [0051] FIGS. 14 and 15 illustrate a configuration of the strike assembly 100 , wherein many of the features are the same as or similar to those described above, however the optional component to be inserted into the cavity 350 is a dead bolt bracket 600 having a mounting member 610 for engaging the upper rail surface 322 of the rear wall 320 and a lock extension 626 for engaging the rail slot 324 . The dead bolt bracket 600 provides additional support for receiving a dead bolt while maintaining a separate compartment in the cavity 350 for receiving the latch bolt, the guard bolt, and/or any other optional components that may be configured into the strike assembly 100 . [0052] FIGS. 16 and 17 illustrate a configuration of the strike assembly 100 , wherein many of the features are the same as or similar to those described above, however the optional component to be inserted into the cavity 350 is a dead bolt plug 700 having a mounting member 710 for engaging the upper rail surface 322 of the rear wall 320 and a lock extension 726 for engaging the rail slot 324 . The dead bolt plug 700 blocks use of a dead bolt while maintaining a separate compartment in the cavity 350 for receiving the latch bolt, the guard bolt, and/or any other optional components that may be configured into the strike assembly 100 . [0053] FIGS. 18 and 19 illustrate a configuration of the strike assembly 100 , wherein many of the features are the same as or similar to those described above, however the optional component to be inserted into the cavity 350 is a removable latch monitor module 900 having a mounting member 910 for engaging the upper rail surface 322 of the rear wall 320 and a lock extension 926 for engaging the rail slot 324 . The latch monitor module 900 operates, for example, to identify when the door is closed and the latch bolt of the door is fully extended and retained by the keeper 200 in the cavity 350 . In an exemplary configuration of the latch monitor module 900 , a latch bolt plate 930 is depressed when the door latch bolt is secured in the cavity 350 , causing a cam or similar actuation device to activate a microswitch to send a signal to a remote monitoring device regarding the status of the door. [0054] In accordance with another aspect of the present invention, as shown in FIGS. 20 and 21 , a removable latch monitor module 950 may be the optional component to be inserted in the cavity 350 . The latch monitor module 950 may have a mounting member 952 for engaging the upper rail surface 322 of the rear wall 320 . The latch monitor module 950 may also be configured with an infrared sensor 954 to identify when the door is closed and the latch bolt of the door is fully extended and retained by the keeper 200 in the cavity 350 . In accordance with yet other aspects of the present invention, the latch monitor module 950 may include one or more sensors located on one or both sides of the module 950 for detecting a deadbolt on either side of the module 950 . Thus, the latch monitor module 950 may be used to monitor the position of the latch as well as the deadbolt. [0055] The many features and advantages of the invention are apparent from the detailed specification, and, thus, it is intended by the appended claims to cover all such features and advantages of the invention which fall within the true spirit and scope of the invention. Further, since numerous modifications and variations will readily occur to those skilled in the art, it is not desired to limit the invention to the exact construction and operation illustrated and described, and, accordingly, all suitable modifications and equivalents may be resorted to that fall within the scope of the invention.
An electric strike assembly includes a housing including a mounting device and defining an internal longitudinal cavity, a keeper pivotally arranged in the housing, and a selectively locatable component including a locking device to cooperate with the mounting device to secure the component at a predetermined incremental position along the length of the removable cavity. In accordance with other aspects of the present invention, an electric strike assembly includes a housing defining an internal longitudinal cavity, a keeper pivotally arranged in the housing, and a keeper shim assembly, including a shim, slidably engaged with the keeper to position the shim at a predetermined position along a length of the cavity. A method of securely capturing a latch bolt mounted in a door includes mounting an electric strike assembly in an associated door jamb and positioning a shim at a predetermined position in the cavity.
BACKGROUND OF THE INVENTION 1. Field of the Invention This invention relates to an Italian rye grass into which endophytic fungi are introduced and a method of introducing endophytic fungi into Italian rye grass. Italian rye grass is a member of the genus Lolium of the tribe Poeae, which is in the sub-family of grasses known as Pooideae. 2. Description of the Related Art Lolium in the genus Poa, which belongs to the sub-family of grasses known as Pooideae, includes Italian rye grass ( Lolium multiflorum ), perennial rye grass ( Lolium perenne ), and dock wheat ( Lolium temuletum ). Italian rye grass is also referred to by the Japanese name of rat wheat. This plant not only grows naturally, but is also widely cultivated artificially as meadow grass and is very useful for livestock. Italian rye grass has many uses, and as it is used over a large area, it is easily prone to disease and insects. There is a large amount of damage due to Bluegrass webworm, and this can be so serious that whole meadows can disappear overnight in locations where the chemical spraying of insect larvae, which have just hatched, has not reached. Conventional methods of cultivating and growing grasses include the artificial crossing method, selection method, mutation method, cell fusion method and gene insertion method. Due to recent progress in biotechnology, the cultivation period which previously required 10 years or more, has been reduced to several years. As regards genetic insertion, several techniques exist such as a method using agrobacterium, the electroporation method and the particle gun method, and they are now being applied to a large variety of crops. However, in the case of grasses, it has been pointed out that this genetic insertion is extremely inefficient. For example, it is difficult to infect grasses using the agrobacterium method, so genetic insertion is very difficult. As regards the electroporation method, a regeneration system has to be developed from the protoplast of the grass, and even if such regeneration is possible, the characteristics of the plant may suffer damage due to growth mutations. Concerning the particle gun method, since genes are introduced randomly into the plant organism or culture, the plant obtained frequently becomes a chimera. In the case of Lolium including Italian rye grass, cell culture techniques such as cell fusion or genetic insertion require complex operating procedures and they are not very efficient. Thus, there are very few examples where they have successfully been applied efficiently, and there are very few instances where they have been successfully developed on a practical level. However, there are some wild plants in nature in which filamentous internal fungi, i.e. endophytes, live together with the plant. They grow well in plant tissue, and particularly well in the gaps between cells, i.e. the intercellular spaces. These endophytes, or symbiotic filamentous fungi, not only have no adverse effect on the host plant but in fact provide it with useful substances, and contribute to help it withstanding environmental stresses. Enhancement of plant properties by endophytes is known from the literature, e.g. insect resistance (Siegel et al, 1987, Ann. Rev. Phytopathol. 25: 293-3 15), disease resistance (Gwinn and Gavin, 1992, Plant Disease 76: 911-914), environmental stress (drought, etc.) resistance (Arachevalta et al, 1989, Agron. J. 81: 83-90), and growth enhancement (Latch et al, 1985, N.Z.J. Agric. Res. 28: 165-168). It is particularly well-known that, in perennial rye grass infected with endophytes, these endophytes improve insect resistance due to the repelling substances and alkaloids they produce. Latch et al in New Zealand are searching for an endophyte known as an endosafe which has a low toxicity to livestock and excellent insect resistance by collecting and studying endophytes in perennial rye grass. However, many of the plants in which these endophytes live have little utility, so it is necessary to introduce them into useful grasses. In this regard, attempts have already been made to introduce endophytes into perennial rye grass which is an important pasture grass. The techniques used may be broadly distinguished as artificial crossing and artificial inoculation. In artificial crossing, useful characteristics are introduced by pollen using a plant infected by an endophyte as mer. However, in the conventional method, there were limitations on the species and strains which could be crossed with one another. In artificial inoculation, plants or culture tissues are inoculated with endophytes that have been isolated and cultivated. The inoculation method is capable of introducing a wider range of types, but due to problems of technique regarding cultivation of endophytes, inoculation conditions and conditions of the plant itself, it is limited to perennial rye grass. To increase the infection rate, a method has been reported where callus is used as the plant tissue which is inoculated. However according to this method, it is necessary to develop a plant regenerating system from the callus, hence the method was still limited to perennial rye grass. The conventional cell cultivation method involves a troublesome procedure and requires considerable training. Its practical application was moreover difficult since culture mutations caused by transformation or cell fusion had an effect on the characteristics being introduced or on other traits. In the genetic insertion method, it was not possible to introduce specific characteristics if it was not known which genes had an effect on the characteristics and type of plant. Characteristics related to complex factors such as environmental stress could not be introduced by techniques such as genetic insertion. Moreover, plants grown by cell culture techniques were often found to exhibit decreased seed fertility. In the case of grasses this led to a decline of yield and was therefore fatal. In view of this situation, growth techniques or improvement of characteristics using endophytes is a totally new approach to solving the above problems. When endophytes are introduced into plants by artificial inoculation on the other hand, the technique is limited to perennial rye grass due to problems in searching for endophytes and cultivation systems, and it has never been applied to other useful grasses such as Italian rye grass. In callus inoculation, it is essential to develop a regenerating system of the plant into which the endophyte is to be introduced. Moreover, inoculation conditions had not been developed to increase the rate of infection. At present, useful natural endophytes have been found only in perennial rye grass, tall fescue and meadow fescue resulting in a major limitation to their introductions. In particular, the host plants of these endophytes are foreign types, and no endophytes derived from domestic plants had yet been found that were adapted to Japanese environmental conditions. OBJECT AND SUMMARY OF THE INVENTION It is therefore an object of this invention to provide an Italian rye grass into which an endophyte is artificially introduced, and a method of artificially introducing an endophyte into Italian rye grass not infected with endophyte. Another object of this invention is to provide an Italian rye grass with excellent characteristics, and in particular, excellent insect resistance and disease resistance. According to one aspect of this invention, there is provided an Italian rye grass produced by artificially introducing filamentous endophytic fungi into plants not containing filamentous endophytic fungi. Here, Italian rye grass includes plants having the scientific name Lolium multiflorum , and also includes hybrids of Italian rye grass. The endophytic fungi may be one or both of Neotyphodium and Gliocladium. The endophytic fungi may produce an insect-resistant alkaloid. The Italian rye grass may also be used as turf. The endophytic fungi may be any of FERM P-14798, 15029, 15030, 15862, 16319, 16320, 16327, 14797, 16103, 17372, 14799, 14800, 16321, 16322, 16323, 16324, 16325, 16326, 16328, 16329 or 17351 deposited at the Japanese National Institute of Bioscience and Human Technology. The invention relating to a method of introducing endophytic fungi into an Italian rye grass, is a method comprising: a step for isolating filamentous endophytic fungi living naturally in a symbiotic relationship with a plant, and artificially growing the fungi, a step for artificially inoculating the grass with the artificially grown endophytic fungi, and a step for infecting the grass with the artificially inoculated endophytic fungi. The endophytic fungi may be artificially inoculated by inoculating conidiospores of said endophytic fungi. The characteristics of the isolated endophytic fungi may be examined, and selected endophytic fungi may be inoculated. The characteristics may be any of insect resistance, disease resistance, environmental stress resistance or growth enhancement. The endophytic fungi may be one or both of Neotyphodium and Gliocladium. The said endophytic fungi may produce an insect-resisting alkaloid. The endophytic fungi are any of FERM P-14798, 15029, 15030, 15862, 16319, 16320, 16327, 14797, 16103, 17372, 14799, 14800, 16321, 16322, 16323, 16324, 16325, 16326, 16328, 16329 or 17351 deposited at the Japanese National Institute of Bioscience and Human Technology. As described above, this invention relates to Italian rye grasses produced by artificially introducing filamentous endophytic fungi, i.e., endophytes, into Italian rye grasses not containing endophytes, and to a method of artificially introducing endophytes into Italian rye grasses. Therefore, according to this invention, an endophytic fungus, i.e., an endophyte, is introduced into an Italian rye grass, and by making the endophytic fungus live symbiotically in the Italian rye grass, it is possible to confer at least insect resistance and disease resistance. Therefore, the characteristics of the Italian rye grass are improved, and an Italian rye grass having excellent properties can be obtained. The above and other objects, features and advantages of this invention will be apparent from the following descriptions of practical mode and examples. The method of introducing endophytes into an Italian rye grass according to another aspect of this invention will now be described in still more detail practically. Stage 1 Detection of presence or absence of endophyte and isolation of same (1) Detection of endophyte infection An epidermis of leaf with its sheath is removed from a plant collected in a search, the leaf is peeled and stained with aniline blue solution, and any endophyte in the tissue is detected by examination with an optical microscope. (2) Isolation and culture of endophyte After sterilizing plant sections confirmed to contain endophyte, the section is transplanted to an endophyte isolation culture and cultured for several months. (3) Endophyte classification Isolated endophyte is classified according to the host, or cultured by varying environmental conditions using the flat plate culture method and classified according to its morphology. Alternatively, a liquid culture is performed and the endophyte is classified according to its morphology, or a slide culture is performed and the endophyte is classified according to its morphology. Stage 2 Alkaloid analysis Alkaloid produced either by the fungus alone or when living on the plant is analyzed and examined in particular for insect resistance. Analysis for disease resistance, environmental stress resistance and growth enhancement may be made at the same time. Stage 3 Introduction of endophyte The isolated endophyte is artificially introduced into the desired or target Italian rye grass. The endophyte may be introduced by directly inoculating the plant with it, alternatively non-differentiated cells such as callus can be inoculated and the plant is regenerated from the callus. An appropriate method should be chosen according to the type of plant in which it is desired to introduce the endophyte. Stage 4 Confirmation of endophyte presence An explant from a plant into which endophyte has been introduced is stained with a dye solution, observed with an optical microscope, and the presence of the endophyte or infection by it is detected using the enzyme immunoassay method. Stage 5 Examination of plants into which endophyte has been introduced (1) Resistance to pests Using plants into which endophyte had been introduced and plants not containing endophyte, larvae of pests are grown, and a survey of pest damage is carried out artificially. (2) Resistance to disease Using Italian rye grass into which endophyte has been introduced, and the same Italian rye grass not containing endophyte, resistance to disease is compared by artificially inoculating the two types of plants with pathogenic fungi and examining the extent of disease. (3) Tests with later generations of plants Seeds containing endophyte are collected, germinated, and after confirming that the endophyte was present, the aforesaid tests are performed. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS EXAMPLE I (1) Detection of endophyte Endophyte was detected by the following method from various plants in the grass family which are wild grasses and occur naturally. The epidermis of leaves and leaf sheaths of these plants were removed, and the presence or absence of endophyte in the tissue was confirmed by an optical microscope examination. This confirmation was performed as follows. 5 ml of lactic acid, 10 ml of glycerine, 5 ml of water and several drops of a staining solution of 0.02 g of an aqueous solution of aniline blue were placed on a glass slide. The leaf sheath was peeled away, and the underside epidermis was peeled away toward the leaf vein with forceps. The peeled epidermis was placed on the glass slide, covered with a cover glass, boiled in the flame of a gas burner, and the tissue was observed with an optical microscope. Under these conditions, if endophyte is present, the mycelium appears blue, so the endophyte can be detected by this procedure. As a result, Neotyphodium endophyte was detected with almost no branching in the intercellular spaces of plants of the genera Poa, Festuca, Agrostis, Milium, Elymus, Glyceria, Bromus, Brylkinia, Brachypodium, Phleum and Digitaria. Gliocladium endophyte was detected with multiple branching in the intercellular spaces of genus Lolium. (2) Isolation of endophyte The endophyte in the aforesaid section (1) was isolated by the following method from the various plants in which it was detected. To isolate the endophyte from the plant, the leaf and leaf sheath were washed with water, immersed in a 70% aqueous solution of ethanol for 10 seconds, immersed in a 2.5% aqueous solution of sodium hypochlorite for 10 minutes, washed three times with sterile water, transferred to an endophyte isolation culture, and cultivated in the dark at 25° C. The isolation culture was prepared by sterilizing PDA (potato dextrose agar) adjusted to pH 5.6 at 121° C. for 15 minutes, adding 100 mg/l each of penicillin and streptomycin, and pipefting 20 ml portions into each plastic Petri dishes of diameter 9 cm. After approx. 3-8 weeks, the mycelium was isolated from an explant, and the colonies were removed by a cork borer of diameter 5 mm, transferred to a PDA culture and grown. (3) Classification and identification of endophytes by using the flat plate culture method The mycelium transplanted to the PDA culture was grown in the dark at 25° C., and the colonies formed were examined. On examination, the colonies on the culture were all white filaments, their growth was relatively slow, and they grew to a radius of only about 3 cm in one month. Of these, the 21 endophytes which were isolated, were deposited at the National Institute of Bioscience and Human Technology which belongs to the Agency of Industrial Science and Technology. The description and deposition numbers of these endophytes are as shown in Table 1. TABLE 1 Deposition No. Host plant family Host plant genus Endophyte (FERM P) Trib. Poeae Poa Neotyphodium 14798 ″ ″ ″ 15029 ″ ″ ″ 15030 ″ ″ ″ 15862 ″ ″ ″ 16319 ″ ″ ″ 16320 ″ ″ ″ 16327 ″ Festuca ″ 14797 ″ ″ ″ 16103 ″ ″ ″ 17372 Trib. Aveneae Agrostis ″ 14799 ″ ″ ″ 14800 Trib. Poeae Milium ″ 16321 Trib. Triticeae Elymus ″ 16322 Trib. Meliceae Glyceria ″ 16323 Trib. Bromeae Bromus ″ 16324 Trib. Brylkinieae Brylkinia ″ 16325 Trib. Triticeae Brachypodium ″ 16326 Trib. Aveneae Phleum ″ 16328 Trib. Paniceae Digitaria ″ 19329 Trib. Poeae Lolium Gliocladium 17351 (4) State of mycelium by slide culture A PDA culture of thickness 2-3 mm was mounted on a glass slide, mycelium was grown on the culture, and the morphology of the mycelium and conidiospores was examined. This culture was performed at 25° C. in the dark. On examination, it was found that all the mycelia were colorless, partitions of width 1-2 um being observed throughout. All the isolated Neotyphodium endophyte, excepting FERM P-17372, was able to form conidiospores on observation whether conidiospores grows or not. For Gliocladium endophyte, the formation of conidiospores was not observed. The conidiospores were formed at the ends of single phialides emerging from the ends or sides of the mycelium, and most were single conidiospores. All conidiospores were colorless, and monocellular. Most conidiospores were kidney-shaped and were 3-8×1-3 um in size. The phialides formed were all cylindrical tapering towards the ends, and separated from the mycelium by partitions. (5) Analysis of alkaloids from plants An alkaloid analysis was performed by the following method for all host plants in Table 1 in which endophyte had been detected. After freeze-drying the leaves and leaf sheaths of these specimens, a 100 mg sample was placed in a mortar and crushed, 1.5 ml each of methanol and chloroform were added and blended, and the liquid was recovered in a centrifuge sedimentation tube. The mixture was carefully blended for 30 minutes at 18° C., 3 ml each of n-hexane and water were added and the mixture was stirred for 30 minutes. After centrifuging at 2000 r.p.m. for 10 minutes, an organic layer and an aqueous layer were pipetted off. 3 ml of the aqueous layer was purified on a Biorad AG2×8 and an Analytichem Bloud Elut CBA column, and after concentration, 100 ul of 80% methanol was added, 20 ul of each sample was dripped onto a thin layer plate used with Merck silica gel 60, and using a chloroform, methanol, acetic acid and water mixture in the ratio 20:10:1:1 as developer, an analysis was performed by TLC (thin layer plate chromatography). A 500 ul sample of the organic layer fraction was placed in a 2 ml Eppendorf tube, and after completely evaporating off the solvent in a rotary evaporator, the residue was diluted to 200 ul with chloroform. After dilution, 3 ul of each sample was dripped onto a thin layer plate coated with Merck silica gel 60, and an analysis was performed by TLC using chloroform and methanol in the ratio 4:1 as developer with the addition of 100 ppm of Chanoclavinel as a specimen. After developing, the water layer and organic layer extracts were confirmed by UV. The color reaction and Rf values were measured using the Ehrlich reagent (solution of 1.0 g p-dimethylbenzaldehyde in 96% ethanol) and nitrosonapthol reagent. In the aqueous layer extract from the host plant, using the Ehrlich reagent, bluish-purple spots were found. This color reaction with reagent and the Rf value confirmed that these were due to the presence of an indole alkaloid. In the organic layer extract from the host plant, using the Ehrlich reagent, bluish-purple spots were found for all plants. Using nitrosonaphthol reagent, reddish-purple spots were found at the same positions. Spots were also found in the vicinity of Rf 0.1 as with specimen Chanoclavinel. These color reactions with reagent confirmed production of Chanoclavinel and several indole alkaloids. (6) Liquid culture After growing mycelia of the endophytes shown in Table 1 for 2 months on a PDA culture at 25° C. in the dark, colonies were removed by a cork borer of diameter 5 mm, 100 ml of PD (potato dextrose) broth was placed in each of 300 ml flasks with shaking, the colonies were introduced in each flask by an apparatus which had been sterilized at 121° C. for 15 minutes, and shaking was performed at 25° C. with a back and forth motion at 150 r.p.m. As a result, all the fungi spread through all the flasks in one month. (7) Suppression of pathogenic fungi in vitro A test of antifungal activity was performed using respectively the leaf rot fungus Rhizoctronia sp., leaf withering fungus Drechslera sp. and Dallas spot fungus Sclerotinia sp. which occur in Italian rye grass. This test was performed by shaking the endophytes in Table 1 in 100 ml of a PD culture, and removing those which had a degree of light absorption in the vicinity of 1.0. After filtering the mycelium, agar was added to the filtrate to solidify it in a Petri dish, 5 mm diameter colonies of each pathogenic fungus were placed in the center, and the cultures grown for 7 days. The propagation distance of the mycelium from the center was measured. Endophytes which suppressed propagation to less than 2 cm from the center were referred to as A, those which suppressed it to less than 3 cm were referred to as B, and the remainder were referred to as C. Almost all endophytes showed the strong suppressive activity of A or B. Table 2 and Table 3 show the results. For the endophytes shown in Table 1, an insect resistance test, environmental stress test and growth enhancement test were also performed. These tests were used as a criterion for endophyte selection. TABLE 2 In vitro activity of Neotyphodium endophytes Rhizoctonia Drochslera Sclerotinia Conidiospore Suppressive Suppressive Suppressive Host plant genus Endophyte (FERM P.) formation activity activity activity Poa 14798 + A A B 15029 + B A B 15030 + B B A 15862 + A A A 16319 + B A A 16320 + B B B 16327 + B A A Festuca 14797 + B B A 16103 + A A B 17372 − A A A Agrostis 14799 + B A B 14800 + B A B Milium 16321 + A A A Elymus 16322 + B B B Glyceria 16323 + B B B Broms 16324 + A A A Brylkinia 16325 + B A B Brachypodium 16326 + B A A Phleum 16328 + B B B Digitaria 16329 + C C B TABLE 3 In vitro activity of Gliocladium endophytes Rhizoctonia Curvularia Sclerotinia Conidiospore Suppressive Suppressive Suppressive Host plant genus Endophyte (FERM P.) formation activity activity activity Lolium 17351 — A A B (8) Artificial inoculation using plants Artificial inoculation of Italian rye grass was performed using the isolated endophytes. The plants used here were the Italian rye grasses Waseaoba, Surrey, Horida 80, Grazer, Major, Total, Sultan; the hybrid rye grass Grossland Ariki, and the intermediate rye grasses Tetrelite, Gladiator. The aforesaid endophytes were first grown according to the method of (2), transferred to a fresh PDA culture, and cultured under the same conditions for 5-12 days. For the inoculation, seeds were sterilized and sown on a WA culture (Water Agar culture) comprising 0.8% agar added to water, and cultivated under dark conditions. 3-7 days after starting the culture, a notch was formed in the growth point of the plant with a knife, and mycelia cultured on the PDA medium were inserted. After 8 days in the dark at 25° C. and 30° C., the plants were cultivated for 4 hours under illumination at 15° C. for 16 hours, and cultivated under illumination at 25° C. for 16 hours for at least 2 days. Plants which had turned green were acclimatized in pots. By applying the method described in section (1) to confirm the presence of endophyte, it was found that endophytes had been introduced into the plants. When artificial inoculation was performed using plural endophytes, two endophytes were inoculated by the method of (8) using FERM P-15862 as Neotyphodium endophyte and FERM P-17351 as Gliocladium endophyte. On observing the tissue using the method of section (1) after inoculation, infection by both of the endophytes Neotyphodium and Gliocladium was confirmed. Further it was also found that the infection rate was higher using the two endophytes than by using each endophyte separately. (9) Artificial inoculation using callus Callus was induced in Italian rye grass as a specimen for artificial inoculation. Using the above species, a callus induction culture was prepared by adding 2.0 mg/l of 2,4-D(2,4-dichlorophenoxyacetic acid) and 0.2 mg/l of BAP (6-benzylaminopurine) to an MS base culture. Seedlings obtained immediately after germination on the MS culture were transplanted to callus induction cultures, and cultured for 2 months in the dark at 25° C. so as to obtain callus which had differentiating ability. Artificial inoculation was performed using callus from Italian rye grass with all endophytes, i.e., Neotyphodium and Gliocladium. The callus was induced on the aforesaid induction culture, and then transferred to the MS base culture without addition of plant hormone. Immediately after transferring, the callus was cut with a knife, and 50 ul of mycelium per callus, grown as in section (6), was dripped in. The callus was cultured for several weeks in the dark at 25° C. and 30° C., then placed under illumination for 16 hours, or alternatively it was placed under illumination for 16 hours from the start. The regenerated plant was then transferred to a fresh MS culture and grown for one month. When an examination was made for presence of endophyte according to the method described in section (1), it was confirmed that endophyte had been introduced. (10) Mass production of conidiospores Conidiospores were mass produced for all the Neotyphodium endophytes forming conidiospores of the endophytes shown in Table 1. These fungi were cultured by the same method as that of section (6), and transferred to a fresh PD culture. 20 ml of culture liquid was removed after 5-12 days when the ability to form conidiospores is at a peak, and unwanted mycelium was removed by two superimposed 20 um meshes. 10 ml of the filtrate was placed in a centrifuge tube, and centrifugation was performed at 1000 r.p.m. for 10 minutes. After centrifuging, the supernatant liquid was discarded and 1 ml of PD culture was added so as to obtain a suspension of conidiospores. (11) Inoculation method using conidiospores Species of Italian rye grass were artificially inoculated with a conidiospore suspension of the Neotyphodium endophytes shown in Table 1, using the callus inoculation method described in section (9). After examining the plants obtained by the methods described in (1), very many plants were found to contain the endophyte, and there was a significant difference compared to the inoculation method of section (9) using the mycelium. (12) Detection of endophyte by enzyme immunoassay (ELISA) The endophyte was detected by the following method from leaves of plants in which endophyte had been introduced by the artificial inoculation of sections (8), (9) and (11). Buffer solution was added to 0.5 g raw weight of an explant, and the mixture was crushed in a mortar so as to obtain an extract. 50 ul of this extract was placed in a well in a microplate, and adsorbed at room temperature for 30 minutes. Uncombined antigen was washed out. The well was filled with blocking solution (3% skimmed milk solution) and washed 30 minutes later. Anti-endophyte rabbit antiserum (primary antibody) was added to the well, and reacted at room temperature for 60 minutes. Uncombined antibody was washed out. Diluted secondary antibody (antirabbit IgG goat labeled with alkali phosphatase) was added to the well, and reacted at room temperature for 60 minutes. Uncombined antibody was washed out. A basic solution was then added to the well so as to cause an alkali phosphatase reaction. The reaction was stopped by 0.5N NaOH, and the degree of light absorption at 405 nm was measured. As a result, all plants into which endophyte had been introduced in sections (8), (9) and (11) gave a color reaction, thus confirming the introduction of these endophytes into Italian rye grass. (13) Resistance to webworm The resistance to webworm was examined using the Waseaoba species of Italian rye grass infected by the method of section (8) above. For Waseaoba (E+) infected with endophyte, plants in the second month after inoculation were acclimatized and then used. As a comparative group, seeds of Waseaoba (E−) which had not been inoculated, and which had been immersed for 10 seconds in 70% ethanol, washed for 10 minutes in 2.5% aqueous sodium hypochlorite solution, rinsed three times with sterilized water, dried, transferred to an MS culture, and cultivated for 2 months after germination, were acclimatized and then used. Sections of length approximately 1 cm were cut respectively from leaves of Waseaoba (E+) and Waseaoba (E−), and placed three at a time in a Petri dish of diameter 9 cm. Approximately 200 webworm larvae were introduced immediately after hatching, and the extent of the damage of the leaves was observed after 24 hours. Here, leaves which had been eaten 100% were referred to as A, leaves which had been eaten to the extent of only about 70-80% were referred to as B, and the remainder were referred to as C. As a result, as shown in Table 4 and Table 5, damage was observed in the case of Waseaoba (E−), but Waseaoba (E+) showed strong insect resistance as A or B. (14) Resistance to pathogenic fungi in plants The pathogenic fungi Drechslera sp., Rhizoctonia sp., and Sclerotinia sp. isolated from withering leaves in Italian rye grass, were respectively cultured for 2 weeks on a PDA medium. The mycelia in the conidiospores formed on the surface of the colonies were then removed with a needle, suspended in sterilized water and number of mycelia adjusted to a concentration of 5000-10000/ml. For Waseaoba (E+) infected with endophyte, plants in the second month after inoculation were used. As the comparative group, Waseaoba (E−) which had not been inoculated was immersed for 10 seconds in 70% ethanol, washed for 10 minutes in 2.5% aqueous sodium hypochlorite solution, rinsed three times with sterilized water, dried, transferred to an MS culture, and cultivated for 2 months after germination. These were placed in rows of 10 in 6×6×10 cm plant boxes filled with sterilized culture earth, and cultured for 2 weeks. In inoculation with pathogenic fungi, the whole surface of the plants was sprayed or coated, and the plants then cultivated for one month under 16 hour daylight conditions at 28° C. For the measurements, plants completely without disease were referred to as A, plants which were about 30 percent diseased were referred to as B, and the remainder were referred to as C. As a result, for all fungi, in the comparative group which did not contain endophyte, the tips of the leaves began to rot in the first week after inoculation, and the plants were completely dead at 3 weeks, however in Waseaoba (E+) containing endophyte, although there were black spots on the leaves of approximately 2 mm diameter in the initial stage of infection, and about 1 cm of leaf withering was detected at the tips of the leaves, there was almost no subsequent spreading of these spots. This showed a clear significant difference regarding leaf withering due to damage caused by Drechslera sp., Rhizoctonia sp., and Sclerotinia sp. The results of resistance to pathogenic fungi are shown together with resistance to webworm in Table 4 and Table 5. TABLE 4 Activity of Neotyphodium endophytes in infected Italian Rye Grass Rhizoctonia Drechslera Sclerotinia Suppressive Suppressive Suppressive Webworm Endophyte (FERM P.) Infection rate (%) activity activity activity resistance 14798 50 A A A A 15029 50 A A B A 15030 60 B A A A 15862 80 A A A A 16319 50 B A A A 16320 40 B B A A 16327 40 B A A A 17372 80 A A A A 14797 70 A B A B 16103 70 A A B B 14799 50 B A B A 14800 50 B A B A 16321 60 A A A A 16322 70 B B B A 16323 70 B B B A 16324 60 A A A A 16325 40 B A B A 16326 80 B A A A 16328 80 B B B A 16329 30 C C B B TABLE 5 Activity of Gliocladium endophytes in infected Italian Rye Grass Rhizoctonia Curvularia Sclerotinia Suppressive Suppressive Suppressive Webworm Endophyte (FERM P.) Infection rate (%) activity activity activity resistance 17351 70 A A A A EXAMPLE 2 (1) Resistance to Japanese lawn cutworm The resistance to Japanese lawn cutworm was examined using Italian rye grass into which endophyte had been introduced according to section (7) of Example 1. This test was performed by examining for damage after 24 hours using 200 lawn cutworms immediately hatching of the larvae, by an identical method to that of section (13). On examination, it was found that whereas Waseaoba (E−) had been completely ravaged, only a small part of Waseaoba (E+) had been eaten. Next, identical plants to those of section (13) were placed in 20×30 cm pots, 10 cm×10 cm turfs of Waseaoba (E+) and Waseaoba (E−) were prepared, approximately 50 larvae were respectively introduced two weeks after hatching, and after 4 days, the damage was examined. On examination, whereas Waseaoba (E−) was completely damaged, Waseaoba (E+) fully retained its green leaves. Identical turfs were propagated vegetatively. Namely 1 m2 turfs of Waseaoba (E+) and Waseaoba (E−) were prepared outdoors, 7 larvae at three weeks or more after hatching were left on each block, and the damage was examined. On examination, whereas damage did appear gradually, and Waseaoba (E−) had been completely ravaged after 4 days, Waseaoba (E+) had fully retained its green leaves. (2) Insect resistance using later generations of endophyte-containing plants Seeds of Waseaoba (E+) which had been artificially inoculated and Waseaoba (E−) in the comparative group were collected after earing, endophyte was detected by the method of section (1) in Example 1 after germination, and an insect resistance test was performed by an identical method of section (13) in Example 1. As a result, it was found that, whereas leaf sections of plants of Waseaoba (E+) after germination had no damage at all, Waseaoba (E−) was completely ravaged. From this, it was confirmed that the result obtained due to the presence of endophyte was identical in the case of propagation to later generations via seeds. Having described specific embodiments of this invention, it is to be understood that the invention is not limited to those precise embodiments, and that various changes and modifications may be made by one skilled in the art without departing from the scope or spirit of the invention as described in the appended claims.
To provide an Italian rye grass with excellent characteristics, and in particular, excellent insect resistance and disease resistance, an endophyte, which is a filamentous endophytic fungus living together with a wild plant occurring in nature, is isolated and artificially grown, and made to live symbiotically in Italian rye grass by inoculating and infecting the grass with the artificially grown endophyte.
CROSS-REFERENCE TO RELATED APPLICATION This application is a divisional of application Ser. No. 806,445, filed June 14, 1977, now issued as U.S. Pat. No. 4,130,086. BACKGROUND OF THE INVENTION For countless generations, man in his perpetual quest to subdue the forces of nature has been little concerned with ecological consequences. Increasing population with the concomitant urban sprawl has resulted in the denuding of forests, damming of rivers and streams and the filling of marshlands. This conduct has greatly affected the delicate balance of the earth's ecosystem. Consequently, many biological and zoological forms of life are faced with inevitable extinction because of dwindling natural habitats. Threatened flora and fauna have few avenues available to avert the tide of "progress" and many of these approaches are not feasible because of the amount of time involved. For example, natural selection, which would develop species immune to man's alteration of the environment, is a slow gradual process. Because of rapid deterioration of the environment, many species will be extinct long before this biological phenomenon will have any consequences. A drastic alternative, which is not feasible, would entail a moratorium on further development coupled with attempts to reduce much of man's present unfavorable impact on the environment. The only viable alternative presently available to these approaches is to formulate a means whereby the plants and animals may live in a protected, though unfortunately considerably limited environment. Thus, we find many zoological gardens attempting to provide threatened species with a simulated habitat. By faithfully copying the creatures natural home, the stresses caused by man's encroachment are lessened and the animal is more apt to procreate and continue the species. However, this has limitations, the most notable being financial. The public is not quite ready for the protection of the earth's non-human inhabitants on a large scale. A further limitation on this system of surrogate homes is that many animals do not spend their whole life in one habitat. Among these are salmon who spawn their eggs near the head waters of fresh water streams and rivers but spend the bulk of their adult lives in the ocean. As a result, the costs of duplicating these multiple native environments is prohibitive. The influx of people to the Pacific Northwest and Alaska has brought about numerous problems for salmon. Many of the streams traveled to spawn their eggs have been dammed. Others have become so polluted that no living creature can survive. Still others have become too shallow or diverted from their natural course because of the ever increasing needs for water in domestic and industrial use. Consequently, the available streams for spawning of salmon eggs has dwindled. The salmon population is also beset with additional deletorious factors such as predator pressures. Predator difficulties seem to be magnified when the salmon population undergoes the stress of extraordinary natural and man-made environmental influences. In order to rejuvenate the salmon population several attempts have been made to overcome the problem of loss of natural spawning grounds. Unfortunately, however, numerous unsolved problems remained. For instance, in U.S. Pat. No. 3,464,385 to Pellett, the patentee teaches a method of rehabilitating salmon spawning streams by bubbling air through the stream bed gravel from a number of points periodically spaced throughout the spawning area. The added oxygen was primarily used to offset silt suffocation. While this approach may have some beneficial aspects, it does not, however, radically increase the production of salmon. Furthermore, it requires placing the aerator under the bed of the stream. This may entail temporarily damming the stream or diverting it from its bed. Even if the device were set up without disturbing the stream's course, its installation is still cumbersome. Other attempts have been made to increase the salmon population. Most significant of these are the experiments undertaken at Abernathy Creek near Longview, Wash. The results of these experiments are described in an article by Allen E. Thomas entitled Effect of Egg Development at Planting on Chinook Salmon Survival, 37 Progressive Fish-Culturist 231 (October 1975) and in a joint article by Allen E. Thomas and J. M. Shelton entitled Operation of Abernathy Channel for Incubation of Salmon Eggs, Technical Papers of the Bureau of Sport Fisheries and Wildlife published by the U.S. Department of the Interior, the disclosures of which are incorporated by reference. At Abernathy Channel, an artificial spawning and incubation channel, salmon and trout eggs were deposited in trenches in natural spawning gravel or artifical incubation channels. Three types of salmon eggs were planted. Unwater-hardened eggs were planted immediately after fertilization. Water hardened eggs had been fertilized, washed free of silt and water hardened for one hour before planting. After the eggs in the third group were washed and water hardened in hatchery troughs, they were incubated until the eyed stage was reached and then planted. The survival percentages of the salmon to the migrant fry stage was 50.1 percent from water unhardened eggs, 37.6 percent from water hardened, and 73.4 percent from eyed. The difficulty with the Abernathy Creek experiment was that it required construction of a special incubation channel and a settling pond for siltation. The channel had to be designed so that the build up of silt was prevented because of silt's deletorious effect on the incubation and hatching of salmon eggs. Also, care had to be taken to prevent adult salmon from entering the channel. The major difficulty was the time consuming trench digging in the channel for the planting of the salmonid eggs and the construction of the settling pond. It is evident from the results of the Abernathy Creek experiment that there was a critical need for a means of planting salmon eggs that did not require the expense and labor of a specially built and designed channel. It is an object of this invention to provide a method and apparatus for the planting of salmon eggs which combines ease of operation, portability and high yields of fry. BRIEF SUMMARY OF THE INVENTION According to this invention, there is described a novel apparatus useful in planting salmonid and other fish eggs in stream and lake beds. Also included is a method of planting such eggs. FIG. 1 is a detailed view of the article of this invention. FIG. 2 is a plurality of salmon egg planters joined for a multiplicity of simultaneous plantings. FIG. 3 is a cutaway view of the central chamber of the present invention. DETAILED DESCRIPTION OF THE INVENTION The present invention describes an apparatus that permits the planting of salmonid and other fish eggs in stream and lake beds without the necessity of complex channels and permanent facilities such as hatchery buildings. Also included is a method of planting fish eggs including salmon eggs without the use of difficult to prepare trenches and settling ponds. Referring to FIG. 1, the article of this invention is comprised of a hopper or bin 1 used as a receptacle for fertilized fish eggs. This hopper has an opening at its base 2 which permits the fertilized eggs to flow from the hopper. To regulate the flow of fertilized salmon eggs from the hopper and to prevent upflowing of water during flushing control valve 3 is utilized. The hopper 1 is connected with central chamber 4. This chamber 4 has a pair of lateral openings 5 and 6. Lateral opening 6 is capped by cap 7 to prevent leakage of water. Water is pumped from a water source, usually from upstream by means of a pump (not shown), into lateral opening 5 of central chamber 4 though perforations 8. In order to regulate the flow of water, control valve 9 is employed. From the central chamber 4 the water, followed by the fertilized eggs, exits through opening 10 into a length of rigid hollow tubing 11 having a pointed base 12 for easy insertion into the stream bed substrates. During operation, valve 3 is in a closed position, while water is pumped into the hollow chamber 4 and is diverted down through the length of rigid hollow tubing 10. The pressure of the water flushes the stream bed intragravel fines to loosen the gravel and remove life-smothering sediments. Through the hydraulic pumping action, the device easily works its way into the gravel. When the apparatus is being used for desiltation and flushing alone, higher water flows are often desirable. In that case, the main valve is opened wide and the pump achieves maximum rpm. During egg deposition, however, water flows are restricted and probing is executed so as to prevent flushing out of previously deposited eggs in gravels adjacent to the planting plot. Thus, once an appropriate depth is reached and proper flushing of the silt and fines from the substrate has been achieved, the control valve 9 is placed in a reduced flow or closed position. Fertilized salmon eggs are introduced into the hopper 1 and permitted to flow down rigid hollow tube 11 by opening control valve 3. Once the eggs have settled to the base of tube 11, the apparatus is gently withdrawn from the substrate permitting the eggs to mix with the gravel. A detailed view of the preferred embodiment of the present invention wherein a plurality of planters are joined to permit a multiplicity of simultaneous plantings is shown in FIG. 2. In this embodiment there is exhibited a plurality of interconnected hoppers 20-23 preferably 2 to 6 with splash baffles 24-26 containing, preferably, periodically placed, 3/16" perforations at the point of connection. These hoppers have an opening at their respective bases 27-30. Attached to each hopper opening between the hopper and the hollow chamber 35-38 are control valves 31-34. Each chamber has a pair of lateral openings 39-40, 41-42, 43-44, 45-46. Lateral opening 39 is capped by cap 47. Opening 40 of chamber 35 is connected to opening 41 of chamber 36. Opening 42 of chamber 36 is connected to opening 43 of chamber 37 and opening 44 of chamber 37 is attached to opening 45. Joinder may be accomplished by any appropriate means provided it is sufficiently strong to withstand the pressure exerted by the water without leaking. Water is pumped into lateral opening 46 from the water source. Flow of the water is regulated by control valve 48. These valves can be, for example, either a ball or gate valve. Connected to the base of each central chamber 35-38 is a length of rigid hollow tubing 49-52. This tubing is preferably 1" diameter polyvinyl chloride (PVC) pipe, however, other suitable materials may be employed. In order to prevent distortion of the rigid tubing during insertion, 4-way "T" stiffness 53-56 may be employed. However, suitable reinforcement may be obtained from numerous other materials. During operation, after the water has been pumped through the device and has sufficiently loosened the gravel and removed sediment, the eggs in each hopper may be planted in unison or each bin may be planted in succession depending upon the operator's preference. The operation of the multiple planting device is the same as the single device. FIG. 3 is a cutaway view of a hollow chamber 36 shown in FIG. 2 giving a detailed view of the interior with the perforations 57. These perforations permit water to flow through the hollow tubing but prevent the fertilized eggs from becoming entrapped in the central chamber. The splash baffles 24 between hoppers 20 and 21 are also shown. The article of the present invention and the accompanying method may be used to plant in either stream beds or lake beds. In addition to salmon, this invention is useful in the planting of eggs of other salmonid species such as trout and steelhead. Besides the two primary functions of the salmonid egg planting device, hydraulic flushing of sediments and deposition of fertilized salmonid eggs, this invention is instrumental in the removal of redeposited siltation on the surface of the stream bed after egg deposition and the injection of nutrients into the water and/or incubation gravel. Also the invention may be used in the removal of adult predators and larvae predators either prior to deposition or during incubation. By repeating this sequence of flushing and deposition, the stream or lake bed can be seeded to any desired egg density. The density, however, is dependent upon substrate geomorphology which includes, for example, the following variables, rubble size, size/abundance ratios and the composition of the rubble, depth and degree of aqueous irrigation in the substrate, dissolved oxygen content of the irrigating waters, the species of fish involved, biological oxygen demand of the substrate, amount and form of water flow on the stream bed surface and the dynamics of upwelling intragravel water. At the present time established known figures for egg densities which result in successful fry survival exceed 7,000 eggs per square meter. The use of this apparatus allows for adding an additional dimensions to salmonid egg planting: multiple depth planting. Estimates of potential densities in excess of 25,000 eggs per cubic meter for ideal gravels have been suggested. Thus, greater production of salmon may be obtained from physically limited spawning areas. As water intake is generally from an upstream source the flushing and planting proceeds in a downstream progression. The insertion of a venturi valve at any point in the pump's exhaust stem to the main water control valve air may be introduced into the system. The resulting venturi effect gives additional lifting quality to the flushing process. In order to test for favorable water flow during sequential egg deposition, a 2" diameter PVC pipe is inserted into the substrate at the desired planting depth. When the probing activities are too close together, water is forced through the gravel raising the water level in the 2" tube. When no detectable change in the water level occurs, appropriate waterflow and separation of the egg deposition sites will have been achieved for the specific gravel composition and conditions of that portion of the stream bed. Once a satisfactory determination has been achieved, egg deposition may proceed. However, it is advantageous to repeat the test should changes in resistance to probe insertion or change in gravel size be noticed. Choice of the appropriate stream bed spawning environment is primarily dependent upon the natural history and physiological requirements of each species. Pink Salmon (Onchorynchus gorbuscha) are capable of spawning on tidal flats where the water's salinity varies with every tide. Pink Salmon are more resistant to the repeated salt water flushes that are associated with high tidal action wherein King Salmon (Onchorynchus tshawytscha) eggs are intolerant to salt water. The benefits attendant to the use of this invention are numerous. An important factor is that artificial fertilization avoids gamete retention, other social responses that inhibit spawning and wasting viable gametes, etc. Also, a favorable planting depth may be easily achieved so that problems caused by scouring, frost, and predators may be avoided. Furthermore, the invention allows for multi-level egg deposition while still permitting the upper gradient of the substrate to be utilized for natural spawning. While other methods of mechanical egg planting show successful egg to fry survival ratios none have the benefit of a highly effective flushing action to remove sediment, exactness of deposition, and the patterned deposition permitted by this invention. This invention, by permitting in-stream planting avoids the ecological and other problems incumbent in diverting stream flow, slowing or controlling water volume or the construction of expensive incubation channels. However, where an incubation channel has been constructed this invention may be used to increase yield of salmon fry. While this invention has been described by reference to the preferred embodiments thereof, it will be understood that the invention is not limited thereto, but only to the lawful scope of the appended claims.
Described herein is a novel method for the implantation of fish eggs usually salmonidae eggs in a stream or lake bed and the accompanying apparatus. In practicing this invention the stream or lake bed substrate is subjected to high pressure hydraulic flushing to loosen the gravel and remove undesirable sediment. Once the substrate has been properly prepared fertilized eggs are injected by means of hydraulic pressure into the substrate. The egg planting device of this invention comprises a receptacle for the fertilized eggs which has a valved orifice at its base through which the eggs pass into a central chamber and which central chamber has a lateral orifice to permit the entry of water; the flow of the water being governed by a control valve and the base of the central chamber being provided with a rigid hollow tubing adapted for insertion into the substrate.
BACKGROUND OF THE INVENTION The field of invention of this valve pertains to valves and more particularly to a valve assembly of the type known as a kelly foot valve or a mud saver valve used in the rotary system for drilling oil wells. Conventional drilling of oil wells uses a drill string or sections of drill pipe to pump drilling mud down to a drilling bit at the bottom of the hole being drilled. The drill string also typically is rotated to provide rotary power to the drilling bit at the bottom of the hole. As the hole is progressively drilled deeper and deeper, sections of drill pipe are added to the drill string to allow continued drilling. These sections are typically 30 feet long. The drill string is supported in the rotary table of the rig and the upper drive section or kelly is unscrewed. When it is unscrewed, the mud in the kelly and the hose connecting the top of the kelly to the other piping on the rig pours out onto the rig floor. The pouring of the drilling mud onto the rig floor is expensive because of the cost of the mud and is dangerous to the rig crew as it makes the floor slippery. Prior art valves have been inserted into the drill string at the foot or lower end of the kelly with different characteristics and with different degrees of success. One solution has been to place a slim outside diameter ball valve in the string. The ball valve is manually operated by the drilling crew. Other valves have been added which operate automatically based upon bore pressure or upon throttling of the fluid across the valve. These valves are typically installed in a sub called the kelly saver. The term kelly saver comes from the fact that the section of square pipe at the top of the drill pipe which is engaged by the rotary table to turn the drill pipe is called the kelly. Each time 30 feet more of the well is drilled, the connection at the bottom of the kelly is unscrewed and a joint of drill pipe is added to allow further drilling. This causes high wear and reduced life on the relatively expensive kelly. A short inexpensive section of pipe is normally added to the bottom of the kelly to take this wear and is typically called a kelly saver. A typical problem with most prior art valves is that the valves characteristically do not allow the bore thru the valve to be opened for service access down into the string of drill pipe and then to be put back into regular service without having to disassemble the mud saver valve from the drill string. Some of the alternatives, i.e. U.S. Pat. No. 3,698,411 and U.S. Pat. No. 3,965,980 require breaking a cap portion at the top of the valve to allow such service. U.S. Pat. No. 4,128,108 discloses a mud saver valve which requires that a pin be sheared to allow such service. U.S. Pat. No. 3,331,385 discloses a valve in which an extra part is added with special running tools to allow opening and then plugging the bore. This provides the limitations of making the hole available for servicing smaller, adding extra parts, and not allowing the critical wear surfaces to be retrieved easily for inspection and/or replacement. A second problem exists with prior art valves is that the flow passages thru the valve are reduced in area, causing accelerated flow velocities. The higher velocities promote wear and reduced service lives of the valves. SUMMARY OF THE INVENTION The object of this invention is to provide a mud saver valve assembly which prevents flow of drilling mud out of a kelly portion of a drill string when the pressure in the kelly is below a predetermined level, and which allows full and free flow of mud out of the kelly when the pressure in the kelly is above a predetermined level. A second object is to provide a method which allows the flow area thru the mud saver valve to exceed the flow area thru the drill string, allowing reduced velocity flow, and therefore extended wear and service life. A third object is to allow flow in the opposite direction to allow for pressure monitoring of pressure below the mud saver valve by a gage above the mud saver valve. Another object of the invention is to provide an independent means of flow thru the valve in case the primary means becomes obstructed or otherwise blocked preventing flow. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a half section of the valve in the closed position as it would be without flow and without flow thru the valve. FIG. 2 is a half section of the valve in the fully opened position as would occur when the bore is pressure above a predetermined level. DESCRIPTION OF THE PREFERRED EMBODIMENT Referring now to FIG. 1, the mud saver valve 10 has a main body 11 which includes an upper female tool joint thread 12, a lower male tool joint thread 13, and a central body portion 14. The upper female tool joint thread 12 will connect to the bottom of the Kelly portion of the drill string. Lower male tool joint thread will be attached to and support the drill string down to the drilling bit. In the bore of the main body 11 below the upper female tool joint thread 12 are an attachment thread 20, shoulder 21, seal bore 22, upper annular recess 23, passage 24, lower annular recess 25, seal bore 26, shoulder 27, and thru bore 28. Generally in the area of the passage 24 is a nitrogen filling port 30, including a check valve means 31 and a sealing plug 32. Sealing sleeve 40 is inserted into the bore of main body 11 until the lower shoulder 41 of metal ring 42 hits the shoulder 27. O-Ring 43 is provided to sealingly engage the seal bore 26. Shoulder 44 of metal ring 45 lands on shoulder 21 to position metal ring 45. O-Ring 46 is provided on metal ring 45 to sealingly engage seal bore 22. Between metal ring 45 and metal ring 42 is resilient element 47. Resilient element 47 is bonded on each end to the respective metal rings. Cage ring 50 is provided to fit within the sealing sleeve 40 with shoulder 51 landing on a mating shoulder on the metal ring 42. Cage ring 50 provides lower circulation slots 52, lower shoulder 53, upper shoulder 54, upper circulation slots 55, and upper shoulder 56. Threaded ring 60 engages attachment thread 20 to hold the sealing sleeve 40 and the cage ring 50 snuggly down in position. Threaded ring 60 has castellations 61 on the top side for engagement by a wrench or flat bar for screwing it into place and for unscrewing it. Dart 70 lands on upper shoulder 54 with its mating shoulder 71. This contact between shoulders acts as a sealing surface to prevent the flow of drilling mud downwardly past this interface. Snap ring 72 is provided in groove 73 which prevents dart 70 from moving up further than approximately 1/2". This slight upward movement allows for monitoring of pressure below the mud saver valve without the danger of the dart 70 being pumped up into the kelly. Pressure from below flows up thru passage 74, thru passage 75 and up past the dart 70. A fishing neck profile 76 is provided to allow the dart 70 to be completely removed and allow service operations to be conducted thru the bore of the mud saver valve and the drill string. Gas 80, usually nitrogen, is stored under pressure within the cavity 81 generally defined by lower annular recess 25, upper annular recess 23, and the outer surface 82 of resilient element 47. The pressure of the gas 80 presses the inner surface 83 of the resilient element 47 against the outer surface 84 of the central portion 85 of cage ring 50. The contact pressure between inner surface 83 and outer surface 84 prevents the flow of drilling mud from within the kelly above the mud valve 10 to the drill string below the mud valve. When the pumps are stopped in drilling operations and the mud saver valve is unscrewed from the drill string below, the pressure generated by the column of drilling mud above the mud saver valve is generally proportionate to the height of the column of drilling mud and the density of the drilling mud. The height of the column varies from approximately 45 to 60 feet. The density of the mud normally varies from 81/2 to 18 lbs./cubic feet. The design pressure for such systems is typically about 75 p.s.i. This means that a typical mud saver valve is designed to seal against a pressure of 75 p.s.i., and will allow pressures greater than that to pass. The construction of conventional mud saver valves is such that the 75 p.s.i. is a set pressure, and cannot be easily varied. The present invention controls the pressure to be sealed by the mud saver valve by the pressure of the gas 80 outside the resilient element 50. A gas pressure of approximately 75 p.s.i. will seal against a column of mud of approximately 75 p.s.i. A port 30 is provided with check valve 31 and sealing plug 32. Nitrogen gas can be pumped into the cavity 81 thru port 30 to increase the gas pressure and enable the mud saver valve to seal higher drilling mud pressures. Nitrogen gas can be vented from the cavity 81 thru port 30 to decrease the gas pressure and enable the mud saver valve to allow drilling mud flow at lower pressures. Referring not to FIG. 2, when the pressure within the bore exceeds the pressure of the gas 80, the resilient element 40 begins to expand. At a higher pressure, i.e. 300 p.s.i., the resilient element will be fully expanded against the wall 90 of the cavity 25. The gas 80 is compressed into the cavity 23. Further increases in drilling mud pressure will more tightly compress the resilient element 40 against the wall 90 of cavity 25, but will not increase the pressure of the gas 80 now within cavity 23. Thru bore 28 reflects the normal restriction thru the threaded tool joint connections of a drill string. The cross sectional area of upper bore 91, upper circulation slots 55, annular area 92, and lower circulation slots 52 can all be made to exceed the cross sectional area of thru bore 28. In the normal construction, each of the areas would be designed to exceed the cross sectional area of the thru bore by at least 50 percent. This increase in area slows the velocity of the drilling fluids, yielding an inherently longer life of the mud save valve. Conventional mud saver valves have the flow area thru the valve parts restricted, yielding high velocities and high erosion rates. The relatively unrestricted flow path thru the valve of the present invention is illustrated by the arrows 100-104. Plug 110 is sealed by O-Ring 111 in bore 112 and is retained in place by shear pin 113. When the pressure differential from above the dart 70 to below the dart exceeds a maximum desired, i.e. 500 p.s.i., shear pin 113 will fail. This allows plug 110 to move down the bore 112, thereby allowing mud flow to pass thru the central portion of the dart 70. This will provide for required temporary circulation in case of catastrophic failure of the resilient element 47 that blocks the lower circulation slots 52. The foregoing disclosure and description of this invention are illustrative and explanatory thereof, and various changes in the size, shape, and materials as well as the details of the illustrated construction may be made without departing from the spirit of the invention.
A mud saver valve in which a cylindrical resilient sleeve is pressed against an inner tubular member by a pressurized gas to prevent flow from above the valve to below the valve at low pressures, and which is fully opened for free flow at higher pressures.
BACKGROUND OF THE INVENTION This invention relates to apparatus for producing a propulsion force. Various prior proposals have been made for apparatus which produces a propulsion force from masses which are rotated eccentrically. Examples are shown in U.S. Pat. Nos. 3,998,107 and 4,095,460 of Cuff. Further examples are shown in U.S. Pat. Nos. 3,584,515 Matyas and 3,807,244 Estrade. However these devices have severe limitations on the rotation speed of the masses which can be obtained either in view of high centrifugal forces which are applied to a central shaft or various other limitations for example fluid flow limitations or other force limitations due to high centrifugal forces which are generated by rotating bodies. A further example is raised by Cuff in his U.S. Pat. No. 3,968,700 which shows a rotatable body carrying masses which can move radially but are confined by a track. This device however lacks vibration isolation, uses multiple cylindrical arms which is complex and therefore expensive. It also generates a high unbalanced load on the main mounting shaft which cannot be balanced out dynamically. This limits the rotational speed and prevents the production of a useable net force. A further example is shown in European Patent Application No. 128,008 (Thornson) which rotates two bodies each carrying an eccentric mass. In the latter case, however, the eccentric forces are communicated to bearings and thus severely limit the speed of rotation which can be achieved. For these reasons very limited success has been obtained by devices of this type although the principal can be demonstrated to be effective. SUMMARY OF THE INVENTION It is one object of the present invention, therefore, to provide an improved design of a device of this type which enables high speed rotation and accordingly significantly increased forces which can achieve practically useable level. According to the invention, therefore, there is provided apparatus for producing a motor force comprising a housing, a first and a second balanced flywheel, bearing means mounting said flywheels for rotation in the housing about respective parallel axis, drive means for drivingly rotating said flywheels in opposed directions about said axis, a first and second mass, each flywheel including means for applying force to a respective one of the masses in a direction angularly of the respective axis such that the mass rotates therewith, each mass being free to move relative to the respective flywheel in a direction radially of the flywheel to define a rotating path of the mass around the axis of the respective flywheel, a first and second guide track means fixed relative to the housing and each providing a smooth surface fully surrounding the rotating path of a respective one of the masses so as to confine the motion of the mass at all points around its periphery, one of the cam tracks being a mirror image of the other and each having a portion on one side of the axis which is spaced upon the axis by a distance greater than a portion diametrically opposite thereto, whereby the masses generate on said housing through said track means a net proportion force towards said one side while applying to said flywheels in directions radial thereto substantially zero net force. The flywheels thus are balanced without any radial forces being applied to a mounting shaft of the flywheels so they can rotate at high velocity for sub-centrifugal forces generated by the eccentric masses are communicated to a rigid and structurally confined cam track on the housing. Thus preferably the flywheels can be driven at constant rate and a variation in the unidirectional force obtained by adjusting the position of the cam track relative to the axis of the flywheel. In accordance with a further important preferred feature, the housing is carried relative to a frame by a vibration isolation system which allows the communication of the unidirectional force while preventing transmission of vibration of the housing to the frame. Such a vibration isolation device can effectively be provided by an airbag assembly in which the housing is mounted upon a central plate separated from two outer plates by airbags with the vibration of the housing being confined to a direction transverse to the plates. With the foregoing in view, and other advantages as will become apparent to those skilled in the art to which this invention relates as this specification proceeds, the invention is herein described by reference to the accompanying drawings forming a part hereof, which includes a description of the best mode known to the applicant and of the preferred typical embodiment of the principles of the present invention, in which: DESCRIPTION OF THE DRAWINGS FIG. 1 is a cross-sectional view of an apparatus for producing a propulsion force according to the invention. FIG. 2 is a cross-sectional view along the lines 2--2 of FIG. 1. FIG. 3 is a further cross-sectional view similar to that of FIG. 2 showing the mass of each flywheel in a number of different positions. FIG. 4 is a cross-sectional view similar to FIG. 2 showing a modified mounting of the masses on the flywheels. FIG. 5 is a cross-sectional view similar to FIGS. 2 and 4 showing a yet further modified arrangement. FIG. 6 is a side-elevational view showing schematically a mounting arrangement for the housing of the apparatus of FIGS. 1 and 2. In the drawings like characters of reference indicate corresponding parts in the different figures. DETAILED DESCRIPTION Turning firstly to FIGS. 1 and 2, the apparatus for producing a propulsion force comprises a housing generally indicated at 10 which carries a pair of flywheels 11 mounted for rotation within the housing on bearings 12. Thus the flywheels 11 are arranged to have a significant weight relative to a pair of masses 13 each of which is carried by a respective one of the flywheels. Each flywheel 11 is carried upon a shaft 14 which is arranged axially of the flywheel and centrally thereof such that the flywheel can rotate in a balanced manner around the shaft 14. The shaft 14 is in turn mounted in a pair of bearings 12 which are spaced axially of the shaft and are carried respectively in a front face 15 and rear face 16 of the housing 10. Each flywheel 11 has a pair of slots 17, 18 at diametrically opposed positions. One of these slots 18 is arranged to receive a pin or shaft 19 of the mass 13 which extends transverse to the slot so as to have respective ends on opposed sides of the flywheel. The pin has a width substantially equal to that of the slot so that it can slide longitudinally in the slot but is prevented from side to side movement. The slot 18 as shown best in FIG. 2 extends radially of the flywheel from a position adjacent the periphery to a position closer to the shaft 14 to allow the pin 19 to move in that radial direction. Opposed ends of the pin 19 carry annular mass members or bodies 20, 21 which are mounted relative to the pin 19 on bearings 22, 23 so that the mass members rotate around the pin while the pin remains effectively stationary relative to the slot 18. The mass members or bodies 20 and 21 are rotated and confined to move around the shaft 14 by rolling on a pair of spaced cam surfaces or guide tracks 24, 25. Thus the guide tracks 24, 25 are spaced axially of the shaft 14 so that one cooperates with the upper mass member 20 while the other cooperates with the lower mass member 21 in the orientation shown in FIG. 1. The mass members 20 and 21 are rotated around the pins 19 and hence are symmetrically balanced in their rotation and act as gyroscopes in view of their rotation about the axis of the pin. Each of the guide tracks 24, 25 is circular in shape and is defined in a plate 26, 27 which is shown in cross-section in FIG. 1 and part of one of which is visible in FIG. 2. The plates 26, 27 are linked so as effectively to form a single body by an edge flange 28 which is shown schematically for convenience. It would be appreciated, therefore, that the housing 10 comprises the upper and lower walls 15 and 16 together with a surrounding upstanding wall portion indicated at 29. Furthermore the housing includes the plates 26, 27 which are separated from the remainder of the housing and thus are moveable relative thereto. For this purpose an adjustment device schematically indicated at 30 comprises a bolt 31 having a head attached to the central portion 29 of the housing and a rotatable nut 32 moveable along the bolt 31 and attached to the plate 27. The nut 32 includes a suitable drive mechanism so that power can be applied to the nut 32 to provide powered adjustment of the position of the plates 26, 27 relative to the remainder of the housing. It will be appreciated that the adjustment direction lies along a line joining the axis of the shaft 14 and the direction of eccentricity of the cam tracks 24, 25. In this way the degree of eccentricity of the guide tracks can be adjusted from zero to a maximum position on the one side as shown in FIG. 2 to a maximum position on the opposed side which is not shown. Variation in the eccentricity can also be achieved by moving the intermediate track plate relative to the housing in which case the screw crank is fixed to the housing. The additional slot 17 is a dummy slot but is provided to enable balancing of the flywheel 11 so that it can rotate around the shaft 14 without any centrifugal forces on the shaft 14. This enables high speed rotation of the flywheel and also the generation of significant inertia in the flywheel to maintain a constant velocity of the flywheel. In the position of the guide track plates 26, 27 shown in FIG. 3, it will be appreciated from the various positions of the mass 13 illustrated at 13a, 13b, 13c and 13d that the mass is confined to move by the guide track 24 such that it is at a maximum radial distance from the shaft 14 at the position 13a, a minimum distance at the position 13c and of course intermediate distances at the positions 13b and 13d. It will also be appreciated that the flywheels constitute an exact mirror image of one another and are rotated in opposed directions and for this purpose they include a peripheral set of gear teeth indicated at 33 by which they can co-rotate at an exactly common speed. The flywheels can be driven by a single motor coupled to one of the shafts 14 or as shown in FIG. 1 by a pair of motors 34, 35 which are coupled to respective ones of the shafts 14 through flexible couplings 36. This arrangement provides an exactly similar system so that the gyroscopic effects generated by rotation of a body are counterbalanced by similar gyroscopic effect in the opposite direction. While the exact mechanism for the generation of forces cannot at this time be fully calculated or measured, it can be shown experimentally that the unbalanced centrifugal forces, provided by the eccentric rotation of the masses as confined by the cam surfaces, provide a net unidirectional force along a line joining the center of the circular cam track and the shaft 14. Thus in FIG. 2 a net force is generated in an upward direction and that force can be controlled by, as explained previously, varying the eccentricity from the maximum upward force as shown through a zero force to a maximum downward force. Turning now to FIG. 4, the flywheels are indicated at 111 and are basically of the construction shown in FIG. 1 except that the slots are omitted. In this embodiment, the masses 131 are carried by the flywheels on trailing links 132 which are rotationally coupled to the respective mass 131 at one end and are pivotally coupled to the respective flywheel at a forward end indicated at 133. The pivotal coupling 133 (shown only schematically) enables the mass to move radially relative to the respective flywheel substantially without the application of radial force to the flywheel. Thus the flywheel applies an angular force to the mass with the unbalanced centrifugal forces, as explained previously, applied by the mass to the cam track 24. A second position of the mass and trailing link is shown in dotted line at 134, 135. Turning now to FIG. 5, a further modified arrangement is shown in which the flywheel is omitted for simplicity of illustration showing only the pin upon which the mass is mounted and indicated at 191. In this case the mass is indicated at 136 and carried an outer roller 137 together with a pair of inner rollers 138. The outer roller 137 cooperates with the cam track 24 while the inner rollers 138 cooperate with an inner cam track 241 which confines the inward movement of the mass to prevent it leaving the track 24. In order to communicate force from the housing 10 to a chassis or frame 37 smoothly without communicating the vibration of the housing, there is provided a vibration isolation device generally indicated at 38. In FIG. 6, therefore, the chassis 37 comprises an upright buttress 39 which carries an end plate 40 together with an elongate base member 41 which extends outwardly from the buttress toward and beneath the housing 10. The elongate base member carries a slide guide schematically indicated at 42 which supports the housing 10 in a vertical direction but allows it to freely slide relative to the base member 41 in a horizontal direction. The housing 10 is attached to a strut or shaft 43 which extends outwardly away from the housing in the direction of vibration and unidirectional force. A remote end of the strut end 43 is attached rigidly to an intermediate plate member 44 parallel to the end plate 40. A further plate member 45 between the plate 44 and the housing 10 is rigidly attached to the base member 41. Between the plates 40, 44, 45 are positioned two airbags 46, 47 at least the second of which is annular in shape to allow the strut 43 to pass therethrough. Similarly the plate 45 includes a central opening. The airbags are chosen to be of a size and flexibility such that they dampen or isolate vibration from the housing and avoid communicating that vibration to the frame 37. However any unidirectional force either in the leftward direction or in the rightward direction as viewed in FIG. 6 is communicated through the airbags from the plate 44 to the chassis to provide unidirectional force on the chassis. In practice the flywheels can rotate at a speed of at least 3000 rpm. and possibly up to 20,000 rpm. In such a case the airbags are tuned to isolate vibrations of that frequency since the flywheels are generally intended to rotate at constant velocity. The maximum eccentricity is of the order of 20% to 30%, the latter value being at which the mass begins to leave the track. In one example an eccentricity of 0.3 inches developed an oscillation of 0.04 inches amplitude. Current calculations based upon a rotational speed of 4,200 rpm. or 70 hz. provide a theoretical net force of 120 newtons or 27 pounds force with a wave having a frequency of 70 hz. at a force amplitude of 15 newtons. Doubt is expressed scientifically that a force can be generated in this manner without a corresponding reaction force but it is now believed that a reaction upon a rotating mass is possible within a counterrotating gyroscopic system in space. Since various modifications can be made in my invention as hereinabove described, and many apparently widely different embodiments of same made within the spirit and scope of the claims without departing from such spirit and scope, it is intended that all matter contained in the accompanying specification shall be interpreted as illustrative only and not in a limiting sense.
Apparatus for producing a propulsion force comprises a pair of counter-rotating externally geared flywheels which are mounted on shafts for rotation in a housing and are balanced for high speed rotation. Each flywheel carries a mass slideable thereon in a radial slot but constrained to rotate angularly with the flywheel. The mass is constrained to rotate eccentrically of the flywheel by a stationary cam surface surrounding the path of rotation of the mass. The cam surface is provided on a plate slideable relative to the flywheels to adjust the degree of eccentricity of the cam track relative to the flywheel. A vibration isolation unit is connected between the housing of the flywheels and the frame of the device including a pair of airbags positioned between plates so as to communicate only the net unidirectional force from the housing to the chassis.
FIELD OF INVENTION This invention concerns a method of manufacture whereby a ZSM-5 zeolite is prepared utilizing a hydrolysis method wherein sodium hydroxide is essentially eliminated from the gel precursor as an initial additive and an amine material is not required to drive or direct the crystallization to a ZSM-5 composition of matter. The essential ingredients in zeolite crystallization were originally thought to include an alkali hydroxide, a source of silicon oxide, a source of aluminum oxide and water. It was determined that if a specific chosen amine compound is utilized with these particular reagents, a ZSM-5 aluminosilicate zeolite could be prepared. It is troublesome dealing with an amine in these crystallization gel precursors on account of danger associated with its handling, (toxicity and flammability); and during calcination to remove the amine steam may be formed, which could result in damage to the resultant aluminosilicate. The use of the amine is also expensive. The field of this invention further concerns a new route to achieve a ZSM-5 aluminosilicate zeolite without resort to the amine method of preparation. Thus, a method of ZSM-5 preparation has been surprisingly achieved which advantageously modifies traditional ZSM-5 gel precursors by avoiding the addition of an amine to the crystallization gel precursor. BACKGROUND OF THE INVENTION A hydrolysis method to arrive at a different aluminosilicate zeolite has previously been disclosed in the inventor's U.S. Pat. No. 3,933,974. This hydrolysis method takes advantage of the hydrolysis of a hydrolyzable salt, such as Na 3 PO 4 , to achieve the presence of the hydroxyl ion, which in turn allows for requisite pH of between 10 and 12 necessary for tetrahedral coordination of aluminum or silicon atoms with oxygen. This hydrolysis technique was developed at least in part to obviate the need for a template directive to acquire an aluminosilicate. The basic crystallizing reactants employed in the preparation of the aluminosilicate in the inventor's former mentioned patent are essentially xerogels. These are prepared by heating such materials as Ludox® or sodium aluminate and silica for 1 to 2 hours, filtering, washing with deionized water and heating for an additional hour in one molar NH 4 Cl to obtain the xerogel (See Column 6, Example VI). As many as 41 experiments were previously conducted, some of which had a molar ratio of silica to alumina of greater than 20:1 and a molar content of Na 3 PO 4 to alumina of greater than 2.0. Experiments 16, 28, 29, 33, 36, 37 and 40 indicate that when these stoichiometric relationships are maintained, the resultant aluminosilicate (if not amorphous) is either a mixture of ferrierite, ferrierite and mordenite, or ferrierite, mordenite and adularia. In an attempt to fine tune this method of preparation, i.e. to eliminate the cumbersome xerogel step of preparation, substitution was made in the crystallization of components comprising a colloidal silica (sometimes referred to as Ludox®) and an alumina hydrogel (sometimes referred to as Nyacol®). It was totally surprising to discover that this change of the silica and alumina reagent sources, i.e. from the former silica-alumina xerogel to colloidal forms of silica and alumina, resulted in the preparation of a ZSM-5 aluminosilicate, not a ferrierite. A second hydrolysis method is disclosed in Kouwenhoven et al, U.S. Pat. No. 3,758,667 for the synthesis of mordenite. European patent application No. 98,641 indicates that a ZSM-5 composition of matter is prepared utilizing a fairly narrow stoichiometric range of silica to alumina of 20:1 to 50:1. However, even the formulation for this type of aluminosilicate must have a certain quantity of OH - ions provided by the presence of sodium hydroxide. While the European patentees recognized that a ZSM-5 aluminosilicate is prepared, without resort to an amine template director, it is still absolutely critical to their method of preparation that a substantially large quantity of sodium hydroxide be added, i.e. to provide the OH - moiety. Table 2 at page 5 establishes the molar ratios of the reagents wherein an exceedingly large quantity of sodium is present in the gel material. Also, Examples 1-14, when modified into Examples 15-18, arrive at a ZSM-5 material but without the use of a colloidal reactant and again with the presence of a relatively large quantity of sodium hydroxide as an additive to the gel. It has now been surprisingly discovered that techniques of aluminosilicate manufacture can be greatly simplified and yet a manufacturer can still arrive at a ZSM-5 aluminosilicate as long as the proper stoichiometric relationship of the silica and alumina is maintained where the source of these materials is derived from a colloidal source. OBJECTS AND EMBODIMENTS An object of this invention is to provide a method of preparation of a ZSM-5 aluminosilicate zeolite in the absence of an amine template director and wherein the method of preparation is essentially free of sodium hydroxide as an initial reactant and is free of an amine additive. Another object of this invention is to provide a method of preparing a ZSM-5 aluminosilicate zeolite via a hydrolysis reaction using trisodium phosphate, such that a hydroxyl moiety of the gel is generated via the hydrolysis of Na 3 PO 4 . Another object of this invention is to provide a novel method for the preparation of a ZSM-5 aluminosilicate zeolite catalyst which is suitable for hydrocarbon processing technology including catalytic cracking, lube oil dewaxing, hydrodesulfurization, hydrotreating, ethylbenzene preparation, etc. Another object of this invention is to provide a simplified method of manufacture of a ZSM-5 catalyst using specific stoichiometric ratios of colloidal silica and colloidal alumina. Yet another object of this invention is to modify the crystallization ingredients previously established as required to prepare ferrierite and mordenite aluminosilicate zeolites to arrive at a ZSM-5 composition of matter. Yet another object of this invention is to provide a method of manufacture of a ZSM-5 separatory sieve useful for the separation of organic isomeric entities, i.e. the separation of para-xylene from ortho- and meta-xylene. One embodiment of this invention resides in a method for the manufacture of a ZSM-5 aluminosilicate zeolite which comprises crystallizing, at crystallization conditions, an aqueous crystallizing gel comprising a colloidal silica, a colloidal alumina, water and trisodium phosphate, wherein said colloidal silica and colloidal alumina are present in a ratio of greater than 20 moles of colloidal silica per mole of colloidal alumina, where said trisodium phosphate is present in a range from about 1.8 to about 2.7 moles of the trisodium phosphate per mole of colloidal alumina and where said gel contains potassium fluoride in a molar ratio of greater than 0.5 to less than 2.1 moles of potassium fluoride per mole of colloidal alumina. Yet another embodiment of this invention resides in a method of manufacture of a ZSM-5 aluminosilicate zeolite which comprises crystallizing, at crystallization conditions, an aqueous crystallizing gel comprising a colloidal silica, a colloidal alumina, water, trisodium phosphate and potassium fluoride, wherein said colloidal silica and colloidal alumina are present in a ratio of greater than 20 moles of colloidal silica per mole of colloidal alumina, and where said trisodium phosphate is present in a range of from 1.8 to 2.7 moles of said trisodium phosphate per mole of said colloidal alumina and where said potassium fluoride is present in a quantity of from 1.8 to 2.0 moles of said potassium fluoride per mole of said colloidal alumina. Another embodiment of this invention resides in a process for the manufacture of a ZSM-5 catalyst which is essentially free of sodium hydroxide addition as a crystallizing agent which comprises crystallizing, at crystallization conditions, an aqueous crystallizing gel comprising a colloidal alumina, a colloidal silica, water, trisodium phosphate and potassium fluoride, wherein the mole ratio of said colloidal silica to said colloidal alumina is at least 20 to 1, wherein said trisodium phosphate is present in a stoichiometric range sufficient to provide adequate hydroxyl ions to inure a pH to said gel of from about 10 to about 12 to provide crystallinity to the resultant ZSM-5 aluminosilicate and wherein said potassium fluoride is present in a molar ratio of greater than 0.5 to less than 2.1 moles of potassium fluoride per mole of colloidal alumina. BRIEF DESCRIPTION OF THE INVENTION This invention deals with a novel method of preparing a ZSM-5 aluminosilicate zeolite using colloidal silica and colloidal alumina in association with trisodium phosphate and potassium fluoride in the crystallizing gel to provide, via a hydrolysis mechanism, a ZSM-5 aluminosilicate. The stoichiometric ratios of colloidal silica to colloidal alumina is greater than 20 moles of colloidal silica per mole of colloidal alumina. The trisodium phosphate is present in a sufficient quantity to provide a viable hydroxyl ion in order to form the ZSM-5 aluminosilicate, i.e. in a molecular range of 1.8 to 2.7 moles of trisodium phosphate per mole of colloidal alumina. The potassium fluoride is necessary in the crystallizing gel and should be present in a molar ratio based on the quantity of the colloidal alumina of greater than 0.5 to less than 2.1. The instant stoichiometric relationships in this application are recited in regard to the colloidal alumina and silica. This is to be understood that the stoichiometry is based on the active ingredients of the colloids and not on the basis of the non-active ingredients such as the water and stabilizing alkali cations. Thus, one mole of colloidal silica is the weight of colloidal silica that contains 60.08 grams, one formula weight, of SiO 2 . Similarly, one mole of colloidal alumina is the weight of colloidal alumina that contains 101.96 grams, one formula weight, of Al 2 O 3 . DETAILED DESCRIPTION OF THE INVENTION This invention concerns a novel method of preparing a ZSM-5 aluminosilicate in the absence of an amine and in the absence of any substantial quantity of sodium (alkali) ions present as derivative of the addition of sodium hydroxide to the gel. It has surprisingly been found that as a result of the hydrolysis function of trisodium phosphate, crystallization is effected with the required CH - /SiO 2 relaitonship without resort to sodium hydroxide as an additive component to the gel. The essential elements of the crystallization gel are colloidal silica, colloidal alumina, trisodium phosphate, potassium fluoride and water. The amount of water present in the starting gel mixture has been found to be critical only within the limits of from about 200 to 500 moles of water per mole of colloidal alumina. The gel admixture must have colloidal silica present which is defined as a suspension of silica in water with trace amounts of stabilizing cations such as sodium. The stabilizing sodium cations are present in order to maintain the suspension of SiO 2 in water and are not present as an active reagent ingredient in the crystallizing gel. These cations may be present in a content of 0.05 to 1.0 wt% of said colloid. The colloidal silica is preferably a Ludox® SM-30 produced by the DuPont Corporation. One such other colloidal silica is marketed under the same NYACOL®, by Nyacol Products, Inc., which is described as follows: ______________________________________Silica wt % 50Particle size 20 micronspH @ 25° C. 9.0Viscosity @ 25° C., Cps 50Density, lbs/gal 11.6Specific gravity 1.40Na.sub.2 O, wt % 0.20______________________________________ The particular source of the silica colloids is not critical to this invention as other sources as exemplified by Nalco 2326 and Nalco 2327, set forth below, are viable to form the intended ZSM-5. ______________________________________Nalco 2326______________________________________Colloidal Silica as SiO.sub.2 14.5%pH 9.0Average Particle Size 5 micronsSpecific Gravity @ 68 F 1.092Viscosity @ 77 F 5 cpNa.sub.2 O 0.01%NH.sub.3 0.3%______________________________________ ______________________________________Nalco 2327Nalco 2327 has the following described properties:______________________________________Colloidal Silica as SiO.sub.2 39.8-40.65%pH 9.3Average Particle Size 21-25 micronsSpecific Gravity @ 68 F 1.292Viscosity @ 77 F 20 cpNa.sub.2 O less than 0.1%NH.sub.3 0.2%______________________________________ A preferred colloidal alumina is Nycol® colloidal alumina which is defined as an aqueous dispersion of aluminum oxide particles in water with the particles carrying a slightly positive surface charge and a high surface area to weight ratio. This colloidal alumina has been defined with a product description of: ______________________________________Particle size, microns 5-30Alumina, Al.sub.2 O.sub.3, wt % 20Counter ion, Mol NO.sub.3 -/mol Al.sub.2 O.sub.3 0.49Specific gravity 1.19pH @ 25° C. 4.0Viscosity @ 25° C., Centipoise 7______________________________________ The colloidal alumina is also exemplified by Nalco 155-614 as follows: ______________________________________Nalco 155-614______________________________________Alumina 10% wAverage Particle Size 2 micronsSpecific Gravity @ 68 F 1.12-1.13pH 5.1Conductivity less than 18,000 micromhosSurface Charge Positive______________________________________ The stiochiometric mole ratio of the colloidal alumina to colloidal silica in the gel recipe must be greater than 20 and most preferably is between 20:1 to 50:1. If the stiochiometric mole ratio of colloidal silica to colloidal alumina falls below 20:1 it is most probable that ferrierite, mordenite or an amorphous structure will result from crystallization of the gel. These stoichiometric relationships of colloidal silica to colloidal alumina are based on the relationship of the active silica and alumina ingredients of the colloids added to the gels and not on the per se relationship of the total colloids one versus another. Trisodium phosphate is present in a range of from 1.8 to 2.7 moles of trisodium phosphate per mole of colloidal alumina. At the silica-to-alumina mole ratio of 20:1, the trisodium phosphate-to-alumina relationship is preferably present at a mole ratio in the range of 2.1:1 to 2.7:1 while at a mole ratio of silica-to-alumina at 30:1, trisodium phosphate-to-alumina mole ratio can be as low as 1.8:1. Again, the trisodium phosphate is present such that during hydrolysis a source of OH - ions is provided. For example, Na.sub.3 PO.sub.4 +H.sub.2 O→HPO.sub.4.sup.= +OH.sup.- +3Na.sup.+ H.sub.2 O+HPO.sub.4.sup.= →H.sub.2 PO.sub.4 -+OH.sup.- The relationship between the potassium fluoride and the ZSM-5 crystallization is currently unclear but the presence of potassium fluoride in the crystallizing gel is needed. Potassium fluoride should be maintained at a mole ratio of greater than 0.5 to less than 2.1 moles of potassium fluoride per mole of colloid alumina. This relative quantity of potassium fluoride, like that of trisodium phosphate, may also vary with the change in the mole ratio of colloidal silica to colloidal alumina. The ZSM-5 composition of matter will have an X-ray diffraction the same as, or substantially the same as, the pattern shown below in Table I. TABLE I______________________________________X-ray Diffraction Patternd-spacing, Intensity,Angstroms Normalized______________________________________11.22 1910.03 189.74 97.49 26.74 36.39 66.03 85.73 55.58 95.00 54.63 54.38 84.28 124.11 104.02 93.87 1003.82 813.76 463.73 613.66 323.61 53.49 103.45 153.38 103.32 153.26 73.15 53.06 152.99 212.95 82.88 42.74 62.69 32.62 62.52 72.495 122.425 62.397 62.330 22.183 22.085 22.051 32.018 171.998 201.959 61.924 71.879 81.771 51.678 71.664 81.612 21.568 21.524 31.492 71.468 91.449 101.429 81.415 71.401 91.388 51.366 41.346 21.331 3______________________________________ The crystallization of the crystallizing gel is effected at hydrothermal treatment conditions including a temperature between about 185° C. and to about 250° C. at any desirable pressure. Time of crystallization must be sufficient to inure crystallization of a product with the X-ray diffraction pattern of the ZSM-5 the same as or substantially the same as shown in Table I. A time of 20 to 150 hours is preferred, although once crystallization is initiated, total or near complete crystallization is established very quickly. Further time of crystallization via hydrothermal treatment does little to enhance the catalytic or separatory prowess of the resultant ZSM-5 aluminosilicate although it should be considered to be within the scope of this invention. BRIEF DESCRIPTION OF THE DRAWING FIG. 1 is a graphic depiction of the crystallization reactant target area necessary for derivation of a ZSM-5 aluminosilicate using the claimed ingredients of the crystallization gel. DETAILED DESCRIPTION OF THE DRAWING FIG. 1 demonstrates the particular quantity of colloidal silica to colloidal alumina necessary to form a ZSM-5 aluminosilicate. The stiochiometric quantity of trisodium phosphate and potassium fluoride must also be present in order to adequately depict the ZSM-5 target area. If any of the stoichiometric relationships are violated, extrinsic from the instant depicted target area, different aluminosilicate will probably be formed and not the desired ZSM-5 aluminosilicate. EXAMPLES The following examples are intended to exemplify and describe the stoichiometric relationship of components required to derive a ZSM-5 aluminosilicate. These results are not to be construed as a limitation upon the claims hereinafter set forth. Table II shows the amount of reactants added to a crystallizing admixture as follows: A prescribed amount of reagent grade trisodium phosphate (Na 3 PO 4 :12 H 2 O) and anhydrous potassium fluoride were dissolved in a prescribed quantity of deionized water. A prescribed amount of phosphoric acid H 3 PO 4 , Nycol® colloidal alumina (20% Al 2 O 3 , 0.49 mole NO 3 /mole Al 2 O 3 ) and Ludox® colloidal silica (0.20%w Na 2 O, 50%w SiO 2 ) were added to the solution of trisodium phosphate and potassium fluoride. The mixture was transferred to a one gallon stirred autoclave and heated with stirring for 64 hours at 210° C. The product slurry was filtered, washed with deionized water and dried at 125° C. for 16 hours. The product was characterized by X-ray powder diffraction techniques using a Philips APD 3600 powder diffractometer, employing monochromatic Cu Kα radiation, with a scan step size of 0.020 degrees (20), counting for 0.5 seconds at each step. The prescribed amounts of reagent (in grams) and results of the X-ray powder diffraction are set forth in Table II. TABLE II__________________________________________________________________________Experi- Col- Col- Molar Ratio Weightment loidal loidal Ratio Na.sub.3 PO.sub.4 / Ratio Temp Time Product ofNo. Na.sub.3 PO.sub.4 H.sub.3 PO.sub.4 KF Al.sub.2 O.sub.3 SiO.sub.2 Al.sub.2 O.sub.3 /SiO.sub.2 H.sub.2 O Al.sub.2 O.sub.3 KF/Al.sub.2 O.sub.3 °C. (hrs) Structure Product__________________________________________________________________________1 147.6 1.1 20.9 94.4 444.7 20:1 791 2.15:1 1.94:1 210 64 ZSM-5, 256 TR-ferrierite2 154.3 1.1 20.8 94.2 443.8 20:1 786 2.25:1 1.94:1 210 64 ZSM-5, 247 TR-ferrierite3 130.7 1.5 19.4 88.0 622.0 30:1 638 2.07:1 1.94:1 190 64 ZSM-5,TR 367 unknown4 130.6 1.5 20.4 87.9 621.6 30:1 638 2.07:1 2.04:1 190 64 ZSM-5, 333 Mordenite, TR-unknown5 130.7 1.5 18.5 88.1 622.4 30:1 639 2.07:1 1.84:1 190 64 ZSM-5 3426 124.3 1.5 19.5 88.2 623.2 30:1 643 1.97:1 1.94:1 190 64 ZSM-5,TR 388 unknown7 137.0 1.5 19.4 87.8 620.8 30:1 633 2.17:1 1.94:1 190 64 ZSM-5, 358 unknown8 130.7 1.5 19.4 88.0 622.0 30:1 638 2.07:1 1.94:1 180 64 ZSM-5, 370 Amorphous9 142.1 1.1 22.0 94.5 445.1 20:1 795 2.07:1 2.04:1 190 64 ZSM-5, 245 Mordenite10 191.3 1.4 28.0 121.2 571.1 20:1 587 2.17:1 2.03:1 190 64 ZSM-5, 329 Quartz11 142.3 1.1 19.8 94.6 445.8 20:1 796 2.07:1 1.84:1 190 64 ZSM-5, 218 Ferrierite12 135.4 1.1 20.9 94.7 446.4 20:1 801 1.97:1 1.94:1 190 64 ZSM-5, 210 Mordenite__________________________________________________________________________ 1-TR = TRACE; 2-In order of decreasing abundance This data must be reviewed in light of the results achieved in my U.S. Pat. No. 3,933,974. The following experiments shown in summary via Table III (See Columns 5 and 6) were performed within the stoichiometric relationships here claimed but different aluminosilicate products were attained vis-a-vis Table II as a result of the addition of different types of reagents to the gel. TABLE III______________________________________ExperimentNo. Na.sub.3 PO.sub.4 KF SiO.sub.2 Al.sub.2 O.sub.3 H.sub.2 O Products______________________________________16 2.07 4.0 20.4 1.0 246 Ferrierite28 2.07 1.0 21.0 1.0 246 Ferrierite29 2.07 1.0 24.1 1.0 246 Ferrierite, Mordenite33 2.07 2.3 24.1 1.0 246 Amorphous36 2.07 3.0 21.0 1.0 246 Ferrierite37 2.07 3.0 24.1 1.0 246 Ferrierite40 2.07 4.0 21.0 1.0 246 Ferrierite, Adularia, Mordenite41 2.07 4.0 24.1 1.0 246 Ferrierite, Adularia, Mordenite______________________________________ The products of Table II are predominantly ZSM-5 aluminosilicates while Table III is predominantly ferrierite. The differences in the experiments of Table II versus Table III is use of colloidal alumina and colloidal silica in substitution of the xerogel. The former surprisingly results in a ZSM-5 aluminosilicate while the latter produced ferrierite. The reasons behind this difference are not totally understood at this time.
A method of manufacture of a ZSM-5 aluminosilicate zeolite is disclosed with specific ingredients in the crystallizing gel and which must be present in certain specified molar proportions. The aqueous gel comprises colloidal silica:colloidal alumina:Na 3 PO 4 :KF:water in a ratio of between 20 to 1 to 50 to 1 moles of colloidal silica to colloidal alumina and between 1.8 to 2.7 moles of Na 3 PO 4 per mole of colloidal alumina. It is preferred that greater than 0.5 to less than 2.04 moles of KF per mole of colloidal alumina is also present in the crystallizing gel. Variance from these ingredients or the relative stoichiometry of same results in the production of ferrierite, mordenite or an amorphorous aluminosilicate.
BACKGROUND OF THE INVENTION 1. The Field of the Invention The present invention relates to a method and apparatus for controlling the proportioned flow of granular, namely non liquid and non gaseous, solid material, which is capable of fluent motion, from a single inlet to between two outlets of like dimensions. In particular, the present invention pertains to a method and apparatus which allows easy control of the proportioning of flowing non gaseous and non liquid materials, which are generally either granular or powder in form, from a single source to between two like outlets while avoiding undue wear of the components. 2. The Prior Art There has been a need for a reliable means to proportion flowing fluant materials which are neither liquid nor gaseous. Most of the devices theretofore proposed have suffered from the disadvantage of being subject to eroding wear by flowing granular or powder solid material, which have the characteristic of being abrasive. Such material has had the tendency to either jam the flow diverting means making control impossible, or rapidly wearing away control surfaces thereby requiring frequent replacement with the attendent costs of replacement and down time for the repairs. Generally these repairs were difficult to make and expensive. Another problem of the prior art is the known proportioning devices must be placed in such fashion that the downstream pipelines are carefully balanced in pressure drop in order to obtain an accurate split of the material. As the diverting means was eroded, the flow of the material would become erratic due to the uneven surface created on the deflection means. One industry which has a need for flow proportioning is the power genenrating industry, specifically for coal fired power generating plants. Coal of a high sulfur content has been used in many areas of the country and has resulted in the undesirable generation of air polutants, specifically sulfuric acid. These problems have been largely overcome by the injection of limestone into the combustor to neutralize the resultant sulfuric acid generated with the exhaust gases. In order to produce an even flow of limestone, it is generally necessary to provide four to eight injection lances for each furnace. Generally the lances have been fed by a single pneumatic conveying system, with flow splitters located periodically to evenly divide the solids among the lances. Such splitters have been provided by several companies, such as the Fuller Company, Dacon Fluid Technology, and Allen-Sherman-Hoff Company. However, due to the abrasiveness of limestone, having any mechanism located in the flow stream must be avoided if at all possible. Heretofor, most designs incorporated a symmetrical piping configuration on the discharge side to prevent short circuiting of the material in one pipeline or the other. SUMMARY OF THE INVENTION The present invention is a flow proportioning means which allows proportioning of a single flow of material into two flows. The proportioning device is contained in a housing defining a proportioning chamber and mounted in a material flow system between a single inlet, or material flow source, and a pair of axially aligned outlets or material consumption devices. A diversion plate is pivotally mounted within the chamber opposite the inlet and between the outlets. The plate has a central profiled impact surface which is concaved to a hemispherical depression. Positioning means fix the lie of the plate so that material striking the plate is diverted to the outlets in the desired ratio. The subject flow proportioner device incorporates an externally adjustable impact plate having a hemispherical recess forming a target area. The solids being conveyed are passed through a tapered inlet and strike the target area forming a pocket of solids. The focal point of this target area can be changed in order to unbalance the impact point. By redirecting the flow left or right, the solids can be split equally between outlet pipelines that contain unequal pressure drops. The shape of the hemispherical target and the pivoting means will provide both highly sensitive response to the solids and a much broader range of pressure drop differential from either side of the split. BRIEF DESCRIPTION OF THE DRAWINGS The present invention will be described, by way of example, with reference to the accompanying drawings in which: FIG. 1 is a perspective view of a flow proportioning device according to the present invention; FIG. 2 is a transverse section taken along line 2--2 of FIG. 1; FIG. 3 is a detailed section taken along line 3--3 of FIG. 2; FIG. 4 is a perspective section through the inlet coupling of the present invention; FIG. 5 is a perspective view, partially in section, of the flow proportioning plate of the present invention; FIG. 6 is a schematic prespective of a system employing three flow proportioning devices according to the present invention; FIG. 7 is a transverse section, similar to FIG. 2, showing the present invention set for even distribution of the flowing material; and FIG. 8 is a transverse section, similar to FIGS. 2 and 7, showing the present invention set for an unequal distribution of the flowing material to between the two outlets. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT The subject flow proportioning apparatus is intended for use in pneumatic conveying systems, both in dense and dilute phases, where the flow of solids must be distributed from a single line into two pipelines. Consecutive proportioning devices are used to permit further division of the flow into as many subsidiary lines as is required. The subject flow proportioning device 10 comprises a housing 12 having an inlet 14 and a pair of axially aligned outlets 16, 18 extending normal to and on opposite sides of the inlet 14. The housing 12 is formed by a top member 20, a bottom member 22, spaced side walls 24,26 and end walls 28,30. The end walls 28,30 are shown with an outwardly directed channel shape to accommodate assembly with the top and bottom members 20,22 by any of the well known means, such as welding or bolts. The side walls 24,26 are likewise fixed between the top and bottom members with the members and walls defining therein a chamber 34. The inlet 14 has a connection member 36 with mounting flanges 38,40 on the opposite ends thereof and a central passage 42 extending therethrough. The passage 42 is circular at the open upper end and ovate at the lower end adjacent the port 14. Each outlet 16,18 has a port member 44,46 with flanges 48,50 and 52,54 respectively and circular passages 56,58. The members 36,44,46 are bolted or otherwise fixed to the top member 20 and end walls 30,32, respectively. A proportioning assembly 60 is mounted in the chamber and includes a deflection member 62 mounted on pivot means 64 for rotation about an axis normal to the axis of the outlet ports. The member has an impingement surface 66 which includes a central hemispherical recess 68 and a pair of inclined directing side flanges 70,72 adjacent to and normal to the exit ports 16,18. At least two adjustment means 74,76 are mounted in the bottom member aligned to engage the deflection member to either side of the pivot point. In operation the subject flow porportioning device is connected between a source of material (not shown) and a pair of material consumers (also not shown). An example of this type of arrangement would be in coal fed power stations where it is desired to maintain a number of furnaces from a single supply. In such cases it may also be expected that a series of the subject devices would be assembled in the fashion schematically shown in FIG. 6. The material, for example limestone would be fed to the inlet by a conventional conduit. The member 42 changes the shape of the flowing material from generally circular to generally elliptical, with the long axis of the elipse extending in the direction of the axes of the outlet ports. The flowing material drops, under the force of gravity, against the diverting member and rebounds in the direction of one of the outlets. By positioning the deflection plate it is possible to cause proportioned amounts of material to be deflected into the two outlets. The principle employed in the present invention is one of balancing pressure resistance from one discharge pipeline to the other. Without a method of adjustment, the solids will follow the path of least resistance. The result is solids pass down the shortest pipeline. As an example, the pressure within the subject proportioner will be equivalent to that necessary to move the solids from the proportioner to an injection lance in a furnace, or other system discharge point. A short pipeline on one side will produce a shorter, or lower, resistance. The flow of solids would favor this direction until the mass resistance achieves stability with the higher pressure drop or longer side. By adjusting the hemispherical plate, the subject proportioner balances this pressure drop by causing a greater resistance, artifically, on the short or low resistance leg. The subject device provides for mechanical adjustment and wear assistance for a system that can rarely tolerate mechanical devices in the product stream. The use of a hemispherical implate permits a slight build up of solids protecting the plate and its shape allows for flow adjustment. The operation of the present invention can be best understood from a comparison of FIGS. 7 and 8. These drawings show the geometric relationship of the shape of the present invention with the particles passing through the chamber. A single force is employed which provides the balancing effect of proportioning flow from one outlet to another. This force is the same as one observes at a baseball game when the batter strikes the ball. All objects are in motion and on a collision course. More appropriately, the situation may more closely resemble billards in that single ball may influance many others. By applying "resolution of forces", particles entering the subject flow proportioner device impact the hemispherical shaped surface with a resultant angle of rebound from the surface. From the graphic illustration of FIGS. 7 and 8 it will be noted that a particle striking the surface and rebounding will strike an incoming particle with the resultant direction both particles being toward the two outlets. This particle impact is focused by the inclination of the hemispherical surface in either direction towards the two outlets. Thus a controlled split of solids can be made by externally adjusted mechanical means changing the relative positioning od the impact plate. The subject flow proportioning device is preferably made from very hard materials which will be extremely resistant to abrasion. A suitable material would be "ni-hard" of 550 BHN. The subject flow proportioner device utilizes impact turbulance coupled with spherical focusing to balance the incoming flow into two outgoing directions. The hemispherically shaped impact surface of the present invention is protected to a degree by the solids in the formed focusing pocket, which is a constantly moving and repluntisahing mass. Thus the difficulty of erosion found in the prior art devices, even those made of the hardest steel, is largely avoided. In particular, the disproportionate flow resulting from unevenness caused by erosion of the impact surface is avoided. The present invention may be embodied in other forms without departing from the spirit or essential characteristics of the present invention. The described embodiment is therefor to be considered in all respects as illustrative and not restrictive of the scope of the present invention.
The subject flow proportioner device has a pivoting target plate opposite an inlet and between a pair of axially aligned exit ports. Product is introduced through a flow shaping inlet and strikes a profiled target on the plate forming a cushion and diverting the subsequent product between the outlets.
BACKGROUND OF THE INVENTION The present invention relates to actuators, including but not limited to those used on turbochargers for automotive engines. One key component of a turbocharger for an automotive engine is the actuator. As part of a closed loop control system, the actuator senses the pressure in the turbocharger and responds accordingly by varying the position of the turbocharger. The position of the waste gate valve, in turn, controls the turbocharger pressure sensed by the actuator. Although various known turbocharger actuators are available, many of them are plagued with a hysteresis problem. Hysteresis is the result of internal friction within the actuator. Consequently, the response of the actuator in one direction may be slower or markedly different than in the other direction. For actuators having a piston assembly comprising a piston mounted on an actuator rod, the piston assembly sometimes sticks or drags when attempting to move along the wall of the actuator housing. Therefore, there is a need for a turbocharger actuator which exhibits low hysteresis during its operation. Thus, a primary objective of the present invention is the provision of an actuator with improved hysteresis characteristics. A further objective of the present invention is the provision of an actuator having a piston with a plurality of grooves thereon for respectively receiving O-rings, the O-rings and the grooves being proportioned such that the O-rings are movable axially within the grooves. A further objective of the present invention is the provision of a method for reducing hysteresis in a turbocharger actuator. A further objective of the present invention is the provision of an actuator which is economical to produce, durable and reliable in use, and smoothly operable. These and other objectives will become apparent from the drawings, as well as from the description and claims which follow. SUMMARY OF THE INVENTION The present invention relates to an actuator for an automotive turbocharger. More particularly, the invention relates to an actuator having a plurality of O-rings interposed between a housing and a piston axially movable in the housing. The O-rings themselves are axially movable within their constraining grooves so as to reduce hysteresis. The actuator includes a piston axially movable within a housing. The piston has a plurality of annular grooves thereon, and a plurality of O-rings are loosely restrained respectively in the annular grooves. Thus, the O-rings are axially movable within the grooves as the piston moves within the housing, so as to reduce the hysteresis which normally accompanies movement of the piston within the housing. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a perspective view of the actuator of the present invention. FIG. 2 is an exploded perspective view of the actuator of FIG. 1. FIG. 3 is a sectional view of the actuator of FIG. 1, taken along line 3--3 with the piston being in raised position. FIG. 4 is a sectional view similar to FIG. 3, showing the piston in a lowered position. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT The actuator of the present invention is generally shown in FIG. 1 and designated by the reference numeral 10. The actuator 10 includes a housing 12, which has a body 14 and a cover 16 crimped together along an airtight seam 18 so as to allow a chamber 20 to be formed (see FIGS. 3 and 4) therein. The typical operating pressure in the chamber 20 is relatively low, usually less than approximately 100 pounds per square inch (PSI). Thus, the housing 12 can be inexpensively produced by forming it from plastic or drawn metal. The housing 12 also includes lower and upper openings 22, 24. As best seen in FIGS. 2-4, a piston assembly 26 extends through the lower opening 22 and is slidably disposed in the housing 12. The piston assembly 26 includes a rod 28 and a piston 30 secured to the rod 28 for axial movement therewith within the chamber 20. Preferably the rod 28 has threads 29 at its exposed end for connecting with a waste gate valve (not shown) of a turbocharger. The piston 30 has a shape corresponding to the shape of the inside diameter of the housing, here circular or cylindrical. The piston 30 preferably comprises upper and lower discs 32, 34 interposed between a pair of axially spaced washers 36, 38 attached to the piston rod 28. The enclosed end of the piston rod 28 has a groove 35 and a shoulder 37 thereon for holding the washer 38 on the rod 28. On the other side of the discs 32, 34, welding or similar means of securement fixes the washer 38 on the rod 28. The two piece disc assembly 32, 34 saves weight, material and cost, but other piston configurations will suffice. Each of the discs 32, 34 includes a circumferential or annular groove 40, 42 therearound for respectively receiving a pair of O-rings 44, 46. The grooves 40, 42 are substantially larger in an axial direction with respect to the rod 28 than the O-rings 44, 46. Thus, the O-rings 44, 46 have clearance to move axially within the grooves 40, 42. However, in a radial direction with respect to the rod 28, the O-rings 44, 46 are slightly larger than the radial clearance provided by the grooves 40, 42, according to well known O-ring sealing conventions. Thus, the O-rings 44, 46 maintain sealed engagement between the discs 32, 34 and the inside wall of the housing 12. The annular grooves 40, 42 are spaced apart by a shoulder 43 on the piston 30. The width of the shoulder 43 in an axial direction is preferably less than the width of the respective grooves 40, 42. The O-rings 44, 46 are made of an elastomeric material, such as Buna Nitrile (BunaN), but other materials, including but not limited to Viton® or the like, can be used. For example, one type of O-ring found to work well is available from Wynn's Precision, Inc., 8387 Melrose, Pine Ridge Industrial Park, Lenexa, Kans. 66214, USA under the designation Precision Size 0.330. This O-ring works well when the inside diameter of the housing 12 is approximately 2.470 inches, the diameter of the piston 30 at the grooves 40, 42 is approximately 2.060 inches, the axial width of the grooves 40, 42 is approximately 0.260 inch, and the cross-sectional thickness of the O-rings 44, 46 is 0.210 inch. It will be understood that additional grooves and O-rings can be provided with only minor modifications. Furthermore, the invention is not limited to the housing, piston groove, and O-ring sizes of the above example. The actuator 10 also includes a conventional guide/seat 48 in the lower portion of the body 14 for guiding the rod 28 and seating the spring 50. The spring 50 is interposed between the piston 30 and the body 14, as shown in FIGS. 3 and 4, to normally urge the piston to the position shown in FIG. 3. A hollow stem 52 sealingly attaches over the upper opening 24 of the actuator 10 by brazing, welding, or other similar means. The stem 52 is in fluid communication with the turbocharger, such that pressure from the turbocharger reaches the chamber 20 through the hollow stem 52. FIGS. 3 and 4 illustrate the operation of the actuator 10. In FIG. 3, the pressure supplied through the stem 52 is insufficient to overcome the force of the spring 50. Thus, the piston rests at or near the top of the chamber 20. When additional pressure is communicated to the chamber 20, the force of the spring 50 is overcome, and the piston moves axially toward the body 14. FIG. 4 shows one of many possible resulting positions. Referring to FIG. 3, the O-rings 44, 46 rest on the upward-facing side of the grooves 40, 42. As the piston 30 is forced downwardly within the chamber 20 (FIG. 4) by pressure from the turbocharger, the piston 30 moves axially downward. However, the O-rings 44, 46 do not have to move with respect to the housing 12 until they are contacted by the downward-facing edges of the grooves 40, 42. Instead, inside diameters of the O-rings 44, 46 slide upwardly along the grooves 40, 42 as the piston 30 moves down. The O-rings 44, 46 do not move relative to the wall of the housing 12. Thus, the piston 30 can commence its initial movement without being impeded by significant friction from the O-rings 44, 46 against the wall of the housing 12. The piston 30 develops downward inertia before further engaging the O-rings 44, 46. This downward inertia makes it easier to overcome the friction between the O-rings 44, 46 and the inside wall of the housing 12, thereby reducing hysteresis. It is also possible, as an alternative explanation, that the O-rings 44, 46 roll axially within the groove 40, 42. Because rolling or slide/rolling friction is less than the friction for pure sliding, the invention reduces hysteresis. Regardless of whether the O-rings roll or slide within the grooves, the results are the same. Because of the axial movement of the O-rings 44, 46, they start their movement more smoothly and drag less against the inside wall of the housing 12. The lower drag leads to lower hysteresis. The actuator 10 operates with less resistance, more smoothly and predictably. O-rings of various cross-sections, including circular, rectangular, elliptical, and square, can be utilized with this invention. This invention is applicable to hydraulic or pneumatic applications. In the drawings and specification there has been set forth a preferred embodiment of the invention, and although specific terms are employed, these are used in a generic and descriptive sense only and not for the purposes of limitation. Changes in the form and the proportion of parts as well as in the substitution of equivalents are contemplated as circumstances may suggest or render expedient without departing from the spirit or scope of the invention as further defined in the following claims.
An actuator for a turbocharger includes a piston axially movable within a housing. The piston has a plurality of annular grooves thereon, and a plurality of O-rings are loosely restrained respectively in the annular grooves. Thus, the O-rings are axially movable within the grooves prior to moving with respect to the housing, so as to reduce the hysteresis which normally accompanies movement of the piston within the housing.
CROSS REFERENCE TO RELATED APPLICATIONS This non-provisional patent application claims priority under 35 U.S.C. §119(a) from Patent Application No. 1317328.1 filed in The United Kingdom on Oct. 1, 2013, the entire contents of which are hereby incorporated by reference. FIELD OF THE INVENTION This invention relates to a grille shutter for a vehicle and in particular, to a grille shutter having a limited range of motion. BACKGROUND OF THE INVENTION Grilles are widely used in vehicles to allow air to enter for engine cooling. A vehicle grille is typically located at the front of the engine compartment of the vehicle. Grille shutters are used to control air flow through the grilles. Grille shutters can improve the overall fuel efficiency of a vehicle. FIGS. 1 and 2 illustrate a traditional vehicle grille shutter 10 that includes a frame 12 and a plurality of grille flaps 14 rotatably supported by the frame 12 . The grille flaps 14 are linked together by a linkage 16 and driven by a input mechanism 18 to rotate between a fully closed position (as shown in FIG. 1 ) and a fully open position (as shown in FIG. 2 ). The input mechanism 18 mates with an output of an actuator. When the grille flaps 14 are in partially or fully open position, openings 20 between adjacent flaps 14 allows air to flow there through to cool the radiator and the engine of the vehicle. When the grille flaps 14 are in the fully closed position, the openings 20 are closed and outside air is blocked by the flaps 14 in order to improve fuel efficiency when the vehicle is in motion or at a temperature below a threshold value. A pair of stop projections 22 are formed on the frame 12 . When the flaps 14 rotate to the fully closed position, as shown in FIG. 1 , further rotation of the flaps 14 is stopped by contact between adjacent flaps 14 . When the flaps 14 rotate to the fully open position, as shown in FIG. 2 , further rotation of the flaps 14 is stopped by contact between the stop projections 22 and at least one of the flaps. In such a vehicle grille shutter arrangement, the stopping system is external to the actuator and the actuator torque stresses the mechanical parts of the grille shutter, including the stop projections, the flap and the linkage. The stresses could deform or even break the grille flaps. To avoid this problem, the mechanical parts are required to be made of a strong material. However, this makes the grille shutter heavier and less cost efficient. In addition, the external stopping system brings spatial constraints to the mechanical parts outside the actuator. SUMMARY OF THE INVENTION Hence, there is a desire for an improved grille shutter that can overcome the above described shortcomings. Specifically, it is desirable to have a lighter grille shutter. Accordingly, in one aspect thereof, the present invention provides a grille shutter, comprising: a frame; an actuator comprising: a casing mounted on the frame; an electric motor disposed in the casing; and an output member driven by the electric motor and having a first end and a second end; a grille flap; and a grille shaft coupled to the output member and rotatably coupling the grille flap to the frame, wherein the actuator has a first member stationary with respect to the casing and the output member has a first projection disposed between the first and second ends and cooperating with the first member to limit rotation of the output member in a first direction. Preferably, the actuator further comprises a second member stationary with respect to the casing, the second member cooperating with the first projection to limit rotation of the output member in a second direction. Preferably, the first projection of the output member is circumferentially disposed between the first member and the second member and overlaps with the first member and the second member in a radial direction and an axial direction of the output member. Alternatively, the output member of the actuator further includes a second projection; and the first member is circumferentially disposed between the first projection and the second projection and overlaps with the first projection and the second projection in a radial direction and an axial direction of the output member. Preferably, the actuator further comprises a gear train coupling the electric motor to the output member; and the output member comprises a shaft and a plate fixed to the shaft and having a toothed segment engaged with the gear train and a smooth segment. Preferably, a maximum radius of the toothed segment is greater than a maximum radius of the smooth segment. Preferably, the first projection extends outwardly from a radially outer surface of the smooth segment. Preferably, the casing has a substantially rectangular configuration with two long side walls and two short side walls; and the smooth segment is close to and facing one of the two short side walls. Preferably, the first projection is formed on the output member and the first member is formed on the casing. According to a second aspect, the present invention provides a vehicle having a grille opening and incorporating a grille shutter as described above, wherein the frame is fixed to the vehicle and the grille shutter is arranged to substantially cover the grille opening. In embodiments of the present invention, the motion limitation system is arranged inside the actuator and mechanical parts of the grille shutter outside the actuator are not stressed by the stopping system. Therefore, the grille shutter can be made lighter. Furthermore, mechanical parts outside the actuator are not spatially constrained by the stopping system, which makes the design for these parts simpler. BRIEF DESCRIPTION OF THE DRAWINGS Preferred embodiments of the invention will now be described, by way of example only, with reference to figures of the accompanying drawings. In the figures, identical structures, elements or parts that appear in more than one figure are generally labeled with a same reference numeral in all the figures in which they appear. Dimensions of components and features shown in the figures are generally chosen for convenience and clarity of presentation and are not necessarily shown to scale. The figures are listed below. FIG. 1 illustrates a prior art grille shutter in the closed condition; FIG. 2 illustrates the grille shutter of FIG. 1 in the open condition; FIG. 3 illustrates an assembled actuator in accordance with an embodiment of the present invention; FIG. 4 illustrates a grille shutter in the open condition incorporating the actuator of FIG. 3 with some parts of the actuator removed; FIG. 5 illustrates the grille shutter and actuator of FIG. 4 , in the closed condition; FIG. 6 is a partially enlarged view of the part actuator shown in FIG. 4 ; FIG. 7 is a partially exploded view of the part actuator shown in FIG. 4 ; FIG. 8 is a view of an output member being a part of the actuator of FIG. 4 ; FIG. 9 is a plan view of the output member of FIG. 8 ; FIG. 10 is a schematic view of a motion limitation system of a grille shutter in accordance with an embodiment of the present invention; FIG. 11 is a schematic view of a motion limitation system of a grille shutter in accordance with another embodiment of the present invention; and FIG. 12 is a plan view of the actuator of FIG. 3 with the cover removed. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS FIG. 3 illustrates an actuator 30 in accordance with a preferred embodiment of the present invention. FIGS. 4 and 5 illustrate a grille shutter 32 for a vehicle incorporating the actuator 30 with some parts of the actuator being removed for clarity of illustration, such as the cover, motor and gear train. FIG. 4 shows the grille shutter 32 in the fully open position and FIG. 5 shows the grille shutter 32 in the fully closed position. FIG. 6 is a partially enlarged view of a part of the actuator 30 . The grille shutter 32 includes a frame 34 mountable to a body of the vehicle (not shown in the figures), a plurality of grille flaps 36 , and the actuator 30 . Each of the flaps 36 has a shaft 38 rotatably supported by the frame 34 . The flaps 36 are linked together by a linkage 40 . The actuator 30 is coupled to the shaft 38 of one of the flaps 36 so as to bi-directionally rotate the flaps 36 between the fully open position and the fully closed position. FIG. 7 is an exploded view of parts of the actuator 30 . The actuator 30 includes a casing 31 (shown in FIG. 3 ), an electric motor (not shown), an output member 42 , and a gear train (not shown) connecting the motor to the to the output member 42 . The gear train is a gear reduction transmission used to reduce the rotational speed of the motor. The motor and the gear train are received in the casing 31 and may be any type of motor and gear reduction transmission suitable for operation of the grille shutter 32 . The casing 31 comprises a base 44 fixedly mounted to the frame 34 and a cover 46 (shown in FIG. 3 ) fixed to the base 44 . The casing 31 has a substantially rectangular configuration with two long side walls 47 , 48 and two short side walls 49 , 50 . The output member 42 is near one end of the rectangular casing 31 close to the short side wall 49 . Two extending parts 43 , 45 extend inward from the long side walls 47 , 48 so that a pair of stop members 51 , 52 are formed adjacent to the short side wall 49 . Preferably, the stop members 51 , 52 have two planar walls which form an angle β ( FIG. 6 ) with respect to each other. Angle β is typically between 90 and 120 degrees, depending on the application's requirement. Also referring to FIGS. 8 and 9 , the output member 42 comprises a shaft 54 rotatably supported by the casing 31 at both ends thereof and a plate 56 attached to the shaft 54 . The shaft 54 and the plate 56 may be integrally formed as one piece or made up of separate pieces assembled together. The plate 56 has a toothed segment 58 with a plurality of teeth 60 formed on the radially outer surface thereof and a smooth segment 62 . In operation, the toothed segment 58 is engaged with a final-stage gear of the gear train. A stop projection 64 extends radially from the smooth segment 62 and the shaft 54 beyond a radially outer surface of the smooth segment 62 . In accordance with a specific embodiment of the present invention, the stop projection 64 is arranged near the circumferential center of the smooth segment 62 . The stop projection 64 is arranged circumferentially between the stop members 51 , 52 of the casing 31 (shown in FIG. 6 ) and overlapping with the stop members 51 , 52 in the radial and axial directions of the shaft 54 so that the range of rotation of the stop projection 64 is confined between the stop members 51 , 52 . Thus rotation of the output member 42 is limited by the projection 64 contacting member 51 in one direction and member 52 in the other direction. The range of motion of the output member corresponds to the flaps rotating between the open and closed positions. In accordance with a preferred embodiment, the maximum radius of the toothed segment 58 is greater than the radius of the smooth segment 62 . The stop projection 64 is adjacent to and faces the short side wall 49 during operation of the actuator 30 . This configuration requires less space for the output member 42 to operate and thereby enabling a compact design for the casing 31 . In accordance with a preferred embodiment, two virtual radial lines from the center of the shaft 54 to the two circumferential tips of the toothed segment 58 form an angle α that is greater than angle β. In accordance with a preferred embodiment, angle α is greater than angle β by the angular width of two or more teeth 60 . In operation, as the shaft 54 rotates, the output member 42 drives the grille flaps 36 and the stop projection 64 moves between the stop members 51 , 52 . When the stop projection 64 abuts against the stop member 51 , further movement of the output member 42 and the counter-clockwise rotation of flaps 36 are stopped and the flaps 36 reach the fully open position. Outside air can be drawn into the vehicle via the openings 66 between flaps 36 with minimum obstruction to cool the radiator and the engine of the vehicle. When the stop projection 64 abuts against the stop member 52 , further movement of the output member 42 and the clockwise rotation of the flaps 36 are stopped and the flaps 36 reach a fully closed position so that the openings 66 are closed. Outside air is blocked by the flaps 36 . The output member 42 can also stop at an intermediate position when the stop projection 64 is between the stop members 51 , 52 so that the flaps 36 are in a partially open position to provide appropriate air flow. In accordance with the present invention, the motion limitation system for confining the movement of the grille shutters is inside the actuator 30 . There is no force applied on the shutters 36 , thereby minimizing or substantially eliminating the stress on mechanical parts of the grille shutter 32 outside the actuator 30 . Therefore, the mechanical parts in grille shutter 32 can be made of lighter and/or more cost efficient material. Furthermore, there is no external spatial constraint to the motion of the mechanical parts outside the actuator 30 , which makes the design for these parts simpler. In accordance with alternative embodiments of the present invention, the stop projection 64 may alternatively be formed on another suitable rotatable member of the actuator 30 and mechanically coupled to the shaft 54 , as long as the abutment between the stop projection 64 and the stop members 52 , 52 does not interfere with the operation of the actuator 30 . For example, the stop protrusion 64 may extend from only the shaft 54 or from only the smooth segment 62 . For example, the stop protrusion 64 may be axially aligned with or higher than the axial end surface of the plate 56 facing the cover 46 . For example, in another embodiment as shown in FIG. 10 , the stop projection 64 is formed on an axial end (top or bottom) surface of the plate 56 and the stop members 51 , 52 are formed on the surface of the casing 31 facing the top or bottom surface. In this embodiment, the plate 56 may be the same as the above described plate 56 and the stop projection 64 may be formed on the smooth segment 62 as shown in FIG. 10 . Stop projection 64 may also be formed on the toothed segment 58 . The plate 56 may alternatively be a toothed wheel with teeth arranged on the entire circumference thereof. In a third embodiment, as shown in FIG. 11 , a pair of stop projections 64 , 65 are formed on the output member 42 and a single stop member 51 is correspondingly formed on the casing 31 . The stop member 51 is arranged circumferentially between the two stopping projections 64 , 65 and overlapping with the stop projections 64 , 65 in radial and axial directions. In accordance with yet another embodiment, the actuator 30 has a single stop member 51 . When the stop projection 64 abuts against the stop member 51 , further movement of the output member 42 and the counter-clockwise rotation of the flaps 36 are stopped and the flaps 36 reach a fully open position. When the flaps 36 reversely rotate and reach the fully closed position, further rotation of the flaps 36 are stopped by direct contact between adjacent flaps 36 . It should be understood that the stop members may be formed by other suitable structures which could stop rotation of the output member 42 by contacting the stop projection. FIG. 12 illustrates the actuator 30 of FIG. 3 with the cover removed to show the motor 70 and the gear train 74 coupling the motor to the output member 42 inside the casing 31 . The motor has a worm 72 fitted to the shaft of the motor which is in mesh with a worm gear being the first gear of the gear train 74 . The final stage gear 76 is in mesh with and drives the tooth segment 56 of the output member 42 . The output member has a shaped through hole 66 for receiving the shaft or input member of the flap. A connector 80 is formed on the casing to provide an electrical connection for the motor. In the description and claims of the present application, each of the verbs “comprise”, “include”, “contain” and “have”, and variations thereof, are used in an inclusive sense, to specify the presence of the stated item or feature but do not preclude the presence of additional items or features. It is appreciated that certain features of the invention, which are, for clarity, described in the context of separate embodiments, may also be provided in combination in a single embodiment. Conversely, various features of the invention which are, for brevity, described in the context of a single embodiment, may also be provided separately or in any suitable sub-combination. The embodiments described above are provided by way of examples only, and various other modifications will be apparent to persons skilled in the field without departing from the scope of the invention as defined by the appended claims.
A grille shutter for a vehicle includes a frame, an actuator, a grille flap, and a grille shaft. The actuator includes a casing mounted on the frame, an electric motor received in the casing, an output member having a first end, a second end and a stop projection between the first and second ends, and stop members stationary relative to the casing. The stop members cooperate with the stop projection to limit rotation of the output member. The grille shaft is coupled to the output member and rotatably couples the grille flap to the frame.
BACKGROUND OF THE INVENTION This invention relates to a volume flow control device, and particularly to a control device which can be fitted between a water-pipe and a faucet. This device can be manually set to allow water to flow therethrough for a certain period of time. It then mechanically returns to its original configuration; i.e., preventing water from flowing therethrough. Since the device automatically stops the flow of water therethrough after a certain period of time, it is not necessary for one to turn it off manually. Since the flow of water for a certain period of time means a given quantity of water, the desired quantity of water can be obtained without wasting water. SUMMARY OF THE INVENTION A volume flow control device, in accordance with the present invention, includes a base having a substantially cylindrical mounting portion, a water inlet and a water outlet. The mounting portion receives an impeller, a throttle valve, a stationary housing with a gear train disposed therein, and a control ring. Pressing down the control ring allows water to flow through the inlet, the throttle valve and the outlet, respectively. Next, the control ring is turned to set the flow volume control function. It is therefore a primary object of the present invention to provide a volume flow control device which allows water to flow therethrough for a prescribed period of time and then automatically blocks the flow of water, such that a desired quantity of water is obtained. Another object of the present invention is to provide a volume flow control device which operates between a faucet and a water pipe so that the water flow through the faucet is controlled entirely by the device. These and additional objects, if not set forth specifically herein, will be readily apparent to those skilled in the art from the detailed description provided hereinbelow, with appropriate reference to the accompanying drawings. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a perspective view of a volume flow control device in accordance with the present invention; FIG. 2 is an exploded perspective view of the volume flow control device of FIG. 1; FIG. 3 is a cross-sectional view of the volume flow control device shown in FIG. 1; FIG. 4 is a top view of the volume flow control device of FIG. 1, particularly showing the gear train thereof; FIG. 5 is a perspective view of the volume flow control device of FIG. 1 with the control ring shown in a depressed condition; FIG. 6 is a cross-sectional view of the volume flow control device shown of FIG. 5; FIG. 7 is a cross-sectional view of the volume flow control device as viewed from line 7--7 of FIG. 5; FIG. 8 is a perspective view of the volume flow control device of FIG. 1 with the control ring being pressed down and turned in the direction indicated by the pointer; and FIG. 9 is a cross-sectional view of the volume flow control device shown in FIG. 8. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT A volume flow control device is shown in FIG. 1, which comprises a base 1 having a water inlet 11 and a water outlet 12, a control ring 9, and a stationary cover. The control ring 9 has a knurled surface 99 on the outer wall for facilitating turning movement and a plurality of scales 97 for visual indication of the volume flow. The stationary cover 8 has an index 85 thereon to be used in conjunction with the scales 97. Referring now to FIG. 2, it can be seen that the volume flow control device also comprises an impeller 2 with radially protruding blades 20 proximate to one end thereof, a stationary housing 4 which is secured by the stationary cover 8, a gear train 567 mounted within the stationary housing 4, and a throttle valve 3 to control the volume flow therethrough. Still referring to FIG. 2, the base 1 includes a cylindrical valve barrel 14 for receiving the throttle valve 3 which is spring-loaded by spring 30. The throttle valve 3, having O-rings 31 respectively positioned proximate to central and bottom outer cylindrical surfaces thereof, is primarily used for controlling the volume flow through its distinct two portions, namely an upper portion and a lower portion, defined by the central O-ring. The upper portion of the throttle valve 3 has a bore 32 therethrough with the axis thereof in line with the outlet 12. A groove 33 is set at the top surface of the upper portion, the function of which will be described later. The base 1 has a substantially cylindrical mounting portion 10 which consists of a barrel 13, a platform 17 and the above-described valve barrel 14. A seat 16 is disposed at a central portion of the barrel 13 for receiving the impeller 2. At the top surface of the platform 17, the stationary housing 4 is secured by fastening a pair of screws 47 respectively through corresponding holes 48 at the bottom face of the stationary housing 4 and hole 18 at the platform 17. Inside the stationary housing 4, the gear train 567 includes a traverse gear-spindle 5 with a guide screw portion 51 and a gear 50 thereon, a first longitudinal gear-spindle 6 with a gear 60 and a crown gear 61 thereon, and a second longitudinal gear-spindle 7, also having a gear 70 and a crown gear 71 thereon. The traverse gear-spindle 5 crosses over a pair of supporting seats 44, which are disposed on the inner side wall of the stationary housing 4 at opposite position. The stationary cover 8 has an annular ridge 81 at its bottom face so that it can be snappably secured to the stationary housing 4. At the bottom face of the stationary cover 8 there is disposed a spindle seat 84 and a gear seat 83. The impeller 2 has a guide thread 21 at the end opposite to the blades 20, and stands upright with one end being received into the cylindrical seat 16 and with the other end being received into the spindle seat 84. When the device is assembled, a hole 49 is provided at the bottom of the stationary housing 4 for rotatably receiving the impeller 2. A washer 22 is disposed between the hole 49 and the impeller 2 to prevent water from entering the stationary housing 4. the gear seat 83 cooperates with another gear seat 45 disposed inside the stationary housing 4 at the bottom end thereof, in which the two gear seats 83, 45 keep the gear train 567 in position. Referring again to FIG. 2, the cylindrical control ring 9 is provided with a pair of O-rings 91 on the outer surface thereof proximate to the bottom portion thereof and another O-ring 95 being set in the inner circumference thereof. At the bottom surface of the control ring 9, an annular guide-rail 93 having a protuberance 94 thereon is provided to cooperate with the guide-groove 33 on top of the throttle valve 3 to control the timing and volume flow of water. On the inner wall of the control ring 9, there are disposed a plurality of longitudinal gear-teeth 96. The gear-teeth 96 are recessed from the wall and are driven by the gear train 567. Further referring to FIGS. 2, 3 and 4, please note the gear train 567 and the contact surface between the stationary housing 4 and the control ring 9. The stationary housing 4 is fixed onto a top surface of the platform 17 and the impeller 2 and the traverse gear-spindle 5 are seated into corresponding seats, the guide thread 21 on the impeller 2 is engaged with the gear 50 on the traverse gear-spindle 5 so that when the impeller 2 is urged to turn by the water flowing therethrough, the traverse gear-spindle 5 will also turn. Since the first and second longitudinal gear-spindle 6 and 7 are seated into respective gear seats 83, 45 and two gear-spindles 6, 7 are further engaged with each other through the pair of crown gears 61, 71, the traverse gear-spindle 5 indirectly urges the traverse gear-spindle 7 to turn due to the engagement between the gear 60 and the guide screw portion 51, as can be seen in FIG. 4. On the outer surface of the stationary housing 4, there is disposed a longitudinal groove 41 and an annular groove 42. The longitudinal groove 41 extends lengthwise from the top end of the stationary housing 4 to the annular groove 42. Under the annular groove 42, a slot 43 is provided to allow the gear 70 to protrude out and thus to engage with the gear-teeth 96, thereby driving the control ring 9. As can be seen from FIG. 3, a ridge 98 is disposed on the inner wall of the control ring 9 which is situated slightly above the gear-teeth 96. The ridge 98 is slidable in the longitudinal groove 41 or the annular groove 42. Still referring to FIG. 3 and 4, the flow volume control device is seen to be in a non-flow condition, in which the lower portion of the throttle valve 3 blocks water from flowing from the outlet 12. Note that the crown gear 71 is spring-loaded by a spring 72 to engage with the crown gear 61. Since in non-flow condition no water flows in the barrel 13 of the base, the impeller 2 is not turned by the water and the gear train 567 is inoperative. The device is shown in FIG. 5 with the control ring 9 pressed down (user is not shown). The control ring 9 can only be pressed down after the ridge 98 and the longitudinal groove 41 of the stationary housing 4 are aligned. In FIG. 6, it can be seen that the control ring 9 can be pressed down until the ridge 98 thereof is stopped by the annular groove 42. At the same time, the gear spindle 7 is urged down due to the contact of the gear 70 with the control ring 9, as clearly shown in FIG. 7. At that instant, the control ring 9 is not yet urged to turn by the gear 70 and the gear-teeth 96 because the crown gears 61, 71 are disengaged. The control ring 9 can turn freely even when the impeller 2 is turned by the water flowing through the barrel 13 and the gear train 567, except when the gear 70 is in operation. It is to be noted that the control ring 9 is in a different orientation in FIG. 5 than in it is in FIG. 8. In FIG. 8, the control ring 99 has been turned clockwise (CW) from the position shown in FIG. 5. After the control ring 9 is turned, the ridge 98 is situated within the annular groove 42 and is guided thereby. When the control ring 9 is manually pressed down, turned and set to a desired position, the gear 70 engages with the gear train 567 again by the spring 72. The water keeps flowing through the device via the inlet 11 and the outlet 12. Furthermore, the impeller 2 and the gear train 567 are in operation until the ridge 98 of the control ring 9 is brought to the longitudinal groove 41 of the stationary housing 4 again. Accurate alignment of the ridge 98 with the longitudinal groove 41 is also attained by the contact between the throttle valve 3 and the protuberance 94, which is provided on the guide-rail 93 at bottom of the control ring 9. At this point, the control ring 9 is urged upward by the water flowing thereunder, along the longitudinal groove 41. When the control ring 9 rises, the throttle valve 3 also rises due to the urge of the spring 30 thereunder. the throttle valve 3 gradually diminishes the volume flow rate until its lower portion totally blocks the outlet 12. Since the device allows the water to flow therethrough over a certain period of time and then automatically blocks the flow of water, the volume flow control function is thus achieved. While the present invention has been explained in relation to its preferred embodiment, it is to be understood that various modifications thereof will be apparent to those skilled in the art upon reading this specification. Therefore, it is to be understood that the invention disclosed herein is intended to cover all such modifications as fall within the scope of the appended claims.
A volume flow control device for fitting between a faucet and a water-pipe. This device includes a base having a cylindrical mounting portion, a water inlet and a water outlet. The mounting portion receives an impeller, a throttle valve, a stationary housing with a gear train, and a control ring. Pressing down the control ring allows water to flow through this device sequentially via the inlet, the throttle valve and the outlet. The control ring can be pressed and turned to set volume flow control function. The water flowing through the stationary housing urges an impeller to actuate the gear train. The gear train is essentially engaged with the control ring so that after a certain period of time the control ring is brought back to a configuration not allowing water to flow through the device.
FIELD OF THE INVENTION This invention relates to negative acting surprint color proofing systems, and in particular, to laminable proofs which use thermal adhesives in the assembly of the individual color separations to form a single sheet proof. BACKGROUND OF THE INVENTION In printing pictorial matter, whether by lithography, letterpress or gravure, the half-tone process is used, wherein the actual printing image is composed of thousands of minute dots per square inch of a single color ink of varied dot size or ink density. What the naked eye sees as shading in half-tone prints is actually controlled variation in the size of dots relative to the unprinted areas between dots. In black and white pictorial matter the dots are printed in black ink only. Full color reproductions, however, are necessarily printed in each of at least three colors, cyan, magenta, and yellow (known as "three color process"), or in these same colors with the addition of black ("four color process"). For each color a separate printing plate is made. In order to make the three or four printing plates, the original color picture or photograph is "separated" photographically, with the use of filters, masks, etc., into a set of three or four half-tone negatives, each representing one of the colors, and containing, dot for dot, the amount of that color which must be printed to produce the desired total color print. The preparation of the color-separation negative is an art and requires considerable skill in handling of the many variables to produce a desired result. Often trial and error is involved requiring correction or replacement of one or more of the negatives. Unless some reliable system is available to "proof" the negatives, the printing press must be set up and copy printed just to secure preliminary proofs. This is time consuming and expensive. It is therefore desirable to provide accurate means for proofing the negatives without printing. One system for proofing color separation negatives is disclosed in U.S. Pat. No. 3,136,637. A light-sensitive transparent sheet is provided for each of the colors to be printed. Each of the sheets is exposed through its respective color separation negative. Upon processing, the color in the non-image areas is removed, yielding a sheet which contains the desired color pattern in the image areas, while being colorless and transparent in the non-image areas (e.g. between the halftone dots). After each of the separate sheets is made, they are assembled together in registry on a white background, whereupon a color proof results. That system of color proofing has a number of inherent drawbacks. For example, the laying up of the multiplicity of sheets requires that the viewer look through a plurality (three or four) of transparent films during the proofing operation. Since the composite is made of several separate sheets, extreme care is required to maintain registry. If the individual sheets are not perfectly colorless and transparent in the optical sense, any "haze" or imperfection present is multiplied in the several sheets. Additionally, incident light reflects from the several sheets imparting a gloss which is not truly representative of printed copy, thus introducing a need for interpretation in evaluating the proof. U.S. Pat. No. 3,671,236 improves upon the proofing system described in U.S. Pat. No. 3,136,637. Photomechanically produced images corresponding with each color are integrally built up on a single substrate (much as occurs in the actual printing operation itself) without any printing operations. The multiplicity of carrier films is eliminated by transferring individual color images from a sheet comprised of (1) a carrier with release surface, (2) pigment and binder layer, (3) photohardenable or insolubilizable layer, (4) barrier layer and (5) pressure-sensitive adhesive layer. Further improvement over U.S. Pat. No. 3,371,236 is made in U.S. Pat. No. 4,656,114 by the replacement of the pressure-sensitive adhesive layer by a thermally laminable adhesive layer. A thermally adhesive layer can be positioned (before lamination) without a chance of altering the receptor surface or picking up extraneous matter which can occur with pressure-sensitive layers. The physical nature of the smooth, transparent and optically clear thermal adhesive layer offers better optical qualities than the less physically smooth pressure-sensitive adhesive layer. Blocking is the undesirable adhesion between touching layers such as that which occurs under moderate pressure or during storage. There is often impairment of the adhesive or the contacted surface when an attempt is made to separate members that have bonded. It has been conventional practice to add antiblocking agents to adhesive layers, and in some instances to the opposed surfaces. Typical antiblocking agents include particulates (especially silica), and soluble organic materials that dissolve in the adhesive. silicas can cause significant haze and the soluble antiblocking agents often do not coat out well in certain adhesives and can solubilize important ingredients in adjacent layers. This last problem is particularly damaging in imageable layers with critical sensitometric and color balance problems such as prepress color proofing elements. The use of silica particles as antiblocking agents is well known. The use of silica particles is well known. Cab-o-sil™ fumed silica is suggested by Cabot Corp. in their product use literature for inclusion in all types of adhesives (water, solvent and heat). When the coatings are activated good adhesive properties result. Nominal particle diameters are less than 0.1 micron (0.01 to 0.07). W. R. Grace, in their description of Syloid™ silicas suggest silicas for elimination of blocking and indicate that controlled particulate size distribution lowers concentrations required for antiblocking. Particle sizes average from 2.5 to 15 microns. U.S. Pat. No. 2,77,247 shows that preferred polyethylene antiblocking agents have molecular weights not lower than 4000 or greater than 10,000, are used in quantities varying between 2 and 10% by weight (preferred 4 to 8) dissolved in adhesive layer. Dusting with plasticizer to produce a non-tacky film in U.S. Pat. No. 2,678,284 provides a method of quickly and easily producing a nontacky film of thermoplastic adhesive. The chilled adhesive coated film is dusted with Santizer 3 in the form of a finely divided powder with particles less than about 10 microns. "Hot-Melt Adhesives" by M. McDonald, Noyes Data Corp. 1971 states that "considerable difficulty has been experienced with coated hot-melt adhesives because of the tendency to adhere or "block" during warm, humid weather. (discusses U.S. Pat. No. 2,772,247 above). U.S. Pat. No. 3,343,978 describes a non-tacky layer used as a heat-activated adhesive. A typical adhesive (e.g., Example 3) comprises polyethylene, polyterpene resin, and a wax antiblocking agent. This shows the use of a continuous phase (dissolved) antiblocking agent. U.S. Pat. No. 4,719,169 shows the application of an anti-blocking layer on a proofing system. It is not a particulate layer and may be coated over an adhesive layer, on the back side of the system, or under the adhesive layer (in a transfer system). U.S. Pat. No. 4,221,862 describes a method for producing finely divided poly(methylmethacrylate) (PMMA) particles. These PMMA beads may be in an aqueous dispersion and have a fairly narrow size distribution. U.S. Pat. No. 4,522,967 describes a heat-sealable water dispersible adhesive comprising an antiblocking agent at a 5 to 35% by weight level in the adhesive. The anti-blocking agents are selected from polyalkylene glycol materials. U.S. Pat. No. 3,531,316 uses a powdered insoluble polymer in a thermoplastic layer overcoating a solvent activated adhesive layer. The powdered polymer prevents blocking by said adhesive layer. The antiblocking layer comprises a thermoplastic film forming resin and 50 to 80% by weight of the insoluble polymer powder. A solvent is used to activate the undercoated adhesive layer. BRIEF DESCRIPTION OF THE INVENTION The present invention relates to photosensitive articles particularly useful in the generation of photomechanical proofs which comprise a carrier with a release surface, a pigment and binder layer, a photohardenable layer, and organic polymeric solvent soluble barrier layer, and a thermally laminable adhesive layer containing an anti-blocking agent. DETAILED DESCRIPTION OF THE INVENTION Excessive laminating temperatures deteriorate the sensitometric properties of the photohardenable layer. The adhesive layer must be thermally laminable at a temperature between 100° and 150° C. at a pressure of 1.6 lb/sq. in. (0.11 kg/sq.cm) preferably at 4.1 lb/in 2 (0.29 kg/sq.cm) and must be non-tacky at room temperature or preferably not laminable to paper or shelf-laminable at 45° C., 2 g/sq.cm pressure for at least one week. Even when these conditions are met, shipping and storage temperatures and durations occasionally exceed the above specifications and blocking occurs. Blocking is a condition where separate sheets of material have become fused together to the point where the act of separating the sheets results in damage to any portion of the sheet. Factors contributing to blocking are temperature, pressure, and time for a specific thermal adhesive. Using a thermal adhesive which required either higher temperatures or higher pressures deteriorate image quality. It is therefore advantageous to use as low a temperature and pressure as possible and still avoid the effect of blocking which occurs at high ambient temperatures. It has been found that certain materials can be added to thermal adhesives which do not adversely affect color, haze, transparency, or other properties critical to preparing accurate proofs and yet allow the lamination at low temperatures and pressures while avoiding blocking. The construction according to the present invention would typically comprise a carrier sheet provided with a release surface, which may either be a smooth surface of the carrier itself, or a surface coating thereon. Overlying the surface and in intimate clinging engagement therewith, but not adhesively bonded thereto, is a color coating comprising, for example, a pigmented organophilic water-insoluble solvent-softenable resinous polymer. Coated over and in contact with the color-coating is a light-sensitive diazo resin layer. The color coating and light-sensitive layer are intimately associated and adherently bonded together (and in certain constructions can actually be combined to a single layer). The light-sensitive layer is soluble in a solution which softens and/or partially dissolves the color coating. Overlying the light-sensitive layer is a continuous developer-resistant resinous protective film or barrier layer. To the exposed surface of the barrier layer is applied a layer of thermally laminable adhesive containing polymer beads. The preferred adhesive layer thickness is from 0.1×10 -4 cm or 1.0×10 -4 cm to 20×10 -4 cm. and the polymer bead mean diameters vary from 1.1 times the specific layer thickness to 3.0 times the specific layer thickness (preferably 1.3 to 2.3) at a weight percent of the thermal adhesive of 0.05 to 2%. The thickness of the layer is measured at the flat areas between peaks formed by the particulates. In applying that structure to a substrate, such as white paper, the protective liner (if any) first stripped from the adhesive surface and the entire structure is laminated for example, by heated rolling, onto the substrate. Thereafter, the carrier sheet is stripped from the structure, the bond to the paper and the adhesion between the several layers being greater than the non-adhesive clinging engagement between the carrier sheet and the color coating or the release layer. Following the removal of the carrier, the remaining structure, now bonded to the substrate, is exposed to ultraviolet light through the appropriate color separation negative corresponding with the color of the particular coating. In the light struck areas, the ultraviolet light passes through the color coating (which is transparent thereto) and exposes and insolubilizes the light-sensitive material. A firm bond between the light reacted material and the under- and over-lapping coatings occurs. Adjacent unexposed areas remain light-sensitive. Thereafter the sheet is processed with processing solvent selected with respect to the particular material of which the color coating layer is composed (and which contains a solvent for the unexposed diazo), to develop the image. The color coating and the sensitizer in the non-light struck areas is removed, leaving the color image anchored to the underlying barrier layer by the light-reacted diazo in exposed areas. During processing, the barrier layer serves as a barrier which protects the substrate (and adhesive) from solutions used during the processing. The adhesive system of the present invention has been found to be limited to a narrow class of available thermal adhesives. Only acrylate polymer (including methacrylate) and copolymer thermal adhesives with a lamination temperature of 100° to 150° C. at a pressure of 0.29 kg/sq. cm for 5-10 seconds have been found to fulfill all requirements of the present invention. Polymers of n-butyl acrylate, ethyl acrylate, isopropyl acrylate and their copolymers with ethylenically unsaturated monomers such as other acrylates or methacrylates, acrylic anhydride, acrylic acid, methacrylic acid, styrene vinyl acetate, and the like are the only types of adhesives that fulfill all essential requirements of the present invention. Monomers which cause yellowing or discoloring of the final adhesive composition when a sheet (e.g., 15,000 sq. cm) is exposed to a source of 5 kw ultraviolet radiation having a peak at about 417 nm at 1 meter for two minutes must be avoided. Any change in the optical density of 0.1 and even 0.05 is considered intolerable discoloration. Copolymerizable ethylenically unsaturated materials such as acrylonitrile, vinylidene chloride, and vinyl chloride tend to cause yellowing and must be used, if used at all in amount less than 10% and preferably less that 5% of the total dry weight of components in the adhesive. Preferred compositions include poly(n-butyl acrylate/ethyl acrylate) (60/40), poly(ethyl acrylate/styrene)(64/36), poly(n-butylacrylate), poly(stryene/n-butylacrylate/acrylic acid/acrylonitrile)(45/45/8/2), and poly(n-butyl acrylate/vinyl acetate)(80/20). Vinyl acetate and acrylic monomer copolymers are known in the polymer industry as vinyl acrylates. The anti-blocking additive consists of polymer beads which have preferably a narrow size distribution with the mean of that distribution between 1.5 and 2 times the thickness of the adhesive layer. Typically the adhesive layer is from 2×10 -4 cm to 12×10 -4 cm thick. Thus the particles vary from mean sizes of from 3×10 -4 cm to 24×10 -4 cm, depending on the specific applied coating thickness. The concentration of the particulate material can vary from 0.1 wt % to 1.0 wt % depending on the coating thickness of the adhesive, the particle diameter, and the size distribution (the wider the size distribution, the more polymer beads required for a specific anti-blocking requirement). The particles preferred in the practice of the present invention should possess the following characteristics: (1) at the useful concentrations in the adhesive layer (e.g., 0.1 to 1.0 weight percent, preferably 0.1 to 0.6 weight percent of the adhesive), the particles should contribute less than 0.01 optical density between 400 and 700 nm in a layer four times the thickness of the adhesive (e.g., four adhesive layers with particles therein as compared to four adhesive layers of the same thickness without particles therein), (2) as a preference only (not a requirement), the particles may have a Tg of less than the lamination temperature for the adhesive (e.g., 100° C.), (3) a preferably narrow particle size distribution (e.g., fewer than 35% preferably fewer than 25% and more preferably fewer than 20% of the particles (by number) varying by more than 50 percent from the mean average diameter) and more preferably a monodispersed particle addition is used, (4) an index of refraction close (within 0.10) to that of the adhesive is desired and within 0.01 of the adhesive or the same as the adhesive is preferred, (5) beads without sharp features (e.g. smooth surfaces) are preferred such as spherical, oblong, ovoid, or of elliptical cross-section are most preferred, but if the index of refraction of the particles and of the adhesive is the same and if the adhesive is in optical contact with the entire surface of the particle, this is not very important since the interface will not scatter light, (6) the particles should be relatively free of surface impurities that resist wetting by the solvent in the adhesive (usually surface impurities should constitute less than 10% of the surface area and preferably less than 3% of the surface area of the particles), (7) the specific gravity of the particles is preferred to be within twenty-five percent of that of the coating solution to assist in preventing separation during coating, and (8) the particles should be non-tacky to enable them to both be easily dispersed and resist agglomeration in the coating solutions. Following the above described photomechanical production of the first color image on the substrate, for example cyan, similar sheets but containing the yellow, magenta and black color coatings are successively applied to yield a four color proof. These and other aspects of the invention will now be illustrated in the following non-limiting examples: EXAMPLES A 50×10 -4 cm thick film of smooth-surfaced biaxially oriented polyethylene terephthalate polyester is first coated with a polyvinyl alcohol solution constituted as follows: ______________________________________ Parts by wt.______________________________________Polyvinyl alcohol (available commercially 2.5as Gelvatol 20-30/Gelvatol 20:90 (3/1))Glycerin 0.5Water 97______________________________________ A dry coating weight of 7.5 g/ sq. m provides a satisfactory release layer. The release layer surface is oven dried and then overcoated with a resin solution containing a suitable transparent pigment, in this instance a phthalocyanine pigment, e.g. "Monastral Blue BT 284-D." The coating solution is prepared by first dispersing the pigment into 1,1,2-trichloroethane solvent, and adding polyvinylformal resin (Formvar 15/95 E), the amount of the ingredients being adjustable to yield a mix having 65 parts resin, 35 parts pigment, and 900 parts solvent. This mix is appropriately milled. The resultant mill base is then diluted by adding further solvent to yield approximately a 3 percent solids. This pigmented-resin coating solution or dispersion is applied over the dried release layer at a dry coating weight of about 200-700 milligrams per sq. m. The coated sheet construction is oven dried as before to evaporate the solvent. The polyvinyl formal coated side of the sheet is then primed by a corona discharge treatment, sufficient to render the surface of the film water-wettable. A solution of light-sensitive diazo resin or equivalent is then coated over the primed surface of the sheet. A preferred diazo resin is the condensation product of p-diazodiphenylamine and formaldehyde, prepared, for example, in accordance with the method described in U.S. Pat. No. 2,714,066. A solution of the pure diazo resin, for example, 4 parts resin dissolved in 48 parts water and 12 parts methanol, is made up. The preparations of the light-sensitive diazo resin just described may be applied on the primed polyvinylformal layer by roll-coating or by dipping the sheet into the solution of the resin. It is preferred that the diazo coating be thin, a residue of about 60-80 milligrams of the diazo resin per square meter of the area being satisfactory, although the precise amount is not particularly critical with amounts of 30-500 mg/sq. m being useful. The sheet is then air dried at room temperature, or at slightly elevated temperatures if desired. A barrier is applied over the diazo layer, for example, by coating a two percent weight solution in methyl ethyl ketone of a 3:1 weight ratio of polyacrylate ("Elvacite 2044") and polyvinyl chloride-acetate copolymer ("Vinylite VAGH") at a dry coating weight of 1.6 g/sq. m (generally a coating weight of 1-4 g /sq. m is preferred). A clear colorless thermally laminable adhesive composition containing polymeric anti-blocking beads given in the table below is coated on the acrylate surface at the dry coating weight given in the table below. In this form the light-sensitive sheet can then be converted into standard sizes, packed in suitable light-proof containers and shipped in commerce. The adhesive - polymeric beads composition may be coated in much greater quantities, a general range being from about 1 to 12 g/sq. m. EXAMPLES The following examples evaluate the effect of the size of the particulates and their concentration on the practice of the present invention. Blocking test conditions are as follows: Four sheets of material to be tested are cut to dimensions 12 inches (30.2 cm) by 5 inches (13 om) and stacked with the adhesive side of one sheet in contact with the back (uncoated) side of the next. A flat, smooth aluminum plate of the same dimensions is placed over the material. The aluminum plate is of uniform thickness and weighs 526 grams; this results in a pressure of 1.35 g/sq. cm on the material during the test. (This pressure was chosen to correspond with the pressure at the bottom of a stack of 160 sheets of boxed proofing material). The material is then exposed to 60° C. for 24 hours. On cooling, the material sheets are separated. Any tendency to cling together or disrupt any coating layer is regarded a blocking and is graded visually from 0 to 6, with 0 being the case with no blocking and 6 being completely blocked such that the layers are completely disrupted on separation. Some disruption of the layer is indicated by any values of 4 or higher. From the data in the table, it may be seen that even in the lowest concentration of particulates the haze is too high in the silica materials, with the exception of the 0.012 micron silica which did not prevent blocking. In contrast, even at 0.1 wt %, the blocking is completely eliminated when 10 micron PMMA beads are used in a 3.5 micron thick adhesive layer. When the particle diameters are only slightly larger than the layer thickness, some benefit from blocking is observed, but a higher concentration of particles is required to reduce the tendency to block. If the particles are smaller than the layer thickness, blocking occurs. TABLE 1__________________________________________________________________________ Adhesive Layer Particle SizeParticulate Thickness Diameter Distri- Wt. % ofMaterials (Microns) (Microns) bution Adhesive Blocking Haze__________________________________________________________________________Silcron G-600 3.5 4.7 Wide 0.08 4 2.47 0.40 0 2.77 0.68 0 2.22Silcron G-550 3.5 4.5 Wide 0.68 0 1.82Gasil 23F 3.5 10.0 Wide 0.68 1 2.66Sipernat 5 3.5 5.0 Wide 0.68 2 2.45Aerosil 3.5 0.012 Medium 0.68 6 0.96MA-10 PMMA 3.5 10 Medium 0.10 0 1.10 0.20 0 1.03 0.40 0 1.16 0.60 0 1.23 2.00 0 1.60 7.0 10 0.10 3 1.05 2.00 0 1.81MA-6 PMMA 3.5 6 Medium 0.20 4 1.05 0.40 4 1.05 0.60 1 1.05 7.0 6 0.43 6 1.05 0.57 6 1.05 1.00 6 1.05MA-4 PMMA 3.5 4 Medium 0.68 2 1.07Polybead 3.5 5.84 Very 0.10 0 1.16 Narrow 7.0 9.33 Very 0.10 0 1.26 NarrowControl 3.5 -- -- 0.00 6 1.01 7.0 -- -- 0.00 6.0 1.07__________________________________________________________________________ TABLE 2______________________________________Example with Adhesive Layer Only On Polyester Substrate______________________________________Polybead 3.5 5.84 Very 0.10 0 0.86 Narrow 7.0 9.33 Very 0.10 0 1.00 NarrowControl 3.5 -- -- 0.00 6 0.71 7.0 -- -- 0.00 6 0.81______________________________________ MA PMMA 3M, Fine Chemicals Mfg. Div., Rochester, NY Silcron SCM Corp., Baltimore, Maryland Gasil Joseph Crossfield & Con, Ltd., Warrington, UK Sipernat North American Silica Co., Degussa Corp. Teterboro, NY Aerosil Degussa, Frankfurt, W. Germany Polybead Polysciences, Inc., Warrington, PA Haze is measured with the Gardner/Neotec Haze Meter Model # XL 211 in transmission mode prior to lamination. Acceptable haze values for 3.5 micron thick adhesive layers range from 0.79 to 1.23; those for 7.0 micro thick range from 0.88 to 1.26. In the foregoing illustration, a cyan color proofing sheet is described. The companion magenta, yellow, and black structures (which, together with the cyan sheet, constitute a complete four-color proofing system) are similarly prepared employing the same polyvinylformal resin coating, but incorporating appropriately colored pigments, for example, "Watchung Red RT 761-D,""Benzidine Yellow YT 564-D," and Cabot "Regal 300 R" carbon black. Pigments are selected and pigment/resin ratios established generally to provide the same color-density as would result from the printing ink of corresponding color being used on the job being proofed. The sheets can be stored in sensitized condition, and then used weeks or months later as successfully as immediately following manufacture. In using the sheets in producing a color proof composite, any desired substrate can be used. In preparing a color proof composite, the colors are processed individually and consecutively. A sheet of the color represented , for example yellow is prepared for processing by laminating the color sheet to the backing sheet. Pressure applied by conventional laminating apparatus with a heated roller is sufficient to achieve lamination through the thermally laminable adhesive. Following lamination the support sheet of polyethylene terephthalate is stripped away. The light sensitive layer now on the backing sheet is contact exposed through the corresponding color separation negative. The light-imaged backing is then physically developed with a solution of normal propanol-water in a 1:1 volume ratio, brushing and wiping with a soft cloth pad to remove the pigmented resin and unexposed sensitizer layers from the non-imaged (unexposed) areas to leave the latter clear and colorless. Thereby an image is defined, faithfully representing the reproduction and full color range which would result if the complete plate-making and printing operation (using appropriately matched ink), were carried through with that color separation negative. A sheet of the second color to be proofed, preferably magenta, is prepared in the same way by laminating it to the yellow imaged backing sheet. The corresponding color separation negative must now be positioned in exact register with the yellow image. This is commonly provided for by a preregistration of all the separation negatives and the backing sheet by a system of register marks or punches. The light-sensitive layer now on the yellow-imaged backing sheet is exposed and processed, as for the first color. The remaining cyan and black images are thereafter added, in turn, thus faithfully reproducing the four color result which would occur in printing, were printing plates employed prepared from the same color separation negatives. Certain necessary relationships exist between the elements of the construction just described. Adhesive relationships must be such that, after adhesive lamination to the backing sheet, the release layer will allow stripping away the film carrier without disrupting the adhesive bond. Failure must not occur at either the adhesive-backing sheet or adhesive-barrier layer bonds. While it is not particularly critical whether release occurs between carrier-layer-release layer or release layer-color layer, release is generally less efficient between two in situ formed layers, resulting in some what more likely release between carrier layer and release layer. In this event, it is of importance that the release layer be transparent. With regard to the selection of the resin of the color coat and to the solution used to develop the image, reference is again made to U.S. Pat. No. 3,136,637, where numerous organophilic hydrophobic water-insoluble solvent-softenable resinous polymers are disclosed, along with suitable developing solutions. It is therein discussed that upon light-exposure of the structure, a firm in situ bond is formed between the resin and the diazo in the light-struck areas, while permitting the resin to be removed upon light rubbing treatment with the appropriate developing solution. The present invention avails itself of the principles. In as much as the light-sensitive layer is extremely thin and discontinuous, the color-coat and the barrier layer contact one another in the structure and their inter-relation is important. The bond formed between them (or any intermixing occurring at the interface) must not be such as to prevent the color-coat from being removed in the non-light struck areas during development. As indicated above, whatever natural bond exists is strengthened in situ upon light reaction of the diazo to give a strong bond preventing removal in those areas upon development. It has been found that the desired relation is present where at least a degree of physical incompatability exists between the resins comprising the color and barrier layer. During the coating operations, best results are obtained where a later applied layer is cast from a solvent which does not dissolve prior layers. In the preceding example, the color-coating and the diazo resin were applied in separate steps from different solvents.
Particulate organic polymeric beads in the thermal adhesive layer of prepress color proofing elements prevents adhesive blocking without optical interference or reduction in adhesive performance.
CROSS-REFERENCE TO RELATED APPLICATIONS This application is a continuation-in-part of U.S. application Ser. No. 08/299,316, filed Aug. 31, 1994 and now U.S. Pat. No. 5,512,270; which is a continuation-in-part of U.S. application Ser. No. 08/039,732, filed Mar. 30, 1993, now abandoned. BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to pharmaceutical compositions containing a alkylaryl polyether alcohol polymer. More specifically, the present invention relates to pharmaceutical compositions containing alkylaryl polyether alcohol polymer tyloxapol and to methods for treating respiratory inflammation with the pharmaceutical compositions. 2. The Prior Art Discussion of oxidant-mediated injury Oxygen is life-giving to aerobic plants and animals who depend on it for energy metabolism. It can also be lethal to those same organisms when it is altered from its stable dioxygen (O 2 ) state to any one of three partially reduced species: a) the one electron reduced form superoxide anion (O 2 - ); b) the two electron reduced form hydrogen peroxide (H 2 O 2 ); or the deadly three electron reduced form the hydroxyl radical (.sup.• OH). In biologic systems O 2 - and H 2 O 2 are metabolic byproducts of a host of enzymes (oxygenases) that use oxygen as a cofactor. H 2 O 2 is also produced from O 2 - by the enzymatic action of superoxide dismutases. However, .sup.• OH is generally produced only when O 2 - and H 2 O 2 interact with transitional ions of metals such as iron and copper in dangerous cyclical redox reactions: Fe.sup.3.spsp.+ +.sup.• O.sub.2.sup.- →→→Fe.sup.2.spsp.+ +O.sub.2 Fe.sup.2.spsp.+ H.sub.2 O.sub.2 →→→Fe.sup.3.spsp.+ +.sup.• OH+--OH The above reactions are termed the superoxide-driven Fenton reaction common in biological systems. The Fenton reaction can also be initiated by other reducing substances such as ascorbate in the presence of ferric iron and H 2 O 2 . While .sup.• O 2 - and H 2 O 2 are each toxic for biological systems, .sup.• OH (and its alternate hypothesized form the ferryl intermediate FeO 2+ ) is a highly reactive species that can oxidize unsaturated membrane lipids, damage cellular proteins and cause mutagenic strand breaks in DNA. To prevent injury from partially reduced O 2 species under normal conditions, cells have evolved an elaborate system of antioxidant enzymes (superoxide dismutase, catalase, glutathione peroxidase) and antioxidant molecules (glutathione, alpha-tocopherol, beta carotene). However, when production of partially reduced O 2 species exceeds the capacity of cellular antioxidant defenses to contain them, oxidant injury occurs. A growing number of mammalian disease entities are now thought to be related to overproduction of partially reduced O 2 species, including the reperfusion injury syndromes myocardial infarction and stroke, adult respiratory distress syndrome, oxygen toxicity of the lung, lung injury from asbestos, Parkinson's disease, thermal and solar burns of the skin, and injury to the gastrointestinal tract from nonsteroidal anti-inflammatory agents (see Table IV, page 60, Halliwell B and Gutteridge JMC. Methods in Enzymology (1990) 186:1-85). Treatment of these conditions is increasingly directed either toward strategies that prevent enzymatic production of partially reduced O 2 species and to the introduction of exogenous antioxidant compounds that restore oxidant-antioxidant balance in biologic and chemical systems. More recently, as will be outlined below, treatment of inflammation in many of these conditions has been directed toward interrupting activation of the transcription factors mediating the genetic expression of pro-inflammatory cytokines important in the pathogenesis of these conditions. Discussion of transcription factors and cytokines Transcription factors are cellular proteins that bind to regulatory sequences of genes and increase or decrease the rate of gene transcription. By affecting the rate of gene transcription, transcription factors play a critical role in regulation of cell function during health and disease. Among the most important transcription factors in disease are those that regulate expression of the genes for pro-inflammatory cytokines. These cytokines are secreted cellular proteins that dramatically affect the behavior of other cells. As examples, the cytokine TNF-α causes weight loss in patients with tumors or chronic infections, produces cellular death and is thought to be an important mediator of septic shock. The cytokine IL-1β mediates fever, and shares many of the properties of TNF. The cytokine IL-8 (and its close relatives such as RANTES) is a potent chemotactic signal aiding in the recruitment of inflammatory cells such as neutrophils. GM-CSF signals the bone marrow to produce more inflammatory cells, activates those cells once produced and lengthens their survival. These cytokines play important roles in mediating the pathogenesis of such inflammatory diseases as cystic fibrosis, chronic bronchitis, asthma and viral infections, among many others (T. L. Bonfield, et al. "Inflammatory cytokines in cystic fibrosis lungs". American Journal of Respiratory and Critical Care Medicine (1996) In Press; N. G. McElvaney, et al. "Modulation of airway inflammation in cystic fibrosis. In vivo suppression of interleukin-8 levels on the respiratory epithelial surface by aerosolization of recombinant secretory leukoprotease inhibitor". Journal of Clinical Investigation (1992) 90:1296-1301; K. D. Pfeffer, et al. "Expression and regulation of tumor necrosis factor in macrophages from cystic fibrosis patients". American Journal of Respiratory, Cell and Molecular Biology (1993) 9:511-519; G. Williams and B. P. Giroir. "Regulation of cytokine gene expression: Tumor necrosis factor, interleukin-1, and the emerging biology of cytokine receptors". New Horizons (1995) 3:276-287; C. A. Dinarello. "Role of interleukin-1 and tumor necrosis factor in systemic responses to infection and inflammation". In Inflammation: Basic Principles and Clinical Correlates, second edition. J. I Gallin, I. M. Goldstein, and R. Snyderman, editors. Raven Press, Ltd., N.Y. (1992) p. 211-232; W. C. Greene. "The interleukins". In Inflammation: Basic Principles and Clinical Correlates, second edition. J. I. Gallin, I. M. Goldstein, and R. Snyderman, editors. Raven Press, Ltd., N.Y. (1992) p. 233-245; M. Baggiolini, et al. "Interleukin-8 and related chemotactic cytokines". In Inflammation: Basic Principles and Clinical Correlates, second edition. J. I. Gallin, I. M. Goldstein, and R. Snyderman, editors. Raven Press, Ltd., N.Y. (1992) p. 247-263; D. W. Golde and G. C. Baldwin. "Myeloid growth factors". In Inflammation: Basic Principles and Clinical Correlates, second edition. J. I. Gallin, I. M. Goldstein, and R. Snyderman, editors. Raven Press, Ltd., N.Y. (1992) p. 291-301; R. J. Horwitz and W. W. Busse. "Inflammation and asthma". Clinics in Chest Medicine (1995) 16:583-602). These cytokines share regulation of their expression by the transcription factor Nuclear Factor kappa-B (NF-κB), a particularly important transcription factor mediating inflammatory events (U. Siebenlist, G. Granzuso and R. Brown. "Structure, regulation and function of NF-κB". Annual Review of Cell Biology (1994) 10:405-455). NF-κB is also an important transcriptional regulator chemokines such as RANTES (U. Siebenlist, G. Granzuso and R. Brown. "Structure, regulation and function of NF-κB". Annual Review of Cell Biology (1994) 10:405-455) and of inducible nitric oxide synthase (iNOS) (P. J. Nelson, et al. "Genomic organisation and transcriptional regulation of the RANTES chemokine gene". Journal of Immunology (1993) 151:2601-2612), the enzyme producing nitric oxide (NO.sup.•), a critical oxidant chemical produced as part of the pathogenesis of septic shock. NF-κB is present in the cytoplasm in an inactive form complexed to an inhibitory protein IκB. A number of events, yet to be completely characterized, cause IκB to dissociate from NF-κB in the cytoplasm. Free NF-κB then localizes to the nucleus, where it binds to a specific κB recognition site in the promoter region of target genes, prompting their expression. NF-κB is activated by a number of stimuli, including cytokines themselves, and by lipopolysaccharide (LPS) (U. Siebenlist, G. Granzuso and R. Brown. "Structure, regulation and function of NF-κB". Annual Review of Cell Biology (1994) 10:405-455). NF-κB is also activated by oxidants such as hydrogen peroxide (M. Meyer, R. Schreck, and P. A. Baeverie. "H 2 O 2 and antioxidants have opposite effects on the activation of NF-κB and AP-1 in intact cells: AP-1 as secondary antioxidant response factor". EMBO Journal (1993) 12:2005-2015), suggesting that it may be an oxidant-stress responsive transcription factor. Conversely, some of the most potent inhibitors of NF-κB activation are compounds which can also act as antioxidants. A few, but not most, antioxidants prevent activation of NF-κB by LPS, prevent increases in corresponding messenger RNAs for inflammatory cytokines and decrease levels of TNF and IL-1 in the circulation following LPS injection (E. M. Eugui, et al. "Some antioxidants inhibit, in a coordinate fashion, the production of tumor necrosis factor β, IL-1β and IL-6 by human peripheral blood mononuclear cells". International Journal of Immunology (1993) 6:409-422; R. Schreck, et al. "Dithiocarbamates as potent inhibitors of nuclear factor κB activation in intact cells". Journal of Experimental Medicine (1992) 175:1181-1194). However, the few antioxidants known to inhibit NF-κB activation share no common structural similarity distinguishing them from those antioxidants that fail to prevent activation of NF-κB (see Eugui, above), preventing one skilled in the art from predicting which antioxidant compounds will and which will not favorably reduce NF-κB activation as a strategy of ameliorating inflammatory events in disease. Another class of compounds known to inhibit NF-κB activation are anti-inflammatory corticosteroids. Corticosteroids act by combining in the cytoplasm with an intracellular protein called the Glucocorticoid Receptor (GR). Previously, the anti-inflammatory action of corticosteroids was thought to occur exclusively as a result of passage of the GR-steroid complex to the nucleus, where the complex attaches to and influences regulatory gene regions called Glucocorticoid Responsive Elements (GREs). However, recently it has been shown that a major mechanism of anti-inflammatory glucocorticoid activity is inhibition of NF-κB (I. M. Adcock, et al. "Effects of glucocorticoids on transcription factor activation in human peripheral blood mononuclear cells". American Journal of Physiology (1995) 268(Cell Physiology 37) :C331-C338) . The GR-steroid complex prevents activation of NF-κB by directly interacting with free NF-κB in the cytoplasm, preventing NF-κB from translocating to the nucleus (A. Ray and K. E. Prefontaine. "Physical association and functional antagonism between the p65 subunit of transcription factor NF-κB and the glucocorticoid receptor". Proceedings of the National Academy of Sciences, USA (1994) 91:752-756). However, the GR-steroid complex accomplishes inhibition of NF-κB by mutual repression. By combining with free NF-κB in the cytoplasm, it too is kept from translocating to the nucleus to up-regulate other anti-inflammatory events. Indeed, mutual repression is thought to explain in part the phenomenon of steroid resistance in severe asthmatics. IL-1, IL-6, TNF and other pro-inflammatory cytokines secreted in the airway during an asthma attack increase cellular activation of NF-κB, providing more NF-κB subunits to bind GR-steroid complexes, reducing the amount of GR-steroid complex available to translocate to the nucleus (P. J. Barnes, A. P. Greening and G. K. Crompton. "Glucocorticoid resistance in asthma". American Journal of Respiratory and Critical Care Medicine (1995) 152:S125-S142). Discussion of alkylaryl polyether alcohol polymers, including tyloxapol Antioxidants are compounds that can be easily oxidized to stable chemical forms. They can protect chemical and biologic systems by sacrificing themselves to oxidation in preference to oxidation of critically important chemical and biological molecules. Not all oxidizable compounds can perform antioxidant function. To successfully protect chemical and biologic systems from oxidants, the antioxidant must have a higher reactivity for the oxidant than the chemical or biologic molecule which it seeks to protect. To protect the desired chemical and biologic system from oxidation, it is also necessary for the antioxidant to partition itself adjacent to the molecule to be protected. As an example, a molecule to be protected within the lipid bilayer of plasma, endosomal or nuclear membranes might be best protected by an antioxidant with, at least in part, a lipophilic structure, so that it is partitioned to or near the lipid portion of the membrane, adjacent to the molecule needing protection from oxidation. It has recently been shown that a previously known class of drugs, the alkylaryl polyether alcohol polymers, are potent antioxidants useful in the treatment of mammalian diseases (U.S. Pat. No. 5,474,760 issued 1995 to Ghio, Kennedy and Piantadosi, assignors to Duke University, and U.S. Ser. No. 08/039/732). Alkylaryl polyether alcohol polymers are used commercially as surface active detergents and wetting agents (U.S. Pat. No. 2,454,541, issued in 1948 to Bock and Rainey, assignors to Rohm & Haas). The best known of this class is tyloxapol, a polymer of 4-(1,1,3,3-tetramethylbutyl)phenol with formaldehyde and oxirane. However, other compounds in the class, sharing the properties of tyloxapol, are well known in the art (J. W. Cornforth, et al. "Antituberculous effect of certain surface-active polyoxyethylene ethers in mice". Nature (1951) 168:150-153). On alkylaryl polyether alcohol polymer used previously in aerosol pharmacologic formulations is tyloxapol, or Triton WR-1339 (M. L. Tainter, et al. "Alevaire as a mucolytic agent". New England Journal of Medicine (1955) 253:764-767). A composition sold by Winthrop Laboratories (a division of Sterling Drug, Inc.) and by Breon Laboratories (subsidiary of Sterling Drug, Inc.) under the trademark ALEVAIRE®, containing 0.125% aqueous SUPERINONE® (brand of tyloxapol) in combination with 2%. sodium bicarbonate and 5% glycerin, had been marketed for about 30 years for treatment of mucous secretions in patients with diseases and disorders such as chronic bronchitis, croup, pertussis, and poliomyelitis. (See, for example, a product brochure entitled "ALEVAIRE® Detergent Aerosol for Inhalation" (November, 1961) distributed by Breon Laboratories.). At the time the ALEVAIRE formulation new drug application (NDA) was approved in the early 1950's, the Federal Food, Drug, and Cosmetic Act (FDA Act) did not require FDA to consider efficacy in the drug approval process. In 1962, the FDA Act was amended to require FDA to consider efficacy, and to authorize the agency to remove from the market drugs with approved NDAs if substantial evidence was lacking that the drug was ineffective for its intended use. To comply with the latter legislative mandate, FDA established the Drug Efficacy Study Implementation (DESI) review. ALEVAIRE was considered in the DESI review, and was found to be ineffective. In July of 1968, FDA notified its sponsor, Sterling Drug. Sterling appealed the FDA's findings (Sterling Drug, Inc., v. Weinberger, 503F.2d 675 (2d Cir. 1974), 384 F. Supp. 675 (S.D.N.Y. 1974), and 509 F.2d 1236 (2d Cir. 1975)). The legal battle lasted 13 years; it was not until 1981, after a formal evidentiary public hearing, that FDA published an adverse "final decision" on ALEVAIRE that was not appealed by Sterling (ALEVAIRE; Final Decision Following Formal Evidentiary Public Hearing in Adjudicatory Proceeding, 46 Fed. Reg 56043 (Nov. 13, 1981)). FDA found that there was no evidence that the tyloxapol in ALEVAIRE® had any effect on secretions in the lung from diseases such as chronic bronchitis other than that of water in thinning secretions by simple dilution, and that papers in the manufacturer's bibliography were based on clinical impression and did not reflect adequate controls. (See, letter dated May 27, 1994 to Dr. Thomas Kennedy, one of the co-inventors of the present application, from Ms. Carolann W. Hooton, Chief, Freedom of Information Office, Center for Drug Evaluation and Research, Department of Health & Human Services, Public Health Service, Food and Drug Administration, Rockville, Md.). Surprisingly, the present inventors have found that alkylaryl polyether alcohol polymers of the class typified by tyloxapol, are potent antioxidants, inhibitors of the activation of NF-κB (see Example IV below) and inhibitors of cellular production of pro-inflammatory cytokines (see Example V below). Even before its withdrawal from the market, there was published evidence that the ALEVAIRE formulation of tyloxapol was associated with side effects in some individuals. Paez and Miller studied ALEVAIRE in 20 patients with chronic obstructive pulmonary disease (Paez, P. N. and W. F. Miller. 1971. Surface active agents in sputum evacuation: a blind comparison with normal saline solution and distilled water. Chest 60:312-317). Lung function did not change after subjects inhaled solutions of normal saline, water, or Tergemist (sodium 2-ethylehexyl sulfate 0.125% and potassium iodide 0.1%), but four patients developed evidence of increased airways obstruction after inhaling ALEVAIRE. Subsequently, Fevrier and Bachofen, using a double-blind crossover design, studied the effect of ALEVAIRE or saline as carrier solutions for the inhalation of beta agonists in 24 patients with asthma (Fevrier, D., and H. Bachofen. 1975. Vergleich von tyloxapol (Tacholiquin, ALEVAIRE) mit physiologischer kochsalzlosung als inhalationstragerluscungen. Schweiz. med Wschr. 195:810-815). The authors measured specific airway conductance (the inverse of airways resistance) over a 2 hour period following inhalation of 3 ml of test solution. ALEVAIRE solution without beta agonist bronchodilator caused a 20% fall in specific conductance at 20 minutes (p<0.05) that resolved completely by 60 minutes. Thus, the ALEVAIRE formulation was clearly causes bronchospasm after inhalation by susceptible individuals such as those with asthma or airways reactivity. The present aerosol formulation containing tyloxapol is EXOSURF® NEONATAL, approved by the FDA in 1990 and marketed by Glaxo Welcome as an intratracheally instilled suspension for the treatment of neonatal respiratory distress syndrome. EXOSURF is a formulation of 108 mg diphalmitoylphosphatidyl choine (DPPC), 12 mg cetyl alcohol, 8 mg tyloxapol and 47 mg sodium chloride, reconstituted with 8 ml sterile water. DPPC is thought to be the major functional component. Tyloxapol is added as a dispersing agent so that DPPC can remain an emulsion when reconstituted. When reconstituted, the EXOSURF solution contains 13.5 mg/ml DPPC, 1.5 mg/ml cetyl alcohol, and 1 mg/ml tyloxapol in 0.1 N NaCl. The product is used for both prophylactic and rescue treatment of infants. Neonates treated prophylactically are recommended to receive 3 doses of 5 ml/kg at 12 hour intervals after birth. A number of major adverse effects are seen occasionally seen after EXOSURF administration, including reflux of EXOSURF into the endotracheal tube after intratracheal administration, mucus plugging shortly after administration, pulmonary hemorrhage in low birth weight infants, and arterial oxygen desaturation (EXOSURF Neonatal. 1995. Physicians Desk Reference. Medical Economics, Montvale, N.J. 758-762). EXOSURF has also undergone a trial for sepsis-induced adult respiratory distress syndrome in adults (Weg, J. G., R. A. Balk, et al. 1994. Safety and potential efficacy of an aerosollized surfactnat in human sepsis-induced adult respiratory distress syndrome. J.A.M.A. 727:1433-1438). Subjects received EXOSURF aerosolized continuously over 12 or 24 hours, respectively for up to 5 days (568.4±53.6 grams in the 12 hour group and 1,128.4±99.3 grams in the 24 hour EXOSURF group). Because of the lipid DPPC component, the aerosol emulsion formulation of EXOSURF tended to accumulate and occlude the exhalation bacterial filter on the mechanical ventilator. One subject suffered a pneumothorax (ruptured lung) as a consequence of this occlusion, when pressure in the ventilator circuit built up and could not escape due to an exhalation valve blocked by the accumulated lipid emulsion. Synopsis of background discussion Inflammation in a multitude of diseases is mediated by activation of the transcription factor NF-κB, which in turn causes an increase in cellular production of pro-inflammatory cytokines such as TNF, IL-1, IL-6, IL-8 and the growth factor GM-CSF, and an increase in critical cellular enzymes, such as inducible nitric oxide synthase (iNOS). The current treatment available to prevent activation of NF-κB and subsequent cytokine secretion is anti-inflammatory glucocorticoids. Recently a few, but not most, antioxidants have been found to also inhibit NF-κB. It is theoretically possible to synthesize a multitude of compounds with antioxidant properties. However, there is no predictable structural similarity among the few agents shown to prevent NF-κB activation. Thus, the demonstration that a compound shows antioxidant activity would not, in of itself, predict that the same compound would also inhibit NF-κB activation and secretion of pro-inflammatory cytokines. Also, the factor limiting use of antioxidants as treatments in biologic systems is the inherent toxicity of many antioxidant compound themselves. Likewise, anti-inflammatory cortosteroids are potent inhibitors of NF-κB, but their use as such is severely limited by the well-known side effects of corticosteroids, including glucose intolerance, hypertension, bone resorption, weight gain and cataracts. Thus, it is a major advantage to discover that a class of commonly used and nontoxic ingredients in medicinal pharmacologic preparations are not only potent antioxidants, but also potent inhibitors of NF-κB activation. Not only can such compounds be used as treatments for diseases where antioxidants might be predicted to be of value, but they can be used as treatments for NF-κB mediated inflammatory conditions without themselves causing toxicity to biologic systems. The findings presented in the various examples to follow will demonstrate that tyloxapol is a potent antioxidant that also prevents NF-κB activation and suppresses secretion of inflammatory cytokines. These features of tyloxapol would make it a useful anti-inflammatory drug treatment strategy for various mammalian diseases, especially diseases of the respiratory tract. However, the current formulations of tyloxapol, ALEVAIRE and EXOSURF, have undesirable features, such as increasing airways resistance in asthmatics, in the case of ALEVAIRE, or production of plugging of airways and ventilator circuits, in the case of EXOSURF. SUMMARY OF THE INVENTION The invention in the present application describes a new formulation of the alkylaryl polyether alcohol polymer tyloxapol. The purpose of this new formulation is to eliminate the undesirable features of the tyloxapol formulations used to date. These features currently limit the therapeutic utility of tyloxapol because of side effects not from tyloxapol but associated with the compositions of the formulations themselves. The present invention describes how alkylaryl polyether alcohol polymers, such as tyloxapol, can be placed in a nontoxic formulation that does not have the undesirable features of previous formulations. Administration of the novel formulations may be similar to those as described in U.S. Pat. Nos. 5,474,760 and 5,512,270 and in U.S. Ser. No. 08/632,275 filed Apr. 15, 1996, (which describe how alkylaryl polyether alcohol polymers are useful as antioxidants in blocking oxidant reactions and biologic injury from partially reduced O 2 species, and are useful as treatment agents for inhibiting activation of the transcription factor NF-κB, and as inhibitors of cellular secretion of the cytokines TNF, IL-1, IL-6 and IL-8 and the growth factor GM-CSF), and is repeated below for clarity. It is the object of the present invention to provide a new formulation of the alkylaryl polyether alcohol polymer tyloxapol for aerosol treatment of respiratory diseases. It is the further object of the present invention to provide a method to inhibit oxidant chemical reactions caused by partially reduced O 2 species. It is a further object of the present invention to provide a method to protect mammalian tissues against injury from partially reduced O 2 species. It is a further object of the present invention to provide a method and medicament to protect from airway injury by HOCl/OCl, which for convenience, is referred to herein also as HOCl. It is a further object of the present invention to provide a method for inhibiting oxidant chemical reactions caused by partially reduced O 2 species by aerosol treatment with the therapeutic agent. It is a further object of the present invention to provide a method and medicament for the inhibition of activation of the transcription factor NF-κB (thus ameliorating the pro-inflammatory cellular events evoked by activating genes controlled by this regulatory cell protein). It is a further object of the present invention to provide a method and medicament for the inhibition of the cytokines TNF, IL-1, IL-6 and IL-8 and the growth factor GM-CSF. It is a further object of the present invention to provide a method and medicament for preventing glucocorticoid resistance in asthma and other diseases by blocking activation of the transcription factor NF-κB, thereby preventing binding and mutual repression of the glucocorticoid receptor complex by active NF-κB present in the cytoplasm. It is an advantage of the present invention that the therapeutic agent is formulated to remove injurious ingredients found in previously marketed formulations. It is an advantage of the present invention that the therapeutic agent is formulated in a higher, more therapeutically effective concentration than previously available. It is an advantage of the present invention that the therapeutic agent is produced from a toxicologically characterized class of compounds with low toxicologic potential to biologic systems. The present invention encompasses novel pharmaceutical compositions or formulations comprising tyloxapol as the active ingredient. These formulations comprise tyloxapol at concentrations higher than anything known to the Applicant to have been used in a pharmaceutical formulation previously. As described herein, tyloxapol was previously employed in compositions at concentrations of 0.125%. The pharmaceutical compositions of the present invention comprise concentrations of tyloxapol, or other alkyl aryl polyether alcohol polymers, above 0.125%, preferably from about 0.25% to about 5.0%. In addition, the invention encompasses pharmaceutical compositions having reduced hypertonicity which compositions comprise tyloxapol in pharmaceutically acceptable solutions without significant concentrations of hypertonic agents or other active ingredients. For example, the formulations having reduced hypertonicity do not contain the hypertonic agents such as NaHCO 3 , or active phospholipids, such as DPPC, each of which were used in prior formulations. The less hypertonic formulations allow one to derive all the benefits of the active ingredient tyloxapol, such as its reduced toxicity and enhanced half-life, while avoiding or reducing side effects, such as bronchospasms, associated with the various hypertonic agents or other active ingredient agents. Further, novel formulations of the present invention comprising high concentrations of tyloxapol allow the clinician to more effectively intervene or treat the conditions identified herein. For example, with the higher concentration composition administration is less frequent and more rapid. The high concentration formulations also allow for an aggressive and efficient treatment with excellent distribution within the lung whereas such was not possible with prior formulations which contained tyloxapol. The compositions or formulations of the present invention can be used for treating a patient afflicted with CF in accordance with the following dosage schedule: once or twice daily at the concentrations described above. It should be recognized that the treating physician or clinician will recognize how to adjust the dose or dosage regimen for a particular patient depending on the severity of the condition or the patient response. Clearly, these novel compositions or formulations containing high concentrations of tyloxapol which are free of NaHCO 3 , DPPC and significant concentrations of NaCl provide a unique and improved ability to treat CF and other respiratory disorders. In preferred embodiments of the invention, the medicament is directly instilled into the respiratory system and administered by aerosolization. In this embodiment, the medicament preferably includes a physiologically acceptable carrier which may be selected from the group consisting of physiologically buffered saline, isotonic saline, and normal saline, with the concentration of salt solution adjusted to about 300 mOsm. The pH of the alkylaryl polyether alcohol polymer and carrier mixture is preferably greater than 6.0 but equal to or less than 7.4. Consideration of the specification, including the several figures and examples to follow, will enable one skilled in the art to determine additional objects and advantages of the invention. BRIEF DESCRIPTION OF THE DRAWINGS Reference to the following detailed description may help to better explain the invention in conjunction with the drawings which: FIG. 1 shows a graph of the inhibitory effect of tyloxapol on .sup.• OH generation by the Fenton reaction, as measured by hydroxylation of salicylate; FIG. 2 shows a graph of the inhibitory effect of tyloxapol on .sup.• OH generation by the Fenton reaction, as measured by oxidation of the sugar, 2-deoxyribose; FIG. 3 shows lung wet/dry weight ratios in rats exposed to 100% oxygen and treated with normal saline, tyloxapol, and tyloxapol plus cetyl alcohol; FIG. 4 shows pleural fluid accumulation in rats exposed to 100% oxygen and treated with normal saline, tyloxapol, and tyloxapol plus cetyl alcohol; FIGS. 5A and 5B show the effect of tyloxapol on HOCl-mediated lung injury in rats; FIG. 6 shows activation of the transcription factor NF-κB by IL-1 and H 2 O 2 and inhibition of this activation by tyloxapol; FIG. 7 shows baseline secretion of IL-8 by unstimulated human monocytes with and without tyloxapol treatment; FIG. 8A shows human monocyte secretion of TNF-α with and without tyloxapol treatment; FIG. 8B shows human monocyte secretion of IL-1β with and without tyloxapol treatment; FIG. 8C shows human monocyte secretion of IL-6 with and without tyloxapol treatment; FIG. 8D shows human monocyte secretion of IL-8 with and without tyloxapol treatment; and FIG. 8E shows human monocyte secretion of GM-CSF with and without tyloxapol treatment. DETAILED DESCRIPTION OF THE INVENTION Alkylaryl polyether alcohol polymers can in general be synthesized by condensing alkylaryl alcohols with formaldehyde, as described by Bock and Raney in U.S. Pat. No. 2,454,541 (1948, assigned to Rohm & Haas), the disclosure of which is incorporated herein by reference. The present invention provides a medicament for the inhibition of injurious effects of partially reduced O 2 species in chemical and biologic systems comprising a treatment effective amount of alkylaryl polyether alcohol polymer of the formula: ##STR1## where R=ethylene, R'=C 4 to C 14 straight or branched alkyl, x is greater than 1, and y=2 to 18, effective to inhibit oxidant chemical reactions caused by the oxidant species in the mammal, thereby treating the mammalian disease entities. All alkylaryl polyether alcohol polymers disclosed in this patent should work in the present invention. Several specific alkylaryl polyether alcohol polymers can be easily synthesized by methods previously described (J. W. Conforth, et al. "Antituberculous effect of certain surface-active polyoxyethylene ethers in mice". Nature (1951) 168:150-153). The prototype compound of this class, tyloxapol, can be conveniently purchased in pharmacologically acceptable purity from Nycomed, Inc., 33 Riverside Ave., Rensselaer, N.Y. 12144. Treatment of patients for scavenging partially reduced O 2 species and other oxidants, and inhibition of the activation of transcription factor NF-κB and production of the cytokines TNF-α, IL-1β, IL-6, IL-8 and the growth factor GM-CSF with alkylaryl polyether alcohol polymers, particularly tyloxapol, is essentially the same as the administration described in U.S. Pat. Nos. 5,474,760 and 5,512,270. More specifically, for treatment of mammalian respiratory conditions related to an overproduction of partially reduced O 2 species, and for inhibition of the activation of transcription factor NF-κB and production of the cytokines TNF-α, IL-1β, IL-6, IL-8 and the growth factor GM-CSF, the alkylaryl polyether alcohol polymer is dissolved in sterile 0.85 to 0.9% NaCl and water for injection, and the pH is adjusted to approximately 7.0 by addition of NaOH or HCl. Alternately, to stabilize aerosol droplet size and provide a pleasant taste, a 0.1% (v/v) concentration of glycerol can be added to the formulation, and the concentration of NaCl is lowered to 0.8 to 0.85% (w/v) to maintain the formulation within the isotonic range respective to extracellular body fluids (about 300 mOsm). A nonpolymeric alkyl or aryl alcohol such as cetyl alcohol (hexadecanol) may be added equivalent to 1 to 1.5 times the weight of tyloxapol to increase the effectiveness of the mixture in protection against oxidant injury. If cetylc alcohol is added, the concentration of NaCl is decreased proportionally, to provide for a formulation that is isotonic. As an example, the pharmaceutical compositions of the present invention comprise concentrations of tyloxapol or other alkyl aryl polyether alcohol polymers above 0.125%, preferably from about 0.25% to 2.5% (w/v) solution of sterile 0.9% NaCl and water to make an isotonic solution of about 300 mOsm. The concentration of tyloxapol can be increased to from about 2.5% to about 5.0% (w/v) and the isotonicity of the resultant solution can be maintained by decreasing the concentration of NaCl to 0.85%. If 0.1% glycerol is also added, the concentration of NaCl is further decreased to 0.85% for lower concentration solutions and to 0.8% for solutions of higher tyloxapol concentration. This mixture is then administered to the lung by direct instillation into the respiratory system. The mixture may also be administered by aerosolization using a clinically available positive pressure driven nebulizer that produces respirable particles of less than 5 microns mass median diameter. Jet aerosol nebulizer systems that are useful for the administration of tyloxapol into the airway include the Pari-LC Jet Plus nebulizer (Richmond, Va.), the T-Updraft II Nebumist nebulizer (Hudson, Irvine, Calif.) and the Marquest Acorn II nebulizer (Marquest Medical Products, Inc., Englewood, Colo.). Higher concentrations of tyloxapol (0.25 to 5.0%) are favored for aerosolization to deliver an effective drug amount to the airway. Because, tyloxapol has a long half-life of 5-6 days when delivered into the lung (DeAngelis R. L., and J. W. Findlay. 1993. Metabolism of synthetic surfactants. Clin. Perinatol. 20:697-710; Sachs, S., and S. L. Young. 1995. Pharmacokinetics of intratracheally instilled tyloxapol in the rat: localization of protection against hyperoxic injury. Am. J. Respir. Crit. Care Med. 151:A645), higher concentrations also allow one to deliver tyloxapol as a once a day therapy, thereby leading to greater ease of treatment for the patient and greater patient compliance with prescribed therapy. As an example, a 0.25 to 5.0% solution of tyloxapol is made in sterile 0.85 to 0.9% NaCl and double glass distilled deionized water to make it isotonic with respect to respiratory secretions. The pH is adjusted to approximately 7.0 to prevent bronchospasm from extremes of acidity or alkalinity. This mixture is sterilized by vacuum filtration through a 0.22 micron Millipore filter and 3.3 ml each is packaged into 5 ml unit dose glass vials with rubber stoppers fastened with aluminum crimp-on "flip-tear", seals. A 0.1% concentration of glycerol may be optionally added to the above mixture to stabilize droplet size during aerosolization, but the concentration of NaCl must be further lowered, as described above. To enhance the effectiveness of the therapy, a treatment effective amount of a commonly available anti-inflammatory glucocorticoid, such as methylprednisolone (1-5 mg), triamcinolone (1-5 mg), beclomethasone dipropionate (1-4 mg), flunisolide (200-400 μg) or dexamethasone (200-400 μg, either as dexamethasone or its water soluble congener dexamethasone sodium phosphate) may be added to the formulation. Combining an alkylaryl polyether alcohol polymer and an anti-inflammatory glucocorticoid provides a means for reducing glucocorticoid resistance in asthma and other diseases, thereby enhancing glucocorticoid effectiveness. This is accomplished by blocking, with addition of the alkylaryl polyether alcohol polymer, activation of the transcription factor NF-κB, thereby preventing binding and thereby mutual repression of the glucocorticoid receptor complex by active NF-κB present in the cytoplasm. An additional advantage of the combined formulation is that alkylaryl polyether alcohol polymers, as surface active agents, will aid in the solubilization of water-insoluble anti-inflammatory glucocorticoids such as triamcinolone, beclomethasone dipropionate, flunisolide or dexamethasone, thereby promoting their effective distribution to the airway. For administration of treatment effective doses to the lungs and bronchial airways, 3 ml of sterile tyloxapol solution is inhaled as an aerosol once a day using a clinically available positive pressure driven nebulizer such as the devices described above. Alternately, the mixture can be nebulized into the respiratory delivery circuit of a mechanical ventilatory. A beta sympathetic agonist bronchodilator (such as 1.25 to 2.5 mg of albuterol) can be mixed with the tyloxapol solution and nebulized concomitantly, if desired to decrease total treatment time if the patient is also receiving independent therapy with beta agonist bronchodilators. A quaternary ammonium derivative of atropine such as ipratoprium (500 μg) or glycopyrrolate (200-1,000 μg) can also be added to the tyloxapol solution for the same purpose. For administration of treatment effective doses to the nasal airway, the sterile tyloxapol solution or tyloxapol solution containing the above anti-inflammatory corticosteroids is placed in a commercially available 10 ml squeeze bottle or similar device that generates a fine mist. For relief of nasal rhinitis, rhinosinusitis or other inflammation, 1 to 4 sprays from this dispense is inhaled into each nostril once or twice a day. In order to facilitate a further understanding of the invention, the following examples primarily illustrate certain more specific details thereof. Example I demonstrates the potent activity of alkylaryl polyether alcohol polymers as .sup.• OH scavengers in chemical systems. Example II demonstrates the therapeutic benefit of using alkylaryl polyether alcohol polymers to prevent mammalian lung injury from exposure to 100% oxygen. Example III demonstrates the potent activity of alkylaryl polyether alcohol polymers as scavengers of HOCl in chemical systems. Example IV demonstrates inhibition of activation of the transcription factor NF-κB. Example V demonstrates suppression of cytokine and GM-CSF production. Example VI demonstrates the extremely hypertonic nature of the original ALEVAIRE formulation and how the formulation described herein solves this problem. EXAMPLE I Inhibition of Oxidants Generated by the Fenton Reaction The first chemical system used to test the antioxidant activity of alkylaryl polyether alcohol polymers employed salicylate as the target molecule of oxidants. Hydroxyl radical reacts with salicylic acid (2 hydroxybenzoic acid) to produce two dihydroxybenzoic acid products, 2,3- and 2,5-dihydroxybenzoic acid. These hydroxylated products provide evidence of .sup.• OH generation (R. A. Floyd et al. Journal of Biochemical and Biophysical Methods (1984) 10:221-235; R. A. Floyd et al. Journal of Free Radicals in Biology & Medicine (1986) 2:13-18). The detection of 2,3- and 2,5-dihydroxybenzoic acid was performed using high performance liquid chromatography with electrochemical detection. Suspensions of 10 μM FeCl 3 , 1 mM H 2 O 2 , 1.0 mM ascorbate and 10.0 μM salicyclic acid were employed to generate and detect .sup.• OH. Either 1.0 ml of normal saline or tyloxapol (final concentrations of 0.0 to 10 mg/ml) were added. The reaction mixtures were incubated at 45° C. for 30 min and centrifuged at 1200 g for 10 min. Supernatant was centrifuged (Beckman Microfuge E) through a 0.22 μM microfuge tube filter (PGC Scientific No. 352-118) at 15,000 g. A 100 μL sample of the eluate was injected ont a C18 RP HPLC column (250×4.7 mm, Beckman No. 235329). Hydroxylated products of salicylate were quantified with a Coulochem electrochemical detector (ESA model 5100 A) with the detector set at a reducing potential of -0.40 VDC. The guard cell (used as a screen) was set at an oxidizing potential of +0.40 VDC. Measurements were done in duplicate. FIG. 1 shows that the addition of tyloxapol to the reaction mixture inhibited .sup.• OH generation in a concentration dependent manner. The second chemical system used to test the antioxidant activity of alkylaryl polyether alcohol polymers employed 2-deoxyribose as the target molecule of oxidants. This pentose sugar reacts with oxidants to yield a mixture of products. On heating with thiobarbituric acid (TBA) at low pH, these products form a pink chromophore that can be measured by its absorbance at 532 nm (B. Halliwell and J. M. C. Gutteridge. Methods in Enzymology (1990) 186:1-85). The chemical system employed to generate oxidants was a reaction mixture containing 10.0 μM FeCl 3 , 1.0 mM ascorbate, 1.0 mM H 2 O 2 and 1.0 mM deoxyribose in Hanks Balanced Salt Solution. This system is useful for measuring site-specific .sup.• OH generation on biologic molecules, as described by Halliwell and Gutteridge in the reference immediately above. Either 0.1 ml of normal saline or tyloxapol (final concentrations of 0.0 to 10.0 mg/mg) were added. The reaction mixtures were incubated at 45° C. for 30 min and centrifuged at 1200 g for 10 min. One ml of both 1.0% (w/v) TBA and 2.8% (w/v) trichloroacetic acid were added to 1.0 ml of supernatant, heated at 100° C. for 10 min, cooled in ice, and the chromophore determined in triplicate by its absorbance at 532 nm. FIG. 2 shows that the addition of 10 mg/ml tyloxapol to the reaction mixture causes marked inhibition of the oxidation of deoxyribose, as measured by absorbance of the oxidant reaction produced at 532 nm. The third system used to test the antioxidant activity of alkylaryl polyether alcohol polymers employed asbestos as the source of iron for oxidant generation and 2-deoxyribose as the target molecule of oxidants. The generation of oxidants by asbestos has been described previously (A. J. Ghio et al. American Journal of Physiology (Lung Cellular and Molecular Physiology 7) (1992) 263:L511-L518). The reaction mixture, in a total volume of 2.0 ml phosphate-buffered saline (PBS) contained the following reagents: 1.0 mM deoxyribose, 1.0 mM H 2 O 2 , 1.0 mM ascorbate, and 110 mg/ml crocidolite asbestos. The mixture was incubated at 37° C. for 1 h with agitation and then centrifuged at 1,200 g for 10 min. Oxidant generation was assessed by measuring TBA reactive products of deoxyribose as detailed in the paragraph above. Measurements were done in triplicate. TABLE I below shows that the addition of tyloxapol inhibited in a concentration dependent manner the generation of oxidants by asbestos, as measured by absorbance of the oxidant reaction product at 532 nm. TABLE I______________________________________Effect of Tyloxapol on Oxidant Generation of Asbestos Absorbance at 532 nm______________________________________Tyloxapol 0.0 mg/ml 0.93 ± 0.02Tyloxapol 0.1 mg/ml 0.89 ± 0.04Tyloxapol 1.0 mg/ml 0.75 ± 0.01Tyloxapol 10.0 mg/ml 0.53 ± 0.04______________________________________ EXAMPLE II Protection from Mammalian Lung Injury by 100% Oxygen To determine if alkylaryl polyether alcohol polymers could protect against oxidant injury to intact biologic systems, this treatment was studied in a well established model of oxygen toxicity to the lung (J. F. Turrens, et al. Journal of Clinical Investigation (1984) 73:87-95). Sixty-day old male Sprague-Dawley rats (Charles River, Inc., Wilmington, Mass.) were tracheally instilled with 0.5 ml of either normal saline, tyloxapol (6.0 mg) or tyloxapol (6.0 mg) and cetyl alcohol (hexadecanol, 11.0 mg). These rats (n=10 in each treatment group) were then exposed to either air or 100% oxygen in plexiglass chambers at a flow rate of 10 liters/min. Oxygen percentage was monitored by a polarographic electrode and maintained continuously above 98%. Temperature was maintained between 20° and 22° C. Survival times were determined by checking animals every 4 hours. Separate groups of rats treated similarly (n=10 in each treatment group) were exposed to 100% oxygen for 61 hours, and then were euthanized with 100 mg/kg intraperitoneal pentobarbital. Pleural fluid volume was measured by aspirating pleural fluid from the chest cavity through a small incision in the diaphragm. Lung wet/dry weight ratios were calculated from the left lung after drying the tissue for 96 hours at 60° C. Survival data is shown in TABLE II below. Rats receiving intratracheal tyloxapol had markedly improved survival compared to placebo control animals instilled with saline. The protective effect of tyloxapol was further enhanced by combining it with cetyl alcohol. TABLE II______________________________________Effect of Tyloxapol on Oxygen Toxicity in Rats Percent Survival Tyloxapol/Hours Saline Tyloxapol Cetyl Alcohol______________________________________0 100 100 158 100 100 10062 83 100 10066 42 100 10070 17 75 10072 17 75 10076 8 58 10080 8 58 10084 8 58 10088 8 58 10092 0 58 10096 0 58 100______________________________________ Lung wet/dry weight ratios were substantially lower in rats treated with tyloxapol or tyloxapol and cetyl alcohol (FIG. 3), demonstrating that tyloxapol or the combination of tyloxapol and cetyl alcohol protect against edema formation from oxidant injury. Rats treated with tyloxapol or the combination of tyloxapol and cetyl alcohol also had less pleural fluid accumulation than saline treated controls (FIG. 4). These results demonstrate the ability of alkylaryl polyether alcohol polymers such as tyloxapol to protect against oxidant tissue injury. The survival studies (TABLE II) further demonstrate that the protective effect of the medicament is enhanced by combining it with alcohols such as cetyl alcohol. EXAMPLE III Scavenging of HOCl The activity of tyloxapol to scavenge OCl - was tested by studying its ability to prevent OCl - mediated oxidant conversion of diethanolamine to its corresponding stable chloramine ("Determination of HOCl Production by Myeloperoxidase", Robert A. Greenwald, editor, Handbook of Methods for Oxygen Radical Research, CRC Press, Boca Raton, Florida (1987), page 300). The reaction mixture comprised 0.9 ml of 10.0 mM diethanolamine in 0.1 N sodium acetate buffer, pH 4.5. To this was added either 100 μL of 0.1M NaCl or tyloxapol in 0.1 M NaCl, and the baseline absorbance was read at 280 nm. NaOCl was added to a final concentration of 10 mM. The reaction mixture was incubated 15 min, and the absorbance was measured at 280 nm. The difference in A 280 before and after addition of NaOCl was used as a measure of concentration of the stable chloramine. Experiments were performed in triplicate. Results are summarized in Table III below: TABLE III______________________________________Effect of Tyloxopol on HOC1-Induced Choramine FormationMicroliters of AbsorbanceTyloxapol (10 mg/ml) (Mean ± SD)______________________________________0 0.505 ± 0.00225 0.468 ± 0.00850 0.444 ± 0.02375 0.377 ± 0.010100 0.319 ± 0.025______________________________________ To demonstrate that tyloxapol is also an effective scavenger of HOCl in vivo, the ability of tyloxapol to protect against lung injury from HOCl was studied in 60-day old male Sprague-Dawley rats (n=6 per treatment group) weighing 250-300 g (Charles River Breeding Labs, Wilmington, Mass.). After anesthesia with halothane (2-5%) rats were injected intratracheally with either 0.3 ml of 2.0 mM NaOCl in normal saline (buffered to pH 6.0), or with normal saline alone. The rats were allowed to recover, and one hour later were dosed intratracheally with either 6.0 mg tyloxapol in normal saline or with normal saline. Twenty-four hours after NaOCl instillation, all rats were euthanized with sodium pentobarbital. The tracheas were cannulated and lungs were lavaged with normal saline (35 ml/kg body weight). After staining of the lavage fluid with a modified Wright's stain (Diff-Quick stain, ASP, McGraw Park, Ill.), the cell differentials were determined on 500 cells/sample. Values were expressed as the percentage of total cells recovered. Lavage protein was measured using the Bio-Rad method for total protein determination as modified for use on the centrifugal analyzer. Intratracheal instillation of NaOCl caused acute lung injury as demonstrated by a marked increase in protein concentration and % neutrophils (% PMNS) in lung lavage fluid (FIG. 5). Post exposure treatment with tyloxapol significantly reduced lavage protein concentration (p<0.001) and % PMNs (p<0.01), demonstrating that tyloxapol also protects against HOCl-mediated cytotoxicity in vivo. Thus, tyloxapol is a potent inhibitor of the oxidant activity of HOCl, and should be useful in preventing HOCl mediated oxidant injury of the airway. Administration of tyloxapol by tracheal instillation to patients with neutrophil-mediated airways diseases such as cystic fibrosis and chronic bronchitis should inhibit HOCl produced in these patients and therefore protect them from oxidant injury. The result should be even better if some cetyl alcohol is admixed with the tyloxapol; preferably, the cetyl alcohol is added in 1 to 1.5 times the weight of the tyloxapol. Preparation of samples for administration to the patient should be the same as described above in the "DETAILED DESCRIPTION OF THE INVENTION" section herein, most preferably inhalation of 3 ml of a 0.25 to 5.0% isotonic solution of tyloxapol in NaCl and water by jet aerosol once a day. EXAMPLE IV Inhibition of the Activation of Transcription Factor NF-κB by Tyloxapol As discussed earlier, control of genetic expression of cell proteins is controlled by proteins called transcription factors which bind to regulatory DNA sequences and influence production of the protein product of the regulated gene. An important transcription factor for inflammation is NF-κB, which promotes transcription of the messenger RNA for pro-inflammatory cytokines and growth factors. To determine if tyloxapol inhibits activation of the transcription factor NF-κB, tyloxapol was tested in electrophoretic mobility gel shift assays performed on cultured A549 human lung epithelial cells. A549 human pulmonary epithelial cells were cultured in Ham's F-12 medium supplemented with 10% heat-inactivated fetal calf serum, L-glutamine (2 mM), penicillin (100 U/ml), streptomycin (100 μg/ml) and amphotericin B (250 μg/ml). Confluent cells were stimulated with 10 U/ml IL-1 β or 100 μM H 2 O 2 . In some cultures 100 μg/ml tyloxapol was added at the same time as the stimulators. After 2 hours of incubation, nuclear extracts were isolated as described by Dignam et al. (J. D. Dignam, R. M. Lebovita, and R. G. Roeder. "Accurate transcription initiation by RNA polymerase II in a soluble extract from isolated mammalian nuclei" Nucleic Acid Research (1983) 11:1475-1489), with minor modifications (C. V. Gunther and B. J. Graves "Identification of ETS domain proteins in murine T lymphocytes that interact with the Moloney murine leukemia virus enhancer" Molecular and Cellular Biology (1994) 14:7569-7580). In brief, after removal of the supernatant, cells were scraped gently in 20-30 ml of PBS containing 1 mM phenylmethylsulfonyl fluoride (PMSF) and 1 mM dithiothreitol (DTT). The cell suspension were centrifuged and the pellets were resuspended and incubated for 15 min in 1 ml buffer A containing 10 mM HEPES, 1.5 mM MgCl 2 , 10 mM KCl, 1 mM PMSF, 1 mM DTT, 10 mM β-glycerolphophate, 2.5 mM benzamidine, 1 mM NaF, 1 mM NaVO 4 , 1 mg/ml leupeptin and 1 mg/ml pepstatin A, then were sheared by 5 times passage of the suspensions through a 25 G needle. After centrifugation, the pellets were suspended and stirred for 30 min in buffer C containing 25% vol/vol glycerol, 0.25M NaCl, 1.5 mM MgCl 2 , 0.2 mM ethylenediamine tetraacetic acid (EDTA), 1 mM PMSF, 1 mM DTT, 10 mM β-glycerolphophate, 2.5 mM benzamidine, 1 mM NaF, 1 mM NaVO 4 , 1 mg/ml leupeptin and 1 mg/ml pepstatin A. After centrifugation, nuclear extracts were obtained by dialysis of the supernatants in buffer D containing 20 mM HEPES, 20% vol/vol glycerol, 100 mM KCl, 0.2 mM EDTA, 1 mM PMSF and 1 mM DTT. Utilizing the wild type consensus sequences for AP-1 (W. Lee, P. Mitchell and R. Tijan "Purified transcription factor AP-1 interacts with TPA-inducible enhancer elements" Cell (1987) 49:742-752) and NF-κB (R. Sen and D. Baltimore. "Multiple nuclear factors interact with the immunoglobulin enhancer sequences" Cell (1986) 46:705-716) loci, the following oligonucleotides were synthesized (binding sites underlined): ##STR2## The oligonucleotides were purified by denaturing polyacrylamide gel electrophoresis followed by passage over Sep-Pak C18 columns. Each complementary strand was end-labeled by phosphorylation with T 32 P!-ATP and T4 polynucleotide kinase. Double-stranded DNA probes were generated by annealing the complementary end-labeled oligonucleotide strands, boiling for 3 min and slow-cooling to room temperature in a water bath. Unincorporated radionucleotides were removed by Sephadex G-25 column chromatography. Binding reactions were performed for 20 min on ice with 5-10 μg total protein in a 20 μL volume containing 300 ng bovine serum albumine (BSA), 1-2 μg poly(dI-dC), 50 mM DTT, 0.5 mM PMSF and 1-2×10 4 c.p.m. of 32 P-labeled probes. In addition, a concentration of 6 mM MgCl 2 was used for AP-1 binding reactions. In selected samples a 100-fold molar excess of unlabeled DNA probe was included in the binding reaction in order to confirm the specificity of DNA-protein interactions. DNA-protein complexes were separated from unbound DNA probe on 4.5% polyacrylamide gels under high ionic strength conditions in 50 mM tris (hydroxymethyl)aminomethane (Tris), 0.4 M glycine, 2 mM EDTA and 2.5% vol/vol glycerol, pH 8.5. Electrophoresis was carried out at 4° C. at a constant current of 20 mA. Gels were dried under vacuum and exposed to film at -70° C. for 6-24 h with an intensifier screen. As shown in FIG. 6, tyloxapol prevents IL-1β- or H 2 O 2 -induced binding of NF-κB, but not AP-1, to nuclear extracts. Confluent A549 human pulmonary epithelial cells were incubated without (lane 1) or with 10 U/ml of IL-1 β (lanes 2 and 3) or 100 μM H 2 O 2 (lanes 4 and 5). Tyloxapol (100 ug/ml, lanes 3 and 5) was added at the same time as stimulators. After 3 hours of incubation, nuclear extracts were prepared. Aliquots of the extracts were incubated with 32 P-labeled NF-κB- and AP-1-specific oligonucleotides and analyzed in electrophoretic mobility shift assays as detailed above. Position of the specific DNA-protein complexes are indicated by the arrowhead. One hundred-fold molar excess of the appropriate unlabeled DNA probe was included in the binding reactions for the samples shown in the competition lanes. Thus, tyloxapol inhibits activation of the transcription factor NF-κB. This action is specific, since the activation of another important transcription factor, AP-1, was not affected. Blocking activation of NF-κB would carry the advantage of reducing cell production of pro-inflammatory cytokines and growth factors, thereby ameliorating inflammation in the tissue treated. EXAMPLE V Supression of Cytokine Production by Tyloxapol Inhibiting activation of the transcription factor NF-κB would be expected to reduce secretion of the pro-inflammatory cytokines influenced by NF-κB. As examples, cachexia and/or anorexia prominent in patients with severe cystic fibrosis lung disease is caused by an increased rate of TNF gene transcription and secretion by cystic fibrosis macrophages. (See K. D. Pfeffer, et al. "Expression and regulation of tumor necrosis factor in macrophages from cystic fibrosis patients". American Journal of Respiratory Cell and Molecular Biology. (1993) 9:511-519). TNF is also an important mediator in the pathogenesis of asthma (R. J. Horwitz and W. W. Busse. "Inflammation and asthma". Clinics in Chest Medicine (1995) 16:585-602). Tyloxapol should ameliorate the adverse effects of TNF in cystic fibrosis and asthmatic pathophysiology when administered to cystic fibrosis or asthmatic patients because, as shown below, it is a potent suppressant of TNF secretion by monocyte-macrophage cell lines. By inhibiting TNF secretion, tyloxapol should also lessen the corticosteroid resistance in asthma caused in part by this cytokine (P. J. Barnes, et al. "Glucocorticoid resistance in asthma". American Journal of Respiratory and Critical Care Medicine (1995) 152:S125-S142). Also, IL-8 is a potent chemoattractant for polymorphonuclear neutrophils, and plays a prominent role in the pathogenesis of diverse diseases such as cystic fibrosis, chronic bronchitis, Adult Respiratory Distress Syndrome, and psoriasis (See, H. Nakamura, et al, "Neutrophil elastase in respiratory epithelial lining fluid of individuals with cystic fibrosis induces interluekin-8 gene expression in a human bronchial epithelial cell line". Journal of Clinical Investigation (1992) 89:1478-1484; N. G. McElvaney, et al. "Modulation of airway inflammation in cystic fibrosis". In vivo suppression of interleukin-8 levels on the respiratory epithelial surface by aerosolization of recombinant secretory leukoprotease inhibitor. Journal of Clinical Investigation (1992) 90:1296-1301;M. Baggiolini, et al. "Interleukin-8 and related chemotactic cytokines". In Inflammation: Basic Principles and Clinical Correlates, second edition. J. I. Gallin, I. M. Goldstein, and R. Snyderman, editors. Raven Press, Ltd., N.Y. (1992) p. 247-263). By inhibiting IL-8 secretion, tyloxapol should ameliorate the influx of neutrophils into inflamed tissue in these diseases. Finally, GM-CSF is an important growth factor activating and lengthening the life-span of eosinophils in asthma (D. W. Golde and G. C. Baldwin. "Myeloid growth factors". In Inflammation: Basic Principles and Clinical Correlates, second edition. J. I. Gallin, I. M. Goldstein, and R. Snyderman, editors. Raven Press, Ltd., N.Y. (1992) p. 291-301; R. J. Horwitz and W. W. Busse. "Inflammation and asthma". Clinics in Chest Medicine (1995) 16:583-602). By reducing GM-CSF secretion, tyloxapol should help reduce the eosinophilia and its consequences for the asthmatic airway. To test the effect of tyloxapol on cytokine secretion, monocytes were prepared by centrifugal elutriation from leukopaks obtained from healthy human donors. Purified monocytes were suspended at 2×10 6 cells in RPMI-1640 supplemented with 100 U/ml penicillin, 100 μg/ml streptomycin, 2 mM L-glutamine, 1 mM sodium pyruvate, 1% MEM non-essential amino acids, 25 mM N-2-hydroxyethyl-ierazine-N'-ethane sulfonic acid (HEPES) and 196 Nutridoma (Boehringer Mannheim, Indianapolis, Ind.), and 5% pooled, heat-inactivated human AB serum (Pel-freeze, Brown Deer, Wis.). One-half ml of this cell suspension was added to each well of a 48-well flat bottomed tissue culture plate. Test materials (diluted in complete medium at 4× the desired final concentration) were added in 250 μL volumes to each well. Control wells received 250 μL of either complete medium or 250 μL of IL-4 (diluted to 4× the desired final concentration of 50 μg/ml). Tyloxapol was tested in triplicate at four concentrations in either the presence or absence of 100 ng/ml Salmonella typhosa lipopolysaccharide (LPS, 250 μL of 4× desired final concentration added) and incubated at 37° C. in humidified 5% CO 2 for 16 hours. At this time culture supernatants were aspirated off and the unattached cells and cell debris were removed by filtration. The release of TNF-α, IL-1β, IL-6 and IL-8 and the growth factor GM-CSF was determined in the cell-free supernatants using ELISA capture assays. The concentration of endotoxin in all buffers and tyloxapol was below the level of detection (25 pg/ml). Incubations of monocytes in concentrations of tyloxapol equal to or below 100 μg/ml were associated with no significant elevations in LDH concentration in the supernatant, supporting a lack of cytotoxicity by tyloxapol, and suggesting that the inhibition of cytokine secretion noted below was not due to an injurious detergent effect on monocytes. Tyloxapol had no effect on baseline release of any mediator except for IL-8, but significantly decreased secretion of IL-8 in unstimulated cells (FIG. 7). However, release of several mediators by LPS stimulated monocytes was significantly diminished at low concentrations of tyloxapol. Secretion of TNF-α, IL-1β, IL-6, IL-8 and GM-CSF was significantly (p<0.01) decreased by tyloxapol in a dose-dependent manner (FIG. 8), with effective concentrations for 50% inhibition (EC 50 ranging from 30-70 μg/ml (Table IV, below). However, tyloxapol did not change PAF release from LPS stimulated monocytes, providing additional evidence that the effect of tyloxapol was selective on cytokines influenced by NF-κB. TABLE IV______________________________________Effective Concentrations of Tyloxopol for50% Inhibition EC.sub.50 of Monocyte Cytokine ReleaseCytokine EC.sub.50 (μg/ml)______________________________________TNF-α 30IL-1β 60IL-6 30IL-8 70______________________________________ Thus, tyloxapol is a potent inhibitor of pro-inflammatory cytokine secretion, a result expected of a therapeutic agent that inhibits the transcription factor NF-κB. As such, tyloxapol would be expected to help ameliorate cachexia and/or anorexia from TNF, such as in patients with cystic fibrosis. Aerosolized tyloxapol would also be expected to reduce airway injury of diseases of airway, such as cystic fibrosis, asthma and chronic bronchitis, and diffuse lung inflammation and injury, such as in Adult Respiratory Distress Syndrome, by inhibiting local production of the chemoatractant IL-8, TNF, IL-1, IL-6 and GM-CSF. Topical tyloxapol would be expected to ameliorate inflammatory diseases of the skin such as psoriasis and response to solar or thermal burn by reducing local production of the same cytokines. The result should be even better if the tyloxapol is mixed in a formulation with glucocorticoids, since by inhibiting NF-κB by a different mechanism than does the glucocorticoid-GR receptor complex, tyloxapol would reduce cytokine-induced-NF-κB related resistance to anti-inflammatory glucocorticoids, as discussed above. Reduction in steroid resistance would, in turn, potentiate the overall anti-inflammatory activity of glucocorticoids and enhance amelioration of inflammation of the body compartment treated. Tyloxapol should also work even better if admixed with some cetyl alcohol, added in 1 to 1.5 times the weight of tyloxapol. Preparation of the samples for administration to the patient should be the same as described above, most preferably inhalation of 3 ml of a 0.25 to 5.0% isotonic solution of tyloxapol in NaCl and water, with or without admixed anti-inflammatory glucocorticoid by jet aerosol once a day. EXAMPLE VI The ALEVAIRE formulation of 0.125% tyloxapol, 2% NaHCO 3 and 5% glycerol in sterile water was originally devised by Miller as a vehicle for delivery of streptomycin by inhalation to children with tuberculosis (Miller, J. B., H. A. Abramson, and B. Ratner. 1950. Aerosol streptomycin treatment of advanced pulmonary tuberculosis in children. Am. J. Dis. Child. 80:207-237), based on the finding that the combination of sodium bicarbonate and tyloxapol increased susceptibility to streptomycin in vitro. Miller and Boyer then noted a mucolytic effect of the formulation first in a group of adult tuberculosis patients who were reported to have their thick, viscid, difficult-to-expectorate airway secretions become almost immediately thin and watery on therapy with the tyloxapol/glycerol/sodium bicarbonate formulation (Miller, J. B., and E. H. Boyer. 1952. A nontoxic detergent for aerosol use in dissolving viscid bronchopulmonary secretions. J. Pediat. 40:767-771). From this beginning, the formulation of 0.125% tyloxapol, 2% NaHCO 3 and 5% glycerol, renamed ALEVAIRE, spread into use as a mucolytic therapy and received an NDA for this use in the early 1950s (Tainter, M. L., F. C. Nachod, and J. G. Bird. 1955. ALEVAIRE as a mucolytic agent. N. Enql. J. Med. 253:764-767). As described earlier, the formulation was withdrawn from the U.S. market in 1981. Even before its withdrawal from the market, there was published evidence that the ALEVAIRE formulation of tyloxapol was associated with side effects in some individuals. Paez and Miller studied ALEVAIRE in 20 patients with chronic obstructive pulmonary disease (Paez, P. N. and W. F. Miller. 1971. Surface active agents in sputum evacuation: a blind comparison with normal saline solution and distilled water. Chest 60:312-317). Lung function did not change after subjects inhaled solutions of normal saline, water, or Tergemist (sodium 2-ethylehexyl sulfate 0.125% and potassium iodide 0.1%), but four patients developed evidence of increased airways obstruction after inhaling ALEVAIRE. Subsequently, Fevrier and Bachofen, using a double-blind crossover design, studied the effect of ALEVAIRE or saline as carrier solutions for the inhalation of beta agonists in 24 patients with asthma (Fevrier, D., and H. Bachofen. 1975. Vergleich von tyloxapol (Tacholiquin, ALEVAIRE) mit physiologischer kochsalzlosung als inhalationstragerluscungen. Schweiz. med Wschr. 195:810-815). The authors measured specific airway conductance (the inverse of airways resistance) over a 2 hour period following inhalation of 3 ml of test solution. ALEVAIRE solution without beta agonist bronchodilator caused a 20% fall in specific conductance at 20 minutes (p<0.05) that resolved completely by 60 minutes. Thus, the ALEVAIRE formulation was clearly causes bronchospasm after inhalation by susceptible individuals such as those with asthma or airways reactivity. Hypertonic solutions of sodium chloride cause bronchoconstriction in asthmatic individuals (Kivity, S., J.Greif, et al. 1986. Bronchial inhalation challenge with ultrasonically nebulized saline; comparison to exercise-induced asthma. Ann. Allergy 57:355-358). The inhalation of a solution of 4% sodium chloride or 1% sodium chloride and 18.3% dextrose (1,232 mOsm) can also induce bronchoconstriction (wheezing) in normal subjects (Eschenbacher, W. L., H. A. Boushey, et al. 1983. The effect of osmolarity and ion content of nebulized solutions on cough and bronchoconstriction in human subjects. Am. Rev. Respir. Dis. 1983:127:240). In bronchial rings dissected from fresh human lung tissue, hyperosmolar Krebs-Henseleit buffer (450 mOsm, extra sodium chloride added) evokes a biphasic response: a rapid relaxation phase (peak after 5 min.) followed by a slow contraction phase (peak after 25 min.), with an overall net increase in airway tone to about twice baseline (Jongejan, R. C., J. C. de Jongste, et al. 1991. Effect of hyperosmolarity on human isolated central airways. Br. J. Pharmacol. 102:931-937). The calculated osmolarity of the original ALEVAIRE solution is 1,019 mOsm, not dissimilar from that of the solution found to cause bronchoconstriction in normal subjects (see Eschenbacher, above). Of the total osmolarity, the 2% NaHCO 3 contributes by calculation 476 mOsm, the 5% glycerol contributes 548 mOsm and 0.125% tyloxapol contributes only 0.2 mOsm. To confirm this, formulations of 2% NaHCO 3 and 5% glycerol in water, with and without 0.125% tyloxapol were made. The osmolarity of these solutions was measured directly by freezing point depression using an Advanced micro-osmometer (Advanced Instruments, Norwood, MA). Both solutions, with and without tyloxapol measured approximately 985 mOsm. Thus, tyloxapol, because of its large polymeric nature and size, contributes little to the osmolarity of solution at pharmacologically useful concentrations. The formulas of the present invention were designed, e.g., to eliminate the hypertonicity of the original Aleviare formulation, in part by using tyloxapol in 0.8 to 0.9% NaCl. To enhance its effectiveness as an antioxidant and anti-inflammatory therapy, tyloxapol concentrations were increased to concentrations above 0.125% to about 0.5% to about 5.0%. The other aerosol formulation containing tyloxapol is EXOSURF Neonatal (Glaxo-Welcome). When reconstituted in sterile water, EXOSURF contains 13.5 mg/ml DPPC, 1.5 mg/ml cetyl alcohol, and 1 mg/ml tyloxapol in 0.1 N NaCl (a 0.1% solution of tyloxapol). DPPC is eliminated from the formulations of the present invention. It is not necessary for the pharmacologic antioxidant or anti-inflammatory action of tyloxapol, and, as described earlier, is associated with undesired side effects including, but not limited to, mucus plugging of the airways and obstruction of ventilator circuits. The appended claims set forth various novel and useful features of the invention.
There is provided novel pharmaceutical compositions containing tyloxapol as the active ingredient. These formulations comprise tyloxapol at concentrations above 0.125%, preferably from about 0.25% to about 5.0%. In addition, the invention encompasses pharmaceutical compositions having reduced hypertonicity which compositions comprise tyloxapol in pharmaceutically acceptable solutions without significant concentrations of hypertonic agents or other active ingredients NaHCO 3, or active phospholipids, such as DPPC. The less hypertonic formulations allow one to derive all the benefits of the active ingredient tyloxapol, such as its reduced toxicity and enhanced half-life, while avoiding or reducing side effects, such as bronchospasms, associated with the various hypertonic agents or other active ingredient agents.
FIELD [0001] The invention is related to electrodes for electrochemical cells and methods of making such electrodes and electrochemical cells. BACKGROUND [0002] The following discussion discloses electrodes and electrochemical cells and methods of making such electrodes and cells for use in an implantable medical device (IMD) that is very compact, such that IMD can be readily implanted in small spaces within the patient's anatomy, and such that the IMD is less likely to cause patient discomfort. Additionally, manufacturing of the electrodes and electrochemical cells for such IMDs can be facilitated due to several features, which will be described in greater detail below. SUMMARY [0003] Electrode assemblies and methods of making such electrode assemblies are disclosed. In one embodiment, an electrode assembly comprises a current collector attached to a distal portion of a feedthrough pin, and an electrode that includes electrode material encapsulating the attached current collector and the distal portion of the feedthrough pin. [0004] In another embodiment, a method of making an electrode assembly includes attaching a current collector to a distal portion of a feedthrough pin and forming a solid electrode electrically coupled to the current collector and the feedthrough pin, the solid electrode encapsulating the current collector and the distal portion of the feedthrough pin. [0005] In another embodiment, an electrochemical cell includes a housing, an electrode assembly partially within the housing, the electrode assembly comprising a current collector attached to a distal portion of a feedthrough pin and a first electrode comprising a first electrode material encapsulating the attached current collector and the distal portion of the feedthrough pin, a proximate portion of the feedthrough pin extending from the housing, and a second electrode within the housing and electrically coupled to the housing, the first electrode electrically insulated from the housing and the second electrode. [0006] In another embodiment, method includes assembling an electrode assembly comprising the steps of attaching a current collector to a distal portion of a feedthrough pin and forming a solid first electrode electrically coupled to the current collector and feedthrough pin from a first electrode material coupled to the feedthrough pin and the attached current collector and encapsulating the current collector, and inserting the electrode assembly into a housing, the housing containing a second electrode electrically coupled to the housing and the housing configured to electrically insulate the first electrode from the housing and the second electrode. BRIEF DESCRIPTION OF THE DRAWINGS [0007] FIG. 1 depicts an embodiment of an assembly method for an electrochemical cell and an embodiment of an electrode assemble and electrochemical cell of the disclosure; [0008] FIG. 2 depicts another embodiment of an assembly method for an electrochemical cell and another embodiment of an electrode assemble and electrochemical cell of the disclosure; [0009] FIG. 3 depicts an embodiment of a solid electrode useful in the electrochemical cells of the disclosure; [0010] FIG. 4 depicts an embodiment of a press assembly useful for making electrode assemblies of the disclosure; [0011] FIG. 5 depicts a press frame in an open position; [0012] FIG. 6 depicts a press assembly useful in making electrode assemblies of the disclosure; [0013] FIG. 7 depicts a cross-sectional view of a press assembly; [0014] FIG. 8 depicts an enlarged cross-sectional view of a portion of a press assembly prior to formation of an electrode; and [0015] FIG. 9 depicts another cross sectional view of a press assembly containing an electrode assembly post forming. DETAILED DESCRIPTION [0016] As used herein, “encapsulating” also includes substantially encapsulating wherein an outline of the top of the current collector is visible or a fraction of the top of the current collector protrudes from the formed electrode; [0017] “Anode” and “cathode” are used as these terms are commonly understood in reference to electrochemical cells, for example, batteries and capacitors. [0018] The methods and electrode assemblies of the invention can be used to assemble electrochemical cells, for example batteries and capacitors. Such batteries and capacitors are useful in compact IMDs that can be implanted within small spaces of an anatomy, such as the vasculature or an organ, for example, a chamber of the heart. One embodiment of an electrochemical cell and a method of assembling such cell are shown in FIG. 1 . In this embodiment, assembly step 10 includes attaching a feedthrough 14 to the feedthrough pin 16 , attaching a cover 12 onto the feedthrough 14 by welding, for example, laser beam welding which is hermetically attached to a feedthrough pin 16 to form a feedthrough assembly 22 . A solid, cylindrical or “puck-shaped” anode 18 is placed into an outer case 19 . As shown in FIG. 1 , the feedthrough pin is a solid, unitary pin that extends continuously from its distal tip, extending through the solid electrode, through the feedthrough 14 and extending outward from the feedthrough. [0019] Assembly step 20 includes sliding an insulator disk 24 over the feedthrough pin 16 and adjacent to the feedthrough 14 and a conductive current collector 26 is attached to the distal end of the feedthrough pin 27 , for example, by welding, to form a header assembly 34 . A disk shaped separator 28 is placed into the outer case 19 and adjacent the anode 18 . [0020] A cylindrical and tubular cathode insulator 32 is placed within the outer case 19 for isolation of a cathode from the wall of the outer case 19 in assembly step 30 . As shown in assembly step 40 , a solid and cylindrically shaped cathode 42 is formed onto and encapsulates the attached current collector 26 and a distal portion of the feedthrough pin, including the attachment points, for example, welds, where the current collector is attached to the feedthrough pin. Details on how the cathode is formed onto the current collector and feedthrough pin are provided below. [0021] The resulting cathode assembly 44 can be mated with the anode assembly 46 and an electrolyte can be introduced through the fill port 13 to provide an electrochemical cell 52 at assembly step 50 . Such assembly methods provide a complete electrode or cathode assembly 44 which does not require separate attachment of an electrode to a feedthrough pin, for example, between the cap 12 and the electrode 42 or otherwise, within the electrochemical cell. In other words, the feedthrough pin is unitary or a single piece (other than an attached current collector) from the distal end of the electrode through the cap and extending outward. The cover can be attached to the outer case, for example, welded to the case via a continuous weld along the contact areas. [0022] Of course, the shapes of the anode, cathode, and outer case can vary depending upon the application. For example, the cross section of the anode and cathode can be circular, elliptical, ovate, and other geometrical shapes and can be adapted based on the shape of the outer case. For example, FIG. 3 shows a generally cylindrical anode 140 having a tapered or beveled distal end 142 for conforming to the interior distal end or bottom of the outer case 144 . Additionally, the shape of the current collector can be varied. Typically, the current collector is rectangular and planar and can have varying lengths. The current collect can be other shapes including a simple pin shape, a looped wire, a plastically deformed wire, a wire mesh, or any other shape that improves adhesion and electrical connectivity to the feedthrough pin. [0023] Another embodiment of an electrochemical cell and a method of assembling such cell are shown in FIG. 2 . In assembly step 100 , a feedthrough assembly 102 is provided and an insulator disk 102 and current collector 106 are assembled and attached as described above to from a header assembly 111 . In assembly step 110 , a cylindrical and tubular anode 112 is placed within an outer case 114 . A cathode 122 is formed onto and encapsulates the current collector 106 and a distal portion of the feedthrough pin 124 . Details on how the cathode is formed onto the current collector and feedthrough pin are provided below. A tubular separator 126 is concentrically placed within the tubular anode 112 and within the outer case 114 . The cathode assembly 128 is mated with the anode assembly 129 and an electrolyte can be introduced through the fill port 13 to provide an electrochemical cell 132 . [0024] Materials used in the electrochemical cells described in this application are those materials that are suitable for use in such cells. For instance, feedthrough pins are typically made from metals such as platinum, niobium, molybdenum, titanium alloys (such as Ti6Al4V ELI, Ti3Al2.5V), and stainless steels and alloys or any of them; covers and outer cases are typically made from metals such as titanium, aluminum, and stainless steels; feedthroughs are typically made from metals such as titanium, stainless steel, and alloys of either and contain sealing materials including glass, such as CABAL 12, and LaBor-4; separators are typically made from materials comprising porous polypropylene films, such as commercially available CELGARD 2500 and CELGARD 4560 films from Celgard LLC, Charlotte, N.C.; insulator disks and cathode insulators are typically made of polymeric materials such as polypropylene and ethylene tetrafluoroethylene (ETFE); current collectors are typically comprised of an electrically conductive material such as titanium, aluminum, vanadium, nickel or alloys and mixtures or any of them; anodes for batteries are typically lithium metal, and anodes for capacitors are typically aluminum, tantalum, niobium, and alloys of any of these; electrode materials for cathodes comprise mixtures of silver vanadium oxide (SVO) powder and carbon mono fluoride (CFx) powder and may further comprise carbon black or polytetrafluoroethylene (PTFE), or a combination of both; useful electrolytes include those electrodes that comprise LIBF4 or LiAsF6, for example, containing a mixture of LiBF4, gamma-butyrolactone (GBL) and dimethoxyethane (DME), or a mixture of LiAsF6, propylene carbonate (PC), and DME. [0025] An exemplary method of making an electrode described in this disclosure, for example, a cathode will be described in greater detail. Generally, in one embodiment, a header assembly, for example 111, is placed within a device such that the current collector and distal portion of the feed through pin is within a mold or press die. Electrode material is added to the mold and the electrode material is compressed or pressed onto the current collector and conforming to the walls of the mold or press die and press punches. [0026] FIG. 4 shows a press apparatus 200 useful for in methods of forming electrode assemblies of the disclosure. Press apparatus 200 includes a press frame 210 and a press assembly 220 . The press frame 210 is used to convert downward force illustrated by arrow 212 to synchronized opposing press motion or forces, illustrated by arrows 214 onto the press assembly 220 . The opposing press forces 214 also hold the press assembly rigidly in place. FIG. 5 shows press frame in the open position after the application of an upward force illustrated by arrow 216 . Downward force 212 may be applied by methods and devices known to those skilled in the art, for example, a hydraulic or servo press. The press frame is typically made from metal, for example steel. [0027] FIG. 6 is a magnified depiction of press assembly 220 and FIG. 7 is a cross-sectional view of the press assembly shown in FIG. 6 . Major components of press assembly 220 include a die frame, die assembly 224 and force transfer posts 226 . The force transfer posts 226 transfer the horizontal force 214 from the press frame 210 to the opposed press punches 228 in the die assembly. [0028] FIG. 8 shows a cross-section of a portion of a die assembly 300 and a cross section of a header assembly 302 between open opposed press punches 304 with electrode material within the space defined by the open press punches. The electrode material 306 fills the volume of the space defined by the open press punches and surrounds or encompasses the current collector 308 and distal portion of the feedthrough pin 310 and including the attachment points, for example, welds where the current collector is attached to the feedthrough pin. The attached current collector 308 is oriented such that the bidirectional pressing forces are applied to the major surfaces 312 of the current collector as opposed to the minor surfaces 314 . The die assembly 300 is designed and provided so that the current collector and distal end of the feedthrough pin is substantially centered with the space between the open press punches and the distal end of the current collector is within such space. After being formed, the volume of the electrode material is decreased by a factor of 4×, in other embodiments, 5×, or 3×. Of course, the volume of any compressed electrode material depends upon the particular composition, its morphology, particle size, and the like. [0029] FIG. 9 shows an embodiment of an electrode assembly 316 after electrode material has been compacted or pressed or compressed between the press punches 304 to form a solid electrode that encapsulates the current collector. The terms “encapsulates and “encapsulating” includes substantially encapsulates and substantially encapsulating wherein an outline of the top of the current collector is visible or a fraction of the top of the current collector protrudes from the formed electrode. [0030] Typically, the electrode material is compacted, pressed or compressed within the die assembly through synchronized bidirectional pressing forces, that is, opposed forces generated from substantially simultaneous movement of each press punch toward the current collector such that each press punch moves or travels substantially the same distance, but in an opposed direction such that distance “X” 301 substantially equals distance “X′” 303 ( FIG. 8 ). The electrode material is pressed or compressed until the desired density and geometry of the solid electrode is reached. Typical applied force ranges from about 200 to 500 N, including all points and ranges in between. Once the electrode is made, it is removed from the press assembly and then the entire assembly can easily be inserted and joined to an outer case to complete an electrochemical cell without the step of attaching or welding an electrode to a feedthrough pin. [0031] The methods, electrode assemblies and electrochemical cells described in this disclosure are useful in implantable medical device described in, for example, U.S. application Ser. Nos. 12/547,903, 12/548,234; 12/549,469; 12/549,572 filed on May 29, 2009; and incorporated by reference in this application for the description of the implantable medical devices. [0032] The foregoing description of the embodiments has been provided for purposes of illustration and description. It is not intended to be exhaustive or to limit the invention. Individual elements or features of a particular embodiment are generally not limited to that particular embodiment, but, where applicable, are interchangeable and can be used in a selected embodiment, even if not specifically shown or described. The same may also be varied in many ways. Such variations are not to be regarded as a departure from the invention, and all such modifications are intended to be included within the scope of the invention. [0033] Exemplary embodiments are provided so that this disclosure will be thorough, and will fully convey the scope to those who are skilled in the art. Numerous specific details are set forth such as examples of specific components, devices, and methods, to provide a thorough understanding of embodiments of the present disclosure. It will be apparent to those skilled in the art that specific details need not be employed, that exemplary embodiments may be embodied in many different forms and that neither should be construed to limit the scope of the disclosure. In some exemplary embodiments, well-known processes, well-known device structures, and well-known technologies are not described in detail. [0034] The terminology used herein is for the purpose of describing particular exemplary embodiments only and is not intended to be limiting. As used herein, the singular forms “a”, “an” and “the” may be intended to include the plural forms as well, unless the context clearly indicates otherwise. The terms “comprises,” “comprising,” “including,” and “having,” are inclusive and therefore specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof. The method steps, processes, and operations described herein are not to be construed as necessarily requiring their performance in the particular order discussed or illustrated, unless specifically identified as an order of performance. It is also to be understood that additional or alternative steps may be employed. [0035] When an element or layer is referred to as being “on”, “engaged to”, “connected to” or “coupled to” another element or layer, it may be directly on, engaged, connected or coupled to the other element or layer, or intervening elements or layers may be present. In contrast, when an element is referred to as being “directly on,” “directly engaged to”, “directly connected to” or “directly coupled to” another element or layer, there may be no intervening elements or layers present. Other words used to describe the relationship between elements should be interpreted in a like fashion (e.g., “between” versus “directly between,” “adjacent” versus “directly adjacent,” etc.). As used herein, the term “and/or” includes any and all combinations of one or more of the associated listed items. Although the terms first, second, third, etc. may be used herein to describe various elements, components, regions, layers and/or sections, these elements, components, regions, layers and/or sections should not be limited by these terms. These terms may be only used to distinguish one element, component, region, layer or section from another region, layer or section. Terms such as “first,” “second,” and other numerical terms when used herein do not imply a sequence or order unless clearly indicated by the context. Thus, a first element, component, region, layer or section discussed below could be termed a second element, component, region, layer or section without departing from the teachings of the exemplary embodiments. [0036] Spatially relative terms, such as “inner,” “outer,” “beneath”, “below”, “lower”, “above”, “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. Spatially relative terms may be intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures.
Miniature electrodes and electrochemical cells are disclosed. Such electrodes are made from forming an electrode mixture onto a current collector and distal end of a feedthrough pin such that the current collector and distal end of the feedthrough pin is encapsulated. The methods and electrode assemblies disclosed herein allow such electrode assemblies to be made free from the step of directly attaching a formed electrode to a feedthrough pin and thus simplifying assembly and decreasing size.
This application is a continuation-in-part of application Ser. No. 09/562,445, which was filed on May 1, 2000 now U.S. Pat. No. 6,335,130. FIELD OF INVENTION The present invention generally relates to lithography, and more particularly to the design, layout and fabrication of phase-shifting masks that can be used in the manufacture of semiconductor and other devices. The present invention also relates to the use of such masks in a lithographic apparatus, comprising for example: a radiation system for supplying a projection beam of radiation; a mask table for holding a mask; a substrate table for holding a substrate; and a projection system for projecting at least part of a pattern on the mask onto a target portion of the substrate. BACKGROUND OF THE INVENTION Lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). In such a case, the mask may contain a circuit pattern corresponding to an individual layer of the IC, and this pattern can be imaged onto a target portion (e.g. comprising one or more dies) on a substrate (silicon wafer) that has been coated with a layer of radiation-sensitive material (resist). In general, a single wafer will contain a whole network of adjacent target portions that are successively irradiated via the projection system, one at a time. In one type of lithographic projection apparatus, each target portion is irradiated by exposing the entire mask pattern onto the target portion in one go; such an apparatus is commonly referred to as a wafer stepper. In an alternative apparatus—commonly referred to as a step-and-scan apparatus—each target portion is irradiated by progressively scanning the mask pattern under the projection beam in a given reference direction (the “scanning” direction) while synchronously scanning the substrate table parallel or anti-parallel to this direction; since, in general, the projection system will have a magnification factor M (generally<1), the speed V at which the substrate table is scanned will be a factor M times that at which the mask table is scanned. More information with regard to lithographic devices as here described can be gleaned, for example, from U.S. Pat. No. 6,046,792, incorporated herein by reference. In a manufacturing process using a lithographic projection apparatus, a mask pattern is imaged onto a substrate that is at least partially covered by a layer of radiation-sensitive material (resist). Prior to this imaging step, the substrate may undergo various procedures, such as priming, resist coating and a soft bake. After exposure, the substrate may be subjected to other procedures, such as a post-exposure bake (PEB), development, a hard bake and measurement/inspection of the imaged features. This array of procedures is used as a basis to pattern an individual layer of a device, e.g. an IC. Such a patterned layer may then undergo various processes such as etching, ion-implantation (doping), metallization, oxidation, chemo-mechanical polishing, etc., all intended to finish off an individual layer. If several layers are required, then the whole procedure, or a variant thereof, will have to be repeated for each new layer. Eventually, an array of devices will be present on the substrate (wafer). These devices are then separated from one another by a technique such as dicing or sawing, whence the individual devices can be mounted on a carrier, connected to pins, etc. Further information regarding such processes can be obtained, for example, from the book “Microchip Fabrication: A Practical Guide to Semiconductor Processing”, Third Edition, by Peter van Zant, McGraw Hill Publishing Co., 1997, ISBN 0-07-067250-4, incorporated herein by reference. For the sake of simplicity, the projection system may hereinafter be referred to as the “lens”; however, this term should be broadly interpreted as encompassing various types of projection system, including refractive optics, reflective optics, and catadioptric systems, for example. The radiation system may also include components operating according to any of these design types for directing, shaping or controlling the projection beam of radiation, and such components may also be referred to below, collectively or singularly, as a “lens”. Further, the lithographic apparatus may be of a type having two or more substrate tables (and/or two or more mask tables). In such “multiple stage” devices the additional tables may be used in parallel, or preparatory steps may be carried out on one or more tables while one or more other tables are being used for exposures. Twin stage lithographic apparatus are described, for example, in U.S. Pat. No. 5,969,441 and WO 98/40791, incorporated herein by reference. Although specific reference may be made in this text to the use of lithographic apparatus and masks in the manufacture of ICs, it should be explicitly understood that such apparatus and masks have many other possible applications. For example, they may be used in the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, liquid-crystal display panels, thin-film magnetic heads, etc. The skilled artisan will appreciate that, in the context of such alternative applications, any use of the terms “reticle”, “wafer” or “die” in this text should be considered as being replaced by the more general terms “mask”, “substrate” and “target portion”, respectively. In the present document, the terms “radiation” and “beam” are used to encompass all types of electromagnetic radiation, including ultraviolet radiation (e.g. with a wavelength of 365, 248, 193, 157 or 126 nm) and EUV (extreme ultra-violet radiation, e.g. having a wavelength in the range 5-20 nm). A lithographic mask typically may contain opaque and transparent regions formed in a predetermined pattern. The exposure radiation exposes the mask pattern onto a layer of resist formed on the substrate. The resist is then developed so as to remove either the exposed portions of resist for a positive resist or the unexposed portions of resist for a negative resist. This forms a resist pattern on the substrate. A mask typically may comprise a transparent plate (e.g. of fused silica) having opaque (chrome) elements on the plate used to define a pattern. A radiation source illuminates the mask according to well-known methods. The radiation traversing the mask and the projection optics of the lithographic apparatus forms a diffraction-limited latent image of the mask features on the photoresist. This can then be used in subsequent fabrication processes, such as deposition, etching, or ion implantation processes, to form integrated circuits and other devices having very small features. As semiconductor manufacturing advances to ultra-large scale integration (ULSI), the devices on semiconductor wafers shrink to sub-micron dimension and the circuit density increases to several million transistors per die. In order to accomplish this high device packing density, smaller and smaller feature sizes are required. This may include the width and spacing of interconnecting lines and the surface geometry such as corners and edges of various features. As the nominal minimum feature sizes continue to decrease, control of the variability of these feature sizes becomes more critical. For example, the sensitivity of given critical dimensions of patterned features to exposure tool and mask manufacturing imperfections as well as resist and thin film process variability is becoming more significant. In order to continue to develop manufacturable processes in light of the limited ability to reduce the variability of exposure tool and mask manufacturing parameters, it is desirable to reduce the sensitivity of critical dimensions of patterned features to these parameters. As feature sizes decrease, semiconductor devices are typically less expensive to manufacture and have higher performance. In order to produce smaller feature sizes, an exposure tool having adequate resolution and depth of focus at least as deep as the thickness of the photoresist layer is desired. For exposure tools that use conventional or oblique illumination, better resolution can be achieved by lowering the wavelength of the exposing radiation or by increasing the numerical aperture of the lithographic exposure apparatus. The skilled artisan will appreciate that the resolution varies in proportion to the exposure wavelength and varies in inverse proportion to the numerical aperture (NA) of the projection optical system. The NA is a measure of a lens' capability to collect diffracted radiation from a mask and project it onto the wafer. The resolution limit R (nm) in a photolithography technique using a reduction exposure method is described by the following equation: R=k 1 λ/( NA ) where: λ is the wavelength (nm) of the exposure radiation; NA is the numerical aperture of the lens; and k 1 is a constant dependent inter alia on the type of resist used. It follows that one way to increase the resolution limit is to increase the numerical aperture (high NA). This method, however, has drawbacks due to an attendant decrease in the depth of focus, difficulty in the design of lenses, and complexity in the lens fabrication technology itself. An alternative approach is to decrease the wavelength of the exposure radiation in order to form finer patterns, e.g. to support an increase in the integration density of LSI (Large Scale Integration) devices. For example, a 1-Gbit DRAM requires a 0.2-micrometer pattern while a 4-Gbit DRAM requires a 0.1-micrometer pattern. In order to realize these patterns, exposure radiation having shorter wavelengths can be used. However, because of increased semiconductor device complexity that results in increased pattern complexity, and increased pattern packing density on a mask, distance between any two opaque mask areas has decreased. By decreasing the distances between the opaque areas, small apertures are formed which diffract the radiation that passes through the apertures. The diffracted radiation results in effects that tend to spread or to bend the radiation as it passes, so that the space between the two opaque areas is not resolved; in this way, diffraction is a severe limiting factor for optical photolithography. A conventional method of dealing with diffraction effects in optical photolithography concerns the use of a phase shift mask, which replaces the previously discussed mask. Generally, with radiation being thought of as a wave, phase shifting is a change in timing (phase) of a regular sinusoidal pattern of radiation waves that propagate through a transparent material. Although the rest of this discussion will generally concentrate on transmissive phase shift masks, it should be realized that reflective phase shift masks can also be contemplated (e.g. for use with the wavelengths associated with EUV radiation). The current invention encompasses both these concepts. Typically, phase-shifting is achieved by passing radiation through areas of a transparent material of either differing thickness or through materials with different refractive indexes, or both, thereby changing the phase or the periodic pattern of the radiation wave. Phase shift masks reduce diffraction effects by combining both diffracted radiation and phase-shifted diffracted radiation so that constructive and destructive interference takes place favorably. On the average, a minimum width of a pattern resolved by using a phase shifting mask is about half the width of a pattern resolved by using an ordinary mask. There are several different types of phase shift structures. These types include: alternating aperture phase shift structures, sub-resolution phase shift structures, rim phase shift structures, and chromeless phase shift structures. “Alternating Phase Shifting” is a spatial frequency reduction concept characterized by a pattern of features alternately covered by a phase shifting layer. “Sub-resolution Phase Shifting” promotes edge intensity cut-off by placing a sub-resolution feature adjacent to a primary feature and covering it with a phase shifting layer. “Rim Phase Shifting” overhangs a phase shifter over a chrome mask pattern. In the case of transmissive masks, these phase shift structures are generally constructed in masks having three distinct layers of material. An opaque layer is patterned to form blocking areas that allow none of the exposure radiation to pass through. A transparent layer, typically the substrate plate (e.g. of quartz or calcium fluoride), is patterned with transmissive areas, which allow close to 100% of the exposure radiation to pass through. A phase shift layer is patterned with phase shift areas which allow close to 100% of the exposure radiation to pass through, but phase-shifted by 180° (π). The transmissive and phase-shifting areas are situated such that exposure radiation diffracted through each area is canceled out in a darkened area therebetween. This creates the pattern of dark and bright areas, which can be used to clearly delineate features. These features are typically defined by the opaque layer (i.e. opaque features) or by openings in the opaque layer (i.e. clear features). For semiconductor (and other device) manufacture, alternating aperture phase shift masks may typically be used where there are a number of pairs of closely packed opaque features. However, in situations where a feature is too far away from an adjacent feature to provide phase shifting, sub-resolution phase shift structures typically may be employed. Sub-resolution phase shift structures typically may be used for isolated features such as contact holes and line openings, wherein the phase shift structures may include assist-slots or outrigger structures on the sides of a feature. Sub-resolution phase shift structures are below the resolution limit of the lithographic system and therefore do not print on the substrate. One shortcoming of sub-resolution phase shift structures is that they require a relatively large amount of real estate on the mask. Rim phase shifting masks include phase shift structures that are formed at the rim of features defined by opaque areas of the mask. One problem with rim phase shift structures is that they are difficult to manufacture. In the case of rim phase shift structures, multiple lithographic steps must be used to uncover the opaque layer so that it can be etched away in the area of the rim phase shifter. This step is difficult, as the resist used in the lithographic step covers not only the opaque layer but also trenches etched into the substrate. In general, improvement of the integration density of semiconductor integrated circuits in recent years has been achieved mainly through a reduction in size of the various circuit patterns. These circuit patterns are presently formed mainly by lithography processes using a wafer stepper or step-and-scan apparatus. FIG. 1 shows the structure of such a prior art lithographic apparatus. Mask 108 is illuminated by the radiation emitted from illumination system 102 . An image of mask 108 is projected onto a photoresist film coated on wafer 120 , which is the substrate to be exposed through projection system 110 . As shown in FIG. 1, illumination system 102 includes a source 100 , condenser lens 104 , and aperture 106 for specifying the shape and size of the effective source. Projection system 110 includes a projection lens 112 , pupil filter 114 , and aperture 116 arranged in or near the pupil plane of focussing lens 118 to set the numerical aperture (NA) of the lens. As discussed earlier, the minimum feature size R of patterns transferable by an optical system is approximately proportional to the wavelength λ of the radiation used for exposure and inversely proportional to the numerical aperture (NA) of the projection optical system. Therefore, R is expressed as R=k 1 λ/NA, where k 1 is an empirical constant and k 1 =0.61 is referred to as the Rayleigh limit. In general, when the pattern dimensions approach the Rayleigh limit, the projected image is no longer a faithfull reproduction of the mask pattern shape. This phenomenon is caused by so-called optical proximity effects (OPEs) and results in corner rounding, line-end shortening, and line width errors, among other things. To solve this problem, algorithms have been proposed that can be used to pre-distort the mask pattern so that the shape of a projected image takes on the desired shape. Moreover, approaches have been described which improve the resolution limit of a given optical system, resulting effectively in a decreased value of k 1 . Adoption of a phase shifting mask, such as described above, is a typical example of this approach. A phase shifting mask is used to provide a phase difference between adjacent apertures of a conventional mask. A chromeless phase shifting mask method is known as a phase shifting method suitable for the transfer of a fine isolated opaque line pattern, which is needed, for example, for the gate pattern of a logic LSI device. Off-axis illumination and pupil filtering are methods additionally known for improving images. According to the off-axis illumination method, the transmittance of aperture 106 is modified in the illumination system 102 of FIG. 1 (prior art). One particular embodiment of this method changes the illumination intensity profile so that the transmittance at the margin becomes larger than that of the central portion, which is particularly effective to improve the resolution of a periodic pattern, as well as the depth of focus. The pupil filtering method is a method of performing exposure through a filter (pupil filter) located at the pupil position of a projection lens to locally change the amplitude and/or phase of the transmitted radiation. For example, this approach makes it possible to greatly increase the depth of focus of an isolated pattern. Furthermore, it is well known that the resolution of a periodic pattern can further be improved by combining the off-axis illumination method and the pupil filtering method. Nonetheless, an inherent problem with a conventional transmission mask, such as the ones described above, is that the mask substrate (plate) generally undergoes a decrease in transmissivity as the wavelength of radiation emitted from an exposure radiation source is decreased so as to obtain finer patterns. For example, a quartz material substrate becomes more opaque as the wavelength of the radiation source decreases, particularly when the wavelength is less than 200 nm. This decrease in transmissivity affects the ability to obtain finer resolution patterns. For this reason, a material for a transmission phase shifting mask that can obtain a high transmissivity with respect to radiation having a short wavelength is needed. It is, however, difficult to find or manufacture such a material having a high transmissivity with respect to short-wavelength exposure radiation. An example of a photomask pattern is shown in FIG. 2 (prior art). Passage of radiation around the illustrated features causes diffraction of the radiation into discrete dark and bright areas. The bright areas are known as the diffraction orders and the collective pattern they form is mathematically describable by taking the Fourier transform of the collective opaque and transparent regions. The pattern that is observed in its simplest personification has an intense diffraction order, called the 0 th order, surrounded in a symmetrical fashion by less intense diffraction orders. These less intense orders are called the plus/minus first (±1 st ) order; plus/minus second (±2 nd ) order; and so on into an infinity of orders. For the same feature width, different diffraction patterns are formed for dense and isolated features. FIG. 3 (A) (prior art) shows the magnitudes of relative electric fields and respective pupil positions (X) of diffraction orders for a dense feature, while FIG. 3 (B) (prior art) shows the magnitudes of diffraction orders for an isolated one. The center peak observed in each plot is the 0 th order. The 0 th order contains no information about the pattern from which it arose. The information about the pattern is contained in the non-zero orders. However, the 0 th order is spatially coherent with the higher orders so that, when the beams are redirected to a point of focus, they interfere, and in doing so construct an image of the original pattern of opaque and transparent objects. If all the diffraction orders are collected, a perfect representation of the starting object is obtained. However, in high-resolution lithography of small-pitch features, where pitch is the sum of the width of the opaque and transparent objects, only the 0 th and the ±1 st orders are collected by the projection lens to form the image. This is because higher orders are diffracted at higher angles that fall outside of the lens pupil as defined by the numerical aperture (NA). As depicted in FIG. 4 (A) (prior art), the 0 th order 402 and the ±1 st orders 404 lie within the lens pupil 406 . As further depicted in FIG. 4 (A), the ±2 nd orders 408 , lie outside the lens pupil 406 . Further, as seen in FIG. 4 (B) (prior art), a corresponding aerial image is formed during exposure (I indicates intensity, and H indicates horizontal position). The photoresist pattern is then delineated from this aerial image. It has long been known that it is only necessary to collect two diffraction orders, such as either with the 0 th order and at least one of the higher diffraction orders, or simply two higher orders without the 0 th order, to form the image. As depicted in FIG. 5 (A) (prior art), radiation transmitted through a focussing lens 502 is represented by that which is normal 504 to the object (not shown), and that which transmits through the edges 506 , 508 of the focussing lens 502 . Although radiation is continuously transmitted throughout the entire surface of lens 502 , the three radiation paths 504 - 508 are represented to illustrate phase matching of different radiation paths. At point 510 , the three radiation paths 504 - 508 focus and are in phase together. When the three radiation paths 504 , 512 , and 514 focus together at point 516 , however, they are not in phase. The phase error from a change in path-lengths of 512 and 514 from respective path-lengths 506 and 508 results in a finite depth of focus, DoF, of the system. One may improve the tolerance to variations in relative phase error caused by aberrations like defocus as depicted in FIG. 5 (A). FIG. 5 (B) (prior art) represents how, by eliminating the radiation path that is normal to the object, variations to the phase error may be reduced. Again, although radiation is continuously transmitted throughout the surface of lens 502 , the two radiation paths 506 and 508 are represented to illustrate phase matching of different radiation paths. At point 510 , the two radiation paths 506 and 508 focus and are in phase together. When the two radiation paths 512 and 514 focus together at point 516 , they are in phase. Without the radiation path 504 as seen in FIG. 5 (A), the phase error from the increased path-lengths of 512 and 514 over respective path-lengths 506 and 508 is eliminated, resulting in an infinite depth of focus, DoF, of the system. Eliminating the radiation path normal to the object may be accomplished by placing an obscuration in the center of the radiation source, thus eliminating radiation normal to the object and allowing only oblique illumination, as depicted for example in FIG. 6 (A). FIG. 6 (A) (prior art) depicts a lithographic “on-axis” projection system (“C” indicates conventional) wherein the illumination configuration 602 is such as to permit transmission of radiation normal to the object. In the figure, radiation passes through the reticle, comprising a quartz substrate 604 and chrome patterns 606 , through the lens aperture 608 , into lens 610 , and is focused into area 612 . FIG. 6 (B) (prior art) depicts exemplary lithographic “off-axis” projection systems wherein an annular (A) illumination configuration 614 , or quadrupole (Q) illumination configuration 616 , prohibits transmission of radiation normal to the object. In the figure, radiation passes through the vitreous substrate 604 , past the chrome patterns 606 , through the lens aperture 608 , into lens 610 , and is focused into area 618 . Comparing FIGS. 6 (A) and 6 (B), it is noted that the Depth of Focus (DoF) of FIG. 6 (A) is smaller than that of FIG. 6 (B). Lowering the 0 th order's magnitude to be the same as or less than that of the 1 st order improves the imaging tolerance of this two-beam imaging system. One method for tuning the magnitude of the diffraction orders is to use weak phase shift masks. Strong phase shift masks and weak phase shift masks differ in operation and effect. Strong phase shift masks eliminate the zero-diffraction order and double the resolution through a technique of frequency doubling. To understand how strong phase shifters work, it is useful to think of the critical pitch as having alternating clear areas adjacent to the main opaque feature. Because of the alternating phase regions, the pitch between same-phase regions is doubled. This doubling halves the position at which the diffraction orders would otherwise pass through the projection lens relative to the critical pitch, thus making it possible to image features with half the pitch allowed by conventional imaging. When the two opposing phase regions add through destructive interference, to build the final image, their respective zero-order radiation is equal in magnitude but of opposite phase, thus canceling. Imaging is done only with the frequency-doubled higher orders. On the other hand, weak phase shift masks dampen the zero-order radiation and enhance the higher orders. Weak phase shift masks form their phase shift between adjacent features by creating electric fields of unequal magnitude and of opposite phase, with the field immediately adjacent to a critical feature having the lesser of the magnitudes. The net electric field reduces the magnitude of the zero order while maintaining the appropriate phase. Weak phase shift masks permit an amount of exposure radiation to pass through objects in a fashion that creates a difference in phase between coherently linked points while having an imbalance in the electric field between the shifted regions. FIG. 7 (A) (prior art) depicts a substrate 702 and a mask pattern 704 that does not permit phase shifting. FIG. 7 (C) (prior art) is a graph illustrating how the 0 th order's magnitude is larger than that of the ±1 st orders' magnitude from a non-phase shifting mask as depicted in FIG. 7 (A). FIG. 7 (B) (prior art) depicts a substrate 702 and a mask pattern 706 that permit phase shifting (in the Figure, Φ is phase, t is thickness, n is index of refraction and λ is wavelength). FIG. 7 (D) (prior art) is a graph illustrating how the 0 th order's magnitude is decreased to be comparable to that of the ±1 st orders' magnitude from a phase shifting mask as depicted in FIG. 7 (B). Several types of phase-shifting masks are known in the art, such as the rim, attenuated or embedded (or incorrectly halftone), and unattenuated or chromeless (or transparent) shifter-shutter phase-shifting masks. FIG. 8 (A) (prior art) is a cross-sectional view of a rim phase-shifting mask 802 , comprising radiation transmitting portions 804 , and radiation inhibiting portions 806 . FIG. 8 (B) (prior art) is a graph representing the amplitude (E) of the E-field at the mask, whereas FIG. 8 (C) (prior art) is a diagram representing the magnitude of the 0 th diffraction order 810 , and ±1 st orders 812 , 814 , resulting from use of the mask depicted in FIG. 8 (A). FIG. 9 (A) (prior art) is a cross-sectional view of an attenuated or embedded phase-shifting mask 902 having an attenuation of 5%, comprising a radiation attenuating portion 904 . FIG. 9 (B) (prior art) is a graph representing the amplitude of the E-field at the mask, whereas FIG. 9 (C) (prior art) is a diagram representing the magnitude of the 0 th diffraction order, and ±1 st diffraction orders resulting from use of the mask depicted in FIG. 9 (A). FIG. 9 (D) (prior art) is a cross-sectional view of an attenuated or embedded phase-shifting mask 912 having an attenuation of 10%, comprising a radiation attenuating portion 914 . FIG. 9 (E) (prior art) is a graph representing the amplitude of the E-field at the mask, whereas FIG. 9 (F) (prior art) is a diagram representing the magnitude of the 0 th diffraction order, and ±1 st diffraction orders resulting from use of the mask depicted in FIG. 9 (D). FIG. 10 (A) (prior art) is a cross-sectional view of an unattenuated or chromeless (or transparent) shifter-shutter phase-shifting mask 1002 , comprising a radiation-shifting portion 1004 . FIG. 10 (B) (prior art) is a graph representing the amplitude of the E-field at the mask, whereas FIG. 10 (C) (prior art) is a diagram representing the magnitude of the 0 th diffraction order 1006 , and ±1 st diffraction orders 1008 , 1010 resulting from use of the mask depicted in FIG. 10 (A). Typically, the phase-shifting masks of FIG. 8 through FIG. 10 form their phase-shift differently, but, relative to their non-phase-shifted counterpart, they all yield a 0 th diffraction order of smaller amplitude and a first diffraction order of larger amplitude, as regards electric field. Which ratio of 1 st to 0 th diffraction order magnitude is optimal depends on the pitch of the feature being imaged, along with the shape of the illumination configuration and the desired printing size in the developed photoresist. These tuned diffraction patterns are then used with off-axis illumination to image smaller pitches with better tolerance to imaging process variation. The concept of manipulation of the amplitude ratio of 0 th to 1 st diffraction orders has conventionally been restricted to using certain weak phase-shifting techniques with biasing features and sub-resolution assist features. FIG. 11 (A) (prior art) depicts a conventional biasing technique used to resolve a desired feature. As seen in FIG. 11 (A), biasing (B) bars 1102 and 1104 are situated adjacent the mask of the primary feature 1106 . FIG. 11 (B) depicts a half-tone biasing (HB) technique known to the applicants of the instant application and described in U.S. Pat. No. 6,114,071 (incorporated herein by reference), used to resolve a desired feature. As seen in FIG. 11 (B), half-tone biasing bars 1108 and 1110 are situated adjacent the mask of the desired feature 1112 . FIG. 12 (prior art) depicts a conventional photoresist mask 1202 . The photoresist mask 1202 comprises a plurality of scatter bars 1204 , serifs 1206 , and chrome shields 1208 . For conventional attenuated phase shifters, transparency of the shifter materials typically may be adjusted, and used along with biasing and sub-resolution assist features. Transparency of the shifters typically ranges from 3% to 10%, wherein higher transmissions such as from 10% to 100% are reported to be optimal for pitches where the space between the features is larger than the phase-shifted line. FIG. 13 (prior art) shows the dependence of image contrast, as defined by the Normalized Image Log Slope (NILS), with respect to varying transmittance (T) of its phase-shifted material for a 175 nm line on a 525 nm pitch (FIG. 13A) and a 1050 nm pitch (FIG. 13 B). Each curve in the figure represents a different focus (F) setting. The curve with the largest NILS is the most focussed, and has an F-value of zero; further, with each change in focus, the NILS of each respective curve decreases. FIG. 13A shows that the best transmission for the 175 nm line with the 525 nm pitch structure is 0.35 to 0.45. FIG. 13B shows that the best transmission for the 175 nm line with the 1050 nm pitch structure is 0.25 to 0.35. An example of a 100% transparent attenuated phase-shifting technology is the previously mentioned, chromeless shifter-shutter, such as depicted in FIG. 10 . Using a chromeless shifter-shutter, phase-edges of a pattern typically may be placed within an area that is 0.2 to 0.3 times the exposing wavelength λ divided by the numerical aperture NA of the projection lens. For lines larger or smaller than this, the destructive interference is insufficient to prevent exposure in an area not be exposed. Printing features larger than this is accomplished in one of two ways. The first places an opaque layer in the region that is to stay dark with the feature edges being opaque or rim-shifted (FIG. 14; prior art). The second, as depicted in FIG. 15 (prior art), creates a dark grating 1502 by placing a series of features 1504 whose size meets the criteria for printing an opaque line 1506 using chromeless technology. In FIGS. 14 and 15, “IM” denotes image, “CPSM” denotes a chromeless phase shift mask, “OP” indicates opaque and “PS” denotes phase shift. Conventionally, chromeless phase shifting masks have not worked with off-axis exposure as the shifter (feature) sizes and shutter (space) sizes approach one another. FIGS. 16 (A) through 16 (C) depict a conventional chromeless phase shifting mask. In FIG. 16 (A) (prior art), 1602 is a cross-sectional view of a portion of a conventional chromeless phase shifting mask, comprising shifters 1604 , and shutters 1606 , wherein the shifter length is substantially equal to the shutter length. FIG. 16 (B) (prior art) is a graph representing the amplitude of the E-field at the mask 1602 . FIG. 16 (C) (prior art) is a diagram representing the magnitudes of the ±1 st diffraction orders 1608 and 1610 for the mask of FIG. 16 (A). As seen in FIG. 16 (C) there is no 0 th diffraction order. The functional limit of the relative sizes of the shifter and shutters of conventional chromeless phase shifting masks results from the integrated electric fields of the two opposing phase-shifted regions being equal. This balanced condition cancels the 0 th diffraction order, making it impossible to get the prerequisite 0 th diffraction order needed for using off-axis illumination. To summarize, each of the above-described, conventional, weak phase-shifting techniques solves certain imaging problems. However, each technique has accompanying drawbacks. For example, the rim, attenuated or embedded, and unattenuated or chromeless (or transparent) shifter-shutter phase-shifting masks provide large ratios of the 0 th to ±1 st diffraction orders. Prior-art attempts to manipulate these ratios include using biasing techniques coupled with an attenuated phase shifting mask. However, these prior art attempts include complex manufacturing steps and yield inefficient masks as a result of the attenuation. Furthermore, unattenuated shifter-shutter phase-shifting masks additionally fail to yield accurate images with off-axis illumination as the shifter and shutter sizes approached one another. SUMMARY OF THE INVENTION It is an object of this invention to provide a simple system and method for fabricating an efficient phase shifting mask that is able to manipulate the ratios of the 0 th to ±1 st diffraction orders. It is another object of this invention to provide a system and method for fabricating a non-attenuated phase shifting mask that is able to manipulate the ratios of the 0 th to 1 st diffraction orders. It is yet another object of this invention to provide a system and method for fabricating a chromeless (or transparent) shifter-shutter phase-shifting mask that is usable with. off-axis illumination when the shifter and shutter sizes approach one another. It is still another object of this invention to provide a system and method for halftoning primary features to achieve the correct ratio of 0 th to higher diffraction order radiation for optimal imaging. It is yet another object of this invention to provide a system and method for halftoning assist features to achieve the correct ratio of 0 th to higher diffraction order radiation for optimal imaging. The present invention provides an alternate method for effectively manipulating the amplitude ratio of the 0 th to 1 st diffraction order by using halftoning of opaque and phase-shifted transparent/semi-transparent features within the primary feature and as sub-resolution assist features. The relative magnitudes of the 0 th and higher diffraction orders are formed as the exposing wavelength passes through the plurality of zero and 180° phase-shifted regions. Subsequently, some of the diffraction orders are collected and projected to form the image of the object. Methods in accordance with the present invention further make use of halftoning structures to manipulate the-relative magnitudes of diffraction orders to ultimately construct the desired projected image. At the resolution limit of the mask maker, this is especially useful for converting strong-shifted, no-0 th -diffraction-order, equal-line-and-space chromeless phase edges to weak phase shifters that have some 0 th order. Halftoning creates an imbalance in the electric field between the shifted regions, and therefore results in the introduction of the 0 th diffraction order. As such, with halftoning, these previously strong-shifted features convert to weak phase-shifters and are compatible with the other shifter-shutter chromeless features typically found amongst the plurality of objects used in making a conventional semiconductor circuit. Decreasing the size of the primary feature for the very dense features, as in the conventional mask fabrication technique, can achieve a limited extent of modifying diffraction order. Because of the interference effects, it is not possible to ensure that a mask width less than the sub-resolution assist feature can be reliably made using conventional mask fabrication methods. However, in accordance with the present invention, by biasing the primary features, the feature width can be reduced to less than the sub-resolution assist features. Further, use of a chromeless phase-shifting mask is known to be a powerful imaging method when combined with using off-axis illumination, but it has serious optical proximity effects. This invention provides an effective optical proximity solution. In general, in one aspect, the invention features a method of transferring an image, including 0 th diffraction order and ±1 st diffraction orders, onto a material, wherein the method comprises the steps of fabricating a phase shifting mask comprising at least one unattentuated, halftoned, phase-shift feature, and off-axis illuminating the mask such that radiation passes through the mask onto the material. In another aspect, the invention features a method of transferring an image, including 0 th diffraction order and ±1 st diffraction orders, onto a material, wherein the method comprises the steps of fabricating a phase shifting mask comprising at least one feature, said at least one feature including halftoned phase-shifted transparent features; and off-axis illuminating the mask such that radiation passes through the mask onto the material. Preferably, said one feature further includes semi/transparent features. Further, said at least one feature preferably includes opaque features. In yet another aspect, the invention features a phase shifting mask comprising at least two unattentuated, halftoned, phase-shift features having a width w, wherein the features are separated by a width w, such that the mask provides an image including 0 th diffraction order and ±1 st diffraction orders, when illuminated. In still yet another aspect, the invention features a phase shifting mask comprising at least two halftoned phase-shifted transparent features having a width w, wherein the features are separated by a width w, such that the mask provides an image including 0 th diffraction order and ±1 st diffraction orders, when illuminated. Preferably, the at least two features further includes semi/transparent features. Still preferably, the at least two features further includes opaque features. Even more preferably, a focus-exposure process window for maintaining a predetermined resist line-width sizing of the mask is common to an attenuated, phase-shift mask of a similar pitch. As described in further detail below, the present invention provides significant advantages over the prior art. Most importantly, the unattenuated phase-shift photomask of the present invention allows for the printing of high-resolution features, while manipulating the 0 th diffraction order and ±1 st diffraction orders. In addition, the unattenuated phase-shift mask of the present invention provides a focus-exposure process window for maintaining an increased line-width sizing over that of the prior art. Additional advantages of the present invention will become apparent to those skilled in the art from the following detailed description of exemplary embodiments of the present invention. The invention itself, together with further objects and advantages, can be better understood by reference to the following detailed description and the accompanying schematic drawings. BRIEF DESCRIPTION OF THE DRAWINGS The accompanying schematic drawings, which are incorporated in and form a part of the specification, illustrate embodiments of the present invention and, together with the description, serve to explain the principles of the invention. In the drawings: FIG. 1 depicts a prior-art wafer stepper system. FIG. 2 depicts a photomask pattern provided with optical proximity correction features such as scattering bars and serifs. FIG. 3 (A) depicts a diffraction spectrum for equal lines and spaces. FIG. 3 (B) depicts a diffraction spectrum for an isolated line. FIG. 4 (A) depicts a diffraction spectrum of objects whose size is near the wavelength of the exposing energy. FIG. 4 (B) depicts an aerial image of the diffraction spectrum of FIG. 4 (A). FIG. 5 (A) depicts the effects of three-beam exposure in a conventional mask fabrication system. FIG. 5 (B) depicts the effects of two-beam exposure in a convention mask fabrication system. FIG. 6 (A) depicts a conventional on-axis exposure technique for mask fabrication. FIG. 6 (B) depicts conventional off-axis exposure techniques for mask fabrication, wherein the illumination configuration has an annular shape, or a quadrupole shape. FIG. 7 (A) depicts a cross-sectional view of a conventional non-phase shifting mask. FIG. 7 (B) depicts a cross-sectional view of a conventional phase shifting mask. FIG. 7 (C) depicts the corresponding diffraction spectrum for the conventional non-phase shifting mask of FIG. 7 (A). FIG. 7 (D) depicts the corresponding diffraction spectrum for the conventional phase shifting mask of FIG. 7 (C). FIG. 8 (A) depicts a cross-sectional view of a conventional rim-type phase shifting mask. FIG. 8 (B) depicts a graph of the amplitude of the electric field at the conventional rim-type phase shifting mask of FIG. 8 (A). FIG. 8 (C) depicts the corresponding diffraction spectrum for the conventional rim-type phase shifting mask of FIG. 8 (A). FIG. 9 (A) depicts a cross-sectional view of a conventional attenuated-type phase shifting mask, having an attenuation factor of 5%. FIG. 9 (B) depicts a graph of the amplitude of the electric field at the conventional attenuation-type phase shifting mask of FIG. 9 (A). FIG. 9 (C) depicts the corresponding diffraction spectrum for the conventional attenuation-type phase shifting mask of FIG. 9 (A). FIG. 9 (D) depicts a cross-sectional view of a conventional attenuated-type phase shifting mask, having an attenuation factor of 10%. FIG. 9 (E) depicts a graph of the amplitude of the electric field at the conventional attenuation-type phase shifting mask of FIG. 9 (D). FIG. 9 (F) depicts the corresponding diffraction spectrum for the conventional attenuation-type phase shifting mask of FIG. 9 (D). FIG. 10 (A) depicts a cross-sectional view of a conventional transparent or chromeless shifter-shutter-type phase shifting mask. FIG. 10 (B) depicts a graph of the amplitude of the electric field at the conventional transparent or chromeless shifter-shutter-type phase shifting mask of FIG. 10 (A). FIG. 10 (C) depicts the corresponding diffraction spectrum for the conventional transparent or chromeless shifter-shutter-type phase shifting mask of FIG. 10 (A). FIG. 11 (A) depicts a conventional biased photomask. FIG. 11 (B) depicts a halftone biased photomask. FIG. 12 depicts a conventional, attenuated phase-shifting, lithographic mask. FIGS. 13 (A) and 13 (B) graphically depict image contrast as a function of transmittance (T), for different pitches and focus settings. FIG. 14 (A) depicts a conventional opaque feature and its corresponding image. FIG. 14 (B) depicts a conventional rim-shifted opaque feature and its corresponding image. FIG. 15 depicts a conventional chromeless dark grating as an opaque feature, and its corresponding image. FIG. 16 (A) depicts a cross-sectional view of a conventional chromeless phase-edge mask. FIG. 16 (B) depicts a graph of the amplitude of the electric field at the conventional transparent or chromeless phase-edge mask of FIG. 16 (A). FIG. 16 (C) depicts the corresponding diffraction spectrum for the conventional chromeless phase-edge mask of FIG. 16 (A). FIG. 17 (A) depicts a primary feature in a phase-edge mask. FIG. 17 (C) depicts the corresponding diffraction spectrum for the phase-edge mask of FIG. 17 (A). FIG. 17 (B) depicts a halftone primary feature in a phase-edge mask in accordance with the present invention. FIG. 17 (D) depicts the corresponding diffraction spectrum for the phase-edge mask of FIG. 17 (C). FIG. 18 depicts a halftone scattering bar assist feature in accordance with the present invention. FIG. 19 (A) is a diagram depicting simulated resist images for a plurality of conditions of pitch and transmission. FIG. 19 (B) is a graphical representation of the data represented in FIG. 19 (A). FIG. 19 (C) is a contour plot showing the set of exposure dose (vertical axis) and focus (horizontal axis) conditions to size a 100 nm resist image between 90 nm and 110 nm. The two process windows in FIG. 19C are for a 400 nm pitch (upper contour) and for a 600 nm pitch (lower contour). These process windows do not overlap. FIG. 19D is a different analysis of the same information shown in FIG. 19 C. FIG. 19D illustrates how much exposure latitude (vertical axis) there is within a process window for a certain depth of focus (horizontal axis). In FIG. 19D, the top curve is for the 400 nm pitch and the lower curve is for the 600 nm pitch. FIG. 20 (A) depicts layout for a 26% transmittance attenuated phase-shifting mask. FIG. 20 (B) depicts a layout for an unattenuated, chromeless phase-shifting mask that has been halftoned, in accordance with the present invention, to make its diffraction pattern similar to that of the 26% attenuated mask of FIG. 20 (A). FIG. 20 (C) is a graphical comparison of the diffraction orders produced by the mask of FIG. 20 (A) and the mask of FIG. 20 (B). FIG. 20 (D) is an aerial image of a portion of the pattern of the mask of FIG. 20 (B). FIG. 20 (E) is a graphical representation of the focus-exposure process window for maintaining a specified line-width sizing for the mask of FIG. 20 (A). FIG. 20 (F) is a graphical representation of the focus-exposure process window for maintaining a specified line-width sizing for the mask of FIG. 20 (B). FIG. 20 (G) is a graphical representation of the percent exposure latitude for both masks of FIG. 20 (A) and FIG. 20 (B) respectively. FIG. 20 (H) is an alternative graphical representation of the percent exposure latitude for both masks of FIG. 20 (A) and FIG. 20 (B), respectively. FIGS. 21 (A) and 21 (B) depict conventional chromeless phase-shift patterns. FIGS. 21 (C) and 21 (D) depict halftone chromeless phase-shift patterns in accordance with the present invention, corresponding to the phase-shift patterns of FIGS. 21 (A) and 21 (B) respectively. FIGS. 21 (E) through 21 (H) depict diffraction patterns (graphs of the diffraction orders) for the object pattern of the phase-shift masks of FIGS. 21 (A) through 21 (D) respectively. FIG. 21 (I) shows the focus-exposure process windows for a 100 nm line with pitches of 400 nm ( 2134 and 2132 ) and 600 nm ( 2130 ) for masks that used the appropriate halftoning (FIG. 21D for the 400 nm pitch and FIG. 21C for the 600 nm pitch) to make the features size with similar exposure and focus. For the 400 nm pitch the total process window includes areas 2134 and 2132 , with 2134 overlapping with the 600 nm pitch process window, 2130 . FIG. 21J shows the exposure latitude for varying amounts of depth of focus for the common focus-exposure area 2134 . FIG. 22 (A) depicts a diffraction pattern for the object pattern of a conventional phase-shift mask. FIG. 22 (B) depicts a diffraction pattern for the object pattern of a halftone phase-shift mask in accordance with the present invention. FIG. 22 (C) is a graphical representation of the focus-exposure process window for maintaining a specified line-width sizing for the mask of FIG. 22 (A). FIG. 22 (D) is a graphical representation of the focus-exposure process window for maintaining a specified line-width sizing for the mask of FIG. 22 (B). FIG. 23 (A) depicts a global layout of a conventional primary feature. FIG. 23 (B) depicts a global layout of a halftone primary feature in accordance with the present invention. FIG. 23 (C) is an aerial image of the global layout of FIG. 23 (A). FIG. 23 (D) is an aerial image of the global layout of FIG. 23 (B). FIG. 23 (E) is a magnified portion of FIG. 23 (C). FIG. 23 (F) is a magnified portion of FIG. 23 (D). FIG. 24 is a logic flow diagram describing a method for designing patterns that emulate different phase-shift masks in accordance with the present invention. FIG. 25 depicts a lithographic projection apparatus. In the Figures, like reference symbols indicate like parts. DETAILED DESCRIPTION OF THE INVENTION In the following description, for the purposes of explanation, numerous specific details are set forth in order to provide a more thorough understanding of the present invention. It will be apparent, however, to one skilled in the art that the present invention may be practiced without these specific details. Specifically, the following detailed description of the unattenuated phase-shift mask of the present invention relates to both the mask itself as well as a method of forming the mask. It is noted that, in an effort to facilitate the understanding of the present invention, the following description details how the unattenuated phase-shift mask can be utilized to form features contained in today's state-of-the-art semiconductor devices. However, it is also noted that the present invention is not limited to use in semiconductor devices. Indeed, the present invention can be utilized in a multitude of different types of designs and processes that include the projection of high-resolution images. A first exemplary embodiment of the present invention includes halftoning primary features of a chromeless shifter shutter phase-shifting mask. FIG. 17 shows halftoning of a primary feature so that it has an optimal 0 th to ±1 st diffraction order amplitude using a chromeless shifter-shutter phase-shifting mask. FIG. 17 (A) shows primary features 1702 before halftoning, whereas FIG. 17 (B) shows the primary features 1704 after halftoning. FIGS. 17 (C) and 17 (D) depict diffraction patterns of an equal line/space chromeless pattern to that of the respective structures in FIGS. 17 (A) and 17 (B). As seen in FIG. 17 (C), without halftoning, there are ±1 st diffraction orders 1706 and 1708 ; however there is no 0 th diffraction order. On the other hand, as seen in FIG. 17 (D), because of the halftoning of the primary features, thereby permitting off-axis illumination for these dense features, there are ±1 st diffraction orders 1710 , 1712 , and there is a 0 th diffraction order 1714 . In the Figures, “CPE' denotes chromeless phase edge, and “HCPE” denotes halftone CPE. A second exemplary embodiment of the present invention includes halftoning scattering bar assist features of a chromeless shifter-shutter phase-shifting mask. FIG. 18 depicts an example of a chromeless shifter-shutter phase-shifting mask 1802 , comprising halftoned scattering bar assist features 1804 , and primary features 1806 . Halftoning a scattering bar assist feature permits its associated primary feature to have an optimal 0 th to ±1 st diffraction order amplitude using a chromeless shifter-shutter phase-shifting mask. Examining features of varying pitch-size imaged using phase-shifting masks shows a pitch dependence on the transmission that is best suited to obtaining the same size of resist image for a given exposure condition. FIGS. 19 (A) and 19 ( 13 ) show—for 100 nm lines that are separated by spaces ranging in size from 100 nm to 800 nm—the transmission of the phase-shift required to produce a 100 nm line for each pitch. More specifically, FIG. 19 (A) shows the imaging result for different combinations of attenuated phase-shift mask transmittance and space sizes between 100 nm features. As seen in FIG. 19 (A), Figures 1902 at the intersections of each condition of transmittance and space size are simulated cross-sections of developed photoresist images that were exposed at 22 mJ/cm 2 and −0.15 microns of focus using a 0.70 NA, 248 nm exposure tool with quadrupole illumination. The images 1904 surrounded by the boxes have a resist image size between 90 and 110 nm. These sizes are used here to arbitrarily derive the lower and upper limits for acceptable sizing. Images outside of the boxed areas do not meet this criterion. In the Figure, “PSM 1 T” denotes PSM Feature # 1 Transmittance, and “PSM 2 W” denotes PSM Feature # 2 Width. FIG. 19 (B) is a graphical representation of the same data as represented in FIG. 19 (A). As seen in FIGS. 19 (A) and 19 (B), in the range of 20 to 30% transmittance, the figures meet the sizing criteria of ±10% of 100 nm for the exposure condition of 22 Mj/cm 2 and −0.15 microns of focus, for 400 nm pitch sizes and 600 nm pitch sizes, each having a transmission of 100%. FIG. 19 (C) is a graph showing the focus-exposure process window for maintaining a specified line-width sizing for 600 nm and 400 nm pitch phase shift masks, each having 100% transmission. As seen in FIG. 19 (C) the exposure dose (D) and focus (F) conditions for attaining 100 nm lines for 600 nm and 400 nm pitches are totally separate, with no common process corridor. FIG. 19 (D) is a graph showing exposure latitude (EL) verses the depth of focus (DoF) for 600 nm and 400 nm pitch phase shift masks, each having 100% transmission. The exposure latitude is the range of exposure that maintains ±10% feature sizing, divided by the exposure dose to size the feature, times 100. It is clear that as the exposure latitude decreases, the depth of focus increases for each pitch. However, as seen in FIG. 19 (D), there is not a point in which both the 600 nm and 400 nm pitch phase shift mask share a common exposure latitude and corresponding depth of focus. As such, without correction in accordance with the present invention, a 600 nm and 400 nm pitch can not size a 100 nm resist line using the same conditions of exposure and focus. FIGS. 19 (A)-(D) represent simulated data corresponding to photomasks. Generally, 5-10% attenuated PSMs are conventionally available for commercial applications, whereas higher transmissions may be custom produced. As such, there are limited materials available to produce masks. Further, different pitch structures may not perform optimally on such limited-availability materials. Still further, one material would never be optimal for the plurality of structures occurring on a set of patterns found on a single conventional mask. Therefore, the present invention removes these barriers because the present invention provides a method of halftoning primary and assist features to emulate the diffraction pattern of any of the prior-art phase shifting masks. Specifically, the present invention permits different pitch structures to perform optimally on a single mask. The following describes the inventive method to solve the problem described with respect to FIGS. 19 (A) through 19 (D). Halftoning may be used to permit an unattenuated, 100% chromeless mask to produce a diffraction pattern and resultant aerial image that emulate a diffraction pattern and resultant aerial image corresponding to a 26% transparent, attenuated phase-shift mask. This is a hypothetical example, because 26% attenuated material does not commercially exist in mass quantities. Nevertheless, it is an optimum transmission for some features and the present invention makes a halftoned chromeless phase-shift mask that matches the performance of the 26% attenuated material. As such, the optimum transmission is attainable without attenuating the image with the prior-art attenuated masks. FIGS. 20 (A) through 20 (D) represent how an attenuated phase-shifting mask is fabricated from an unattenuated, chromeless phase-shifting mask in order to image, in this example, a 100 nm line of a 400 nm-pitch feature the same way as if an unattenuated, chromeless phase-shifting mask were used. FIG. 20 (A) depicts a primary feature 2006 in a portion 2004 of a mask layout 2002 for a 26% transmittance attenuated phase-shifting mask. FIG. 20 (B) depicts halftoning objects 2012 in a portion 2010 of a mask layout 2008 for an unattenuated, chromeless phase-shifting mask that has been halftoned, thereby rendering a diffraction pattern nearly perfectly similar to that of the 26% attenuated mask of FIG. 20 (A). FIG. 20 (C) is a graph comparing the diffraction orders produced by both portions 2004 and 2010 of the attenuated and the halftoned, unattenuated masks respectively (the overlap of the graphs resulting from the two different situations is substantially perfect); NA is the numerical aperture. FIG. 20 (D) is an aerial image of the halftone mask of FIG. 20 (B), wherein the aerial image shows no signs of the discrete halftoning objects. FIGS. 20 (E) and 20 (F) illustrate graphs showing the focus-exposure process window for maintaining 90 nm to 110 nm resist line-width sizing in the 26% transmittance attenuated phase-shifting mask (attPSM) of FIG. 20 (A), and the halftone unattenuated, chromeless phase-shifting mask (HTPSM) of FIG. 20 (B), respectively. FIG. 20 (G) is a graphical representation of the focus-exposure process window for maintaining a specified line-width sizing for both masks of FIG. 20 (A) and FIG. 20 (B). As seen in FIG. 20 (G), there is an overlapping portion (OV) of the graph for both masks of FIG. 20 (A) and FIG. 20 (B). Further, as seen in FIG. 20 (H), the percent exposure latitude for both masks is relatively similar. Therefore, as evidenced by FIGS. 20 (G) and 20 (H), the halftone unattenuated, chromeless phase-shifting mask of FIG. 20 (B) may be used to emulate a 26% transmittance attenuated phase-shifting mask of FIG. 20 (A). In this exemplary embodiment, emulating a 26% attenuated-like phase-shift mask, such as depicted in FIG. 20 (A), with a 100% chromeless phase-shift mask, such as depicted in FIG. 20 (B), included increasing the width of the 100 nm line to 115 nm and halftoning the line using a 180-nm halftone pitch (htp) with a 67% duty cycle of 180° shifter-to-non-shifter regions. The halftone has a region that is shifted relative to a region that is not. In this exemplary embodiment, a 67% halftone duty cycle means that 67%, or 120 nm, has been modified to be 180° phase-shifted, and 33%, or 60 nm, is an unmodified 0° reference area. FIGS. 21 (A) through 21 (J) show how two features that have different optimal transmissions can be halftoned so that they have optimal imaging capability using the same attenuated phase-shifting material. FIG. 21 (A) depicts a primary feature 2106 in a portion 2104 of a mask layout 2102 for a 600 nm pitch chromeless phase-shifting mask. FIG. 21 (B) depicts a primary feature 2112 in a portion 2110 of a mask layout 2108 for a 400 nm pitch chromeless phase-shifting mask. FIG. 21 (C) depicts a primary feature 2118 and halftoning scatter bar 2120 in a portion 2116 of a mask layout 2114 for a 600 nm pitch unattenuated phase-shifting mask (CrSB denotes chrome scattering bar). The line-width of primary feature 2118 is increased over that of primary feature 2106 of FIG. 21 (A). Similarly, FIG. 21 ((D) depicts a halftone (HT) primary feature 2126 in a portion 2124 of a mask layout 2122 for a 400 nm pitch unattenuated phase-shifting mask, wherein the line-width of halftone primary feature 2126 is increased over that of primary feature 2112 of FIG. 21 (B). The masks depicted in FIG. 21 (A) and FIG. 21 ((B) have been modified to result in the masks depicted in FIG. 21 (C) and FIG. 21 (D), respectively. FIGS. 21 (E) through 21 (H) show the diffraction patterns corresponding to the mask patterns of FIGS. 21 (A) through 21 (D) respectively. FIG. 21 (G) and FIG. 21 (H) show the modified diffraction patterns corresponding to the mask patterns FIG. 21 (C) and FIG. 21 (D). As compared to the diffraction patterns illustrated in FIG. 21 (E) and FIG. 21 (F), the diffraction patterns are modified when the original mask patterns illustrated in FIG. 21 (A) and FIG. 21 ((B) are modified to become the mask patterns illustrated in FIG. 21 (C) and FIG. 21 (D), respectively. FIG. 21 (I) shows that there is a common focus-exposure corridor for both mask patterns of FIGS. 21 (C) and 21 (D). As seen in FIG. 21 (I) the focus-exposure process window 2130 for the mask of FIG. 21 (C) overlaps the focus-exposure process window 2132 for the mask of FIG. 21 (D) at a common focus-exposure process window 2134 . This simulation example shows that a halftoned unattenuated chromeless mask may emulate an attenuated phase-shift mask of lower transmittance. FIG. 21 (J) shows the exposure latitude for varying amounts of depth of focus for the common focus-exposure area 2134 . FIGS. 22 (A) through 22 (D) show how the focus-exposure process window is enhanced using scattering bars to suppress the 0th diffraction order. FIG. 22 (A) depicts the diffraction order graph for an uncorrected attenuated phase-shift 100 nm line with a 600 nm pitch. FIG. 22 (B) depicts the diffraction orders for a corrected halftoned unattenuated chromeless layout. FIG. 22 (C) is a graph showing the process window for the uncorrected attenuated phase-shift 100 nm line with a 600 nm pitch of FIG. 22 (A). FIG. 22 (D) is a graph showing the process window for the corrected halftoned unattenuated chromeless layout of FIG. 22 (B). Note that the corrected mask has four times the depth of focus (DoF) of the uncorrected mask. FIGS. 23 (A) through 23 (F) show how an angle in a given pattern can be accurately compensated for using halftone (HT) structures on the primary feature. FIG. 23 (A) shows a global layout (uncorrected) of a pattern 2302 comprising primary features 2304 . FIG. 23 (B) shows a halftone corrected portion 2306 of an angled primary feature. FIGS. 23 (C) and 23 (D) are aerial images for the respective features shown in FIGS. 23 (A) and 23 (B), respectively. FIGS. 23 (E) and 23 (F) are magnified views of the aerial images of the angled primary feature and halftone-corrected angled primary feature of FIGS. 23 (C) and 23 (D), respectively. As seen in FIG. 23 (E), the aerial image of the primary feature includes hot spots 2310 , wherein the diffraction orders are decreased as a result of destructive interference in the diffraction pattern. However, as seen in FIG. 23 (F), the aerial image of the primary feature does not include hot spots, thereby resulting in a more precise aerial image of the primary feature. In addition, FIG. 23 shows that these halftone structures are used to render a plurality of sizes, shapes and pitches such that the formed images produce their respective desired size and shape with sufficient image process tolerance. These images are typically made under identical exposure conditions, but not limited to single-exposure conditions. These halftoning structures can be used exterior, as assist features, or interior to the primary feature. These structures can range in transmission from 0% to 100% and they can be phase-shifted relative to the primary features or not. Variations of the unattenuated phase-shift mask of the present invention are also possible. For example, while the hybrid disclosed in the exemplary embodiment set forth above may emulate a 26% attenuated phase-shift mask, alternatives are possible. In the exemplary embodiment above, 600 nm pitch and 400 nm pitch have a common focus-exposure process window; however, such a relationship may be generalized. A general method for developing a focus-exposure process window that is common to multiple predetermined pitch sizes may be accomplished as described with the logic flow diagram of FIG. 24 . FIG. 24 is a logic flow diagram describing a method for designing unattenuated phase-shift masks patterns, whose corresponding diffraction patterns emulate the diffraction patterns corresponding to attenuated phase-shift masks, and whose focus-exposure process window is common to predetermined pitch sizes. After an internal counter n is set to 1 (Step S 1 ), the sizing dose, which is the dose of exposure energy needed to make a resist image of the target size, and line-width control for different features, including types, sizes, and pitches of interest, for different weak phase-shift mask transmissions are determined (Step S 2 ). This determination may be made, for example, using a lithography simulator (such as ProLith™ or Solid-C™). Next, the feature with the most sensitivity to exposure, focus and aberrations is determined (Step S 3 ). This determination additionally may be made, for example, using a lithography simulator. Next, it is determined whether the internal counter n is greater than 1, thereby indicating whether Step S 2 and Step S 3 have been repeated (Step S 4 ). If n is not greater than 1 (Step S 4 ), then a modification to the imaging process is provided that lowers the pattern's sensitivity to exposure, focus, and aberrations (Step S 5 ). The modification may include the use of different transmission weak phase-shifting masks, exposure apparatus conditions, and resist processes. Again, these modifications may be provided, for example, using a lithography simulator. The internal counter is then increased by 1 (Step S 6 ). At this point Step S 2 and Step S 3 are repeated to ensure that the new process conditions provided during Step S 5 did not change that which was observed after the first application of Step S 2 and Step S 3 (Step S 7 ). After the second run through Step S 3 , the internal counter n is determined to be greater than 1, indicating that Step S 2 and Step S 3 have been repeated (Step S 4 ). As such, the results of the determinations made during the first run through Step S 2 and Step S 3 and the second run through Step S 2 and Step S 3 are respectively compared (Step S 8 ). If the comparison between the determinations found during the two previous runs through Step S 2 and Step S 3 is not within a predetermined threshold, i.e. new process conditions introduced at Step S 5 have changed that which was observed in the first run-through of the two previous steps Step S 2 and Step S 3 , then a new modification to the imaging process is provided (return to Step S 5 ). However, if the comparison between the determinations found during the two previous runs through Step S 2 and Step S 3 is within a predetermined threshold, i.e. new process conditions introduced at Step S 5 have not changed that which was observed in the first run-through of the two previous steps Step S 2 and Step S 3 , then the process proceeds to Step S 9 . The mask layout conditions for the other features of interest, that first match the amplitude of the electric field at zero frequency of the diffraction pattern in the pupil plane of the exposure lens, and that place the maximum amplitude of the side-lobes for each isolated feature at the frequency of the reference geometry, are then determined (Step S 9 ). Non-limiting methods for accomplishing the mask layout conditions for the other features of interest include halftoning each feature, biasing each feature, or adding scattering bars to isolated features. If scattering bars are used, the scattering bars should be placed at one reference pitch away from the feature being tuned, in order for the resulting diffracted image of the scattering bars plus their respective primary feature to match the diffracted image reference feature being tuned. Further, scattering bars may be halftoned, of opposite phase and/or biased, as prescribed by the mask fabrication technology used to fabricate such patterns. Next, the enveloping sinc [sinc(x)=sin(x)/x] function is tuned for all features so that they all have the same shape (Step S 10 ). A sinc function relates to the non-discrete diffraction pattern for an isolated feature or for a series of lines and spaces. Adding scattering bars to an isolated feature modifies its sinc function by attenuating the image at certain frequencies and amplifying the image at other frequencies. The resultant diffraction pattern can resemble discrete orders even though it is the result of a sinc function. All diffraction orders of a series of lines and spaces are separated by λ/pitch, and, without the sinc envelope, are of the same magnitude. The sinc function for a single space within the series of lines and spaces varies the amplitude of each order. If scattering bars are used, the spacing may need adjusting to move the side-lobe so that the maximum amplitude is placed outside of the numerical aperture of the lithographic apparatus and only the side of the side-lobe is inside the lens. This may be accomplished by reducing the primary feature's scattering bar structure pitch. It is then determined whether all the features have the same aerial image shape attributes such as, for example, I-MAX (maximum intensity level), I-MIE (minimum intensity level), and Normalized Image Log Slope (NILS), and whether the process windows of each of the features overlap (Step S 11 ). If all the features do not have the same aerial image shape attributes, or the process windows of each of the features do not overlap, then Step S 9 is repeated with a new modification to the enveloping sinc function. If all the features have the same aerial image shape attributes, and the process windows of each of the features overlap, then the process stops, wherein an optimal phase shift mask is provided. FIG. 25 schematically depicts a lithographic apparatus in which the mask according to the invention can be employed. The apparatus comprises: a radiation system Ex, IL, for supplying a projection beam PB of radiation (e.g. UV radiation). In this particular case, the radiation system also comprises a radiation source LA; a first object table (mask table) MT provided with a mask holder for holding a mask MA (e.g. a reticle), and connected to first positioning means for accurately positioning the mask with respect to item PL; a second object table (substrate table) WT provided with a substrate holder for holding a substrate W (e.g. a resist-coated silicon wafer), and connected to second positioning means for accurately positioning the substrate with respect to item PL; a projection system (“lens”) PL (e.g. a refractive, reflective or catadioptric system) for imaging an irradiated portion of the mask MA onto a target portion C (e.g. comprising one or more dies) of the substrate W. As here depicted, the apparatus is of a transmissive type (i.e. has a transmissive mask). However, in general, it may also be of a reflective type, for example (with a reflective mask). Alternatively, the apparatus may employ another kind of patterning means, such as a programmable mirror array. The source LA (e.g. a lamp or excimer laser) produces a beam of radiation. This beam is fed into an illumination system (illuminator) IL, either directly or after having traversed conditioning means, such as a beam expander Ex, for example. The illuminator IL may comprise adjusting means AM for setting the outer and/or inner radial extent (commonly referred to as σ-outer and σ-inner, respectively) of the intensity distribution in the beam. In addition, it will generally comprise various other components, such as an integrator IN and a condenser CO. Furthermore, the illuminator may comprise means for generating off-axis illumination configurations, such as annular, quadrupole, dipole and/or soft multipole configurations; these may be generated in a variety of ways, as detailed for example in European Patent Application EP 0 949 541 (incorporated herein by reference). In this way, the beam PB impinging on the mask MA has a desired uniformity and intensity distribution in its cross-section. It should be noted with regard to FIG. 25 that the source LA may be within the housing of the lithographic projection apparatus (as is often the case when the source LA is a mercury lamp, for example), but that it may also be remote from the lithographic projection apparatus, the radiation beam which it produces being led into the apparatus (e.g. with the aid of suitable directing mirrors); this latter scenario is often the case when the source LA is an excimer laser. The beam PB subsequently intercepts the mask MA, which is held on a mask table MT. Having traversed the mask MA, the beam PB passes through the lens PL, which focuses the beam PB onto a target portion C of the substrate W. With the aid of the second positioning means (and interferometric measuring means IF), the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of the beam PB. Similarly, the first positioning means can be used to accurately position the mask MA with respect to the path of the beam PB, e.g. after mechanical retrieval of the mask MA from a mask library, or during a scan. In general, movement of the object tables MT, WT will be realized with the aid of a long-stroke module (course positioning) and a short-stroke module (fine positioning), which are not explicitly depicted in FIG. 25 . However, in the case of a wafer stepper (as opposed to a step-and-scan apparatus) the mask table MT may just be connected to a short stroke actuator, or may be fixed. The depicted apparatus can be used in two different modes: In step mode, the mask table MT is kept essentially stationary, and an entire mask image is projected in one go (i.e. a single “flash”) onto a target portion C. The substrate table WT is then shifted in the x and/or y directions so that a different target portion C can be irradiated by the beam PB; In scan mode, essentially the same scenario applies, except that a given target portion C is not exposed in a single “flash”. Instead, the mask table MT is movable in a given direction (the so-called “scan direction”, e.g. the y direction) with a speed ν, so that the projection beam PB is caused to scan over a mask image; concurrently, the substrate table WT is simultaneously moved in the same or opposite direction at a speed V=Mν, in which M is the magnification of the lens PL (typically, M=1/4 or 1/5). In this manner, a relatively large target portion C can be exposed, without having to compromise on resolution. Although certain specific embodiments of the present invention have been disclosed, it is noted that the present invention may be embodied in other forms without departing from the spirit or essential characteristics thereof. The present embodiments are therefor to be considered in all respects as illustrative and not restrictive, the scope of the invention being indicated by the appended claims, and all changes that come within the meaning and range of equivalency of the claims are therefore intended to be embraced therein.
Method for utilizing halftoning structures to manipulate the relative magnitudes of diffraction orders to ultimately construct the desired projected-image. At the resolution limit of the mask maker, this is especially useful for converting strongly shifted, no-0 th -diffraction-order, equal-line-and-space chromeless phase edges to weak phase-shifters that have some 0 th order. Halftoning creates an imbalance in the electric field between the shifted regions, and therefore results in the introduction of the 0 th diffraction order.
RELATED APPLICATION [0001] This application is a continuation of application Ser. No. 09/941,363 filed Aug. 28, 2001 which is incorporated herein by reference. FIELD OF THE INVENTION [0002] The present invention relates to a screen printing process, and more particularly relates to a process in which a substrate such as glass is screen printed, a portion of the printed area is laser ablated, and the printed substrate is fired. The glass substrate may comprise automotive glass, television glass, appliance glass, lighting glass, architectural glass, container glass or the like. BACKGROUND INFORMATION [0003] Automotive glass manufacturers have recently been interested in tracing parts and quality of automotive glass products. One proposed approach is to set up a line after the decorated automotive glass has been fired in order to apply indicia such as quality information, serial numbers, bar codes, two-dimensional patch codes, logos and other types of serialization. However, several drawbacks are associated with this approach. A separate production line is needed to handle the parts, for example, to reapply paint, laser mark, remove excess paint, clean and then re-inspect the part. Also, when laser marking, localized heating of a cold glass substrate to temperatures required to fuse the laser mark with the glass substrate may result in significant strength degradation of the glass substrate. Similar difficulties may be experienced for glass substrates in the architectural, container, lighting and other industries. [0004] A need exists for a process that can economically serialize glass parts using existing screen printing lines which may also include, for example, forming, annealing and/or tempering lines. Conventional techniques use individual screens, for example, with an individual serial number, which is not economically feasible for mass production. Currently, manufacturers can include a single part number on a screen. However, individualized information such as date, time, line, shift, quality, etc. is not possible. [0005] The present invention has been developed in view of the foregoing, and to address other deficiencies of the prior art. SUMMARY OF THE INVENTION [0006] The invention provides a method of screen printing, laser ablation serializing, and then firing a glass substrate. The substrate with the applied coating may subsequently be shape formed and/or strengthened to produce a final product. The invention also provides a screen printed pattern which includes a portion that is subsequently laser ablated to provide specific information such as serialization. In one embodiment, the screen printed and laser ablated glass substrate is fired. In another embodiment, screen printed patterns made from, e.g., organic coatings, may be laser ablated with no subsequent heat treatment required. [0007] Uses of the present process include many applications such as automotive, architectural, electronics, military and other industrial applications. An advantage of the present invention is the ability to serialize screen printed materials in order to track identification, dates, shifts, lines, production lots, etc. using a single screen for the screen printing process and a laser ablation technique, instead of individual screens for each serialization requirement. Manufacturers are therefore able to use an in-line process with very little modification. Also, by avoiding localized heating, e.g., during fusing of a laser mark, the glass substrate will not experience strength degradation. [0008] An aspect of the present invention is to provide a method of providing indicia on a substrate. The method includes the steps of screen printing a substrate to make a decorated portion and a laser ablation portion on the substrate, and laser ablating the laser ablation portion to provide indicia on the substrate. [0009] Another aspect of the present invention is to provide a method of providing indicia on automotive glass. The method comprises screen printing the automotive glass to make a decorated portion and a laser ablation portion on the automotive glass, and laser ablating the laser ablation portion to provide indicia on the automotive glass. [0010] A further aspect of the present invention is to provide a screen printed substrate including a screen printed decorated portion and a screen printed laser ablation portion configured for subsequent laser ablation. [0011] Another aspect of the present invention is to provide a screen printed and laser ablated substrate comprising a screen printed decorated portion of the substrate and a screen printed laser ablated portion of the substrate. [0012] A further aspect of the present invention is to provide a screen printing screen comprising a decoration portion and a separate laser ablation portion. [0013] These and other aspects of the present invention will be more apparent from the following description. BRIEF DESCRIPTION OF THE DRAWINGS [0014] [0014]FIG. 1 is a schematic diagram of a conventional process of forming and decorating an automotive glass substrate. [0015] [0015]FIG. 2 is a schematic diagram of a process of forming and decorating an automotive glass substrate in accordance with an embodiment of the present invention. The decorating process includes the steps of screen printing, laser ablating a portion of the printed area, and firing the glass substrate. [0016] [0016]FIG. 3 a is a partially schematic front view of an automotive glass substrate after it has been screen printed, but before a portion of the screen printed area has been laser ablated, in accordance with an embodiment of the present invention. [0017] [0017]FIG. 3 b is a partially schematic front view of an automotive glass substrate after it has been screen printed and after a portion of the screen printed area has been laser ablated in accordance with an embodiment of the present invention. [0018] [0018]FIGS. 4 a - 4 c are partially schematic cross-sectional views of a glass substrate illustrating a laser ablation process in accordance with an embodiment of the present invention. DETAILED DESCRIPTION [0019] In accordance with the present invention, many substrates may be coated for functional or decorative reasons with various coatings. By screen printing a coating on the substrate and then selectively removing portions of the screen printed coating with a laser beam, the substrate can be marked, decorated, serialized or patterned with a simple operation. For example, automotive glass windshields, backlights and side windshields are routinely decorated around their perimeter for functional and decorative reasons with a band of black enamel. A portion of the black enamel may be selectively removed with a laser beam, allowing serialization of each piece of glass prior to firing. Similarly, glass containers are commonly decorated with glass enamels. Marking by selective removal of a portion of the enamel with a laser prior to firing may be used to enhance the decoration as well as to include bar coding or serialization on the container. The same process can be used to mark metals, ceramics, plastics, papers, transfer decals and other components. [0020] Conventional screen printing techniques use a screen supported stencil to form a layer of ink on a substrate in a desired pattern. The stencil is made by stretching a screen fabric, typically polyester, across a frame, and then coating the screen with a photosensitive emulsion. The emulsion-coated screen is photoexposed to harden the emulsion in areas not masked from the light. The unhardened areas of the emulsion are removed in order to complete the stencil. During the screen printing process, ink is forced through the patterned stencil onto the substrate. [0021] In accordance with an embodiment of the present invention, by redesigning the printing screens and including a laser ablation process in existing lines, glass parts can be serialized and tracked for date, time, line, type of glass, type of paint, etc. for traceability as required by new QS9000 quality standards. Furthermore, after inspection, a computer may be used to separate the parts into grades of quality for different standards such as OEM or aftermarket. Furthermore, a part in the field may be traced back to the exact manufacturing place and conditions by the serial number or other similar indicia. [0022] [0022]FIG. 1 schematically illustrates a conventional process of forming and decorating an automotive glass part. In the first step, the glass is unstacked. The individual glass sheet is then cut and seamed using conventional equipment. The cut and seamed glass sheet is then washed and dried using standard techniques. Next, the glass sheet is screen printed by conventional techniques. The decorated glass is then fired, typically at a temperature of from about 580 to about 660° C. After firing, the glass part is inspected in accordance with applicable quality control standards. [0023] [0023]FIG. 2 is a schematic diagram of a process of forming and decorating an automotive glass part in accordance with an embodiment of the present invention. The process illustrated in FIG. 2 is similar to the process shown in FIG. 1, but with a different screen printed pattern and with the addition of a laser ablation step after the screen printing step. As shown in FIG. 2, laser ablation may occur directly after screen printing, or may occur after the drying step. The print may be ablated while it is either wet immediately after screen printing, or after it has been dried to remove the solvents and harden the print to a green state. The material that is coated on the automotive glass during the screen printing process may comprise, for example, black glass enamel having a composition of 49 weight percent glass frit, 25 weight percent pigment, 6 weight percent crystal seed powder, and 20 weight percent printing medium. [0024] In accordance with the present invention as illustrated in FIG. 2, in addition to screen printing a standard decoration on the automotive glass part, during the screen printing step, an extra area of the glass substrate is coated for subsequent laser ablation. This extra screen printing area of the glass substrate is the “screen printed laser ablation portion”. This portion is laser ablated, and the substrate may subsequently be fired. In accordance with an embodiment of the present invention, during the firing step the glass is heated to fuse the ceramic enamel decoration, heat strengthen the glass, and shape or form the glass sheet all in one operation. Thus, the substrate may be bent during the firing step, for example, by shaping or forming operations conventionally used in the manufacture of automotive glass. [0025] [0025]FIG. 3 a is a partially schematic front view of an automotive glass substrate 10 after it has been screen printed in accordance with an embodiment of the present invention, but before a portion of the screen printed area has been laser ablated. In addition to a standard decorated border 12 , logo 14 and part number 16 , the screen printed automotive glass substrate 10 shown in FIG. 3 a includes a blank band of the screen printed material which provides a screen printed laser ablation portion 20 that will be laser ablated in a subsequent operation. The screen assembly used to print the pattern shown in FIG. 3 a may be a conventional screen assembly, with an additional opening provided through the screen assembly in order to produce the laser ablation portion 20 . [0026] [0026]FIG. 3 b is a partially schematic front view of the automotive glass substrate 10 of FIG. 3 a, after the laser ablation portion 20 a of screen printed material has been laser ablated in accordance with an embodiment of the present invention. In the embodiment shown in FIG. 3 b, the laser ablated portion 20 a comprises a serial number comprising alphanumeric symbols which are made of, for example, black glass enamel. Upon laser ablation, a “positive” marking is provided in which the alphanumeric symbols comprise the remaining glass enamel. Alternatively, the serial number may comprise a “negative” image in which the alphanumeric symbols are formed by removing the glass enamel in the symbol regions, leaving a background of the glass enamel in the other regions. [0027] [0027]FIGS. 4 a - 4 c illustrate a laser ablation method that may be used in accordance with an embodiment of the present invention. In FIG. 4 a, a substrate 30 has a layer of screen printed material 32 applied thereto. FIG. 4 b illustrates the substrate 30 and screen printed material 32 after a portion of the screen printed material has been removed by a laser beam (not shown) which preferably travels across the upper surface of the substrate 30 to form a groove 34 in the screen printed material 32 . While the entire thickness of the portion of screen printed material is removed to form the groove 34 in FIG. 4 b, partial removal is possible wherein a layer of the screen printed material remains at the bottom of the groove. The remaining screen printed material 32 shown in FIG. 4 b is then fired in order to convert it to a permanent marking 36 , as shown in FIG. 4 c. The adhered layer 36 and groove 34 shown in FIG. 4 c provide a permanent marking on the substrate 30 . [0028] In accordance with the present invention, various substrate materials can be marked. For example, the present method may be used to mark glass, ceramic, brick, stone, metal, composite and plastic substrates. Exemplary glass substrate compositions include lead as well as lead-free glasses such as soda lime silicates, borosilicates, aluminum silicates, fused silica and the like. Typical ceramic substrates include tiles, sanitary ware, stoneware bodies, porcelain bodies and bricks, as well as electronic quality ceramic substrates such as silica, alumina, aluminum nitride, etc. Stone substrates include marble, granite, slate, limestone and the like. Suitable metal substrates include steel, brass, copper, aluminum, tin, zinc and the like. Typical plastic substrates include PVC, polyamides, polyolefins, polyethylenes, polycarbonates and polytetrafluoroethylene. Combinations of the above substrate materials may also be used, such as porcelain enameled steel substrates, glass coated ceramic bodies and glass enameled bodies. [0029] Substrates that may be marked in accordance with the present invention include automotive parts, automotive glass, aerospace parts, medical devices, electronic devices, tooling, consumer products, packaging, glass bottles, metal cans, metal tags, bricks, tiles, plumbing, electrical, construction supplies, lighting and the like. [0030] The screen printed material may comprise a marking component which is used to form a permanent marking on the substrate and a removable medium which is used to facilitate removal of a portion of marking material from the substrate upon irradiation. The marking component may comprise from about 10 to 100 weight percent of the screen printed material, for example, from about 40 to about 99 weight percent. The removal medium may typically comprise from 0 to about 90 weight percent of the screen printed material, for example, from about 1 to about 60 weight percent. [0031] In a preferred embodiment, the screen printed material has a composition comprising from about 35 to about 75 weight percent glass frit, from about 5 to about 40 weight percent pigment, from zero to about 25 weight percent crystal seed powder, and from about 10 to about 40 weight percent printing medium. More preferably, the screen printed material comprises from about 40 to about 60 weight percent glass frit, from about 10 to about 35 weight percent pigment, from zero to about 25 weight percent crystal seed powder, from zero to about 10 weight percent metal and/or metal oxide materials, and from about 15 to about 40 weight percent printing medium. [0032] The glass frit of the screen printed material may comprise lead-containing frit and/or lead-free frit. As used herein, the term “glass frit” means pre-fused glass material which is typically produced by rapid solidification of molten material followed by grinding or milling to the desired powder size. Preferred glass frits may comprise from 0 to about 75 weight percent lead oxide, from 0 to about 75 weight percent bismuth oxide, from 0 to about 75 weight percent silica, from 0 to about 50 weight percent zinc oxide, from 0 to about 40 weight percent boron oxide, from 0 to about 15 weight percent aluminum oxide, from 0 to about 15 weight percent zirconium oxide, from 0 to about 8 weight percent titanium oxide, from 0 to about 20 weight percent phosphorous oxide, from 0 to about 15 weight percent calcium oxide, from 0 to about 10 weight percent manganese oxide, from 0 to about 7 weight percent copper oxide, from 0 to about 5 weight percent cobalt oxide, from 0 to about 15 weight percent iron oxide, from 0 to about 20 weight percent sodium oxide, from 0 to about 20 weight percent potassium oxide, from 0 to about 15 weight percent lithium oxide and from 0 to about 7 weight percent fluoride, as well as other oxides conventionally used in glass frit compositions. [0033] In addition to glass frit, precursors of such glass frit materials may be used as the marking component. Examples of glass frit precursors include metal oxides with glass formers, such as silica, zinc oxide, bismuth oxide, sodium borate, sodium carbonate, feldspars, fluorides, and the like. [0034] The pigment of the screen printed material may comprise inorganic pigments such as spinels, zircons, rutiles, garnets, hematites, ultramarines and the like may also be used as the marking component. In addition to inorganic pigments, precursors thereof are useful in forming high quality marks. For example, a light green colored mixture of titanium dioxide, antimony trioxide and chrome oxide, which is the precursor to Cr—Sb—Ti buff, may be used. Such a precursor mixture may be dispersed in a removable medium, screen printed on a substrate, and partially removed therefrom with a laser beam. The remaining portion of the screen printed material is then fired to give a buff colored mark. [0035] The crystal seed powder of the screen printed material may comprise, for example, bismuth silicate, zinc silicate and/or zinc borate. [0036] Metal oxides, sulfides, nitrides, carbides and salts are also suitable marking components. For example, cobalt oxide, copper oxide, iron oxide, praseodymium oxide, copper sulfide, iron sulfide, nickel sulfide, aluminum nitride, titanium nitride, chrome carbide and tungsten carbide may be used. [0037] Furthermore, metal powders such as iron, copper, nickel, silver, chromium and the like, may be used. [0038] The above-noted marking components may be used alone or in various combinations in accordance with the present invention. For example, a combination of metal oxides with glass frit, metal oxides with metal sulfides or inorganic pigments with glass frits may be used. [0039] In accordance with an embodiment of the present invention, the screen printed material may include removable media such as water, alcohols, polyols, chlorinated solvents, amines, esters, glycol ethers, ketones, terpenes, petroleum naphthas, aromatic hydrocarbons and natural oils. Other suitable removable media include furans, isoparaffins, N,N dimethylformamide, dimethylsulfoxide and tributylphosphine. [0040] In addition to the marking component and optional removable medium, the screen printed materials of the present invention may comprise small amounts of binder materials to improve green strength or package stability. Additions may include epoxies, polyesters, acrylics, cellulosics, vinyls, natural proteins, styrenes, polyalkyls, carbonates, rosins, rosin esters, alkyls, drying oils, and polysaccharides such as starches, guar, extrins and alginates, and the like. The screen printed materials may optionally include additives generally known in the art to improve dispersability, wetting, flow and rheology, and to relieve surface defects. [0041] The substrate surface is screen printed with a dispersion of the marking material powders in a suitable media. Water based media are preferred because of their minimal environmental impact, but solvent based media can also be used to control drying rate, dispersion or moisture sensitivity of certain marking materials. The deposited layer may typically be dried prior to the irradiation step, however this is not necessary. The marking material may be applied as a single layer, or may be applied as two or more layers. [0042] The screen printed material is typically applied to the surface of the substrate with a total thickness of at least 0.1 micron, preferably from about 1 micron to about 1 mm, more preferably from about 5 to 200 microns, and most preferably from about 10 to about 100 microns. [0043] After the enamel or other marking material is screen printed onto the surface of the substrate, the laser ablation portion of the screen printed material is subjected to laser treatment in order to remove some of the material from the substrate, thereby forming indicia such as serialization. Removal of the material may be achieved, for example, by vaporization, evaporation, thermal decomposition or sublimation of the material upon irradiation by the beam. Removal may alternatively be achieved by physically altering a portion of the screen printed material with the beam, followed by subsequent vacuuming, brushing, blowing off or the like to complete the removal step. A laser is preferably used to selectively remove the desired area of screen printed material. However, other forms of focused energy may be used in accordance with the present invention. Removal may be achieved by moving a laser beam over a stationary substrate using conventional beam steering methods, or by moving the substrate in relation to the laser beam. Laser ablation is typically achieved by directing the beam directly against the layer of screen printed material, but may also be achieved by directing the beam through a sufficiently transparent substrate. The laser beam may be directed perpendicularly with respect to the substrate, or at any other suitable angle which facilitates removal of the screen printed material from the substrate. [0044] Suitable lasers for use in the laser ablation step of the present invention include neodymium:yttrium aluminum garnet (Nd:YAG) lasers, carbon dioxide (CO 2 ) lasers, diode lasers, excimer lasers and the like. [0045] Typical YAG lasers emit light in the near-infrared spectrum at a wavelength of 1064 nm. Such lasers typically have continuous power outputs of from about 1 to about 50 watts, and can be operated in a pulsed mode at peak powers of from about 1 watt to about 45 kilowatts. For pulsed mode operation, frequencies of from about 1 to about 64,000 pulses/second may be used. [0046] Typical CO 2 lasers emit light in the far-infrared region of the spectrum, with intensity spikes at wavelengths of 9.8 and 10.6 microns. Such CO 2 lasers typically operate at a continuous output power of from about 1 to about 40 watts. [0047] In contrast with laser marking methods that use a laser beam to fuse or adhere the irradiated portion of a material to a substrate, the present method uses a laser beam to remove or ablate a portion of the screen printed material from a portion of the substrate. In accordance with the preferred embodiment, pulsed mode laser operation is used to promote removal of the screen printed material. While continuous wave laser operation provides a steady stream of heat energy to the material, pulsing laser operation is believed to provide discontinuous bursts of energy which facilitate removal of the material from the substrate in the irradiated areas. Preferred pulse rates are from about 10 to about 64,000 pulses/second or higher, more preferably from about 500 to about 20,000 pulses/second. [0048] In accordance with the present invention, the size of the laser spot that impinges the screen printed material is typically greater than 0.1 micron in diameter, preferably from about 40 to about 500 microns, and more preferably from about 50 to about 125 microns. The speed at which the laser beam travels across the surface of the marking material preferably ranges from 0 to about 100 inches/second (up to about 250 cm/second), more preferably from about 1 or 2 to about 20 inches/second (about 2.5 or 5 to 50 cm/second) for most thicknesses and compositions of marking material. The laser beam may be projected with a seam overlap of 0 to 100 percent, preferably from about 10 to about 90 percent for many applications. The laser parameters are controlled in order to provide sufficient localized removal of the screen printed material while avoiding unwanted damage to the substrate. [0049] For many laser marking operations, a Lumonics LightWriter SPe YAG laser operating under the following parameters is suitable. Typically, laser ablation on a glass substrate may be achieved using pulse rates of from about 10 to about 64,000 pulses/second or higher, lamp currents from about 28 to about 38 amps, marking speeds from about 1 to about 20 inches/second (about 2.5 to 50 cm/second), laser dot sizes from about 0.002 to about 0.01 inches (about 50 and 250 microns), and seam overlaps from about 25 to about 50 percent. Laser ablation is typically performed with the beam in focus, but may also be carried out with the beam out of focus. Pulse rates of from about 1,000 to about 10,000 pulses/second, lamp currents of from about 28.5 to about 30 amps and writing speeds of from about 2 to about 5 inches/second (about 5 to 12.7 cm/second) are particularly advantageous for many ablation operations. [0050] The laser beam, the movement of which can be controlled by a computer, may be used to ablate discrete symbols or designs or, alternatively, may be serially indexed across the surface of the screen printed material to create multiple symbols or designs at the same time. For example, a serial number may be created by separately ablating individual numbers with the laser, or by rastering the laser across the entire serial number to ablate all of the numbers at the same time. A single laser beam may be used for removal of the screen printed material in accordance with the present invention. Alternatively, two or more laser beams may be used. [0051] In accordance with a preferred embodiment, substrate surface damage caused by the laser ablation process may be minimized or eliminated. While not intending to be bound by any particular theory, it is believed that the heat generated by the laser beam may be consumed by ablating the screen printed material from the surface rather than overheating the substrate and creating surface damage such as micro-cracks. [0052] During the laser ablation step, the surface of the substrate may be exposed to any desired type of atmosphere. For example, the atmosphere may comprise air at atmospheric, sub-atmospheric or super-atmospheric pressures. Furthermore, the atmosphere may comprise an inert gas such as nitrogen, argon or carbon dioxide, an oxidizing atmosphere such as air or oxygen, a reducing atmosphere such as hydrogen or carbon monoxide, or a vacuum. Oxidizing or reducing gases can be used in a combination with inert gases. It is also possible to control the atmosphere on the surface of the substrate through the type of media the marking component is dispersed in. The atmosphere to which the surface of the substrate is exposed may affect the color and the quality of the mark. [0053] In accordance with the present invention, after a portion of the screen printed material has been ablated from the substrate, the remaining portion of the screen printed material is permanently adhered to the substrate upon firing of the glass substrate in a furnace. As used herein, the term adhere is used to designate any permanent means of attachment of the remaining screen printed material to the substrate. For example, the remaining screen printed material may be adhered to the substrate by sintering the marking material to the substrate, fusing the marking material to the surface of the substrate, diffusing the marking material into the substrate, reacting the marking material with the substrate and the like. As used herein, the term permanent marking means a non-temporary marking which, for example, possesses relatively high wear resistance, corrosion resistance and/or fading resistance. [0054] Various types of marks may be produced by the laser ablation step in accordance with the present invention. For example, the marks may comprise alphanumeric symbols, graphics, logos, designs, decorations, serializations, bar codes, two dimensional matrices and the like. By using conventional laser controlled hardware and software, the laser ablation markings may be quickly varied from operation to operation for applications such as serialization, bars codes, patch codes, manufacturing quality control and automated manufacturing. [0055] In accordance with the present invention, screen printed and laser ablated permanent markings may be formed with high contrast and high resolution. In addition, the present markings have favorable wear, corrosion and fade resistance properties. For example, marks created with glass frits have wear, corrosion and fade resistance properties similar to the resistance of the glass from which the frit was made. [0056] Whereas particular embodiments of this invention have been described above for purposes of illustration, it will be evident to those skilled in the art that numerous variations of the details of the present invention may be made without departing from the invention as defined in the appended claims.
A method of screen printing and laser ablating various types of substrates is disclosed. The process may be used to make decorated auto glass with additional indicia such as serial numbers, bar codes, and the like. The substrate with the applied coating may subsequently be shape formed and/or heat strengthened to produce a final product. The invention also provides a screen and a screen printed pattern which include a portion that is subsequently laser ablated to provide specific information such as serialization. In one embodiment, the screen printed and laser ablated glass substrate is fired. In another embodiment, screen printed patterns may be laser ablated with no subsequent heat treatment required.
BACKGROUND OF THE INVENTION The present invention relates broadly to a wire consolidator for an electrode discharge machining (EDM) machine which consolidates expended electrode wire by cutting it into predetermined lengths as it is drawn from the EDM machine. EDM is a process whereby precision tools, dies, parts, and the like may be produced both quickly and accurately via the erosion of material from a conductive workpiece by a controlled electrical spark from an electrode. Inasmuch as there is no direct physical contact between the workpiece and the electrode and no physical force is exerted on the workpiece, the speed or efficacy of the EDM process is not affected by the hardness of workpiece but only its conductivity. This makes EDM ideal not only for machining hard materials such as hardened steel, carbides, and the like, but also for soft materials such as plastics and the like which might be distorted or damaged by the force exerted by traditional cutting tools. EDM machines may be classified as either vertical or wirecut types. In both types, one terminal of a power supply is connected to a workpiece and the other terminal is connected to an electrode that generates controlled sparks of electricity to effect the removal of material from the workpiece. The workpiece and the electrode are immersed in a dielectric fluid, typically deionized water or a hydrocarbon, which acts as an electrical insulator until a given voltage differential is applied between the electrode and the workpiece. The electrode and workpiece are separated by a defined gap, and a D.C. voltage is applied therebetween to develop an electrical field across the gap. When the breakdown voltage of the dielectric is exceeded, the dielectric is ionized and an electrical current arcs across the gap striking the workpiece and vaporizing material from the surface thereof. As current continues to flow between the electrode and the workpiece, the intense heat developed melts additional material. The current is then pulsed off, the spark is quenched, and dielectric fluid flows into the heated zone to cool and solidify the melt and to transport some of the melt from the zone in the form of small chips or cinders. This cycle is repeated at frequencies of from about 500 to 1,000,000 pulses per second to effect formation of a cavity in the workpiece having a shape mirroring that of the electrode. A small portion of the melted material is redeposited onto the workpiece. However, this recast layer, as it is called, can be minimized by carefully controlling the pulsing of the current and the flow of the dielectric into the heated zone. Other than redeposits, EDM is a completely burr-free machining method. The conventional or vertical EDM apparatus employs an electrode which is moved towards the workpiece. In contrast, the wirecut EDM variant employs a constantly moving wire as the electrode. Wirecut EDM is especially efficient because the wire erodes a thin line around the perimeter of the required cut rather than eroding the full volume of the cavity as in conventional EDM's. As in the conventional EDM process, the workpiece is typically mounted on a table with two degrees of freedom, i.e., in the X and Y directions. The wire is then threaded around the machine and through the workpiece material. The side of the wire acts like a bandsaw to cut though the workpiece material. However, in this case, the "tooth" of the saw is the electrical discharge and the "blade" is a wire, typically brass or another copper alloy, which can range in size from about a thousandth on up to twelve thousandths of an inch in diameter. As the wire approaches the workpiece, electrical discharges arc from the wire to effect the removal of material from the workpiece. The movement of the table is often computer controlled and automatically driven in a preprogrammed pattern to produce the desired shape. Once the cutting commences, the motion of the wire continually presents a new electrode to the workpiece and thereby lessens electrode wear. For additional information on EDM in general and wirecut EDM in particular, reference may be had to the following, the disclosures of which are expressly incorporated herein by reference: U.S. Pat. No. 4,463,241 and references cited therein; "Update: Wirecut EDM," EDM Digest, pp. 34-35, May/June 1984; and "Electrical Discharge Machining," TechCommentary, Vol. 3, No. 1, published by the Center for Metals Fabrication, Battelle Memorial Institute, Columbus. Ohio. Although the wirecut EDM process mitigates the electrode wear associated with conventional or vertical EDM, the continuous motion of the wire electrode results in the consumption of significant quantities of brass wire or the like. For example, a machine shop having as few as three wirecut EDM machines may typically use 500 pounds or more of electrode wire each and every month. Handling the expended wire has heretofore presented a problem for such shops. That is, the wirecut EDM machines known in the art generally employ a pair of rollers or the like to teed the expended electrode wire into a container, receptacle, or the like. The randomly fed wire, however, ultimately tangles into a large ball necessitating the frequent emptying of the receptacle. In addition, the ball of tangled wire is seen as waste or scrap product which must be disposed of or salvaged for only a nominal value. Moreover, the expended wire as it is drawn from the machine requires constant attention to keep it from contacting the machine and causing a short circuit or from backing up and jamming or otherwise interfering with the drawing of the wire through the workpiece. Accordingly, it may be seen that improvements in wire handling capabilities would be well-received by the various industries such as tool and die, automotive, aerospace, medical, and the like which employ wirecut EDM processing. Broad Statement of the Invention The present invention is addressed to an apparatus for use in a wirecut EDM machine which is adapted for consolidating expended electrode wire by cutting it into predetermined lengths. By delivering the expended electrode wire into a receptacle or the like in a consolidated form, the present invention facilitates the handling of the wire in packing it more efficiently into the receptacle and in militating against roller jams, short circuits, and the like caused by the expended wire backing up and jamming or otherwise contacting the EDM machine. Moreover, the consolidated wire may be marketed as a processed material for use as a reinforcement, electromagnetic shielding, or the like commanding a market price appreciably higher than the salvage value of used electrode wire. It is, therefore, a feature of the present invention to provide in a wirecut EDM machine having an advancing electrode wire, a wire driver assembly for advancing the electrode wire, and a roller assembly for drawing expended electrode wire from the EDM machine, an apparatus for consolidating the expended wire by cutting it into predetermined lengths as it is drawn from the machine. For cutting the expended wire, a wire shearing assembly having at least one shear tip movable along a predetermined locus is provided. The wire shearing assembly is actuable by a drive means to move the shear tip at a rate effective to cut the expended wire into predetermined lengths. A guide bushing having a guide opening extending therethrough is interposed between the roller assembly of the EDM machine and the wire shearing assembly to receive the expended wire from the roller assembly and to locate it within the locus for shearing impact with the shear tip. It is also a feature of the invention to provide in a wirecut EDM machine an apparatus for consolidating expended wire which effects the cutting thereof into predetermined lengths without short circuiting the wire. For cutting the expended wire, a wire shearing assembly having at least one shear tip movable along a predetermined locus is provided. The wire shearing assembly is actuable by a drive means to move the shear tip at a rate effective to cut the expended wire into predetermined lengths. A guide bushing having a guide opening extending therethrough is interposed between the roller assembly of the EDM machine and the wire shearing assembly to receive the expended wire from the roller assembly and to locate it within the locus for shearing impact with the shear tip. To electrically insulate the apparatus from the EDM machine, a platform is interposed between the wire shearing assembly and the machine. The platform is configured to suspend the wire shearing assembly therefrom and is formed of a material which is electrically nonconductive. Support means fastenable to the housing and the EDM machine are provided for attaching the apparatus to the EDM machine. It is also a feature of the invention to provide in a wirecut EDM machine an apparatus for cutting expended electrode wire. The apparatus includes a wire shearing assembly having at least one shear tip movable along a predetermined locus and a cutter having a peripheral portion for supporting the shear tip. The cutter is rotatable about a drive axis by a drive means to define the locus as a circle and to move the shear tip at a rate effective for sheafing the wire into predetermined lengths. A guide bushing having a guide opening extending therethrough is interposed between the roller assembly of the EDM machine and the wire shearing assembly to receive the expended wire from the roller assembly and to locate it within the locus for sheafing impact with the shear tip. To electrically insulate the apparatus from the EDM machine, a platform is interposed between the wire sheafing assembly and the machine. The platform is configured to suspend the wire sheafing assembly therefrom and is formed of a material which is electrically nonconductive. Support means fastenable to the housing and the EDM machine are provided for attaching the apparatus to the machine. The invention, accordingly, comprises the apparatus possessing the construction, combination of elements, and arrangement of parts which are exemplified in the following detailed disclosure. For a fuller understanding of the nature and objects of the invention, reference should be had to the following detailed description taken in connection with the accompanying drawings. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 shows a perspective view of a representative wirecut EDM machine in combination with an apparatus for consolidating expended electrode wire in accordance with the precepts of the present invention. FIG. 2 is an enlarged side elevational view of the apparatus for consolidating expended electrode wire of FIG. 1. FIG. 3 is a cross-sectional view of the apparatus of FIG. 1 taken through the plane 3--3 of FIG. 2. FIG. 4 is a cross-sectional view of the apparatus of FIG. 1 taken through the plane 4--4 of FIG. 3. FIG. 5 is a top view of the cutter housing of the apparatus for consolidating expended electrode wire of FIG. 1. FIG. 6 is an enlarged top view of the cutter housing of FIG. 5 showing a peripheral tip mount extension thereof with the shear tip removed. FIG. 7 is an enlarged cross-sectional view of the cutter housing of FIG. 5 taken through plane 7--7 of FIG. 5. FIG. 8 is an enlarged perspective view of the cutter housing of FIG. 5. DETAILED DESCRIPTION OF THE INVENTION Referring to FIG. 1, a wirecut EDM machine adapted to remove material from a conductive workpiece with an electrode wire is shown generally at 10. A workpiece (not shown) is supported by a table, 12, which, preferably, is of an X-Y type to provide for movement of the workpiece in at least two directions along parallel supports 14a and 14b. A column, 16, supports a servo head, 18, having a die, 20, for the passage therethrough of an electrode wire, 22, which, depending on the workpiece and the machining program, may have a nominal diameter of from about a thousandth to about twelve thousandths of an inch. Electrode wire 22 is continually advanced through die 20 via a wire driver assembly, shown generally at 24. Wire driver assembly 24 may be seen to comprise a spool, 26, for holding a supply of electrode wire 22, and a system of tension and drive rollers, 28a-h. A controller, 30, is provided for controlling, via control lines 32, the advancing of electrode wire 22, the position of head 18, and the motion of table 12 in response to preprogrammed cutting instructions. Controller 30 also contains an internal power supply for providing a D.C. voltage to electrode wire 22 via a set of power lines, 34, as well as a keyboard, 36, and a display, 38, for the entering and viewing of input data. The validity of the input data may be determined in conjunction with a plotter assembly, shown generally at 40 to comprise a plotter arm, 42, and a plotting table, 44. Plotter assembly 40 allows a given set of cutting instructions to be executed in a plotted or printed form prior to the actual cutting of the workpiece. The accuracy of the cutting instructions can then be determined by comparison to the plot generated. In accordance with the precepts of the present invention, a consolidation assembly, shown generally at 46, for consolidating electrode wire expended from EDM machine 10 by shearing it into predetermined lengths is provided in combination with EDM machine 10. Advantageously, consolidation assembly 46 is operated in conjunction with roller assembly 48 of EDM machine 10. That is, as electrode wire 22 is advanced by wire driver assembly 24 through the workpiece, expended or used electrode wire, 50, is drawn from EDM machine 10 by roller assembly 48. However, rather than randomly feeding expended wire 50 into receptacle 52 to form a tangled ball as has heretofore been common, wire shearing assembly 46 is provided to consolidate expended wire 50 by cutting or shearing it into predetermined lengths, as are shown at 54, for delivery into receptacle 52. Such a consolidation results in a more efficient packing of expended electrode wire 50 into receptacle 52 and thereby decreases the frequency at which receptacle 52 must be emptied. Moreover, wire lengths 54 have less of a tendency to jam or otherwise interfere with the advancing of electrode wire 22 through the workpiece or the drawing of expended wire 50 from EDM machine 10. In addition, lengths 54, due to the controlled length thereof, may be sold or marketed as a processed material having a value increased over that associated with mere scrap for use as reinforcement or electromagnetic shielding in concrete, plastics, composites, or the like. Referring to FIG. 2, consolidation assembly 46 is shown in a somewhat enhanced detail revealing the orientation of its component parts. A guide bushing, 56, is provided to receive expended wire 50 as it is drawn from EDM machine 10 by roller assembly 48 and to locate wire 50 within the locus or cutting radius of a cutter wheel, shown generally at 60. For aligning guide bushing 56 with wire 50 as it is drawn from EDM machine 10 via roller assembly 48, an angled bracket, 62, and associated machine screw fastening members, three of which are shown at 63a-c, are provided for attaching a platform, 64, of consolidation assembly 46 to EDM machine 10. Referring momentarily to FIG. 3, it may be seen that bracket 62 may be adapted to provide for the adjustable positioning of consolidation assembly 46 with respect to roller assembly 48 via the integration of slots, two of which are represented at 65a and 65b, into bracket 62 for receiving fastening members 63. Returning to FIG. 2 and looking momentarily to FIGS. 5, 6, and 7, cutter wheel 60 may be seen to comprise a planar, generally circular housing, 66, having a plurality of peripheral tip mount extensions, represented at 68, adapted to receive an associated shear tip, one of which is referenced at 70. Preferably, peripheral tip mount extensions 68 are provided with a V-shaped surface, 69, adapted to receive and retain a corresponding surface of shear tip 70 which extends to form an elongate portion, 71. Accordingly, it may be seen that tip 70 is thereby adapted for removable insertion into peripheral tip mount extensions 68 to facilitate sharpening or replacement when worn or damaged. Shear tip 70, preferably formed of a hardened material such as a carbide or the like, is provided with a peripheral, confronting shear surface, 72, for effecting the cutting or shearing of expended wire 50 and a shoulder portion, 73, for abutting engagement with housing 66. For rotatably driving cutter wheel 60 about a drive axis, 75 (FIG. 3), such that shear tips 70 move along a generally circular locus, a drive motor, 74, preferably of a gear-type and having sealed bearings, is provided. A coupling, 76, joins a motor drive shaft, 78, of motor 74 to a cutter drive shaft, represented generally at 80, of cutter wheel 60 for the purpose of transmitting drive power from motor 74 to cutter wheel 60. Drive motor 74 is spaced-apart from platform 64 by a plurality of spacer members, 82a-d, two of which are shown at 82a and 82b, fastened to platform 64 and a plate, 84, which may be secured to motor 74 by a plurality of fastening members, 85a-d, as is shown at 85a and 85b. Preferably, spacer members 82 may be secured to platform 64 by fastening members, 86a-d, two of which are shown at 86a and b, which may be provided as, for example, cap screws inserted through platform 64 into threaded engagement with tapped holes (not shown) machined into the upper ends of spacer members 82. Likewise, spacer members 82 may be secured to plate 84 of motor 74 by fastening members (not shown) which may be provided as, for example, flat head machine screws counter sunk into the underside, 88, of plate 84 and threadably engaged with other tapped holes (not shown) machined into the lower ends of spacer members 82. To convey wire lengths 50 away from consolidation assembly 46, a generally planar, declining surface, 89, may be disposed below cutter wheel 60 for directing wire lengths expelled from cutter wheel 60 into a container, receptacle, hopper, or the like. Continuing to FIG. 3, the internal structure of consolidation assembly 46 is now revealed. Platform 64 may be seen to be adapted to receive a wear or cutter plate, 90, preferably formed of a carbide, a hardened tool steel, or the like, which, in turn, is adapted for threadable engagement with guide bushing 56. For receiving wire 50 from roller assembly 48 and locating it with the locus of cutter wheel 60, bushing 56 is provided to have an opening extending therethrough, as is represented at 92, having a generally conical cross-section apexing towards cutter wheel 60. Cutter plate 90 has a corresponding passageway extending therethrough, as is represented at 94, for receiving wire 50 from bushing 56 and for forming an edge with a shearing surface, 96, of cutter plate 90. Looking momentarily to FIG. 4, it may be observed that as motor 74 rotatably drives cutter wheel 60 such that shear tips 70 thereof are moved along a generally circular locus, wire 50 is sheared between the edge of passageway 94 of cutter plate 90 and confronting shear surface 72 of shear tip 70. Returning to FIG. 3 and looking additionally to FIGS. 7 and 8, it may also be observed that it is deskable to have an upper, bearing surface, 98, of tip 70 engage or bear upon shearing surface 96 of cutter plate 90 to cleanly severe rather than merely bend or deform wire 50. Accordingly, upper bearing surface 98 of tip 70 may be seen as extending above cutter wheel housing 66 to be biased into abutting engagement with shearing surface 96 of cutter plate 90. Inasmuch as bearing surface 98 of tip 70 is biased against shearing surface 96 of cutter plate 90, it is therefore preferable to balance cutter wheel 60 by providing it with at least two, diametrically-opposed shear tips. If more than two shear tips are provided, it is likewise preferable to equally space the tips about the circumference of cutter wheel 60 to thereby effect the balancing thereof. To enhance the durability of cutter plate 90 and especially that of shearing surface 96 thereof, cutter plate 90 is preferably formed of a hardened material such as tool steel or of an intrinsically hard material such as a carbide or the like. To further promote the clean, non-deforming severance of wire 50 into predetermined lengths, it is also desirable that shear surface 72 of shear tip 70 perpendicularly confronts expended wire 50 as it is passed through passageway 94 of cutter plate 90 and into the circular locus of shear tips 70. Accordingly, shear surface 72 is preferably disposed orthogonally with respect to bearing surface 98 and shearing surface 96 of cutter plate 90. By assuring the production of straight, non-deformed wire lengths, the value of the lengths for use in the aforementioned secondary markets is enhanced. To efficiently effect the transmission of drive power or torque from shaft 78 of motor 74 to cutter wheel 60, cutter drive shaft 80 is provided as having a generally elongate portion, 104, for insertion into coupling 76. Preferably, elongate portion 104 is provided with a keyway or the like for effecting a mechanical, torque-transmitting interlock with coupling 76. Likewise, motor drive shaft 78 is preferably provided with an externally threaded bushing, 106, configured for threaded engagement with internal threads, 108, of coupling 76. To secure bushing 106 to shaft 78, a tapped hole, represented at 110, may be machined through coupling 76 and bushing 106 and configured to receive a set crew or the like (not shown) effecting the mechanical engagement of motor shaft 78 and coupling 76. For securing cutter drive shaft 80 within consolidation assembly 46 as it extends through platform 64, cutter plate 90, and cutter wheel 60, shaft 80 is provided with a shoulder portion, 111, for abutting engagement with platform 64, and a threaded portion, 112, adapted to receive, for example, a locknut, 114, a lock washer, 116, and a spring washer, 118, which abuttingly engage housing 66 of cutter wheel 60. Advantageously, locknut 114, lock washer 116, and spring washer 118 also serve to adjustably bias upper beating surfaces 98 of shear tips 70 against shearing surface 96 of cutter plate 90. That is, by varying the torque applied to locknut 114 the force applied to cutter wheel housing 66 and, concomitantly, to bearing surfaces 98 of tips 70 may be proportionately controlled. Looking additionally to FIG. 4, cutter drive shaft 80 may be seen to also be provided with a spindle portion, 120, for retaining cutter wheel 60. Preferably, spindle portion 120 is provided with flat portions, 122a and b, for effecting the rotation of cutter wheel 60 as cutter drive shaft 80 is rotated by motor 74. Returning to FIG. 3, it may be seen that shaft 80, when driven by motor 74, rotates within platform 64 and cutter plate 90 about a generally cylindrical axle portion, 124, the rotation thereof which may be facilitated by the addition of a bushing, 126, which is preferably formed of a nylon material or the like to lessen frictional forces tending to impede the free rotation of shaft 80. Given that a voltage is applied to electrode wire 22 and, accordingly, to expended electrode wire 50, it may be appreciated that it is desirable to electrically insulate guide bushing 56 and cutter wheel 60 from ground such that electrode wire 50 is not short circuited when contacting guide bushing 56 or cutter wheel 60 during consolidation. Accordingly, platform 64 is preferably formed from an electrically nonconductive material such as polyvinylchloride (PVC) or the like to electrically insulate consolidation assembly 46 from EDM machine 10. Moreover, it is also desirable to electrically insulate electrode wire 10 from motor 74 which, by necessity, is grounded to an AC power source or the like. Accordingly, spacer members 42 and coupling 76 are preferably formed of an electrically nonconductive material such as nylon or the like. Additionally, an insulative gap, as is represented at 130. is provided to insulate motor 74 and motor shaft 78 from cutter wheel shaft 80 and, accordingly, from cutter wheel 60 and wire 50. Referring to FIG. 4 in conjunction with FIGS. 1, 2 and 3, the general operation of consolidation assembly 46 may be considered. Essentially, the rotation of cutter drive shaft 80 in general and of spindle portion 124 in particular, effected by the rotation of motor drive shaft 78 and coupling 76, rotatably cutter wheel 60 and moves shear tips 70 along a generally circular locus about drive axis 75 as indicated by the arrow represented at 132. Concurrently with the movement of shear tips 70 along locus 132, expended electrode wire 50 is drawn by roller assembly 48 from EDM machine and into guide bushing 56 of consolidation assembly 46. As wire 50 is drawn through guide bushing 56 and passageway 94 of cutter plate 90 and is located within shear tip locus 132, it is sheared into predetermined lengths 54 between the edge formed by passageway 94 and shearing surface 96 of cutter plate 90 and confronting shear surface 72 of shear tip 70. Sheared lengths 54 are thereafter expelled from cutter wheel 60 along a tangent to the circular periphery of cutter wheel 60 by a force imparted thereto by tips 70. Once expelled from cutter wheel 60, sheared lengths 54, having substantially enhanced utility and salvage value, are gravity-fed down declined surface 89 and into receptacle 52. To protect operators and the like in the immediate vicinity of EDM machine 10 and to militate against wire accumulation within consolidation assembly 46, a shield, 134, preferably formed of a transparent material such as a polyacetate or the like, may be provided to enclose consolidation assembly 46. Considering the operational variables of consolidation assembly 46, it may be desirable from marketing perspective to shear expended wire 50 into lengths ranging from about 3/8 to 1/2 inch for sale as electromagnetic shielding, with a length of 3/8 inch being preferred. Accordingly, a practitioner of the present invention, given an expended wire speed as determined by the particular EDM machine employed and the particular workpiece of interest, may select an appropriate cutter wheel and drive motor as determined by the relationship: ##EQU1## where l is the length of the consolidated wires, ν is the speed of the expended wire drawn from the EDM machine, n is an integer representing the number of shear tips, and ω is the rotational speed of the drive motor in revolutions per unit time. That is for a given or optimum wire speed, the practitioner may vary, for example, the rotational speed of the drive motor or the number of shear tips to achieve a desired wire length. The practitioner may also select a drive motor having an appropriate horsepower rating to develop the torque necessary to rotate the cutter wheel at the desired revolution rate as determined by the mass and radius of the cutter wheel. For example, given a steel cutter wheel having a nominal diameter of about 3 inches, a nominal thickness of about 1/4 inch, and 4 shear tips, a 1/20 horsepower motor operating at 154 rpm at 60 Hz is satisfactory to shear a 0.004 to 0.012 inch nominal diameter brass wire into 3/8 to 1/2 inch lengths. It is anticipated that certain changes may be made in the above-described apparatus without departing from the scope of the invention herein involved. For example, although the present invention has been illustrated in connection with the utilization of a cutter wheel driven along a generally circular by a drive motor, it is within the precepts of the present invention that other consolidation assemblies movable along other predetermined locii would be equally efficacious in combination with an EDM machine for effecting electrode wire consolidation. Thus, a reciprocating blade movable along a generally linear locus by a piston, solenoid, or the like, for example, is foreseeable as a design option within the purview of a practitioner in light of the instant disclosure. Accordingly, it is intended that all matter contained in the description of the above-described invention or shown in the accompanying drawings shall be interpreted as illustrative and not in a limiting sense.
Consolidating expended electrode wire by cutting it into predetermined lengths facilitating handling and yielding a salable byproduct rather than mere salvageable scrap. The apparatus is adapted for use in a wirecut EDM machine having an advancing electrode wire, a wire driver assembly for advancing the electrode wire, and a roller assembly for drawing the expended electrode wire from the machine. For cutting the expended wire into predetermined lengths, a wire shearing assembly having at least one shear tip movable along a predetermined locus is provided. The wire shearing assembly is actuable by a drive assembly to move the shear tip at a rate effective to cut the expended wire into predetermined lengths. A guide bushing having a guide opening extending therethrough is interposed between the roller assembly of the EDM machine and the wire shearing assembly to receive the expended wire from the roller assembly and to locate it within the locus for shearing impact with the shear tip.
BACKGROUND OF THE INVENTION [0001] 1. Field of the Invention [0002] The present invention relates to a slide fastener having a separable bottom stop assembly at a bottom stopper portion thereof and a method of manufacturing the same. [0003] 2. Description of the Prior Art [0004] Conventionally, in a slide fastener in which a coiled or zigzagged fastener element row made of synthetic resin monofilament is attached on a face of a tape by sewing or the like, a core thread is inserted through the fastener element row at a sewed portion of the element row and each element portion of the fastener element row is sewed together with this core thread by sewing yarn. When a separable bottom stop assembly comprising an insert pin, a box pin and a box is attached to a core portion consisting of the core thread and a tape edge portion exposed adjacent a bottom end of the fastener element row attached in the above manner, a tape face side becomes thick because of the existence of the core thread at the core portion. Thus, the thickness of the insert pin and the box pin molded integrally is limited by a section of an element guide groove formed between upper and lower blade plates of a slider, so that the front face side of the fastener tape naturally has to be thickened. [0005] If the volume of resin located on a rear face side decreases when the insert pin and the box pin of synthetic resin are fixed around the core portion at the same time when they are molded, the insert pin and the box pin come to easily peel away from the core portion. As a consequence, the separable bottom stop assembly is broken. To avoid such a damage, according to Japanese Patent Publication No. 56-29524, for example, a tape portion of a core portion is folded stepwise and upward with respect to a fastener tape face so as to fit tightly to a core thread side. After heating the core portion and the periphery of the core portion, the insert pin and the box pin with their thickness substantially equal to the vertical height of the element row are formed around the core portion by injection molding. According to the molding method of this separable bottom stop assembly, a large amount of resin can be also disposed on a rear face side of the core portion. Therefore, the resin does not peel even at the rear face side of the core portion, so durability of the separable bottom stop assembly increases. [0006] However, this method requires a heating apparatus for applying enough heat to the core portion and its periphery in preliminary heat-setting so as to stabilize the folded configuration of the fastener tape. In addition, its thermal history is so large that the core portion and the surrounding portion thereof become brittle. Consequently, the insert pin and the box pin attached around the core portion easily split at their boundary. Additionally, as mentioned above, even if heat-setting is conducted, the configurations of the core portion and its periphery in a cavity may not be maintained upon molding of the separable bottom stop assembly. SUMMARY OF THE INVENTION [0007] The present invention has been achieved to solve the above-described problems. An object of this invention is to provide a slide fastener and a method for efficiently manufacturing the same, in which an insert pin or a box pin with substantially even thickness is formed around a core portion without other equipment than an injection-molding machine and without making the core portion and its periphery brittle. [0008] To achieve the above object, according to the present invention, there is provided a slide fastener having linear fastener element rows along opposing side edges of a pair of fastener tapes and the separable bottom stop assembly comprising an insert pin, a box pin and a box made of synthetic resin at an end thereof, said insert pin and said box pin being formed integrally by injection molding so as to include core portions adjacent end portions of the fastener element rows. In this slide fastener, the insert pin and the box pin have peripheral shapes allowing themselves to be inserted into element row guide grooves of a slider. The insert pin and the box pin are molded integrally such that the entire insert pin and box pin incline toward a rear face side of the tape from their inner side edges to outer side edges relative to a tape plane of the fastener, said rear face side having no core thread of the core portion. [0009] The sectional shapes of the insert pin and the box pin of the present invention is not different from the conventional ones. However, the insert pin and the box pin are inclined at a predetermined angle from their inner edges to their outer edges toward the rear face side, or the side without core thread, opposite to the front face to which the fastener element row is attached. Consequently, a sufficient amount of resin material for the insert pin and the box pin is supplied also to the rear face side of the core portion. Thus, the thickness of the resin on the rear face side can be approximated to the thickness of the resin on the front face side. Accordingly, possibility of damage due to peel of resin at the rear face side of the core portion can be minimized. [0010] The slide fastener having above-described structure can be produced by the following two manufacturing methods. [0011] According to a first manufacturing method of a slide fastener with a separable bottom stop assembly, the fastener is provided with the linear fastener element rows along the opposing side edges of a pair of the fastener tapes and the separable bottom stop assembly comprising the insert pin, the box pin and the box made of synthetic resin, said insert pin and said box pin being molded integrally so as to include the core portion at the bottom end of each of the fastener element rows. This manufacturing method comprises: introducing the core portion into an injection-molding die for the insert pin or the box pin; pressing the core portion disposed in the molding cavity from the rear face side without the core thread to the front face side of the fastener tape; and injecting molten resin into the molding cavity so that the molten resin flows into molding spaces on the front and rear face sides of the core portion and fixes to the periphery of the core portion. [0012] According to a second manufacturing method of a slide fastener, the fastener is provided with the linear fastener element rows along the opposing side edges of a pair of the fastener tapes and the separable bottom stop assembly comprising the insert pin, the box pin and the box made of synthetic resin, said insert pin and said box pin being molded integrally so as to include the core portion at the bottom end of each of the fastener element rows. This manufacturing method further comprises: forming the molding cavity for the insert pin or the box pin in the injection-molding die so that said cavity may incline relative to a core-portion-insertion plane toward a rear face side without the core thread of the fastener tape; introducing the core portion into the molding die for the insert pin or the box pin; maintaining the core portion without bending a tape portion around its border with the core portion disposed in the molding cavity; and injecting molten resin into the molding cavity so that the molten resin flows into molding spaces on the front and rear face sides of the core portion and fixes to the periphery of the core portion. [0013] According to the first manufacturing method, an inner face on the front face side of the molded product and an inner face on the rear face side thereof are substantially parallel to the fastener tape in the section of the molding cavity, as in conventional ones. Preferably, a pin for pressing the core portion from the rear face side to the front face side of the fastener tape is provided protrusively in the cavity. If so, the core portion inclines downward due to its own weight so as not to block flow of molten resin into the rear face side of the core portion. [0014] According to the second manufacturing method, the molding cavity is formed such that its entire section inclines relative to the core-portion-insertion plane toward the rear face side of the fastener tape. Preferably, the pin for maintaining the core portion in parallel to the tape plane of the fastener tape is provided protrusively in the cavity. If so, the core portion never inclines downward due to its own weight and spaces into which a sufficient amount of molten resin flows can be secured on both the front and rear face sides of the core portion. Consequently, the molten resin smoothly flows into the rear face side of the core portion. [0015] According to the above two manufacturing methods, a conventional injection-molding machine for producing the separable bottom stop assembly can be used directly if the molding cavity in the molding die is formed as described above, while the manufacturing method disclosed in the above patent publication requires such special equipment as a heat-setting device. Thus, special heat treatment is unnecessary except in molding, so that the core portion and the fastener tape nearby never become brittle. Furthermore, the insert pin and the box pin having substantially even thickness can be formed at the core portion and the front and rear face sides of the nearby fastener tape. BRIEF DESCRIPTION OF THE DRAWINGS [0016] [0016]FIG. 1 is a transverse sectional view showing an insertion condition of a reinforcement tape and a core portion in an ultrasonic heating apparatus; [0017] [0017]FIG. 2 is a transverse sectional view showing a condition at the time of heating by the ultrasonic heating apparatus; [0018] [0018]FIG. 3 is a sectional view showing a closed condition of a mold for molding an insert pin or a box pin of a separable bottom stop assembly integrally with a core portion of a fastener tape according to a first embodiment of the present invention; [0019] [0019]FIG. 4 is a plan view of major portions of an attaching portion of a separable bottom stop assembly of a slide fastener according to said first embodiment; [0020] [0020]FIG. 5 is a view taken along the line V-V of FIG. 4; [0021] [0021]FIG. 6 is a sectional view showing a closed condition of a mold for molding an insert pin or a box pin of a separable bottom stop assembly integrally with a core portion of a fastener tape according to a second embodiment of the present invention; and [0022] [0022]FIG. 7 is a partial transverse sectional view of an attaching portion of a separable bottom stop assembly of a slide fastener according to said second embodiment. DESCRIPTION OF THE PREFERRED EMBODIMENTS [0023] Hereinafter, preferred embodiments of the present invention will be described in detail with reference to the accompanying drawings. [0024] Generally in this kind of a slide fastener with a separable bottom stop assembly, elements between adjacent slide fastener units of the fastener chain are removed so as to form a space portion. Then, a reinforcement tape is fixed to the entire fastener tape in a substantially half part in the longitudinal direction of the space portion so as to produce a reinforced portion. The space portion is cut out with a fixed portion of the reinforcement tape left and then a separable bottom stop assembly made of metal or synthetic resin is fixed along opposing edge portions so as to nip said edge portions. [0025] In the slide fastener 1 with a separable bottom stop assembly of the present invention, as shown in FIG. 4, fastener element rows 2 formed in a coiled shape with synthetic resin monofilament are fixed by sewing along opposing side edges of a pair of fastener tapes 3 , 3 made of woven fabric. Upon sewing and using this fastener, a core thread 4 is passed through the interior of each of the fastener element rows 2 so as to maintain a shape of each element portion 2 a of said fastener element rows 2 . When the fastener element rows 2 are fixed by sewing along the opposing side edges of the fastener tapes 3 , 3 , part of sewing thread crosses over each element portion 2 a of the fastener element rows 2 so as to be pierced through the core thread 4 and the fastener tape 3 and sewed thereto. [0026] Thus, the core thread 4 is exposed at the space portion where part of the element portion 2 a of the fastener element row 2 is removed, so that the core thread 4 is connected to one face side of the fastener tape 3 by the sewing thread. When looked from a side face, said one surface side of the core portion 7 of the fastener tape 3 is thicker than a fastener tape main body 3 a by the thickness of the core thread 4 . [0027] As a method of fixing the coiled fastener element row 2 to the fastener tape 3 , although not shown in the figure, the coiled fastener element row made from monofilament can be woven or knitted onto the fastener tape when the fastener tape is produced by weaving or knitting. In this case also, the core thread 4 is passed through the fastener element row. In FIG. 4, the fastener element row is formed in a coiled shape. Yet, instead of the coiled fastener element row, a zigzagged fastener element row may be employed which is produced by folding a zigzagged monofilament at the center of the width direction. [0028] According to this embodiment, an upper stop end (not shown) is fixed at an upper end of each of the fastener element rows 2 , 2 so as to restrict the sliding operation of a slider (not shown). As described above, the reinforcement tape 5 is fused together with a fastener tape portion 3 b adjacent a bottom end of each of the fastener element rows 2 , 2 by ultrasonic heating or high frequency heating. Then, an insert pin 6 a and a box pin 6 b of a separable bottom stop assembly 6 are molded integrally along the core portions 7 of opposing edge portions of the reinforcement tapes 5 by injection molding. The reinforcement tape 5 is produced from taffeta fabric made from synthetic fiber or thermoplastic synthetic resin film. When synthetic resin film is used, its surface is knurled so as eliminate the surface gloss. Reference numeral 6 c in FIG. 4 denotes a box which is fixed to a front end side of the box pin 6 b and has an insertion hole into which the insert pin 6 a is to be inserted. [0029] [0029]FIGS. 1 and 2 are explanatory diagrams showing an example of a fusing process in which the reinforcement tape 5 is fused integrally to a space portion of a slide fastener chain 9 . [0030] In these figures, reference numeral 11 denotes an ultrasonic horn and reference numeral 12 denotes an anvil to be disposed opposing to a pressing face of the ultrasonic horn 11 . The ultrasonic horn 11 and the anvil 12 are located at standby positions with a predetermined interval as shown in FIG. 1 and a fastener tape portion of the space portion of the slide fastener chain 9 is inserted between them. At the same time, two reinforcement tapes 5 , 5 are inserted so as to sandwich the entire front and rear faces of the fastener tape portion. A core portion press-molding groove 11 b is formed at the pressing face 11 a of the ultrasonic horn 11 . Also, a slight step portion 11 c is formed in an adjacent portion of the core portion press-molding groove 11 b and in a flat plane adjacent the core portion press-molding groove 11 b . On the other hand, the pressing face of the anvil 12 disposed opposing to the ultrasonic horn 11 is entirely flat. [0031] The ultrasonic horn 11 and the anvil 12 move in directions approaching each other, so that, as shown in FIG. 2, the reinforcement tapes 5 , 5 are pressed tightly to the core portion 7 having the core thread 4 extended in the tape length direction and the entire front and rear faces of the fastener tape 3 including the core portion 7 such that the core portion 7 is embraced. Upon this press-fitting, pressing force at part of the tape portion 3 b corresponding to the step portion 11 c formed at the pressing face 11 a of the ultrasonic horn 11 is weaker than the pressing force at the other part of the tape portion 3 b . Thus, the tape portion corresponding to the step portion 11 c keeps plasticity after the reinforcement tape 5 is fused, so that the tape portion 3 b adjacent the core portion 7 never becomes brittle. [0032] After this press-fitting is carried out, an ultrasonic oscillator (not shown) is actuated at a predetermined amplitude and for a predetermined time so as to vibrate the ultrasonic horn. Consequently, the reinforcement tapes 5 , 5 are heated by internal heating in their entire face in contact with the core thread 4 and the fastener tape main body 3 a and fused together with the core portion 7 and the fastener tape main body 3 a. [0033] [0033]FIG. 3 shows a condition in which the fastener tape portion 3 b with reinforcement tapes is inserted into a molding cavity 13 a formed in a molding die 13 for molding the insert pin 6 a or the box pin 6 b of the separable bottom stop assembly 6 integrally with the core portion 7 in the first embodiment of the present invention. The sectional shape is not different from an ordinary one as evident from FIG. 3. Yet, the core portion 7 , which is an opposing side edge of the fastener tape portion 3 b with reinforcement tape inserted into the molding cavity 13 a , is disposed so as to incline toward a side where the core thread 4 is attached. [0034] To maintain the core portion 7 such that it inclines at a predetermined angle inside the molding cavity 13 a toward the side where the core thread 4 is attached, means for keeping this inclined posture is necessary. The means for maintaining this inclined posture is adopted, having a structure in which pins 8 a , 8 b are protruded inward from the inner faces on both sides of the molding cavity 13 a across the core portion 7 such that said pins 8 a , 8 b oppose each other. Several pairs of pins 8 a , 8 b are provided in the tape length direction of the molding cavity 13 a . The inclination angle of the core portion 7 relative to the tape portion 3 b side is altered as the protrusion lengths of the pair of pins 8 a , 8 b are changed relatively. [0035] If the tape portion 3 b is bent around its border with the core portion 7 inside the molding cavity 13 a and this bending condition is maintained with the pins 8 a , 8 b , resin flow-in space at a side opposite to the side where the core thread 4 of the core portion 7 is attached is enlarged compared with a case where the core portion 7 and the tape portion 3 b are maintained on the same plane inside the molding cavity 13 a without bending the tape portion 3 a around its border with the core portion 7 . Consequently, when the insert pin 6 a and the box pin 6 b are formed, the resin volume at the side opposite to the side where the core thread 4 is attached of the fastener tape 3 is increased although the outer shapes of the insert pin 6 a and the box pin 6 b are not different from conventional ones, as shown in FIGS. 4 and 5. Therefore, the thickness of the front face side and the rear face side can be made substantially equal. Accordingly, this separable bottom stop assembly not only allows smooth insertion of a slider (not shown) but also has improved durability because resin on the rear face side opposite to the side where the core thread 4 is attached never peels away upon usage of the fastener. [0036] Reference numeral 15 in the figure denotes pin holes formed by the pins 8 a , 8 b . These holes are formed with a predetermined interval in the longitudinal direction in the insert pin 6 a and the box pin 6 b. [0037] [0037]FIG. 6 shows a condition in which the fastener tape portion 3 b with reinforcement tape is inserted into a molding cavity 14 a formed in a molding die 14 for molding the insert pin 6 a or the box pin 6 b of the separable bottom stop assembly 6 integrally with the core portion 7 in the second embodiment of the present invention. The sectional shape of the molding cavity 14 a of the molding die 14 is not different from an ordinary one as in the first embodiment. Yet, the entire molding cavity 14 a is inclined at a predetermined angle to the rear face side of the tape, or rightward in this figure. Unlike the first embodiment, the fastener tape portion 3 b with the reinforcement tape inserted into the molding die 14 is not bent around its border with the core portion 7 . [0038] To insert the fastener tape portion 3 b with the reinforcement tape into the molding cavity 14 a and maintain its posture without inclining the core portion 7 , means for keeping that posture is necessary. According to this embodiment, as the means for maintaining the posture, pins 8 c , 8 d are provided protrusively on both inner walls inside the molding cavity 14 a across the core portion 7 so as to oppose each other in the same manner as in the first embodiment. Multiple pairs of the pins 8 c , 8 d are provided in the tape-length direction of the molding cavity 13 a , as in the first embodiment. [0039] If the molding cavity 14 a is formed such that it is inclined to the tape main body 3 a side of the core portion 7 and the fastener tape portion is held with the pins 8 a , 8 b without bending the tape portion 3 b around its border with the core portion 7 , the resin flow-in space at the rear face side of the core portion 7 formed inside the molding cavity 14 a is enlarged as in the first embodiment. Consequently, the resin volume at the side opposite to the side where the core thread 4 is attached is increased although the outer shapes of the insert pin 6 a and the box pin 6 b are not different from the conventional ones, as shown in FIG. 7. Thus, the thickness at the front face side and the rear face side can be made substantially equal. Accordingly, this separable bottom stop assembly not only allows smooth insertion of a slider (not shown) but also has improved durability because resin on the rear face side opposite to the side where the core thread 4 is attached never peels away upon usage of the fastener. [0040] According to the first embodiment, the fastener tape is bent at the predetermined angle around the border between the core portion 7 and the tape portion 3 b when the insert pin 6 a and the box pin 6 b are formed, as described above. However, special treatment for fixing the bending shape is not carried out on the core portion 7 and the tape main body 3 a upon molding. Thus, after molding, the insert pin 6 a and the box pin 6 b turn to the same condition as shown in FIG. 7, in which the insert pin 6 a and the box pin 6 b are inclined at the predetermined angle.
A slide fastener in which an insert pin and a box pin of a separable bottom stop assembly made of synthetic resin are molded integrally along side edges of fastener tapes. The insert pin and the box pin have peripheral shapes allowing themselves to be inserted into element row guide grooves of a slider, and are molded integrally such that the entire insert pin and the box pin incline toward rear face sides of the respective tapes from their inner side edges to outer side edges relative to tape planes of the fastener, said rear face sides having no core threads of the core portions. Thus, resin layers having substantially even thickness can be formed on both the front and rear face sides of the core portions so that the resin layers never peel away from rear surfaces of the fastener tapes, and the core portions and their peripheries never become brittle.
BACKGROUND This invention relates generally to power delivery to electronic circuits and particularly to an improved power delivery system for supplying power from a power source to a processor. In a typical computer system, a large printed circuit known as a “motherboard” contains a number of basic components. The motherboard is supplied with voltage from a power supply. The motherboard includes connectors for daughter boards that can be plugged in to provide additional capabilities. Such boards, for example, may provide an interface to disk drives and compact disk read only memories, and may provide modem interfaces for local area networks and the like. Processors operate at lower voltages than some other components on the motherboard. However, because of their high speed, processors consume large amounts of power despite the fact that they use lower voltages. Since the processor is operating at a low voltage with high power, the current required by the processor is large. A localized DC-to-DC converter (known as a voltage regulator module (VRM) or power pod) reduces the main supply voltage for supplying the processor, for example. Typically for Intel 32 bit processors, this DC-to-DC converter plugs into a connector on the motherboard. The lower voltage is then conducted through printed circuit traces on the motherboard to the processor socket. For higher current Intel 64 bit processors, the DC-to-DC converter connects directly to the processor package through an edge connector because of the high loss associated with conveying power through two connectors and the motherboard as in Intel 32-bit systems. The power connector may also provide signal connections related to power supply issues. Conventionally, the processor is plugged into the motherboard in a direction that is transverse to the plane of the motherboard. If the plane of the motherboard defines the X and Y directions, the processor is plugged into the motherboard in the Z-axis direction. In other words, the processor is moved from a position above the motherboard downwardly to plug into the motherboard. Conventionally, the DC-to-DC converter is plugged onto the processor package edge in a direction that is generally parallel to the surface of the motherboard (transverse to the Z-axis direction). This configuration results in a number of difficulties. With the processor already attached to the motherboard, the action of plugging the converter into the processor carrier along the surface of the motherboard (e.g., the X-axis direction) is prone to interference from upwardly directed components already on the motherboard. Moreover, there is little room to manipulate the converter connections along the motherboard. The interconnection of the converter and the processor carrier is awkward, increasing the demands on assembly workers and requiring more elaborate interconnection devices. A complex rigid mount mechanism is used to align the processor package and the DC-to-DC converter in both the Z and X axis. This takes up a large amount of motherboard real estate. Thus, there is a need for an improved way of delivering power to a processor package edge. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a side elevational view of one embodiment of the invention in the course of assembly; FIG. 2 is a top plan view of the embodiment shown in FIG. 1; FIG. 3 is an enlarged, partial, bottom plan view of the DC-to-DC converter substrate planar power contacts shown in FIG. 1; FIG. 4 is a cross-sectional view taken generally along the line 4 — 4 in FIG. 2; FIG. 5 is a partial exploded view of the embodiment shown in FIG. 4; and FIG. 6 is a top plan view of a component shown in FIG. 5 . DETAILED DESCRIPTION Referring to FIG. 1, a processor power delivery system 10 enables a DC-to-DC converter 12 to be assembled to a processor carrier 18 in the Z-axis. The Z-axis (indicated by an arrow in FIG. 1) is the direction that is transverse to the surface of a motherboard 28 and transverse to the lengths of the converter 12 and the processor carrier 18 . The processor carrier 18 may be plugged into a socket 50 that in turn plugs into a motherboard 28 , all in the Z-axis direction. A processor 52 may be attached on the carrier 18 , for example using surface mount solder balls 20 , to a connection layer 21 . Thereafter, the converter 12 , including components 54 , may plugged atop the processor carrier 18 also in the Z-axis direction. This greatly facilitates the connection of the two units. The converter 12 includes contacts 16 on its lower surface 14 to make direct surface to surface contact with the processor carrier 18 . The contacts 16 communicate with the converter 12 components 54 through vias (not shown). The processor carrier 18 includes contacts 22 on its upper surface that mate with the contacts 16 when the carrier 18 and converter 12 are edge combined. The contacts 22 eventually electrically connect to power supply pins (not shown) on the processor 52 through connection layer 21 . In one embodiment, the contacts 16 and 22 may each be formed of a copper land pattern. A pair of upstanding alignment pins 24 a and 24 b on the processor carrier 18 pass through holes (not shown in FIG. 1) in the converter 12 . This pin/hole connection aligns the contacts 16 and 22 and facilitates the clamping engagement between the converter 12 and the processor carrier 18 . Thus, referring to FIG. 2, the pins 24 a and 24 b pass completely through the converter 12 in one embodiment of the present invention. This engagement aligns the contacts 16 and 22 with respect to one another as the converter 12 is pressed down into firm engagement with the processor carrier 18 in the Z-axis direction. Referring to FIG. 4, the converter 12 laps over an edge and electrically engages, in direct surface to surface contact, the processor carrier 18 . The converter 12 and processor carrier 18 may be clamped together using clamping devices 38 and clamping housing 58 . In one embodiment of the present invention, the pins 24 may be threaded and may be secured using threaded fasteners. However, other clamping devices may be utilized to maintain an even clamping force along the length of the contacts 16 and 22 . Referring to FIG. 3, the contacts 16 of the converter 12 include a first set of planar interdigitated contacts 16 a that may provide a power supply (Vcc) connection. A second set of planar interdigitated contacts 16 b may provide the ground (Vss) or return power connection. The interdigitation may be achieved through fingers 40 , in one embodiment of the present invention. The interdigitation of the fingers 40 reduces the inductance of the power contacts 16 a and the ground contacts 16 b since mutual inductance is cancelled out by the interdigitated arrangement. Power control signals (such as a PWRG 00 D signal) may also pass through the contacts 16 from the contacts 22 . For example, a plurality of isolated power signal vias 34 may extend through the contacts 16 . Similarly, vias 36 may pass through the process planar power contacts 22 . The arrangement of the signal vias 34 and 36 is subject to considerable variation. Alignment holes 26 are provided on the converter 12 for engagement with the alignment pins 24 on the processor carrier 18 . The arrangement of the contacts 22 may be identical to that shown in FIG. 3 with the exception that the contacts 22 may include vias 36 to an internal copper land pattern (not shown) and may further include the vias 34 which extend through the contacts 16 for conduction of other signals. The processor power delivery system 10 may include a plurality of components that may be resiliently clamped together between the housing 58 and the motherboard 28 as shown in FIG. 5 . The housing 58 may include an upper surface with a plurality of reinforcing ribs 62 and a body 60 . Formed in the body 60 is a corrugated spring 64 . The ends 66 of the spring 64 may be held within the body 60 for example by molding the spring 64 into the body 60 . When the body 60 is pressed against the converter 12 , the spring 64 vees are compressed, applying a uniform force through the body 60 to the converter 12 . In one embodiment, the spring 64 may be formed of beryllium copper. It may be shaped in a corrugated shape with a plurality of vees extending into the spring 64 from above and below. Each of the vees may form a V-shaped compression spring pressed against either the body 60 or the converter 12 . The arrangement of the corrugated spring 64 serves to make more uniform the forces applied through the body 60 . Ideally, the housing 58 supplies a substantially constant pressure over the life of the system 10 . The spring 64 may be defined with the cold flow properties of the related substrates over time in mind. The housing 58 may be formed of extruded aluminum or plastic as two examples. In one embodiment, the housing 58 may be hinged and latched to clear the contact region and to allow for Z-axis assembly or replacement of components while providing a registration feature to align the underlying substrates. Sandwiched between the converter 12 and the processor carrier 18 is a relatively low profile conductive polymer interconnect 68 including a polymer film 70 having captured therein conductive polymer contacts 72 . In one embodiment of the present invention, the film 70 may be formed of kapton and the polymer contacts 72 may be formed of a polymer that has been made conductive for example by doping it with conductive particles such as silver particles or oriented metallic wires. In each case, the polymer contacts 72 may be formed of a plastic material that is relatively resilient so that the material may be compressed between the converter 12 and the carrier 18 . The polymer contacts 72 produce a conductive contact between the converter 12 and the carrier 18 . Moreover, because of the resilient nature of the interconnect 68 , surface irregularities may be accounted for and more reliable interconnection may be achieved in some cases. In some embodiments, the conductive polymer contacts 72 may be substantially thicker than the film 70 . For example, in one embodiment, the contacts 72 may have a thickness four times that of the film 70 . As shown in FIG. 6, the interconnect 68 includes a pair of openings 74 to receive and pass the alignment pins 24 a and 24 b. The alignment pins 24 a and 24 b also act to precisely position the contacts 72 with respect to the converter 12 and the carrier 18 . The pins 24 a and 24 b may extend upwardly through the interconnect 68 and the converter 12 and in one embodiment through the housing 58 for securement by securement devices 38 shown in FIG. 4 . In other cases, as mentioned previously, a hinged clamping device may be positioned for selectively applying a clamping force to the converter 12 and carrier 18 through the body 60 and the spring 64 . The contacts 16 and 22 may be brought into direct, planar surface to surface contact with one another. The contacts 16 and 22 may be brought into direct engagement in the Z-axis direction, with the converter 12 atop the processor carrier 18 . With the application of a compression force across the converter 12 and the processor carrier 18 , good electrical contact may be obtained. The pins 56 on the socket 50 provide electrical communication with the motherboard 28 . Because the converter 12 and the processor carrier 18 may both be assembled in the Z-axis direction, the assembly of the processor power delivery system 10 is facilitated. Of course, it is not necessary that either the converter 12 or the processor carrier 18 be rigorously moved through the Z-axis direction. Instead, either or both of the converter 12 and the processor carrier 18 may be moved so as to have a component of displacement in the Z-axis direction relative to the plane of the motherboard 28 . Since the contacts 16 and 22 meet along a common plane, the converter 12 may be moved onto the processor carrier 18 at any angle between the Z-axis and the plane of the motherboard 28 . The electrical performance may be optimized in some embodiments by modifying the patterning of the contacts 16 and 22 without re-tooling converter 12 or carrier 18 assemblies. Some embodiments may achieve a mechanical benefit from having a single axis of assembly. While an embodiment is illustrated in FIGS. 1 through 6 using planar contacts, embodiments of the present invention may be applied to other designs as well. The combination of the spring 64 and the interconnect 68 may be particularly desirable because the pressure applied by the spring 64 may result in more even pressure applied to the conductive contacts 72 in some embodiments. In an embodiment using conductive polymer contacts captured in a kapton film, the film may be formed by molding the conductive contacts into a previously formed film, as one example. Another way of forming the interconnect 68 includes shaking conductive contacts into holes in the film and then bonding the contacts in place. Generally, pressure may be applied to the contacts to increase their conductivity. While the present invention has been described with respect to a limited number of embodiments, those skilled in the art will appreciate numerous modifications and variations therefrom. It is intended that the appended claims cover all such modifications and variations as fall within the true spirit and scope of this present invention.
A system for delivering power to a processor enables a DC-to-DC converter substrate to be secured to the processor carrier in the Z-axis direction. The ability to assemble converter to the processor in this way facilitates assembly compared to systems in which the converter is plugged in to the processor carrier in the direction substantially parallel to the surface of the motherboard.
BACKGROUND OF THE INVENTION 1. Field of the Invention The invention pertains to a device for connecting two support parts a certain distance apart, especially for the support of drapes, net curtains, and similar decorative items, consisting of a rod-like intermediate piece, each end of which is held by a support part. 2. Description of the Related Art Connecting devices which consist of two support parts which can be attached to a wall or to the ceiling a certain distance away from each other to hold drapes, net curtains, or other decorative items are known. These two support parts are then connected to each other by a rod-like intermediate piece, each end of which is held by one of the support parts. The rod-like intermediate piece can be either a solid piece or a tube. Depending on the size of the cross section of the rod-like intermediate piece and on the weight of the drapes, net curtains, or other decorative items, the distance between the support parts may not exceed a certain value, because otherwise the intermediate piece will visibly sag, which has a negative effect on the visual impression. For example, for an intermediate piece with a diameter of 10 mm, the distance between the two support parts may not be much greater than about 70 cm. When longer intermediate pieces are used, therefore, it is necessary to use intermediate support parts between the support parts at the ends; this intermediate support severely limits the range over which the drapes, net curtains, and similar decorative items can be slid back and forth. This means that it is necessary to select rod-like intermediate pieces with much larger cross sections, which again, depending on the drapes, net curtains, or similar decorations, has a disadvantageous effect on the visual impression of the connecting device. SUMMARY OF THE INVENTION The invention is therefore based on the task of designing a device for connecting two support parts a certain distance away from each other, especially for the support of drapes, net curtains, or similar decorative items, in such a way that the distance between the two support parts holding the rod-like intermediate piece can be significantly increased while allowing the use of an intermediate piece with the smallest possible cross section and thus so that the drapes, net curtains, or other decorative items can be slid back and forth over a relatively long distance without the need to divide them. There will thus be almost no further need for intermediate support parts. To accomplish this task with a device of the general type described above, it is proposed according to the invention that the rod-like intermediate piece be connected at least at one end to a threaded part, and in that the support part facing this end of the intermediate piece have a threaded mating piece which works together with the threaded part of the intermediate piece to tension it. As a result of a design such as this, the intermediate piece can be tensioned or pretensioned between the support parts which hold it. As a result of this tension or pretension, the distance previously possible between the two support parts can be increased considerably while allowing the use of an intermediate piece with the smallest possible cross section. Thus the distance over which the drapes, net curtains, and or other decorative items can be pushed back and forth is considerably expanded. Interfering intermediate support parts, which are associated with additional installation work and expense, can thus be eliminated almost entirely. The device according to the invention can also be used, for example, on stair landings or the like, where the rod-like intermediate pieces are used and tensioned between two supporting railing posts. This device can also be used on balconies, as a room divider, and as a hand or bath towel rack. BRIEF DESCRIPTION OF THE DRAWINGS The invention is explained in greater detail below on the basis of an exemplary embodiment, illustrated in a drawing: FIG. 1 shows a design of a device according to the invention; and FIG. 2 shows a design of an intermediate support part for use in conjunction with the device according to FIG. 1 . DESCRIPTION OF THE PREFERRED EMBODIMENT FIG. 1 of the drawing shows a device for holding drapes, net curtains, and similar decorative items, which consists initially of two support parts 1 , 2 , which are designed differently from each other and which serve to hold a rod-like intermediate piece 3 , only part of which is shown, from which drapes, net curtains, and similar decorative items are hung by means of special fastening devices in such a way that they can be slid back and forth. Support part 1 serves here to connect the end of rod-like intermediate piece 3 to a wall 4 , whereas support part 2 is designed so that it can be used to attach rod-like intermediate piece 3 a certain distance away from, and parallel or nearly parallel to, a wall 5 . Depending on how the drapes, net curtains, or similar decorative items are to be hung, either the combination of support parts 1 , 2 shown in the drawing, a set of two support parts 1 , or a set of two support parts 2 will be used. Support parts 2 can be nearly any length desired, and this dimension should be selected with the understanding that it determines the distance between rod-like intermediate piece 3 and wall 5 . Support part 1 consists of a disk 6 , such as a disk of high-grade steel or brass, which is provided with several holes, not shown in the drawing, by means of which disk 6 can be attached to wall 4 with screws and plugs. The base of disk 6 can be designed to have nearly any shape desired; that is, disk 6 can be round, oval, triangular, rectangular, or polygonal. In the center of disk 6 , a threaded pin 7 is provided on the side facing away from the wall; this pin is rigidly connected to disk 6 by welding or brazing, for example. Threaded pin 7 can also be screwed and/or riveted to disk 6 . Support part 2 also consists of a disk 8 , such as a disk of brass or high-grade steel, which is provided in the same way as disk 6 with holes (not shown), by means of which disk 8 can be attached to wall 5 with screws and plugs. Disk 8 accepts a bracket 9 , which can be in the form of a plate, for example, which can also consist of brass or high-grade steel or some other material. This bracket 9 is rigidly connected to disk 8 by welding or brazing or by the use of screws. The length of bracket 9 depends on the distance which is to be present between rod-like intermediate piece 3 and wall 5 . The end of bracket 9 facing away from disk 8 is connected to a sleeve 10 , which advantageously consists of the same material as bracket 9 and/or disk 8 . Sleeve 10 can be connected to bracket 9 by brazing or welding If, for example, disk 8 is screwed to bracket 9 , it is advantageous to use screws which are long enough to attach sleeve 10 to bracket 9 as well. In the present exemplary embodiment, rod-like intermediate piece 3 has a circular, solid cross section. It is also possible, however, for rod-like intermediate piece 3 to be a tube. It is possible in principle for rod-like intermediate piece 3 to have some other cross-sectional form such as rectangular, hexagonal, or oval. An intermediate piece with this type of cross section, however, should nevertheless change over into a circular cross section at its ends, to the extent possible. In the case of the exemplary embodiment according to FIG. 1, sleeve 10 has a hole (not-shown) all the way through it. The diameter of this hole is the same as the outside diameter of rod-like intermediate piece 3 , and it is selected so that rod-like intermediate piece 3 can be pushed through sleeve 10 with little if any play. In the illustrated exemplary embodiment, rod-like intermediate piece 3 is provided at each end with a threaded part 11 , 12 , which is advantageously designed to form a single unit with rod-like intermediate piece 3 . Intermediate piece 3 is now pushed through sleeve 10 until at least threaded part 12 projects a certain short distance out from sleeve 10 . A threaded mating piece 13 , which has an internal thread 14 , is now placed on this threaded part 12 and loosely turned a few turns. In the illustrated exemplary embodiment, threaded mating piece 13 has a cylindrical outside contour, which matches the external cross section of sleeve 10 . This threaded mating piece 13 is provided with a hole 15 , into which a tommy bar, known in and of itself, can be inserted. This tommy bar makes it easier to rotate threaded mating piece 13 . Threaded part 11 can also be inserted into a support part 2 in the same way that threaded part 12 has been. In the exemplary embodiment according to FIG. 1, however, threaded part 11 is screwed instead into the internal thread of a tubular threaded mating piece 16 . Threaded mating piece 16 is designed as, for example, a so-called turnbuckle, which has the opposite-handed thread, e.g., a left-handed thread, on the end facing away from threaded part 11 . This pieces is now turned a few turns onto threaded pin 7 of support part 1 . Threaded mating piece 16 is provided with a hole 16 a , into which a tommy bar can be inserted to make it easier to turn the mating piece. As soon as rod-like intermediate piece 3 has been connected in the manner described to support parts 1 , 2 , and the drapes, net curtains, or similar decorative items have been attached, threaded mating pieces 13 , 16 are now turned so that intermediate piece 3 is tensioned or pretensioned between the two support part 1 , 2 . This pretension ensures that intermediate piece 3 , even if very long, does not sag downward to a visually obvious extent in the middle area either under its own weight or under the effect of the sliding back and forth of the drapes, net curtains, or other decorative items. As a result of this pretension, it is possible, therefore, to use rod-like intermediate pieces 3 with relatively small cross sections and nevertheless to allow support parts 1 , 2 to be relatively far apart. Thus the intermediate support parts which used to be required are no longer needed, and a large, free area is provided over which the drapes, net curtains, or other similar decorative items can be slid back and forth. In the case of the exemplary embodiment according to FIG. 1, rod-like intermediate piece 3 is tensioned at its both ends. It is also possible, however, to screw rod-like intermediate piece 3 by means of threaded part 11 , for example, into a nut connected to disk 8 and to tension it only by way of threaded mating piece 13 . If required, it is also possible to use the opposite approach. For example, in the case of a hollow, rod-like intermediate piece 3 , the threaded part (internal thread) can be machined into its interior, and the threaded mating piece can be designed as a threaded pin or screw. In cases where an intermediate piece 3 is too long, it may prove necessary to divide it and to connect the two parts to each other by a support part 17 according to FIG. 2 . This support part 17 is attached directly to wall 5 , that is, not by way of a disk 8 , by rather by a bracket 9 . On the side facing away from wall 5 , bracket 9 carries a sleeve 10 . In contrast to sleeve 10 according to FIG. 1, sleeve 10 according to FIG. 2 has a thread machined into it, so that parts 3 a of the rod-like intermediate piece 3 can be screwed into it from both ends . As a modification of the exemplary embodiment explained above, it is also possible to use rod-like intermediate piece 3 to fill out railings or the like. In this case, rod-like intermediate piece 3 is tensioned between two supporting railing posts. It is also possible to design support parts 1 , 2 , and 17 so that connections can be made in such a way that tensionable intermediate piece 3 is not perpendicular to a wall 4 or parallel to a wall 5 or so that the two parts 3 a of the intermediate piece are not in alignment with each other.
A device for connecting two support members, arranged spaced apart from each other by a distance and designed for supporting drapes, net curtains, and similar decorative items, has a support rod having first and second ends. Each of the ends is supported by one of the support members. The support rod has at least at the first end a threaded part, wherein the support member at the first end of the support rod has a threaded mating piece for engagement with and for tensioning the support rod between the two support members.
CROSS-REFERENCE TO RELATED PATENT APPLICATIONS This application is a continuation of U.S. application Ser. No. 11/195,371, filed Aug. 2, 2005, which claims the benefit of U.S. Provisional Application No. 60/598,289, filed Aug. 3, 2004, both of which are incorporated herein by reference in their entirety. BACKGROUND The present invention relates generally to the field of blood tracking. In particular, the present invention relates to a portable lighting unit configured to track blood using a light emitting diode (LED) illumination system. There are occasions in which it is useful to be able to easily identify small amounts of blood in a particular environment. For example, a hunter may wound an animal, such as a deer, that runs some distance away from the hunter after being wounded. In such a case, the hunter would like to follow the blood trail in order to find the animal. Small drops of blood can be difficult to see on the ground and on foliage, especially in low light conditions. To aid the hunter, blood tracking systems have been devised that help differentiate the red color of the blood from the rest of the environment. Such systems include the utilization of special filters placed on flashlights and/or special goggles or glasses that highlight the blood. It would be advantageous to provide a portable lighting device that gives the user true blood tracking capability in low-level lighting conditions by using the optical principle of color enhancement. In particular, it would be advantageous to provide a lighting device that gives a distinct visual appearance to blood without requiring special filters on a light source or requiring the user to wear special glasses or goggles. SUMMARY One embodiment of the invention relates to a blood tracking system, comprising a light source comprising a first LED configured to emit light of a first color and a second LED configured to emit light of a second color. The light of the first color and the light of the second color are mixed to appear as light of a third color when the first LED and the second LED are activated; and wherein the light of a third color is effective for highlighting blood. Another embodiment of the invention relates to a flashlight for detecting and tracking red objects comprising a housing, a red LED coupled to the housing, a blue LED coupled to the housing, a power source, and an actuator for activating the red LED and the blue LED. The light emitted by the red LED and the light emitted by the blue LED mix to create a magenta spot that is suitable for highlighting red material. Yet another embodiment of the invention relates to a method of highlighting a red material comprising illuminating the red material with both red and blue light. The red and blue light mix to produce a magenta spot, which when illuminating a red colored material, makes the red material appear orange to the human eye. BRIEF DESCRIPTION FIG. 1 is a front elevation view of a tracking device. FIG. 2 is a side elevation view of a tracking device. FIG. 3 is a perspective view of a tracking device. FIG. 4 is an elevation view of an LED array. FIG. 5 is an elevation view of an LED array. FIG. 6 is an elevation view of an LED array. FIG. 7 is an elevation view of an LED array. FIG. 8 is an elevation view of an LED array. FIG. 9 is an elevation view of an LED array. FIG. 10 is an elevation view of an LED array. FIG. 11 is an elevation view of an LED array. DETAILED DESCRIPTION Color perception cannot be attributed directly and solely to wavelengths of light. The eye focuses slightly differently on long wavelengths (reds) than on short wavelengths (blues). According to an exemplary embodiment of the present invention, a tracking device may include a multiple color light source having a red LED light beam and a blue LED light beam that create an illusion causing the eye to see red objects in low level lighting conditions as blaze orange. Simultaneously illuminating a red blood spot with the red LED beam and the blue LED beam of the system creates the desired highlighted orange blood effect. The highlighted blood appears orange and the surrounding background green or brown flora appears blue/purple under the multi color LED beam even when the flora is wet. In an exemplary embodiment, the blood tracking system is incorporated into a portable light source, such as a headlamp flashlight. The headlamp may have a removable, adjustable headband. The headlamp may utilize a single AAA battery to provide power to the LEDs. Alternatively, multiple batteries may be used and the batteries may be of various sizes and types. The blood tracking system may be utilized in other portable light sources such as handheld flashlights and lanterns. One headlamp into which the system may be incorporated is a TRACER headlamp, sold by Gerber Legendary Blades, a Fiskars Brands Company. The TRACER headlamp circuitry may be modified to incorporate a red and a blue LED. Trimming resistors, which may be adjusted to provide a desired resistance, may be added to allow for varying the intensity of each LED in order to generate a desired output. In some embodiments, two distinct colors may be utilized. In an exemplary embodiment, one or more blue LEDs (i.e. LEDs having a peak wavelength centered in the range of about 410 nm to about 500 nm) may be used with one or more red LEDs (i.e. LEDs having a peak wavelength in the range of about 600 nm to about 740 nm) to produce a spot that appears to be magenta to a user. In some embodiments, an LED having an output centered at about 425 nm may be used with an a LED having an output centered at about 625 nm. In another exemplary embodiment, a red LED having a wavelength centered around 628 nm and a blue LED having a wavelength centered around 470 nm may be used. In some embodiments, the blood tracking system may include two LEDs (a red LED and a blue LED) that are simultaneously activated to provide the desired blood tracking effect. In other embodiments, additional LEDs are used to increase the brightness of the light source, such as two red LEDs and two blue LEDs, or even more LEDs, such as 3-6 red LEDs and 3-6 blue LEDs. The number of red LEDs may be greater or less than the number of blue LEDs. Also, the intensity of the red LEDs may be greater of less than that of the blue LEDs. In another embodiment, the red LED may be pulsed at a particular frequency, which, when combined with the steady output of the blue LED, can enhance the visual signature of the blood or other red material being tracked. In some embodiments, the LEDs may be used individually, to provide either red output or blue output separately. In other embodiments, other LEDs may be added to the system, including other LEDs having different colors or wavelengths, such as infrared wavelengths. Circuitry may be utilized to provide different lighting options of the various LEDs and combinations of LEDs. The tracking device has several potential uses in addition to use in the hunting context described above. For example, the system may have several potential military uses, such as by medics to determine the source of blood on a body that is covered by dirt, mud, or other material obscuring the wound providing the source of blood. Further, special forces personnel may utilize the invention to track enemy combatants that may have been wounded and are providing a blood trail. Also, the tracking device may be used by forensic analysts to find blood. Referring to FIGS. 1-3 , according to an exemplary embodiment, tracking device 10 includes a housing with a handle 12 , and a head 14 . Handle 12 includes a grip portion 16 , first power switch 18 , a power supply 20 , and a second power switch 22 . Head 14 and handle 12 are shown coupled to each other at an angle of about 90° and may be formed as a single unitary body. Alternatively, head 14 and handle 12 may be coupled at other angles or in-line such that the head and handle extend along either the same longitudinal axis, or along longitudinal axes that are substantially parallel. In yet another embodiment, head 14 may be rotatably coupled to handle 12 to allow a user to adjust the angle formed by head 14 and handle 12 . Handle 12 may include a grip portion 16 that includes grooves 24 for the fingers of a user to contact while using tracking device 10 . Handle 12 is shown as being generally straight along a longitudinal axis. Alternatively, other ergonomic configurations including a curved handle may be used. Power supply 20 or handle 12 may include a removable cover 26 which may cover a battery compartment. According to some embodiments, tracking device 10 may be adaptable to be used with alternating or direct current from an external power source. Head 14 may include a primary light source 30 and a secondary light source 32 . Primary light source 30 may include a lamp 34 , a reflector 36 , cap 38 , and lens 40 . Lamp 34 may be a xenon bulb. Alternatively, lamp 34 may be another type of incandescent bulb, or one or more white LEDs. Reflector 36 may be generally parabolic in shape to direct light emitted from lamp 34 into a beam. Reflector 36 may be faceted or smooth. Primary light source 30 may include a cap 38 for securing the primary light source 30 to head 14 . Cap 38 may be threadably or otherwise coupled to head 14 . Lens 40 may be disposed within cap 38 to provide a water resistant seal for primary light source 30 . According to some embodiments, reflector 36 may be coupled to cap 38 such that rotation of cap 38 moves reflector 36 relative to lamp 34 whereby the width of the light beam produced by primary light source 30 may be adjusted by rotating cap 38 . Second power switch 22 may be used to turn primary light source 30 on and off. Secondary light source 32 may include an LED array 42 , shown as eight LEDs of similar size in FIG. 1 . A greater or lesser number of LEDs in other configurations may also be used. LED array 42 may be controlled by first power switch 18 . In some embodiments, first power switch 18 may simultaneously deactivate primary light source 30 and activate LED array 42 . In some embodiments, tracking device 10 may optionally include a power indicator 44 , shown as three LEDs, that may be used to indicate the strength of batteries used to power tracking device 10 . Alternatively, a greater or lesser number of LEDs in other configurations may also be used to indicate battery strength. Also, power indicator 44 may be placed in a variety of positions on tracking device 10 . Secondary light source 32 may be covered by lens 46 to protect LED array 42 and power indicator 44 . According to some embodiments, removable cover 26 may include an optional coupling point 28 which may be used to attach a lanyard to tracking device 10 . Alternatively, a coupling point for a lanyard may be provided elsewhere on tracking device 10 . Referring to FIG. 4 , LED array 42 may include a total of eight LEDs 48 and 50 . According to some embodiments, LEDs 48 may be blue while LEDs 50 are red. Alternatively, LEDs 48 may be red while LEDs 50 are blue. Referring to FIG. 5 , an LED array for use in a tracking device may include a total of six LEDs. According to some embodiments, LEDs 52 may be blue while LEDs 54 are red. Alternatively, LEDs 52 may be red while LEDs 54 are blue. Referring to FIG. 6 , an LED array for use in a tracking device may include LED dies (i.e. components made of a semiconductor material used to generate light in an LED). According to some embodiments one or more red LED dies 56 may be used with one or more blue LED dies 58 . The LED dies may be focused and tuned to produce a homogenous magenta spot. Referring to FIG. 7 , an LED array for use in a tracking device may alternatively include one or more red LED dies 60 may be used with one or more blue LED dies 62 and one or more green LED dies 64 where the green output is kept to a low level relative to the red and blue outputs to avoid diminishing the highlighting effect of the tracking device. Referring to FIG. 8 , an LED array for use in a tracking device may include a bicolor LED 66 . According to some embodiments one or more red emitter outputs 68 may be used with one or more blue emitter outputs 70 . The LED emitter outputs may be focused and tuned to produce a homogenous magenta spot. Referring to FIG. 9 , an LED array for use in a tracking device may include a tricolor LED 72 . According to some embodiments, the tricolor LED 72 may include one or more red emitter outputs 74 , one or more blue emitter outputs 76 , and one or more green emitter outputs 78 . The LED emitter outputs may be focused and tuned to produce a homogenous magenta spot. Referring to FIG. 10 , an LED array for use in a tracking device may include a magenta LED 80 . The magenta LED 80 may include an emitter 82 designed to emit a magenta output (i.e. a combination of blue and red wavelengths). Referring to FIG. 11 , a white LED 84 may be used with a filter 86 . Filter 86 may absorb green light while transmitting and balancing blue and red light to create a magenta beam. Alternatively, a plurality of filters may be used create a magenta beam. In some embodiments, a plurality of light sources, such as white LEDs may be used with filters to create a magenta beam. For example, one light source including one or more white LEDs and a blue filter may be used with a second light source including one or more white LEDs and a red filter. While the detailed drawings and specific examples given describe various exemplary embodiments of the blood tracking system, they serve the purpose of illustration only. It is to be understood that the invention is not limited in its application to the details of construction and the arrangements of components set forth in the preceding description or illustrated in the drawings. For example, other arrangements of LEDs may be used to create the desired blood tracking effect, or the flashlight may be one of a variety of configurations known in the art. Furthermore, other substitutions, modifications, changes, and omissions may be made in the design, operating conditions, and arrangements of the exemplary embodiments without departing from the scope of the invention as expressed in the appended claims.
A blood tracking system includes a light source having a first LED configured to emit light of a first color and a second LED configured to emit light of a second color. The light of the first color and the light of the second color are mixed to produce light of a third color when the first LED and the second LED are activated. The light of the third color highlights blood.