repo_name
stringlengths 6
79
| path
stringlengths 5
236
| copies
stringclasses 54
values | size
stringlengths 1
8
| content
stringlengths 0
1.04M
⌀ | license
stringclasses 15
values |
---|---|---|---|---|---|
masson2013/heterogeneous_hthreads | src/platforms/xilinx/smp3_opbhwti_lbrams/design/pcores/plb_cond_vars_v1_00_a/hdl/vhdl/user_logic.vhd | 8 | 29499 | ------------------------------------------------------------------------------
-- user_logic.vhd - entity/architecture pair
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: user_logic.vhd
-- Version: 1.00.a
-- Description: User logic.
-- Date: Mon Apr 6 14:20:46 2009 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
-- DO NOT EDIT BELOW THIS LINE --------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library fsl_v20_v2_11_c;
use fsl_v20_v2_11_c.all;
--library proc_common_v2_00_a;
--use proc_common_v2_00_a.proc_common_pkg.all;
--use proc_common_v2_00_a.srl_fifo_f;
-- DO NOT EDIT ABOVE THIS LINE --------------------
--USER libraries added here
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SLV_DWIDTH -- Slave interface data bus width
-- C_MST_AWIDTH -- Master interface address bus width
-- C_MST_DWIDTH -- Master interface data bus width
-- C_NUM_REG -- Number of software accessible registers
--
-- Definition of Ports:
-- Bus2IP_Clk -- Bus to IP clock
-- Bus2IP_Reset -- Bus to IP reset
-- Bus2IP_Addr -- Bus to IP address bus
-- Bus2IP_Data -- Bus to IP data bus
-- Bus2IP_BE -- Bus to IP byte enables
-- Bus2IP_RdCE -- Bus to IP read chip enable
-- Bus2IP_WrCE -- Bus to IP write chip enable
-- IP2Bus_Data -- IP to Bus data bus
-- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement
-- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement
-- IP2Bus_Error -- IP to Bus error response
-- IP2Bus_MstRd_Req -- IP to Bus master read request
-- IP2Bus_MstWr_Req -- IP to Bus master write request
-- IP2Bus_Mst_Addr -- IP to Bus master address bus
-- IP2Bus_Mst_BE -- IP to Bus master byte enables
-- IP2Bus_Mst_Lock -- IP to Bus master lock
-- IP2Bus_Mst_Reset -- IP to Bus master reset
-- Bus2IP_Mst_CmdAck -- Bus to IP master command acknowledgement
-- Bus2IP_Mst_Cmplt -- Bus to IP master transfer completion
-- Bus2IP_Mst_Error -- Bus to IP master error response
-- Bus2IP_Mst_Rearbitrate -- Bus to IP master re-arbitrate
-- Bus2IP_Mst_Cmd_Timeout -- Bus to IP master command timeout
-- Bus2IP_MstRd_d -- Bus to IP master read data bus
-- Bus2IP_MstRd_src_rdy_n -- Bus to IP master read source ready
-- IP2Bus_MstWr_d -- IP to Bus master write data bus
-- Bus2IP_MstWr_dst_rdy_n -- Bus to IP master write destination ready
------------------------------------------------------------------------------
entity user_logic is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
C_TM_BASE : std_logic_vector := x"11000000";
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_SLV_DWIDTH : integer := 32;
C_MST_AWIDTH : integer := 32;
C_MST_DWIDTH : integer := 32;
C_NUM_REG : integer := 5
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
Soft_Reset : in std_logic;
Reset_Done : out std_logic;
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to 31);
Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1);
Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1);
Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1);
IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1);
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
IP2Bus_Error : out std_logic;
IP2Bus_MstRd_Req : out std_logic;
IP2Bus_MstWr_Req : out std_logic;
IP2Bus_Mst_Addr : out std_logic_vector(0 to C_MST_AWIDTH-1);
IP2Bus_Mst_BE : out std_logic_vector(0 to C_MST_DWIDTH/8-1);
IP2Bus_Mst_Lock : out std_logic;
IP2Bus_Mst_Reset : out std_logic;
Bus2IP_Mst_CmdAck : in std_logic;
Bus2IP_Mst_Cmplt : in std_logic;
Bus2IP_Mst_Error : in std_logic;
Bus2IP_Mst_Rearbitrate : in std_logic;
Bus2IP_Mst_Cmd_Timeout : in std_logic;
Bus2IP_MstRd_d : in std_logic_vector(0 to C_MST_DWIDTH-1);
Bus2IP_MstRd_src_rdy_n : in std_logic;
IP2Bus_MstWr_d : out std_logic_vector(0 to C_MST_DWIDTH-1);
Bus2IP_MstWr_dst_rdy_n : in std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
-- Added in by Xilinx even though XST doesn't even recognize these attributes
--attribute SIGIS : string;
--attribute SIGIS of Bus2IP_Clk : signal is "CLK";
--attribute SIGIS of Bus2IP_Reset : signal is "RST";
--attribute SIGIS of IP2Bus_Mst_Reset: signal is "RST";
end entity user_logic;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of user_logic is
--USER signal declarations added here, as needed for user logic
-- Define the memory map for each command register, Address[13 to 14]
-- This value is the offset from the base address assigned to this module
constant OPCODE_ENQUEUE : std_logic_vector(0 to 2-1) := "10"; --conv_std_logic_vector(2, 2); -- Opcode for "wait" enqueue
constant OPCODE_DEQUEUE : std_logic_vector(0 to 2-1) := "01"; --conv_std_logic_vector(1, 2); -- Opcode for "signal" dequeue
constant OPCODE_DEQUEUE_ALL : std_logic_vector(0 to 2-1) := "11"; --conv_std_logic_vector(3, 2); -- Opcode for "broadcast" dequeue
-- ACK signal
signal IP2Bus_Ack : std_logic;
-- CE concatenation signals
signal Bus2IP_RdCE_concat : std_logic;
signal Bus2IP_WrCE_concat : std_logic;
-- Bus Output Controller signals
signal bus_data_ready : std_logic;
signal bus_ack_ready : std_logic;
signal bus_data_out : std_logic_vector (0 to 31);
-- Reset Signals
-- FIXME: It would be nice to eliminate the default values here
signal inside_reset : std_logic := '0';
signal inside_reset_next : std_logic := '0';
-- Signals for each event type
signal Enqueue_Request : std_logic;
signal Dequeue_Request : std_logic;
signal Dequeue_All_Request : std_logic;
signal Error_Request : std_logic;
-- signal and type for MASTER FSM
type master_state_type is
(
idle, -- idle states
wait_trans_done, -- wait for bus transaction to complete
reset, -- reset states
reset_core,
reset_wait_4_ack,
enqueue_begin,
enqueue_finish,
dequeue_begin,
dequeue_finish,
dequeueAll_begin,
dequeueAll_finish
);
signal current_state, next_state : master_state_type := idle;
--cvCore Inputs
signal msg_chan_channelDataOut : std_logic_vector(0 to 7) := (others => '0');
signal msg_chan_exists : std_logic := '0';
signal msg_chan_full : std_logic := '0';
signal cmd : std_logic := '0';
signal opcode : std_logic_vector(0 to 1) := (others => '0');
signal cvar : std_logic_vector(0 to 7) := (others => '0');
signal tid : std_logic_vector(0 to 7) := (others => '0');
signal reset_sig : std_logic := '0';
-- cvCore Outputs
signal msg_chan_channelDataIn : std_logic_vector(0 to 7);
signal msg_chan_channelRead : std_logic;
signal msg_chan_channelWrite : std_logic;
signal ack : std_logic;
-- Message channels signals
signal FSL_S_Read : std_logic;
signal FSL_S_Exists : std_logic;
signal FSL_Has_Data : std_logic;
signal FSL_Data : std_logic_vector(0 to 7);
------------------------------------------
-- Signals for user logic master model example
------------------------------------------
-- signals for master model control/status registers write/read
signal mst_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1);
-- signals for master model control/status registers
type BYTE_REG_TYPE is array(0 to 15) of std_logic_vector(0 to 7);
signal mst_go, IP2Bus_MstRdReq : std_logic;
-- signals for master model command interface state machine
type CMD_CNTL_SM_TYPE is (CMD_IDLE, CMD_RUN, CMD_WAIT_FOR_DATA, CMD_DONE);
signal mst_cmd_sm_state : CMD_CNTL_SM_TYPE;
signal mst_cmd_sm_set_done : std_logic;
signal mst_cmd_sm_set_error : std_logic;
signal mst_cmd_sm_set_timeout : std_logic;
signal mst_cmd_sm_busy : std_logic;
signal mst_cmd_sm_clr_go : std_logic;
signal mst_cmd_sm_rd_req : std_logic;
signal mst_cmd_sm_wr_req : std_logic;
signal mst_cmd_sm_reset : std_logic;
signal mst_cmd_sm_bus_lock : std_logic;
signal IP2Bus_Addr, mst_cmd_sm_ip2bus_addr : std_logic_vector(0 to C_MST_AWIDTH-1);
signal mst_cmd_sm_ip2bus_be : std_logic_vector(0 to C_MST_DWIDTH/8-1);
signal mst_fifo_valid_write_xfer : std_logic;
signal mst_fifo_valid_read_xfer : std_logic;
component fsl_v20 is
generic (
C_EXT_RESET_HIGH : integer;
C_ASYNC_CLKS : integer;
C_IMPL_STYLE : integer;
C_USE_CONTROL : integer;
C_FSL_DWIDTH : integer;
C_FSL_DEPTH : integer;
C_READ_CLOCK_PERIOD : integer
);
port (
FSL_Clk : in std_logic;
SYS_Rst : in std_logic;
FSL_Rst : out std_logic;
FSL_M_Clk : in std_logic;
FSL_M_Data : in std_logic_vector(0 to C_FSL_DWIDTH-1);
FSL_M_Control : in std_logic;
FSL_M_Write : in std_logic;
FSL_M_Full : out std_logic;
FSL_S_Clk : in std_logic;
FSL_S_Data : out std_logic_vector(0 to C_FSL_DWIDTH-1);
FSL_S_Control : out std_logic;
FSL_S_Read : in std_logic;
FSL_S_Exists : out std_logic;
FSL_Full : out std_logic;
FSL_Has_Data : out std_logic;
FSL_Control_IRQ : out std_logic
);
end component;
component condvar is
generic(
G_ADDR_WIDTH : integer := 11;
G_OP_WIDTH : integer := 2;
G_TID_WIDTH : integer := 8
);
port
(
msg_chan_channelDataIn : out std_logic_vector(0 to (G_TID_WIDTH - 1));
msg_chan_channelDataOut : in std_logic_vector(0 to (G_TID_WIDTH - 1));
msg_chan_exists : in std_logic;
msg_chan_full : in std_logic;
msg_chan_channelRead : out std_logic;
msg_chan_channelWrite : out std_logic;
cmd : in std_logic;
opcode : in std_logic_vector(0 to G_OP_WIDTH - 1);
cvar : in std_logic_vector(0 to G_TID_WIDTH - 1);
tid : in std_logic_vector(0 to G_TID_WIDTH - 1);
ack : out std_logic;
clock_sig : in std_logic;
reset_sig : in std_logic
);
end component condvar;
---------------------------------------------------
-- bit_set()
-- *******************
-- Determine if any bit in the array is set.
-- If any of the bits are set then '1' is returned,
-- otherwise '0' is returned.
---------------------------------------------------
function bit_set( data : in std_logic_vector ) return std_logic is
begin
for i in data'range loop
if( data(i) = '1' ) then
return '1';
end if;
end loop;
return '0';
end function;
---------------------------------------------------
function getCVAR( addr : in std_logic_vector(0 to 31)) return std_logic_vector is
begin
return "00" & addr(24 to 29);
end function;
function getTID( addr : in std_logic_vector(0 to 31)) return std_logic_vector is
begin
return addr(16 to 23);
end function;
function form_tm_addr( tid : in std_logic_vector(0 to 7)) return std_logic_vector is
variable mask : std_logic_vector(0 to 31);
begin
mask := x"00001" & "00" & tid & "00";
return C_TM_BASE or mask;
end function;
--*************************************************
-- Beginning of user_logic ARCHITECTURE
--*************************************************
begin
-- Instantiate the CV Core
cvCore: condvar PORT MAP (
msg_chan_channelDataIn => msg_chan_channelDataIn,
msg_chan_channelDataOut => msg_chan_channelDataOut,
msg_chan_exists => msg_chan_exists,
msg_chan_full => msg_chan_full,
msg_chan_channelRead => msg_chan_channelRead,
msg_chan_channelWrite => msg_chan_channelWrite,
cmd => cmd,
opcode => opcode,
cvar => cvar,
tid => tid,
ack => ack,
clock_sig => Bus2IP_Clk,
reset_sig => reset_sig
);
message_channel : fsl_v20
generic map (
C_EXT_RESET_HIGH => 1,
C_ASYNC_CLKS => 0,
C_IMPL_STYLE => 1,
C_USE_CONTROL => 0,
C_FSL_DWIDTH => 8,
C_FSL_DEPTH => 256,
C_READ_CLOCK_PERIOD => 0
)
port map (
FSL_Clk => Bus2IP_Clk,
SYS_Rst => Bus2IP_Reset,
FSL_Rst => open,
FSL_M_Clk => Bus2IP_Clk,
FSL_M_Data => msg_chan_channelDataIn,
FSL_M_Control => '0',
FSL_M_Write => msg_chan_channelWrite,
FSL_M_Full => msg_chan_full,
FSL_S_Clk => Bus2IP_Clk,
FSL_S_Data => FSL_Data,
FSL_S_Control => open,
FSL_S_Read => FSL_S_Read,
FSL_S_Exists => FSL_S_Exists,
FSL_Full => open,
FSL_Has_Data => FSL_Has_Data,
FSL_Control_IRQ => open
);
-- user logic master command interface assignments
IP2Bus_MstRd_Req <= mst_cmd_sm_rd_req;
IP2Bus_MstWr_Req <= mst_cmd_sm_wr_req;
IP2Bus_Mst_Addr <= mst_cmd_sm_ip2bus_addr;
IP2Bus_Mst_BE <= mst_cmd_sm_ip2bus_be;
IP2Bus_Mst_Lock <= mst_cmd_sm_bus_lock;
IP2Bus_Mst_Reset <= mst_cmd_sm_reset;
--implement master command interface state machine
mst_go <= FSL_S_Exists; -- Start master transaction when data exists in the FSL
MASTER_CMD_SM_PROC : process( Bus2IP_Clk ) is
begin
if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then
if ( Bus2IP_Reset = '1' ) then
-- reset condition
mst_cmd_sm_state <= CMD_IDLE;
mst_cmd_sm_clr_go <= '0';
mst_cmd_sm_rd_req <= '0';
mst_cmd_sm_wr_req <= '0';
mst_cmd_sm_bus_lock <= '0';
mst_cmd_sm_reset <= '0';
mst_cmd_sm_ip2bus_addr <= (others => '0');
mst_cmd_sm_ip2bus_be <= (others => '0');
mst_cmd_sm_set_done <= '0';
mst_cmd_sm_set_error <= '0';
mst_cmd_sm_set_timeout <= '0';
mst_cmd_sm_busy <= '0';
else
-- default condition
mst_cmd_sm_clr_go <= '0';
mst_cmd_sm_rd_req <= '0';
mst_cmd_sm_wr_req <= '0';
mst_cmd_sm_bus_lock <= '0';
mst_cmd_sm_reset <= '0';
mst_cmd_sm_ip2bus_addr <= (others => '0');
mst_cmd_sm_ip2bus_be <= (others => '0');
mst_cmd_sm_set_done <= '0';
mst_cmd_sm_set_error <= '0';
mst_cmd_sm_set_timeout <= '0';
mst_cmd_sm_busy <= '1';
FSL_S_Read <= '0';
-- state transition
case mst_cmd_sm_state is
when CMD_IDLE =>
if ( mst_go = '1' ) then
mst_cmd_sm_state <= CMD_RUN;
mst_cmd_sm_clr_go <= '1';
else
mst_cmd_sm_state <= CMD_IDLE;
mst_cmd_sm_busy <= '0';
end if;
when CMD_RUN =>
if ( Bus2IP_Mst_CmdAck = '1' and Bus2IP_Mst_Cmplt = '0' ) then
-- Signal a read on the FSL to pop off the element
FSL_S_Read <= '1';
mst_cmd_sm_state <= CMD_WAIT_FOR_DATA;
elsif ( Bus2IP_Mst_Cmplt = '1' ) then
-- Signal a read on the FSL to pop off the element
FSL_S_Read <= '1';
mst_cmd_sm_state <= CMD_DONE;
if ( Bus2IP_Mst_Cmd_Timeout = '1' ) then
-- PLB address phase timeout
mst_cmd_sm_set_error <= '1';
mst_cmd_sm_set_timeout <= '1';
elsif ( Bus2IP_Mst_Error = '1' ) then
-- PLB data transfer error
mst_cmd_sm_set_error <= '1';
end if;
else
mst_cmd_sm_state <= CMD_RUN;
mst_cmd_sm_rd_req <= '1'; -- Perform a write (rd = '1', wr = '0')
mst_cmd_sm_wr_req <= '0';
mst_cmd_sm_ip2bus_addr <= form_tm_addr(FSL_Data); -- Setup address
mst_cmd_sm_ip2bus_be <= (others => '1'); -- Use all byte lanes
mst_cmd_sm_bus_lock <= '0'; -- De-assert bus lock
end if;
when CMD_WAIT_FOR_DATA =>
if ( Bus2IP_Mst_Cmplt = '1' ) then
mst_cmd_sm_state <= CMD_DONE;
else
mst_cmd_sm_state <= CMD_WAIT_FOR_DATA;
end if;
when CMD_DONE =>
mst_cmd_sm_state <= CMD_IDLE;
mst_cmd_sm_set_done <= '1';
mst_cmd_sm_busy <= '0';
when others =>
mst_cmd_sm_state <= CMD_IDLE;
mst_cmd_sm_busy <= '0';
end case;
end if;
end if;
end process MASTER_CMD_SM_PROC;
-- Create concatenation signals
Bus2IP_RdCE_concat <= bit_set(Bus2IP_RdCE);
Bus2IP_WrCE_concat <= bit_set(Bus2IP_WrCE);
-- *************************************************************************
-- Process: BUS_OUTPUT_CONTROLLER
-- Purpose: Control output from IP to Bus
-- * Can be controlled using bus_data_ready, bus_ack_ready, and bus_data_out signals.
-- *************************************************************************
BUS_OUTPUT_CONTROLLER : process( Bus2IP_Clk, bus_data_ready, bus_ack_ready ) is
begin
if( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then
if( bus_data_ready = '1' and bus_ack_ready = '1' ) then
IP2Bus_Data <= bus_data_out; -- put data on bus
IP2Bus_Ack <= '1'; -- ACK bus
elsif (bus_data_ready = '1' and bus_ack_ready = '0') then
IP2Bus_Data <= bus_data_out; -- put data on bus
IP2Bus_Ack <= '0'; -- turn off ACK
else
IP2Bus_Data <= (others => '0'); -- output 0's on bus
IP2Bus_Ack <= '0'; -- turn off ACK
end if;
end if;
end process BUS_OUTPUT_CONTROLLER;
ACK_ROUTER : process (IP2Bus_Ack, Bus2IP_RdCE_concat, Bus2IP_WrCE_concat) is
begin
-- Turn an "ACK" into a specific ACK (read or write ACK)
if (Bus2IP_RdCE_concat = '1') then
IP2Bus_RdAck <= IP2Bus_Ack;
IP2Bus_WrAck <= '0';
else
IP2Bus_RdAck <= '0';
IP2Bus_WrAck <= IP2Bus_Ack;
end if;
end process;
-- *************************************************************************
-- Process: BUS_CMD_PROC
-- Purpose: Controller and decoder for incoming bus operations (reads and writes)
-- *************************************************************************
BUS_CMD_PROC : process (Bus2IP_Clk, Bus2IP_RdCE_concat, Bus2IP_WrCE_concat, Bus2IP_Addr ) is
begin
if( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then
Enqueue_Request <= '0';
Dequeue_Request <= '0';
Dequeue_All_Request <= '0';
Error_Request <= '0';
if( Bus2IP_WrCE_concat = '1' ) then
Error_Request <= '1';
elsif( Bus2IP_RdCE_concat = '1' ) then
case Bus2IP_Addr(13 to 14) is
when OPCODE_ENQUEUE => Enqueue_Request <= '1';
when OPCODE_DEQUEUE => Dequeue_Request <= '1';
when OPCODE_DEQUEUE_ALL => Dequeue_All_Request <= '1';
when others => Error_Request <= '1';
end case;
end if;
end if;
end process BUS_CMD_PROC;
-- *************************************************************************
-- Process: MASTER_FSM_STATE_PROC
-- Purpose: Synchronous FSM controller for the master state machine
-- *************************************************************************
MASTER_FSM_STATE_PROC: process(
Bus2IP_Clk, Soft_Reset, inside_reset, inside_reset_next, next_state) is
begin
if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then
if( Soft_Reset = '1' and inside_reset = '0' ) then
-- Initialize all signals...
current_state <= reset;
inside_reset <= '1';
else
-- Assign all signals to their next state...
current_state <= next_state;
inside_reset <= inside_reset_next;
end if;
end if;
end process MASTER_FSM_STATE_PROC;
-- *************************************************************************
-- Process: MASTER_FSM_LOGIC_PROC
-- Purpose: Combinational process that contains all state machine logic and
-- state transitions for the master state machine
-- *************************************************************************
MASTER_FSM_LOGIC_PROC: process (
current_state, inside_reset, Enqueue_Request, Dequeue_Request,
Dequeue_All_Request, Error_Request, Bus2IP_Data, Bus2IP_RdCE_concat, Bus2IP_WrCE_concat, Soft_Reset, Bus2IP_Addr, ack ) is
-- Idle Variable, concatenation of all request signals
variable idle_concat : std_logic_vector(0 to 3);
begin
IP2Bus_Error <= '0'; -- no error
IP2Bus_Addr <= (others => '0');
IP2Bus_MstRdReq <= '0';
IP2Bus_MstWr_d <= (others => '0');
Reset_Done <= '0'; -- reset is done unless we override it later
next_state <= current_state;
inside_reset_next <= inside_reset;
bus_data_out <= (others => '0');
bus_data_ready <= '0';
bus_ack_ready <= '0';
cmd <= '0';
opcode <= (others => '0');
cvar <= (others => '0');
tid <= (others => '0');
reset_sig <= '0';
case current_state is
when idle =>
-- Assign to variable for case statement
idle_concat := (Enqueue_Request & Dequeue_Request & Dequeue_All_Request & Error_Request);
-- Decode request
case (idle_concat) is
when "1000" => next_state <= enqueue_begin; -- Enqueue
when "0100" => next_state <= dequeue_begin; -- Dequeue
when "0010" => next_state <= dequeueAll_begin; -- DequeueAll
when "0001" => bus_data_out <= (others => '1'); -- Error!!!
bus_data_ready <= '1';
bus_ack_ready <= '1';
next_state <= wait_trans_done;
when others => next_state <= idle; -- Others, stay in idle state
end case;
when wait_trans_done =>
-- Goal of this state is to return to the idle state ONLY (iff) the bus transaction has COMPLETELY ended!
bus_data_ready <= '0'; -- de-assert bus transaction signals
bus_ack_ready <= '0';
if( Bus2IP_RdCE_concat = '0' and Bus2IP_WrCE_concat = '0' ) then
next_state <= idle;
end if;
----------------------------
-- RESET: begin
----------------------------
when reset =>
reset_sig <= '1'; -- begin reset on cvCore
Reset_Done <= '0'; -- De-assert Reset_Done
next_state <= reset_core;
when reset_core =>
if (ack = '1') then
next_state <= reset_wait_4_ack;
else
next_state <= reset_core;
end if;
when reset_wait_4_ack =>
Reset_Done <= '1'; -- Assert that reset has completed
if( Soft_Reset = '0' ) then -- if reset is complete
Reset_Done <= '0'; -- de-assert that reset is complete
inside_reset_next <= '0'; -- de-assert to signal that process is no longer in reset
next_state <= idle; -- return to idle stage
end if;
----------------------------
-- RESET: end
----------------------------
----------------------------
-- ENQ: begin
----------------------------
when enqueue_begin =>
-- Setup Command
cmd <= '1';
opcode <= OPCODE_ENQUEUE;
cvar <= getCVAR(Bus2IP_Addr);
tid <= getTID(Bus2IP_Addr);
-- Persist with command until ACK is received
if (ack = '1') then
-- De-assert request and continue
cmd <= '0';
opcode <= (others => '0');
cvar <= (others => '0');
tid <= (others => '0');
next_state <= enqueue_finish;
else
-- Persist with request and remain
next_state <= enqueue_begin;
end if;
when enqueue_finish =>
-- Finish transaction
bus_data_out <= (others => '0');
bus_data_ready <= '1';
bus_ack_ready <= '1';
next_state <= wait_trans_done;
----------------------------
-- DEQ: begin
----------------------------
when dequeue_begin =>
-- Setup Command
cmd <= '1';
opcode <= OPCODE_DEQUEUE;
cvar <= getCVAR(Bus2IP_Addr);
tid <= getTID(Bus2IP_Addr);
-- Persist with command until ACK is received
if (ack = '1') then
-- De-assert request and continue
cmd <= '0';
opcode <= (others => '0');
cvar <= (others => '0');
tid <= (others => '0');
next_state <= dequeue_finish;
else
-- Persist with request and remain
next_state <= dequeue_begin;
end if;
when dequeue_finish =>
-- Finish transaction
bus_data_out <= (others => '0');
bus_data_ready <= '1';
bus_ack_ready <= '1';
next_state <= wait_trans_done;
----------------------------
-- DEQ: begin
----------------------------
when dequeueAll_begin =>
-- Setup Command
cmd <= '1';
opcode <= OPCODE_DEQUEUE_ALL;
cvar <= getCVAR(Bus2IP_Addr);
tid <= getTID(Bus2IP_Addr);
-- Persist with command until ACK is received
if (ack = '1') then
-- De-assert request and continue
cmd <= '0';
opcode <= (others => '0');
cvar <= (others => '0');
tid <= (others => '0');
next_state <= dequeueAll_finish;
else
-- Persist with request and remain
next_state <= dequeueAll_begin;
end if;
when dequeueAll_finish =>
-- Finish transaction
bus_data_out <= (others => '0');
bus_data_ready <= '1';
bus_ack_ready <= '1';
next_state <= wait_trans_done;
when others =>
next_state <= idle;
end case; -- END CASE (current_state)
end process MASTER_FSM_LOGIC_PROC;
end architecture IMP;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/platforms/xilinx/pr_6smp/design/pcores/plb_cond_vars_v1_00_a/hdl/vhdl/user_logic.vhd | 8 | 29499 | ------------------------------------------------------------------------------
-- user_logic.vhd - entity/architecture pair
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: user_logic.vhd
-- Version: 1.00.a
-- Description: User logic.
-- Date: Mon Apr 6 14:20:46 2009 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
-- DO NOT EDIT BELOW THIS LINE --------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library fsl_v20_v2_11_c;
use fsl_v20_v2_11_c.all;
--library proc_common_v2_00_a;
--use proc_common_v2_00_a.proc_common_pkg.all;
--use proc_common_v2_00_a.srl_fifo_f;
-- DO NOT EDIT ABOVE THIS LINE --------------------
--USER libraries added here
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SLV_DWIDTH -- Slave interface data bus width
-- C_MST_AWIDTH -- Master interface address bus width
-- C_MST_DWIDTH -- Master interface data bus width
-- C_NUM_REG -- Number of software accessible registers
--
-- Definition of Ports:
-- Bus2IP_Clk -- Bus to IP clock
-- Bus2IP_Reset -- Bus to IP reset
-- Bus2IP_Addr -- Bus to IP address bus
-- Bus2IP_Data -- Bus to IP data bus
-- Bus2IP_BE -- Bus to IP byte enables
-- Bus2IP_RdCE -- Bus to IP read chip enable
-- Bus2IP_WrCE -- Bus to IP write chip enable
-- IP2Bus_Data -- IP to Bus data bus
-- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement
-- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement
-- IP2Bus_Error -- IP to Bus error response
-- IP2Bus_MstRd_Req -- IP to Bus master read request
-- IP2Bus_MstWr_Req -- IP to Bus master write request
-- IP2Bus_Mst_Addr -- IP to Bus master address bus
-- IP2Bus_Mst_BE -- IP to Bus master byte enables
-- IP2Bus_Mst_Lock -- IP to Bus master lock
-- IP2Bus_Mst_Reset -- IP to Bus master reset
-- Bus2IP_Mst_CmdAck -- Bus to IP master command acknowledgement
-- Bus2IP_Mst_Cmplt -- Bus to IP master transfer completion
-- Bus2IP_Mst_Error -- Bus to IP master error response
-- Bus2IP_Mst_Rearbitrate -- Bus to IP master re-arbitrate
-- Bus2IP_Mst_Cmd_Timeout -- Bus to IP master command timeout
-- Bus2IP_MstRd_d -- Bus to IP master read data bus
-- Bus2IP_MstRd_src_rdy_n -- Bus to IP master read source ready
-- IP2Bus_MstWr_d -- IP to Bus master write data bus
-- Bus2IP_MstWr_dst_rdy_n -- Bus to IP master write destination ready
------------------------------------------------------------------------------
entity user_logic is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
C_TM_BASE : std_logic_vector := x"11000000";
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_SLV_DWIDTH : integer := 32;
C_MST_AWIDTH : integer := 32;
C_MST_DWIDTH : integer := 32;
C_NUM_REG : integer := 5
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
Soft_Reset : in std_logic;
Reset_Done : out std_logic;
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to 31);
Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1);
Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1);
Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1);
IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1);
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
IP2Bus_Error : out std_logic;
IP2Bus_MstRd_Req : out std_logic;
IP2Bus_MstWr_Req : out std_logic;
IP2Bus_Mst_Addr : out std_logic_vector(0 to C_MST_AWIDTH-1);
IP2Bus_Mst_BE : out std_logic_vector(0 to C_MST_DWIDTH/8-1);
IP2Bus_Mst_Lock : out std_logic;
IP2Bus_Mst_Reset : out std_logic;
Bus2IP_Mst_CmdAck : in std_logic;
Bus2IP_Mst_Cmplt : in std_logic;
Bus2IP_Mst_Error : in std_logic;
Bus2IP_Mst_Rearbitrate : in std_logic;
Bus2IP_Mst_Cmd_Timeout : in std_logic;
Bus2IP_MstRd_d : in std_logic_vector(0 to C_MST_DWIDTH-1);
Bus2IP_MstRd_src_rdy_n : in std_logic;
IP2Bus_MstWr_d : out std_logic_vector(0 to C_MST_DWIDTH-1);
Bus2IP_MstWr_dst_rdy_n : in std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
-- Added in by Xilinx even though XST doesn't even recognize these attributes
--attribute SIGIS : string;
--attribute SIGIS of Bus2IP_Clk : signal is "CLK";
--attribute SIGIS of Bus2IP_Reset : signal is "RST";
--attribute SIGIS of IP2Bus_Mst_Reset: signal is "RST";
end entity user_logic;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of user_logic is
--USER signal declarations added here, as needed for user logic
-- Define the memory map for each command register, Address[13 to 14]
-- This value is the offset from the base address assigned to this module
constant OPCODE_ENQUEUE : std_logic_vector(0 to 2-1) := "10"; --conv_std_logic_vector(2, 2); -- Opcode for "wait" enqueue
constant OPCODE_DEQUEUE : std_logic_vector(0 to 2-1) := "01"; --conv_std_logic_vector(1, 2); -- Opcode for "signal" dequeue
constant OPCODE_DEQUEUE_ALL : std_logic_vector(0 to 2-1) := "11"; --conv_std_logic_vector(3, 2); -- Opcode for "broadcast" dequeue
-- ACK signal
signal IP2Bus_Ack : std_logic;
-- CE concatenation signals
signal Bus2IP_RdCE_concat : std_logic;
signal Bus2IP_WrCE_concat : std_logic;
-- Bus Output Controller signals
signal bus_data_ready : std_logic;
signal bus_ack_ready : std_logic;
signal bus_data_out : std_logic_vector (0 to 31);
-- Reset Signals
-- FIXME: It would be nice to eliminate the default values here
signal inside_reset : std_logic := '0';
signal inside_reset_next : std_logic := '0';
-- Signals for each event type
signal Enqueue_Request : std_logic;
signal Dequeue_Request : std_logic;
signal Dequeue_All_Request : std_logic;
signal Error_Request : std_logic;
-- signal and type for MASTER FSM
type master_state_type is
(
idle, -- idle states
wait_trans_done, -- wait for bus transaction to complete
reset, -- reset states
reset_core,
reset_wait_4_ack,
enqueue_begin,
enqueue_finish,
dequeue_begin,
dequeue_finish,
dequeueAll_begin,
dequeueAll_finish
);
signal current_state, next_state : master_state_type := idle;
--cvCore Inputs
signal msg_chan_channelDataOut : std_logic_vector(0 to 7) := (others => '0');
signal msg_chan_exists : std_logic := '0';
signal msg_chan_full : std_logic := '0';
signal cmd : std_logic := '0';
signal opcode : std_logic_vector(0 to 1) := (others => '0');
signal cvar : std_logic_vector(0 to 7) := (others => '0');
signal tid : std_logic_vector(0 to 7) := (others => '0');
signal reset_sig : std_logic := '0';
-- cvCore Outputs
signal msg_chan_channelDataIn : std_logic_vector(0 to 7);
signal msg_chan_channelRead : std_logic;
signal msg_chan_channelWrite : std_logic;
signal ack : std_logic;
-- Message channels signals
signal FSL_S_Read : std_logic;
signal FSL_S_Exists : std_logic;
signal FSL_Has_Data : std_logic;
signal FSL_Data : std_logic_vector(0 to 7);
------------------------------------------
-- Signals for user logic master model example
------------------------------------------
-- signals for master model control/status registers write/read
signal mst_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1);
-- signals for master model control/status registers
type BYTE_REG_TYPE is array(0 to 15) of std_logic_vector(0 to 7);
signal mst_go, IP2Bus_MstRdReq : std_logic;
-- signals for master model command interface state machine
type CMD_CNTL_SM_TYPE is (CMD_IDLE, CMD_RUN, CMD_WAIT_FOR_DATA, CMD_DONE);
signal mst_cmd_sm_state : CMD_CNTL_SM_TYPE;
signal mst_cmd_sm_set_done : std_logic;
signal mst_cmd_sm_set_error : std_logic;
signal mst_cmd_sm_set_timeout : std_logic;
signal mst_cmd_sm_busy : std_logic;
signal mst_cmd_sm_clr_go : std_logic;
signal mst_cmd_sm_rd_req : std_logic;
signal mst_cmd_sm_wr_req : std_logic;
signal mst_cmd_sm_reset : std_logic;
signal mst_cmd_sm_bus_lock : std_logic;
signal IP2Bus_Addr, mst_cmd_sm_ip2bus_addr : std_logic_vector(0 to C_MST_AWIDTH-1);
signal mst_cmd_sm_ip2bus_be : std_logic_vector(0 to C_MST_DWIDTH/8-1);
signal mst_fifo_valid_write_xfer : std_logic;
signal mst_fifo_valid_read_xfer : std_logic;
component fsl_v20 is
generic (
C_EXT_RESET_HIGH : integer;
C_ASYNC_CLKS : integer;
C_IMPL_STYLE : integer;
C_USE_CONTROL : integer;
C_FSL_DWIDTH : integer;
C_FSL_DEPTH : integer;
C_READ_CLOCK_PERIOD : integer
);
port (
FSL_Clk : in std_logic;
SYS_Rst : in std_logic;
FSL_Rst : out std_logic;
FSL_M_Clk : in std_logic;
FSL_M_Data : in std_logic_vector(0 to C_FSL_DWIDTH-1);
FSL_M_Control : in std_logic;
FSL_M_Write : in std_logic;
FSL_M_Full : out std_logic;
FSL_S_Clk : in std_logic;
FSL_S_Data : out std_logic_vector(0 to C_FSL_DWIDTH-1);
FSL_S_Control : out std_logic;
FSL_S_Read : in std_logic;
FSL_S_Exists : out std_logic;
FSL_Full : out std_logic;
FSL_Has_Data : out std_logic;
FSL_Control_IRQ : out std_logic
);
end component;
component condvar is
generic(
G_ADDR_WIDTH : integer := 11;
G_OP_WIDTH : integer := 2;
G_TID_WIDTH : integer := 8
);
port
(
msg_chan_channelDataIn : out std_logic_vector(0 to (G_TID_WIDTH - 1));
msg_chan_channelDataOut : in std_logic_vector(0 to (G_TID_WIDTH - 1));
msg_chan_exists : in std_logic;
msg_chan_full : in std_logic;
msg_chan_channelRead : out std_logic;
msg_chan_channelWrite : out std_logic;
cmd : in std_logic;
opcode : in std_logic_vector(0 to G_OP_WIDTH - 1);
cvar : in std_logic_vector(0 to G_TID_WIDTH - 1);
tid : in std_logic_vector(0 to G_TID_WIDTH - 1);
ack : out std_logic;
clock_sig : in std_logic;
reset_sig : in std_logic
);
end component condvar;
---------------------------------------------------
-- bit_set()
-- *******************
-- Determine if any bit in the array is set.
-- If any of the bits are set then '1' is returned,
-- otherwise '0' is returned.
---------------------------------------------------
function bit_set( data : in std_logic_vector ) return std_logic is
begin
for i in data'range loop
if( data(i) = '1' ) then
return '1';
end if;
end loop;
return '0';
end function;
---------------------------------------------------
function getCVAR( addr : in std_logic_vector(0 to 31)) return std_logic_vector is
begin
return "00" & addr(24 to 29);
end function;
function getTID( addr : in std_logic_vector(0 to 31)) return std_logic_vector is
begin
return addr(16 to 23);
end function;
function form_tm_addr( tid : in std_logic_vector(0 to 7)) return std_logic_vector is
variable mask : std_logic_vector(0 to 31);
begin
mask := x"00001" & "00" & tid & "00";
return C_TM_BASE or mask;
end function;
--*************************************************
-- Beginning of user_logic ARCHITECTURE
--*************************************************
begin
-- Instantiate the CV Core
cvCore: condvar PORT MAP (
msg_chan_channelDataIn => msg_chan_channelDataIn,
msg_chan_channelDataOut => msg_chan_channelDataOut,
msg_chan_exists => msg_chan_exists,
msg_chan_full => msg_chan_full,
msg_chan_channelRead => msg_chan_channelRead,
msg_chan_channelWrite => msg_chan_channelWrite,
cmd => cmd,
opcode => opcode,
cvar => cvar,
tid => tid,
ack => ack,
clock_sig => Bus2IP_Clk,
reset_sig => reset_sig
);
message_channel : fsl_v20
generic map (
C_EXT_RESET_HIGH => 1,
C_ASYNC_CLKS => 0,
C_IMPL_STYLE => 1,
C_USE_CONTROL => 0,
C_FSL_DWIDTH => 8,
C_FSL_DEPTH => 256,
C_READ_CLOCK_PERIOD => 0
)
port map (
FSL_Clk => Bus2IP_Clk,
SYS_Rst => Bus2IP_Reset,
FSL_Rst => open,
FSL_M_Clk => Bus2IP_Clk,
FSL_M_Data => msg_chan_channelDataIn,
FSL_M_Control => '0',
FSL_M_Write => msg_chan_channelWrite,
FSL_M_Full => msg_chan_full,
FSL_S_Clk => Bus2IP_Clk,
FSL_S_Data => FSL_Data,
FSL_S_Control => open,
FSL_S_Read => FSL_S_Read,
FSL_S_Exists => FSL_S_Exists,
FSL_Full => open,
FSL_Has_Data => FSL_Has_Data,
FSL_Control_IRQ => open
);
-- user logic master command interface assignments
IP2Bus_MstRd_Req <= mst_cmd_sm_rd_req;
IP2Bus_MstWr_Req <= mst_cmd_sm_wr_req;
IP2Bus_Mst_Addr <= mst_cmd_sm_ip2bus_addr;
IP2Bus_Mst_BE <= mst_cmd_sm_ip2bus_be;
IP2Bus_Mst_Lock <= mst_cmd_sm_bus_lock;
IP2Bus_Mst_Reset <= mst_cmd_sm_reset;
--implement master command interface state machine
mst_go <= FSL_S_Exists; -- Start master transaction when data exists in the FSL
MASTER_CMD_SM_PROC : process( Bus2IP_Clk ) is
begin
if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then
if ( Bus2IP_Reset = '1' ) then
-- reset condition
mst_cmd_sm_state <= CMD_IDLE;
mst_cmd_sm_clr_go <= '0';
mst_cmd_sm_rd_req <= '0';
mst_cmd_sm_wr_req <= '0';
mst_cmd_sm_bus_lock <= '0';
mst_cmd_sm_reset <= '0';
mst_cmd_sm_ip2bus_addr <= (others => '0');
mst_cmd_sm_ip2bus_be <= (others => '0');
mst_cmd_sm_set_done <= '0';
mst_cmd_sm_set_error <= '0';
mst_cmd_sm_set_timeout <= '0';
mst_cmd_sm_busy <= '0';
else
-- default condition
mst_cmd_sm_clr_go <= '0';
mst_cmd_sm_rd_req <= '0';
mst_cmd_sm_wr_req <= '0';
mst_cmd_sm_bus_lock <= '0';
mst_cmd_sm_reset <= '0';
mst_cmd_sm_ip2bus_addr <= (others => '0');
mst_cmd_sm_ip2bus_be <= (others => '0');
mst_cmd_sm_set_done <= '0';
mst_cmd_sm_set_error <= '0';
mst_cmd_sm_set_timeout <= '0';
mst_cmd_sm_busy <= '1';
FSL_S_Read <= '0';
-- state transition
case mst_cmd_sm_state is
when CMD_IDLE =>
if ( mst_go = '1' ) then
mst_cmd_sm_state <= CMD_RUN;
mst_cmd_sm_clr_go <= '1';
else
mst_cmd_sm_state <= CMD_IDLE;
mst_cmd_sm_busy <= '0';
end if;
when CMD_RUN =>
if ( Bus2IP_Mst_CmdAck = '1' and Bus2IP_Mst_Cmplt = '0' ) then
-- Signal a read on the FSL to pop off the element
FSL_S_Read <= '1';
mst_cmd_sm_state <= CMD_WAIT_FOR_DATA;
elsif ( Bus2IP_Mst_Cmplt = '1' ) then
-- Signal a read on the FSL to pop off the element
FSL_S_Read <= '1';
mst_cmd_sm_state <= CMD_DONE;
if ( Bus2IP_Mst_Cmd_Timeout = '1' ) then
-- PLB address phase timeout
mst_cmd_sm_set_error <= '1';
mst_cmd_sm_set_timeout <= '1';
elsif ( Bus2IP_Mst_Error = '1' ) then
-- PLB data transfer error
mst_cmd_sm_set_error <= '1';
end if;
else
mst_cmd_sm_state <= CMD_RUN;
mst_cmd_sm_rd_req <= '1'; -- Perform a write (rd = '1', wr = '0')
mst_cmd_sm_wr_req <= '0';
mst_cmd_sm_ip2bus_addr <= form_tm_addr(FSL_Data); -- Setup address
mst_cmd_sm_ip2bus_be <= (others => '1'); -- Use all byte lanes
mst_cmd_sm_bus_lock <= '0'; -- De-assert bus lock
end if;
when CMD_WAIT_FOR_DATA =>
if ( Bus2IP_Mst_Cmplt = '1' ) then
mst_cmd_sm_state <= CMD_DONE;
else
mst_cmd_sm_state <= CMD_WAIT_FOR_DATA;
end if;
when CMD_DONE =>
mst_cmd_sm_state <= CMD_IDLE;
mst_cmd_sm_set_done <= '1';
mst_cmd_sm_busy <= '0';
when others =>
mst_cmd_sm_state <= CMD_IDLE;
mst_cmd_sm_busy <= '0';
end case;
end if;
end if;
end process MASTER_CMD_SM_PROC;
-- Create concatenation signals
Bus2IP_RdCE_concat <= bit_set(Bus2IP_RdCE);
Bus2IP_WrCE_concat <= bit_set(Bus2IP_WrCE);
-- *************************************************************************
-- Process: BUS_OUTPUT_CONTROLLER
-- Purpose: Control output from IP to Bus
-- * Can be controlled using bus_data_ready, bus_ack_ready, and bus_data_out signals.
-- *************************************************************************
BUS_OUTPUT_CONTROLLER : process( Bus2IP_Clk, bus_data_ready, bus_ack_ready ) is
begin
if( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then
if( bus_data_ready = '1' and bus_ack_ready = '1' ) then
IP2Bus_Data <= bus_data_out; -- put data on bus
IP2Bus_Ack <= '1'; -- ACK bus
elsif (bus_data_ready = '1' and bus_ack_ready = '0') then
IP2Bus_Data <= bus_data_out; -- put data on bus
IP2Bus_Ack <= '0'; -- turn off ACK
else
IP2Bus_Data <= (others => '0'); -- output 0's on bus
IP2Bus_Ack <= '0'; -- turn off ACK
end if;
end if;
end process BUS_OUTPUT_CONTROLLER;
ACK_ROUTER : process (IP2Bus_Ack, Bus2IP_RdCE_concat, Bus2IP_WrCE_concat) is
begin
-- Turn an "ACK" into a specific ACK (read or write ACK)
if (Bus2IP_RdCE_concat = '1') then
IP2Bus_RdAck <= IP2Bus_Ack;
IP2Bus_WrAck <= '0';
else
IP2Bus_RdAck <= '0';
IP2Bus_WrAck <= IP2Bus_Ack;
end if;
end process;
-- *************************************************************************
-- Process: BUS_CMD_PROC
-- Purpose: Controller and decoder for incoming bus operations (reads and writes)
-- *************************************************************************
BUS_CMD_PROC : process (Bus2IP_Clk, Bus2IP_RdCE_concat, Bus2IP_WrCE_concat, Bus2IP_Addr ) is
begin
if( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then
Enqueue_Request <= '0';
Dequeue_Request <= '0';
Dequeue_All_Request <= '0';
Error_Request <= '0';
if( Bus2IP_WrCE_concat = '1' ) then
Error_Request <= '1';
elsif( Bus2IP_RdCE_concat = '1' ) then
case Bus2IP_Addr(13 to 14) is
when OPCODE_ENQUEUE => Enqueue_Request <= '1';
when OPCODE_DEQUEUE => Dequeue_Request <= '1';
when OPCODE_DEQUEUE_ALL => Dequeue_All_Request <= '1';
when others => Error_Request <= '1';
end case;
end if;
end if;
end process BUS_CMD_PROC;
-- *************************************************************************
-- Process: MASTER_FSM_STATE_PROC
-- Purpose: Synchronous FSM controller for the master state machine
-- *************************************************************************
MASTER_FSM_STATE_PROC: process(
Bus2IP_Clk, Soft_Reset, inside_reset, inside_reset_next, next_state) is
begin
if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then
if( Soft_Reset = '1' and inside_reset = '0' ) then
-- Initialize all signals...
current_state <= reset;
inside_reset <= '1';
else
-- Assign all signals to their next state...
current_state <= next_state;
inside_reset <= inside_reset_next;
end if;
end if;
end process MASTER_FSM_STATE_PROC;
-- *************************************************************************
-- Process: MASTER_FSM_LOGIC_PROC
-- Purpose: Combinational process that contains all state machine logic and
-- state transitions for the master state machine
-- *************************************************************************
MASTER_FSM_LOGIC_PROC: process (
current_state, inside_reset, Enqueue_Request, Dequeue_Request,
Dequeue_All_Request, Error_Request, Bus2IP_Data, Bus2IP_RdCE_concat, Bus2IP_WrCE_concat, Soft_Reset, Bus2IP_Addr, ack ) is
-- Idle Variable, concatenation of all request signals
variable idle_concat : std_logic_vector(0 to 3);
begin
IP2Bus_Error <= '0'; -- no error
IP2Bus_Addr <= (others => '0');
IP2Bus_MstRdReq <= '0';
IP2Bus_MstWr_d <= (others => '0');
Reset_Done <= '0'; -- reset is done unless we override it later
next_state <= current_state;
inside_reset_next <= inside_reset;
bus_data_out <= (others => '0');
bus_data_ready <= '0';
bus_ack_ready <= '0';
cmd <= '0';
opcode <= (others => '0');
cvar <= (others => '0');
tid <= (others => '0');
reset_sig <= '0';
case current_state is
when idle =>
-- Assign to variable for case statement
idle_concat := (Enqueue_Request & Dequeue_Request & Dequeue_All_Request & Error_Request);
-- Decode request
case (idle_concat) is
when "1000" => next_state <= enqueue_begin; -- Enqueue
when "0100" => next_state <= dequeue_begin; -- Dequeue
when "0010" => next_state <= dequeueAll_begin; -- DequeueAll
when "0001" => bus_data_out <= (others => '1'); -- Error!!!
bus_data_ready <= '1';
bus_ack_ready <= '1';
next_state <= wait_trans_done;
when others => next_state <= idle; -- Others, stay in idle state
end case;
when wait_trans_done =>
-- Goal of this state is to return to the idle state ONLY (iff) the bus transaction has COMPLETELY ended!
bus_data_ready <= '0'; -- de-assert bus transaction signals
bus_ack_ready <= '0';
if( Bus2IP_RdCE_concat = '0' and Bus2IP_WrCE_concat = '0' ) then
next_state <= idle;
end if;
----------------------------
-- RESET: begin
----------------------------
when reset =>
reset_sig <= '1'; -- begin reset on cvCore
Reset_Done <= '0'; -- De-assert Reset_Done
next_state <= reset_core;
when reset_core =>
if (ack = '1') then
next_state <= reset_wait_4_ack;
else
next_state <= reset_core;
end if;
when reset_wait_4_ack =>
Reset_Done <= '1'; -- Assert that reset has completed
if( Soft_Reset = '0' ) then -- if reset is complete
Reset_Done <= '0'; -- de-assert that reset is complete
inside_reset_next <= '0'; -- de-assert to signal that process is no longer in reset
next_state <= idle; -- return to idle stage
end if;
----------------------------
-- RESET: end
----------------------------
----------------------------
-- ENQ: begin
----------------------------
when enqueue_begin =>
-- Setup Command
cmd <= '1';
opcode <= OPCODE_ENQUEUE;
cvar <= getCVAR(Bus2IP_Addr);
tid <= getTID(Bus2IP_Addr);
-- Persist with command until ACK is received
if (ack = '1') then
-- De-assert request and continue
cmd <= '0';
opcode <= (others => '0');
cvar <= (others => '0');
tid <= (others => '0');
next_state <= enqueue_finish;
else
-- Persist with request and remain
next_state <= enqueue_begin;
end if;
when enqueue_finish =>
-- Finish transaction
bus_data_out <= (others => '0');
bus_data_ready <= '1';
bus_ack_ready <= '1';
next_state <= wait_trans_done;
----------------------------
-- DEQ: begin
----------------------------
when dequeue_begin =>
-- Setup Command
cmd <= '1';
opcode <= OPCODE_DEQUEUE;
cvar <= getCVAR(Bus2IP_Addr);
tid <= getTID(Bus2IP_Addr);
-- Persist with command until ACK is received
if (ack = '1') then
-- De-assert request and continue
cmd <= '0';
opcode <= (others => '0');
cvar <= (others => '0');
tid <= (others => '0');
next_state <= dequeue_finish;
else
-- Persist with request and remain
next_state <= dequeue_begin;
end if;
when dequeue_finish =>
-- Finish transaction
bus_data_out <= (others => '0');
bus_data_ready <= '1';
bus_ack_ready <= '1';
next_state <= wait_trans_done;
----------------------------
-- DEQ: begin
----------------------------
when dequeueAll_begin =>
-- Setup Command
cmd <= '1';
opcode <= OPCODE_DEQUEUE_ALL;
cvar <= getCVAR(Bus2IP_Addr);
tid <= getTID(Bus2IP_Addr);
-- Persist with command until ACK is received
if (ack = '1') then
-- De-assert request and continue
cmd <= '0';
opcode <= (others => '0');
cvar <= (others => '0');
tid <= (others => '0');
next_state <= dequeueAll_finish;
else
-- Persist with request and remain
next_state <= dequeueAll_begin;
end if;
when dequeueAll_finish =>
-- Finish transaction
bus_data_out <= (others => '0');
bus_data_ready <= '1';
bus_ack_ready <= '1';
next_state <= wait_trans_done;
when others =>
next_state <= idle;
end case; -- END CASE (current_state)
end process MASTER_FSM_LOGIC_PROC;
end architecture IMP;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/hardware/MyRepository/pcores/axi_hthread_cores/proc_common_v3_00_a/hdl/vhdl/addsub.vhd | 2 | 10864 | -------------------------------------------------------------------------------
-- $Id: addsub.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $
-------------------------------------------------------------------------------
-- Either add an ArgA or subtract an ArgS from an ArgD.
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2003-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: addsub.vhd
-- Version:
--------------------------------------------------------------------------------
-- Description:
-- Either add an ArgA or subtract an ArgS from an ArgD. The
-- output, Result, can be optionally combinatorial or registered.
--
-- When C_REGISTERED is false, Result will take on one of
-- two values:
--
-- ArgD - ArgS, when Sub is asserted, or
-- ArgD + ArgA, when Sub is not asserted.
--
-- Cry_BrwN will be '1' if ArgD + ArgA produces a carry
-- and it will be '0' if ArgD - ArgS produces a borrow.
--
-- The signals Clk, Rst and CE are meaningful and used only
-- if C_REGISTERED is true. These may be "tied off" to any
-- std_logic value in combinatorial instantiations (e.g.
-- connected to '0').
--
-- This table details the operation in registered mode:
--
-- Clk Rst CE Sub <Cry_BrwN, Result>
-- --- --- -- --- ------------------
-- _
-- _| 1 x x 0
--
-- _
-- _| 0 1 0 ArgD + ArgA
--
-- _
-- _| 0 1 1 ArgD - ArgS
--
-- _
-- _| 0 0 x No change
--
-- _
-- not _| x x x No change
--
-------------------------------------------------------------------------------
-- Structure:
--
-- addsub.vhd
-------------------------------------------------------------------------------
-- Author: FO
--
-- History:
--
-- FO 08/14/2003 -- First version
--
-- DET 1/17/2008 v3_00_a
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity addsub is
generic (
C_WIDTH : natural := 8;
C_REGISTERED : boolean := false
);
port (
Clk : in std_logic;
Rst : in std_logic; -- Reset Result and Cry_BrwN to zero
CE : in std_logic;
ArgD : in std_logic_vector(0 to C_WIDTH-1);
ArgA : in std_logic_vector(0 to C_WIDTH-1);
ArgS : in std_logic_vector(0 to C_WIDTH-1);
Sub : in std_logic;
Cry_BrwN : out std_logic;
Result : out std_logic_vector(0 to C_WIDTH-1)
);
end addsub;
library unisim;
use unisim.VCOMPONENTS.FDRE;
use unisim.VCOMPONENTS.MUXCY;
use unisim.VCOMPONENTS.XORCY;
library ieee;
use ieee.numeric_std.all;
architecture imp of addsub is
signal lutout,
xorcy_out : std_logic_vector(0 to C_WIDTH-1);
signal cry : std_logic_vector(0 to C_WIDTH);
begin
cry(C_WIDTH) <= Sub;
PERBIT_GEN: for j in C_WIDTH-1 downto 0 generate
begin
------------------------------------------------------------------------
-- LUT output generation.
------------------------------------------------------------------------
lutout(j) <= ArgD(j) xor ArgA(j) when Sub = '0' else
ArgD(j) xnor ArgS(j);
------------------------------------------------------------------------
-- Propagate the carry (borrow) out.
------------------------------------------------------------------------
MUXCY_i1: MUXCY
port map (
DI => ArgD(j),
CI => cry(j+1),
S => lutout(j),
O => cry(j)
);
------------------------------------------------------------------------
-- Apply the effect of carry (borrow) in.
------------------------------------------------------------------------
XORCY_i1: XORCY
port map (
LI => lutout(j),
CI => cry(j+1),
O => xorcy_out(j)
);
------------------------------------------------------------------------
-- Result, combinatorial or registered.
------------------------------------------------------------------------
COM_GEN : if not C_REGISTERED generate
Result(j) <= xorcy_out(j);
end generate;
-- else
REG_GEN : if C_REGISTERED generate
FDRE_I1: FDRE
port map (
Q => Result(j),
C => Clk,
CE => CE,
D => xorcy_out(j),
R => Rst
);
end generate;
end generate;
----------------------------------------------------------------------------
-- Cry_BrwN, combinatorial or registered.
----------------------------------------------------------------------------
COM_GEN : if not C_REGISTERED generate
Cry_BrwN <= cry(0);
end generate;
-- else
REG_GEN : if C_REGISTERED generate
FDRE_I1: FDRE
port map (
Q => Cry_BrwN,
C => Clk,
CE => CE,
D => cry(0),
R => Rst
);
end generate;
end imp;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/platforms/xilinx/smp3_opbhwti/design/pcores/opb_v20_v1_10_d/hdl/vhdl/park_lock_logic.vhd | 3 | 24460 | -------------------------------------------------------------------------------
-- $Id: park_lock_logic.vhd,v 1.1.2.1 2009/10/06 21:15:01 gburch Exp $
-------------------------------------------------------------------------------
-- park_lock_logic.vhd - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2003,2009 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: park_lock_logic.vhd
-- Version: v1.02e
-- Description:
-- This file contains the grant_last_register logic, the park
-- logic, and the grant_logic which determines the final grant
-- signal to the Masters.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
--
-- opb_arbiter.vhd
-- --opb_arbiter_core.vhd
-- -- ipif_regonly_slave.vhd
-- -- priority_register_logic.vhd
-- -- priority_reg.vhd
-- -- onehot2encoded.vhd
-- -- or_bits.vhd
-- -- control_register.vhd
-- -- arb2bus_data_mux.vhd
-- -- mux_onehot.vhd
-- -- or_bits.vhd
-- -- watchdog_timer.vhd
-- -- arbitration_logic.vhd
-- -- or_bits.vhd
-- -- park_lock_logic.vhd
-- -- or_bits.vhd
-- -- or_gate.vhd
-- -- or_muxcy.vhd
-------------------------------------------------------------------------------
-- Author: ALS
-- History:
-- ALS 08/28/01 -- Version 1.01a creation to include IPIF v1.22a
-- ALS 10/04/01 -- Version 1.02a creation to include IPIF v1.23a
-- ALS 11/27/01
-- ^^^^^^
-- Version 1.02b created to fix registered grant problem.
-- ~~~~~~
-- ALS 01/24/02
-- ^^^^^^
-- Created version 1.02c to fix problem with registered grants, and buslock when
-- the buslock master is holding request high and performing conversion cycles.
-- Modified the code so that the arbitration cycle and/or the internal grant
-- register enables are based off the external grants, i.e., grants output
-- to the bus taking into account buslock and park.
-- This file now generates Any_mgrant which indicates when any external grant
-- is asserted and Bus_park which indicates when the bus is parked. Also,
-- OPB_buslock now gates the internal grant signals and bus parking.
-- ~~~~~~~
-- ALS 01/26/02
-- ^^^^^^
-- Created version 1.02c to fix problem with registered grants, and buslock when
-- the buslock master is holding request high and performing conversion cycles.
-- ~~~~~~
-- ALS 01/09/03
-- ^^^^^^
-- Created version 1.02d to register OPB_timeout to improve timing
-- ~~~~~~
-- bsbrao 09/27/04
-- ^^^^^^
-- Created version 1.02e to upgrade IPIF from opb_ipif_v1_23_a to
-- opb_ipif_v3_01_a
-- ~~~~~~
-- LCW 02/04/05 - update library statements
-- GAB 10/05/09
-- ^^^^^^
-- Moved all helper libraries proc_common_v2_00_a, opb_ipif_v3_01_a, and
-- opb_arbiter_v1_02_e locally into opb_v20_v1_10_d
--
-- Updated legal header
-- ~~~~~~
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
--
library ieee;
use ieee.STD_LOGIC_1164.all;
use ieee.std_logic_arith.conv_std_logic_vector;
-- Package file that contains constant definition for RESET_ACTIVE and function
-- pad_4
library unisim;
use unisim.vcomponents.all;
library opb_v20_v1_10_d;
use opb_v20_v1_10_d.opb_arb_pkg.all;
-------------------------------------------------------------------------------
-- Port Declaration
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Definition of Generics:
-- C_NUM_MASTERS -- number of Masters
-- C_NUM_MID_BITS -- number of bits required for master IDs
-- C_PARK -- parking supported
-- C_REG_GRANTS -- register grant outputs
--
-- Definition of Ports:
--
-- input Arb_cycle -- Valid arbitration cycle
-- input OPB_buslock -- Bus is locked
--
-- -- Control register interface
-- input Park_master_notlast -- Park on Master not last
-- input Park_master_id -- Master ID to park on
-- input Park_enable -- Enable parking
--
-- -- Intermediate grant signals from arbitration logic
-- input Grant
--
-- -- Master request signals
-- input M_request
--
-- -- Final Master grant signals
-- output Opb_mgrant -- output grants to masters
-- -- may be registered if C_REG_GRANTS=true
-- output MGrant -- cmb grant outputs to priority reg logic
-- output MGrant_n -- cmb active low grant signals to
-- -- priority reg logic
--
-- -- Clock and reset
-- input Clk;
-- input Rst;
-------------------------------------------------------------------------------
-----------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
entity park_lock_logic is
generic( C_NUM_MASTERS : integer := 8;
C_NUM_MID_BITS : integer := 3;
C_PARK : boolean := false;
C_REG_GRANTS : boolean := true );
port (
Arb_cycle : in std_logic;
OPB_buslock : in std_logic;
Park_master_notlast : in std_logic;
Park_master_id : in std_logic_vector(0 to C_NUM_MID_BITS-1);
Park_enable : in std_logic;
Grant : in std_logic_vector(0 to C_NUM_MASTERS-1);
M_request : in std_logic_vector(0 to C_NUM_MASTERS-1);
Bus_park : out std_logic;
Any_mgrant : out std_logic;
OPB_Mgrant : out std_logic_vector(0 to C_NUM_MASTERS-1);
Mgrant : out std_logic_vector(0 to C_NUM_MASTERS-1);
MGrant_n : out std_logic_vector(0 to C_NUM_MASTERS-1);
Clk : in std_logic;
Rst : in std_logic
);
end park_lock_logic;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture implementation of park_lock_logic is
-------------------------------------------------------------------------------
-- Constant Declarations
-------------------------------------------------------------------------------
-- pad number of masters(requests) and Park_enable to nearest multiple of 4
constant NUM_REQ_PAD : integer := pad_4(C_NUM_MASTERS);
-------------------------------------------------------------------------------
-- Signal and Type Declarations
-------------------------------------------------------------------------------
-- 1-hot register indicating which master was granted the bus
signal grant_last_reg : std_logic_vector(0 to C_NUM_MASTERS-1);
-- Signal indicating that a grant was asserted
signal any_grant : std_logic;
-- 1-hot bus indicating which master has locked the bus
signal locked : std_logic_vector(0 to C_NUM_MASTERS-1);
-- 1-hot bus indicating which master the bus is parked on
signal park : std_logic_vector(0 to C_NUM_MASTERS-1) := (others => '0');
signal park_d1 : std_logic_vector(0 to C_NUM_MASTERS-1) := (others => '0');
signal park_fe : std_logic_vector(0 to C_NUM_MASTERS-1) := (others => '0');
-- signal indicating if other masters are parked
signal others_park : std_logic_vector(0 to C_NUM_MASTERS-1) := (others => '0');
-- signals indicating if other masters are requesting the bus
signal pend_req_cmb : std_logic_vector(0 to C_NUM_MASTERS-1) := (others => '0');
signal pend_req : std_logic_vector(0 to C_NUM_MASTERS-1) := (others => '0');
-- indicates if any master is requesting the bus
signal any_request : std_logic_vector(0 to 0) := (others => '0');
-- internal grant signals
signal mgrant_i : std_logic_vector(0 to C_NUM_MASTERS-1) := (others => '0');
signal mgrant_n_i : std_logic_vector(0 to C_NUM_MASTERS-1) := (others => '0');
signal mgrant_reg_i : std_logic_vector(0 to C_NUM_MASTERS-1) := (others => '0');
-------------------------------------------------------------------------------
-- Component Declarations
-------------------------------------------------------------------------------
-- Xilinx primitives are used to generate the PARK signals
-- OR_BITS is used to OR all of the Grant signals so that the Grant_last_reg
-- can be updated.
-- OR_GATE is used to determine if there are any pending requests for the
-- park logic and to determine if any master is parked
-----------------------------------------------------------------------------
-- Begin architecture
-----------------------------------------------------------------------------
begin
-------------------------------------------------------------------------------
-- GRANT_LAST_REGISTER registers the grant signals for use in determining
-- parking and locking.
-- This register is clock enabled by the OR of all the Master grant signals,
-- i.e. only register the grant signals when a new grant has been issued.
-- Note that the GRANT_LAST_REGISTER uses registered internal grant signals
-- when design is configured for registered grant outputs. It uses combinational
-- grant signals when configured for combinational internal grant outputs
-------------------------------------------------------------------------------
REGGRNTS_LASTGRNT: if C_REG_GRANTS generate
begin
-- use internal registered grant signals
OR_GRANTS_I: entity opb_v20_v1_10_d.or_bits
generic map( C_NUM_BITS => C_NUM_MASTERS,
C_START_BIT => 0,
C_BUS_SIZE => C_NUM_MASTERS)
port map ( In_bus => mgrant_reg_i,
Sig => '0',
Or_out => any_grant
);
LASTGRNT_REG_PROCESS: process(Clk)
begin
if Clk'event and Clk = '1' then
if Rst = RESET_ACTIVE then
grant_last_reg <= (others => '0');
elsif any_grant = '1' then
grant_last_reg <= mgrant_reg_i;
else
grant_last_reg <= grant_last_reg;
end if;
end if;
end process LASTGRNT_REG_PROCESS;
end generate REGGRNTS_LASTGRNT;
CMBGRNTS_LASTGRNT: if not(C_REG_GRANTS) generate
begin
-- use internal combinational grant signals
OR_GRANTS_I: entity opb_v20_v1_10_d.or_bits
generic map( C_NUM_BITS => C_NUM_MASTERS,
C_START_BIT => 0,
C_BUS_SIZE => C_NUM_MASTERS)
port map ( In_bus => mgrant_i,
Sig => '0',
Or_out => any_grant
);
LASTGRNT_REG_PROCESS: process(Clk)
begin
if Clk'event and Clk = '1' then
if Rst = RESET_ACTIVE then
grant_last_reg <= (others => '0');
elsif any_grant = '1' then
grant_last_reg <= mgrant_i;
else
grant_last_reg <= grant_last_reg;
end if;
end if;
end process LASTGRNT_REG_PROCESS;
end generate CMBGRNTS_LASTGRNT;
-------------------------------------------------------------------------------
-- LOCK signals indicate which Master (if any) has locked the bus. Only a Master
-- which has been granted the bus and is still requesting can lock it.
-------------------------------------------------------------------------------
LOCK_GEN: for i in 0 to C_NUM_MASTERS-1 generate
locked(i) <= '1' when grant_last_reg(i) = '1' and OPB_buslock = '1'
else '0';
end generate LOCK_GEN;
-------------------------------------------------------------------------------
-- PARK signals indicate which Master to park the bus on based on the Park
-- Enable, Park Master Not Last, and Park Master ID bits in the Control
-- Register. This code is only implemented if C_PARK=true indicating that
-- parking is supported. If C_PARK=false, the park bus and all OPB park signals
-- stay at their default values of 0.
-------------------------------------------------------------------------------
PARKLOGIC_GEN: if C_PARK generate
-- For each master, must determine if there are any other requests and if parking is enabled
PENDREQ_GEN: for i in 0 to C_NUM_MASTERS-1 generate
signal or_gate_input : std_logic_vector(0 to C_NUM_MASTERS-2);
begin
OR_ALL_BUT_SELF_PROCESS: process (M_request) is
variable k : integer := 0;
begin
for j in 0 to i-1 loop
or_gate_input(j) <= M_request(j);
end loop;
for j in i+1 to C_NUM_MASTERS-1 loop
or_gate_input(j-1) <= M_request(j);
end loop;
end process OR_ALL_BUT_SELF_PROCESS;
PENDREQ_I: entity opb_v20_v1_10_d.or_gate generic map (C_NUM_MASTERS-1,1,TRUE)
port map (or_gate_input,pend_req(i to i));
end generate PENDREQ_GEN;
-- If parking is enabled and there are no pending requests, then determine
-- which master to park on based on the PMNL bit.
-- If park on master not last = 0, then park on last master, i.e, park =
-- grant_last_reg. Otherwise, park on master whose ID is set in control register.
-- Register the master's park signals
PARK_GEN: for i in 0 to C_NUM_MASTERS-1 generate
signal park_or_gate_input : std_logic_vector(0 to C_NUM_MASTERS-2);
begin
PARK_PROCESS: process (Clk)
begin
if Clk'event and Clk = '1' then
if Rst = RESET_ACTIVE then
park(i) <= '0';
elsif pend_req(i) = '0' and Park_enable = '1' then
if Park_master_notlast = '1' then
if Park_master_id = conv_std_logic_vector(i, C_NUM_MID_BITS) then
park(i) <= '1';
else
park(i) <= '0';
end if;
else
park(i) <= grant_last_reg(i);
end if;
else
park(i) <= '0';
end if;
end if;
end process PARK_PROCESS;
-- When the grant outputs are registered, the parked master's grant won't negate
-- until a clock after parking is disabled. Since the park bus is registered,
-- the grant signal must negate as soon as possible after the park bus negates,
-- therefore, use the falling edge of each masters' park to asynchronously reset
-- that master's OPB_MGrant register.
PARK_D1_PROCESS: process(Clk)
begin
if Clk'event and Clk = '1' then
if Rst = RESET_ACTIVE then
park_d1(i) <= '0';
else
park_d1(i) <= park(i);
end if;
end if;
end process PARK_D1_PROCESS;
park_fe(i) <= '1' when park(i) = '0' and park_d1(i) = '1'
else '0';
-- determine if other masters are parked so that the grant from the arbitration
-- logic can be properly gated.
OR_ALLPARK_BUT_SELF_PROCESS: process (park) is
variable k : integer := 0;
begin
for j in 0 to i-1 loop
park_or_gate_input(j) <= park(j);
end loop;
for j in i+1 to C_NUM_MASTERS-1 loop
park_or_gate_input(j-1) <= park(j);
end loop;
end process OR_ALLPARK_BUT_SELF_PROCESS;
OTHERSPARK_I: entity opb_v20_v1_10_d.or_gate generic map (C_NUM_MASTERS-1,1,TRUE)
port map (park_or_gate_input,others_park(i to i));
end generate PARK_GEN;
-- determine if parked on any master
OR_PARK_I: entity opb_v20_v1_10_d.or_bits
generic map( C_NUM_BITS => C_NUM_MASTERS,
C_START_BIT => 0,
C_BUS_SIZE => C_NUM_MASTERS)
port map ( In_bus => park,
Sig => '0',
Or_out => Bus_park
);
end generate PARKLOGIC_GEN;
NOPARK_GEN: if not(C_PARK) generate
Bus_park <= '0';
park <= (others => '0');
others_park <= (others => '0');
park_fe <= (others => '0');
end generate NOPARK_GEN;
-------------------------------------------------------------------------------
-- GRANT_LOGIC determines the final Master grant signals based on the park/lock
-- signals and the intermediate grant signals from the arbitration logic.
-- The MGrant signals are always combinatorial and are used by the priority
-- register logic.
-------------------------------------------------------------------------------
GRANT_GEN: for i in 0 to C_NUM_MASTERS-1 generate
mgrant_i(i) <= '1' when arb_cycle = '1' and
((grant(i)='1' and others_park(i)='0' and OPB_buslock = '0')
or (park(i) = '1' and OPB_buslock = '0')
or (locked(i) = '1' and M_request(i)='1'))
else '0';
mgrant_n_i(i) <= '0' when arb_cycle = '1' and
((grant(i)='1' and others_park(i)='0' and OPB_buslock = '0')
or (park(i) = '1' and OPB_buslock = '0')
or (locked(i) = '1' and M_request(i)='1'))
else '1';
-- Register the grant signals if registered grant outputs
-- reset this register with park_fe
REGGRNT_GEN: if (C_REG_GRANTS) generate
REGGRNT_PROCESS: process (Clk, park_fe(i))
begin
-- asynchronously reset when park negates
if park_fe(i) = '1' then
mgrant_reg_i(i) <= '0';
elsif Clk'event and Clk='1' then
if Rst = RESET_ACTIVE then
mgrant_reg_i(i) <= '0';
else
mgrant_reg_i(i) <= mgrant_i(i);
end if;
end if;
end process REGGRNT_PROCESS;
end generate REGGRNT_GEN;
end generate GRANT_GEN;
-------------------------------------------------------------------------------
-- Assign internal signals to outputs
-- Master grant signal outputs are registered or combinatorial based on the
-- C_REG_GRANTS parameter.
-------------------------------------------------------------------------------
MGrant <= mgrant_i;
MGrant_n <= mgrant_n_i;
Any_mgrant <= any_grant;
REGGRANT_GEN: if C_REG_GRANTS generate
OPB_MGrant <= mgrant_reg_i;
end generate REGGRANT_GEN;
CMBGRANT_GEN: if not(C_REG_GRANTS) generate
OPB_MGrant <= mgrant_i;
end generate CMBGRANT_GEN;
end implementation;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/hardware/MyRepository/pcores/opb_SynchManager_v1_00_c/hdl/vhdl/lock_fsm.vhd | 11 | 8253 | -------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
use work.common.all;
entity lock_fsm is
generic
(
C_AWIDTH : integer := 32;
C_DWIDTH : integer := 32;
C_TWIDTH : integer := 8;
C_MWIDTH : integer := 6;
C_CWIDTH : integer := 8
);
port
(
clk : in std_logic;
rst : in std_logic;
start : in std_logic;
finish : out std_logic;
data : out std_logic_vector(0 to C_DWIDTH-1);
mutex : in std_logic_vector(0 to C_MWIDTH-1);
thread : in std_logic_vector(0 to C_TWIDTH-1);
miowner : in std_logic_vector(0 to C_TWIDTH-1);
minext : in std_logic_vector(0 to C_TWIDTH-1);
milast : in std_logic_vector(0 to C_TWIDTH-1);
micount : in std_logic_vector(0 to C_CWIDTH-1);
mikind : in std_logic_vector(0 to 1);
tinext : in std_logic_vector(0 to C_TWIDTH-1);
moaddr : out std_logic_vector(0 to C_MWIDTH-1);
moena : out std_logic;
mowea : out std_logic;
moowner : out std_logic_vector(0 to C_TWIDTH-1);
monext : out std_logic_vector(0 to C_TWIDTH-1);
molast : out std_logic_vector(0 to C_TWIDTH-1);
mocount : out std_logic_vector(0 to C_CWIDTH-1);
mokind : out std_logic_vector(0 to 1);
sysrst : in std_logic;
rstdone : out std_logic;
toaddr : out std_logic_vector(0 to C_TWIDTH-1);
toena : out std_logic;
towea : out std_logic;
tonext : out std_logic_vector(0 to C_TWIDTH-1)
);
end lock_fsm;
architecture behavioral of lock_fsm is
-- A type for the states in the lock fsm
type lock_state is
(
IDLE,
READ,
DONE,
UPDATE
);
-- Declare signals for the lock fsm
signal lock_cs : lock_state;
signal lock_ns : lock_state;
begin
-- This core resets in one clock cycle so it is always "done"
rstdone <= '1';
lock_update : process(clk,rst,sysrst,lock_ns) is
begin
if( rising_edge(clk) ) then
if( rst = '1' or sysrst = '1' ) then
lock_cs <= IDLE;
else
lock_cs <= lock_ns;
end if;
end if;
end process lock_update;
lock_controller : process(lock_cs,start,mutex,thread,micount,mikind,miowner,milast,minext) is
begin
lock_ns <= lock_cs;
finish <= '0';
data <= (others => '0');
moaddr <= (others => '0');
moena <= '0';
mowea <= '0';
moowner <= (others => '0');
monext <= (others => '0');
molast <= (others => '0');
mokind <= (others => '0');
mocount <= (others => '0');
toaddr <= (others => '0');
toena <= '0';
towea <= '0';
tonext <= (others => '0');
case lock_cs is
when IDLE =>
if( start = '1' ) then
moaddr <= mutex;
moena <= '1';
mowea <= '0';
lock_ns <= READ;
end if;
when READ =>
lock_ns <= DONE;
when DONE =>
if( micount = zero(C_CWIDTH) ) then
moaddr <= mutex;
moena <= '1';
mowea <= '1';
moowner <= thread;
monext <= thread;
molast <= thread;
mocount <= one( C_CWIDTH );
mokind <= mikind;
finish <= '1';
lock_ns <= IDLE;
else
if( mikind = SYNCH_ERROR and miowner = thread ) then
data(0) <= '1';
finish <= '1';
lock_ns <= IDLE;
elsif( mikind = SYNCH_RECURS and miowner = thread ) then
moaddr <= mutex;
moena <= '1';
mowea <= '1';
moowner <= miowner;
monext <= minext;
molast <= milast;
mocount <= micount + 1;
mokind <= mikind;
finish <= '1';
lock_ns <= IDLE;
elsif( minext = miowner ) then
toaddr <= thread;
toena <= '1';
towea <= '1';
tonext <= thread;
moaddr <= mutex;
moena <= '1';
mowea <= '1';
moowner <= miowner;
monext <= thread;
molast <= thread;
mocount <= micount;
mokind <= mikind;
finish <= '1';
data(1) <= '1';
lock_ns <= IDLE;
else
toaddr <= milast;
toena <= '1';
towea <= '1';
tonext <= thread;
moaddr <= mutex;
moena <= '1';
mowea <= '1';
moowner <= miowner;
monext <= minext;
molast <= thread;
mocount <= micount;
mokind <= mikind;
finish <= '0';
lock_ns <= UPDATE;
end if;
end if;
when UPDATE =>
toaddr <= thread;
toena <= '1';
towea <= '1';
tonext <= thread;
finish <= '1';
data(1) <= '1';
lock_ns <= IDLE;
end case;
end process lock_controller;
end behavioral;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/platforms/xilinx/ml605_pr_smp1_14_7/design/pcores/plb_sync_manager_v1_00_a/hdl/vhdl/lock_fsm.vhd | 11 | 8253 | -------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
use work.common.all;
entity lock_fsm is
generic
(
C_AWIDTH : integer := 32;
C_DWIDTH : integer := 32;
C_TWIDTH : integer := 8;
C_MWIDTH : integer := 6;
C_CWIDTH : integer := 8
);
port
(
clk : in std_logic;
rst : in std_logic;
start : in std_logic;
finish : out std_logic;
data : out std_logic_vector(0 to C_DWIDTH-1);
mutex : in std_logic_vector(0 to C_MWIDTH-1);
thread : in std_logic_vector(0 to C_TWIDTH-1);
miowner : in std_logic_vector(0 to C_TWIDTH-1);
minext : in std_logic_vector(0 to C_TWIDTH-1);
milast : in std_logic_vector(0 to C_TWIDTH-1);
micount : in std_logic_vector(0 to C_CWIDTH-1);
mikind : in std_logic_vector(0 to 1);
tinext : in std_logic_vector(0 to C_TWIDTH-1);
moaddr : out std_logic_vector(0 to C_MWIDTH-1);
moena : out std_logic;
mowea : out std_logic;
moowner : out std_logic_vector(0 to C_TWIDTH-1);
monext : out std_logic_vector(0 to C_TWIDTH-1);
molast : out std_logic_vector(0 to C_TWIDTH-1);
mocount : out std_logic_vector(0 to C_CWIDTH-1);
mokind : out std_logic_vector(0 to 1);
sysrst : in std_logic;
rstdone : out std_logic;
toaddr : out std_logic_vector(0 to C_TWIDTH-1);
toena : out std_logic;
towea : out std_logic;
tonext : out std_logic_vector(0 to C_TWIDTH-1)
);
end lock_fsm;
architecture behavioral of lock_fsm is
-- A type for the states in the lock fsm
type lock_state is
(
IDLE,
READ,
DONE,
UPDATE
);
-- Declare signals for the lock fsm
signal lock_cs : lock_state;
signal lock_ns : lock_state;
begin
-- This core resets in one clock cycle so it is always "done"
rstdone <= '1';
lock_update : process(clk,rst,sysrst,lock_ns) is
begin
if( rising_edge(clk) ) then
if( rst = '1' or sysrst = '1' ) then
lock_cs <= IDLE;
else
lock_cs <= lock_ns;
end if;
end if;
end process lock_update;
lock_controller : process(lock_cs,start,mutex,thread,micount,mikind,miowner,milast,minext) is
begin
lock_ns <= lock_cs;
finish <= '0';
data <= (others => '0');
moaddr <= (others => '0');
moena <= '0';
mowea <= '0';
moowner <= (others => '0');
monext <= (others => '0');
molast <= (others => '0');
mokind <= (others => '0');
mocount <= (others => '0');
toaddr <= (others => '0');
toena <= '0';
towea <= '0';
tonext <= (others => '0');
case lock_cs is
when IDLE =>
if( start = '1' ) then
moaddr <= mutex;
moena <= '1';
mowea <= '0';
lock_ns <= READ;
end if;
when READ =>
lock_ns <= DONE;
when DONE =>
if( micount = zero(C_CWIDTH) ) then
moaddr <= mutex;
moena <= '1';
mowea <= '1';
moowner <= thread;
monext <= thread;
molast <= thread;
mocount <= one( C_CWIDTH );
mokind <= mikind;
finish <= '1';
lock_ns <= IDLE;
else
if( mikind = SYNCH_ERROR and miowner = thread ) then
data(0) <= '1';
finish <= '1';
lock_ns <= IDLE;
elsif( mikind = SYNCH_RECURS and miowner = thread ) then
moaddr <= mutex;
moena <= '1';
mowea <= '1';
moowner <= miowner;
monext <= minext;
molast <= milast;
mocount <= micount + 1;
mokind <= mikind;
finish <= '1';
lock_ns <= IDLE;
elsif( minext = miowner ) then
toaddr <= thread;
toena <= '1';
towea <= '1';
tonext <= thread;
moaddr <= mutex;
moena <= '1';
mowea <= '1';
moowner <= miowner;
monext <= thread;
molast <= thread;
mocount <= micount;
mokind <= mikind;
finish <= '1';
data(1) <= '1';
lock_ns <= IDLE;
else
toaddr <= milast;
toena <= '1';
towea <= '1';
tonext <= thread;
moaddr <= mutex;
moena <= '1';
mowea <= '1';
moowner <= miowner;
monext <= minext;
molast <= thread;
mocount <= micount;
mokind <= mikind;
finish <= '0';
lock_ns <= UPDATE;
end if;
end if;
when UPDATE =>
toaddr <= thread;
toena <= '1';
towea <= '1';
tonext <= thread;
finish <= '1';
data(1) <= '1';
lock_ns <= IDLE;
end case;
end process lock_controller;
end behavioral;
| bsd-3-clause |
jevinskie/aes-over-pcie | source/key_scheduler.vhd | 1 | 9583 | -- File name: key_scheduler.vhd
-- Created: 2009-03-30
-- Author: Matt Swanson
-- Lab Section: 337-02
-- Version: 1.0 Initial Design Entry
-- Description: Rijndael KeyScheduler
use work.aes.all;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity key_scheduler is
port (
clk : in std_logic;
nrst : in std_logic;
go : in std_logic;
round : in round_type;
key_data : in byte;
key_index : in g_index;
key_load : in std_logic;
round_key : out key_type;
done : out std_logic
);
type rcon_array is array (0 to 10) of byte;
constant rcon_tbl : rcon_array :=
(
x"8d", x"01", x"02", x"04", x"08", x"10", x"20", x"40",
x"80", x"1b", x"36"
);
end key_scheduler;
architecture behavioral of key_scheduler is
type state_type is (
idle, load_key, rotate, sub_bytes, add_cols, rcon, be_done
);
signal state : state_type;
signal next_state : state_type;
signal cur_key : key_type;
signal next_cur_key : key_type;
signal new_key : key_type;
signal next_new_key : key_type;
signal c : index;
signal next_c : index;
signal c_clr : std_logic;
signal c_up : std_logic;
signal r : index;
signal next_r : index;
signal r_clr : std_logic;
signal sbox_return_reged : byte;
signal sbox_lookup, sbox_return : byte;
begin
sbox_b : entity work.sbox(dataflow) port map (
clk => clk, a => sbox_lookup, b => sbox_return
);
-- leda C_1406 off
state_reg : process(clk, nrst)
begin
if (nrst = '0') then
state <= idle;
elsif rising_edge(clk) then
state <= next_state;
cur_key <= next_cur_key;
new_key <= next_new_key;
end if;
end process state_reg;
-- leda C_1406 on
state_nsl : process(state, go, r, c, round)
begin
next_state <= idle;
case state is
when idle =>
if (go = '1' and round = 0) then
next_state <= load_key;
elsif (go = '1') then
next_state <= rotate;
else
next_state <= idle;
end if;
when load_key =>
next_state <= be_done;
when rotate =>
next_state <= sub_bytes;
when sub_bytes =>
if (r /= 3) then
next_state <= sub_bytes;
else
next_state <= rcon;
end if;
when rcon =>
next_state <= add_cols;
when add_cols =>
if (r = 3 and c = 3) then
next_state <= be_done;
else
next_state <= add_cols;
end if;
when be_done =>
next_state <= idle;
end case;
end process state_nsl;
state_out : process(state, cur_key, new_key, key_data,
key_index, key_load,
sbox_return_reged, c, r, round)
variable temp_index : index;
begin
next_cur_key <= cur_key;
next_new_key <= new_key;
c_up <= '0';
c_clr <= '0';
r_clr <= '0';
done <= '0';
sbox_lookup <= (others => '-');
case state is
when idle =>
if (key_load = '1') then
next_new_key(key_index mod 4, key_index / 4) <= key_data;
end if;
when load_key =>
-- nothing
when rotate =>
r_clr <= '1';
c_clr <= '1';
sbox_lookup <= cur_key(1, 3);
for i in index loop
next_new_key(i, 0) <= cur_key(to_integer(to_unsigned(i, 2) + 1), 3);
end loop;
when sub_bytes =>
sbox_lookup <= new_key(to_integer(to_unsigned(r, 2) + 1), 0);
next_new_key(r, c) <= sbox_return_reged;
when rcon =>
-- leda DFT_021 off
next_new_key(0, 0) <= new_key(0, 0) xor rcon_tbl(round);
-- leda DFT_021 on
c_clr <= '1';
r_clr <= '1';
when add_cols =>
if (c = 0) then
temp_index := 0;
else
temp_index := c - 1;
end if;
next_new_key(r, c) <= new_key(r, temp_index) xor cur_key(r, c);
if (r = 3) then
c_up <= '1';
end if;
when be_done =>
next_cur_key <= new_key;
done <= '1';
end case;
end process state_out;
-- leda C_1406 off
c_counter_reg : process(clk)
begin
if rising_edge(clk) then
c <= next_c;
end if;
end process c_counter_reg;
-- leda C_1406 on
c_counter_nsl : process(c, c_up, c_clr)
begin
if (c_clr = '1') then
next_c <= 0;
elsif (c_up = '1') then
next_c <= to_integer(to_unsigned(c, 2) + 1);
else
next_c <= c;
end if;
end process c_counter_nsl;
-- leda C_1406 off
r_counter_reg : process(clk)
begin
if rising_edge(clk) then
r <= next_r;
end if;
end process r_counter_reg;
-- leda C_1406 on
-- leda C_1406 off
sbox_return_reg : process(clk)
begin
if rising_edge(clk) then
sbox_return_reged <= sbox_return;
end if;
end process sbox_return_reg;
-- leda C_1406 on
r_counter_nsl : process(r, r_clr)
begin
if (r_clr = '1') then
next_r <= 0;
else
next_r <= to_integer(to_unsigned(r, 2) + 1);
end if;
end process r_counter_nsl;
round_key <= cur_key;
end behavioral;
architecture behavioral_p of key_scheduler is
type state_type is (
idle, load_key, rot_sub_rcon, add_cols, be_done
);
signal state : state_type;
signal next_state : state_type;
signal cur_key : key_type;
signal next_cur_key : key_type;
signal new_key : key_type;
signal next_new_key : key_type;
signal c : index;
signal next_c : index;
signal c_clr : std_logic;
signal c_up : std_logic;
signal sbox_lookup, sbox_return : col;
begin
gen_sbox : for i in index generate
sbox_b : entity work.sbox(dataflow) port map (
clk => clk, a => sbox_lookup(i), b => sbox_return(i)
);
end generate gen_sbox;
process(cur_key)
begin
for i in index loop
sbox_lookup(i) <= cur_key(to_integer(to_unsigned(i, 2) + 1), 3);
end loop;
end process;
-- leda C_1406 off
state_reg : process(clk, nrst)
begin
if (nrst = '0') then
state <= idle;
elsif rising_edge(clk) then
state <= next_state;
cur_key <= next_cur_key;
new_key <= next_new_key;
end if;
end process state_reg;
-- leda C_1406 on
state_nsl : process(state, go, c, round)
begin
next_state <= idle;
case state is
when idle =>
if (go = '1' and round = 0) then
next_state <= load_key;
elsif (go = '1') then
next_state <= rot_sub_rcon;
else
next_state <= idle;
end if;
when load_key =>
next_state <= be_done;
when rot_sub_rcon =>
next_state <= add_cols;
when add_cols =>
if (c = 3) then
next_state <= be_done;
else
next_state <= add_cols;
end if;
when be_done =>
next_state <= idle;
end case;
end process state_nsl;
state_out : process(state, cur_key, new_key, key_data,
key_index, key_load,
sbox_return, c, round)
variable temp_index : index;
begin
next_cur_key <= cur_key;
next_new_key <= new_key;
c_up <= '0';
c_clr <= '0';
done <= '0';
case state is
when idle =>
if (key_load = '1') then
next_new_key(key_index mod 4, key_index / 4) <= key_data;
end if;
when load_key =>
-- nothing
when rot_sub_rcon =>
for i in index loop
if (i = 0) then
next_new_key(i, 0) <= sbox_return(i) xor rcon_tbl(round);
else
next_new_key(i, 0) <= sbox_return(i);
end if;
end loop;
c_clr <= '1';
when add_cols =>
if (c = 0) then
temp_index := 0;
else
temp_index := c - 1;
end if;
for r in index loop
next_new_key(r, c) <= new_key(r, temp_index) xor cur_key(r, c);
end loop;
c_up <= '1';
when be_done =>
next_cur_key <= new_key;
done <= '1';
end case;
end process state_out;
-- leda C_1406 off
c_counter_reg : process(clk)
begin
if rising_edge(clk) then
c <= next_c;
end if;
end process c_counter_reg;
-- leda C_1406 on
c_counter_nsl : process(c, c_up, c_clr)
begin
if (c_clr = '1') then
next_c <= 0;
elsif (c_up = '1') then
next_c <= to_integer(to_unsigned(c, 2) + 1);
else
next_c <= c;
end if;
end process c_counter_nsl;
round_key <= cur_key;
end behavioral_p;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/platforms/xilinx/smp3_opbhwti/design/pcores/opb_ipif_v2_00_h/hdl/vhdl/pf_counter_bit.vhd | 3 | 6738 | -------------------------------------------------------------------------------
-- $Id: pf_counter_bit.vhd,v 1.1 2003/03/15 01:05:26 ostlerf Exp $
-------------------------------------------------------------------------------
-- pf_counter_bit.vhd - entity/architecture pair
-------------------------------------------------------------------------------
--
-- ****************************
-- ** Copyright Xilinx, Inc. **
-- ** All rights reserved. **
-- ****************************
--
-------------------------------------------------------------------------------
-- Filename: pf_counter_bit.vhd
--
-- Description: Implements 1 bit of the counter/timer
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- pf_counter_bit.vhd
--
-------------------------------------------------------------------------------
-- Author: B.L. Tise
-- Revision: $Revision: 1.1 $
-- Date: $Date: 2003/03/15 01:05:26 $
--
-- History:
-- D. Thorpe 2001-08-30 First Version
-- - adapted from B Tise MicroBlaze counters
--
-- DET 2001-09-11
-- - Added the Rst input signal and connected it to the FDRE
-- reset input.
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
library unisim;
use unisim.all;
library proc_common_v1_00_b;
Use proc_common_v1_00_b.inferred_lut4;
-----------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
entity pf_counter_bit is
port (
Clk : in std_logic;
Rst : In std_logic;
Count_In : in std_logic;
Load_In : in std_logic;
Count_Load : in std_logic;
Count_Down : in std_logic;
Carry_In : in std_logic;
Clock_Enable : in std_logic;
Result : out std_logic;
Carry_Out : out std_logic
);
end pf_counter_bit;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture implementation of pf_counter_bit is
--- xst wrk around component LUT4 is
--- xst wrk around generic(
--- xst wrk around -- synthesis translate_off
--- xst wrk around Xon : boolean;
--- xst wrk around -- synthesis translate_on
--- xst wrk around INIT : bit_vector := X"0000"
--- xst wrk around );
--- xst wrk around port (
--- xst wrk around O : out std_logic;
--- xst wrk around I0 : in std_logic;
--- xst wrk around I1 : in std_logic;
--- xst wrk around I2 : in std_logic;
--- xst wrk around I3 : in std_logic);
--- xst wrk around end component LUT4;
component inferred_lut4 is
generic (INIT : bit_vector(15 downto 0));
port (
O : out std_logic;
I0 : in std_logic;
I1 : in std_logic;
I2 : in std_logic;
I3 : in std_logic
);
end component inferred_lut4;
component MUXCY_L is
port (
DI : in std_logic;
CI : in std_logic;
S : in std_logic;
LO : out std_logic);
end component MUXCY_L;
component XORCY is
port (
LI : in std_logic;
CI : in std_logic;
O : out std_logic);
end component XORCY;
component FDRE is
port (
Q : out std_logic;
C : in std_logic;
CE : in std_logic;
D : in std_logic;
R : in std_logic
);
end component FDRE;
signal count_AddSub : std_logic;
signal count_Result : std_logic;
signal count_Result_Reg : std_logic;
attribute INIT : string;
begin -- VHDL_RTL
--- xst wrk around I_ALU_LUT : LUT4
--- xst wrk around generic map(
--- xst wrk around -- synthesis translate_off
--- xst wrk around Xon => false,
--- xst wrk around -- synthesis translate_on
--- xst wrk around INIT => X"36C6"
--- xst wrk around )
--- xst wrk around port map (
--- xst wrk around O => count_AddSub,
--- xst wrk around I0 => Count_In,
--- xst wrk around I1 => Count_Down,
--- xst wrk around I2 => Count_Load,
--- xst wrk around I3 => Load_In);
I_ALU_LUT : inferred_lut4
generic map(
INIT => X"36C6"
)
port map (
O => count_AddSub,
I0 => Count_In,
I1 => Count_Down,
I2 => Count_Load,
I3 => Load_In);
MUXCY_I : MUXCY_L
port map (
DI => Count_Down,
CI => Carry_In,
S => count_AddSub,
LO => Carry_Out);
XOR_I : XORCY
port map (
LI => count_AddSub,
CI => Carry_In,
O => count_Result);
FDRE_I: FDRE
port map (
Q => count_Result_Reg,
C => Clk,
CE => Clock_Enable,
D => count_Result,
R => Rst
);
Result <= count_Result_Reg;
end implementation;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/platforms/xilinx/smp3_opbhwti/design/pcores/plb_sync_manager_v1_00_a/hdl/vhdl/slave.vhd | 10 | 27202 | -------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
use work.common.all;
entity slave is
generic
(
C_NUM_THREADS : integer := 256;
C_NUM_MUTEXES : integer := 64;
C_AWIDTH : integer := 32;
C_DWIDTH : integer := 32;
C_MAX_AR_DWIDTH : integer := 32;
C_NUM_ADDR_RNG : integer := 7;
C_NUM_CE : integer := 1
);
port
(
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to C_AWIDTH-1);
Bus2IP_Data : in std_logic_vector(0 to C_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_DWIDTH/8-1);
Bus2IP_CS : in std_logic_vector(0 to C_NUM_ADDR_RNG-1);
Bus2IP_RNW : in std_logic;
IP2Bus_Data : out std_logic_vector(0 to C_DWIDTH-1);
IP2Bus_Error : out std_logic;
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
system_reset : in std_logic;
system_resetdone : out std_logic;
send_ena : out std_logic;
send_id : out std_logic_vector(0 to log2(C_NUM_THREADS)-1);
send_ack : in std_logic;
siaddr : in std_logic_vector(0 to log2(C_NUM_THREADS)-1);
siena : in std_logic;
siwea : in std_logic;
sinext : in std_logic_vector(0 to log2(C_NUM_THREADS)-1);
sonext : out std_logic_vector(0 to log2(C_NUM_THREADS)-1)
);
end slave;
architecture behavioral of slave is
-- Declare constants for bits needed for threads, mutexes, commands, and kinds
constant MTX_BIT : integer := log2( C_NUM_MUTEXES );
constant THR_BIT : integer := log2( C_NUM_THREADS );
constant CMD_BIT : integer := 3;
constant CNT_BIT : integer := 8;
constant KND_BIT : integer := 2;
-- Declare signals for clock, reset, rnw, and data input
signal clk : std_logic;
signal rst : std_logic;
signal rnw : std_logic;
signal datain : std_logic_vector(0 to C_DWIDTH-1);
-- Declare finish signals for the state machines
signal IP2Bus_RdAck_internal, IP2Bus_WrAck_internal : std_logic;
signal lock_finish : std_logic;
signal unlock_finish : std_logic;
signal trylock_finish : std_logic;
signal count_finish : std_logic;
signal kind_finish : std_logic;
signal owner_finish : std_logic;
signal result_finish : std_logic;
-- Declare data signals for the state machines
signal lock_data : std_logic_vector(0 to C_DWIDTH-1);
signal unlock_data : std_logic_vector(0 to C_DWIDTH-1);
signal trylock_data : std_logic_vector(0 to C_DWIDTH-1);
signal count_data : std_logic_vector(0 to C_DWIDTH-1);
signal kind_data : std_logic_vector(0 to C_DWIDTH-1);
signal owner_data : std_logic_vector(0 to C_DWIDTH-1);
signal result_data : std_logic_vector(0 to C_DWIDTH-1);
-- Declare mutex address signals for the state machines
signal lock_maddr : std_logic_vector(0 to MTX_BIT-1);
signal unlock_maddr : std_logic_vector(0 to MTX_BIT-1);
signal trylock_maddr : std_logic_vector(0 to MTX_BIT-1);
signal count_maddr : std_logic_vector(0 to MTX_BIT-1);
signal kind_maddr : std_logic_vector(0 to MTX_BIT-1);
signal owner_maddr : std_logic_vector(0 to MTX_BIT-1);
-- Declare mutex enable signals for the state machines
signal lock_mena : std_logic;
signal unlock_mena : std_logic;
signal trylock_mena : std_logic;
signal count_mena : std_logic;
signal kind_mena : std_logic;
signal owner_mena : std_logic;
-- Declare mutex write enable signals for the state machines
signal lock_mwea : std_logic;
signal unlock_mwea : std_logic;
signal trylock_mwea : std_logic;
signal count_mwea : std_logic;
signal kind_mwea : std_logic;
signal owner_mwea : std_logic;
-- Declare mutex owner signals for the state machies
signal lock_mowner : std_logic_vector(0 to THR_BIT-1);
signal unlock_mowner : std_logic_vector(0 to THR_BIT-1);
signal trylock_mowner : std_logic_vector(0 to THR_BIT-1);
signal count_mowner : std_logic_vector(0 to THR_BIT-1);
signal kind_mowner : std_logic_vector(0 to THR_BIT-1);
signal owner_mowner : std_logic_vector(0 to THR_BIT-1);
-- Declare mutex next signals for the state machines
signal lock_mnext : std_logic_vector(0 to THR_BIT-1);
signal unlock_mnext : std_logic_vector(0 to THR_BIT-1);
signal trylock_mnext : std_logic_vector(0 to THR_BIT-1);
signal count_mnext : std_logic_vector(0 to THR_BIT-1);
signal kind_mnext : std_logic_vector(0 to THR_BIT-1);
signal owner_mnext : std_logic_vector(0 to THR_BIT-1);
-- Declare mutex last signals for the state machines
signal lock_mlast : std_logic_vector(0 to THR_BIT-1);
signal unlock_mlast : std_logic_vector(0 to THR_BIT-1);
signal trylock_mlast : std_logic_vector(0 to THR_BIT-1);
signal count_mlast : std_logic_vector(0 to THR_BIT-1);
signal kind_mlast : std_logic_vector(0 to THR_BIT-1);
signal owner_mlast : std_logic_vector(0 to THR_BIT-1);
-- Declare mutex count signals for the state machines
signal lock_mcount : std_logic_vector(0 to CNT_BIT-1);
signal unlock_mcount : std_logic_vector(0 to CNT_BIT-1);
signal trylock_mcount : std_logic_vector(0 to CNT_BIT-1);
signal count_mcount : std_logic_vector(0 to CNT_BIT-1);
signal kind_mcount : std_logic_vector(0 to CNT_BIT-1);
signal owner_mcount : std_logic_vector(0 to CNT_BIT-1);
-- Declare mutex kind signals for the state machines
signal lock_mkind : std_logic_vector(0 to KND_BIT-1);
signal unlock_mkind : std_logic_vector(0 to KND_BIT-1);
signal trylock_mkind : std_logic_vector(0 to KND_BIT-1);
signal count_mkind : std_logic_vector(0 to KND_BIT-1);
signal kind_mkind : std_logic_vector(0 to KND_BIT-1);
signal owner_mkind : std_logic_vector(0 to KND_BIT-1);
-- Declare thread address signals for the state machines
signal lock_taddr : std_logic_vector(0 to THR_BIT-1);
signal unlock_taddr : std_logic_vector(0 to THR_BIT-1);
signal trylock_taddr : std_logic_vector(0 to THR_BIT-1);
signal count_taddr : std_logic_vector(0 to THR_BIT-1);
signal kind_taddr : std_logic_vector(0 to THR_BIT-1);
signal owner_taddr : std_logic_vector(0 to THR_BIT-1);
-- Declare thread enable signals for the state machines
signal lock_tena : std_logic;
signal unlock_tena : std_logic;
signal trylock_tena : std_logic;
signal count_tena : std_logic;
signal kind_tena : std_logic;
signal owner_tena : std_logic;
-- Declare thread write enable signals for the state machines
signal lock_twea : std_logic;
signal unlock_twea : std_logic;
signal trylock_twea : std_logic;
signal count_twea : std_logic;
signal kind_twea : std_logic;
signal owner_twea : std_logic;
-- Declare thread next signals for the state machines
signal lock_tnext : std_logic_vector(0 to THR_BIT-1);
signal unlock_tnext : std_logic_vector(0 to THR_BIT-1);
signal trylock_tnext : std_logic_vector(0 to THR_BIT-1);
signal count_tnext : std_logic_vector(0 to THR_BIT-1);
signal kind_tnext : std_logic_vector(0 to THR_BIT-1);
signal owner_tnext : std_logic_vector(0 to THR_BIT-1);
-- Declare send enable signals for the state machines
signal unlock_sena : std_logic;
-- Declare send identifier signals for the state machines
signal unlock_sid : std_logic_vector(0 to THR_BIT-1);
-- Declare signals for the mutex store
signal miaddr : std_logic_vector(0 to MTX_BIT-1);
signal miena : std_logic;
signal miwea : std_logic;
signal miowner : std_logic_vector(0 to THR_BIT-1);
signal minext : std_logic_vector(0 to THR_BIT-1);
signal milast : std_logic_vector(0 to THR_BIT-1);
signal micount : std_logic_vector(0 to CNT_BIT-1);
signal mikind : std_logic_vector(0 to KND_BIT-1);
signal moowner : std_logic_vector(0 to THR_BIT-1);
signal monext : std_logic_vector(0 to THR_BIT-1);
signal molast : std_logic_vector(0 to THR_BIT-1);
signal mocount : std_logic_vector(0 to CNT_BIT-1);
signal mokind : std_logic_vector(0 to KND_BIT-1);
-- Declare signals for the thread store
signal tiaddr : std_logic_vector(0 to THR_BIT-1);
signal tiena : std_logic;
signal tiwea : std_logic;
signal tinext : std_logic_vector(0 to THR_BIT-1);
signal tonext : std_logic_vector(0 to THR_BIT-1);
-- Declare signals for the system reset
signal lock_resetdone : std_logic;
signal unlock_resetdone : std_logic;
signal trylock_resetdone : std_logic;
signal owner_resetdone : std_logic;
signal kind_resetdone : std_logic;
signal count_resetdone : std_logic;
signal result_resetdone : std_logic;
signal thread_resetdone : std_logic;
signal send_resetdone : std_logic;
signal mutex_resetdone : std_logic;
-- Declare aliases for the start signals
alias lock_start : std_logic is Bus2IP_CS(0);
alias unlock_start : std_logic is Bus2IP_CS(1);
alias trylock_start : std_logic is Bus2IP_CS(2);
alias owner_start : std_logic is Bus2IP_CS(3);
alias kind_start : std_logic is Bus2IP_CS(4);
alias count_start : std_logic is Bus2IP_CS(5);
alias result_start : std_logic is Bus2IP_CS(6);
-- Declare constants for the bit index positions
constant KND_SRT : integer := C_AWIDTH - 2;
constant KND_END : integer := C_AWIDTH - 1;
constant MTX_SRT : integer := KND_SRT - MTX_BIT;
constant MTX_END : integer := KND_SRT - 1;
constant THR_SRT : integer := MTX_SRT - THR_BIT;
constant THR_END : integer := MTX_SRT - 1;
constant CMD_SRT : integer := THR_SRT - CMD_BIT;
constant CMD_END : integer := THR_SRT - 1;
-- Declare aliases for the encoded parameters
alias knd_number : std_logic_vector(0 to KND_BIT-1) is
Bus2IP_Data(KND_SRT to KND_END);
alias mtx_number : std_logic_vector(0 to MTX_BIT-1) is
Bus2IP_Addr(MTX_SRT to MTX_END);
alias thr_number : std_logic_vector(0 to THR_BIT-1) is
Bus2IP_Addr(THR_SRT to THR_END);
alias cmd_number : std_logic_vector(0 to CMD_BIT-1) is
Bus2IP_Addr(CMD_SRT to CMD_END);
begin
clk <= Bus2IP_Clk; -- Use the bus clock for the core clock
rst <= Bus2IP_Reset; -- Use the bus reset for the core reset
rnw <= Bus2IP_RNW; -- Use the bus rnw for the core rnw
datain <= Bus2IP_Data; -- Use the bus data for the core data
send_ena <= unlock_sena; -- Output the send enable signal
send_id <= unlock_sid; -- Output the send identifier
--IP2Bus_Data <= (others => '0'); -- Never use bus data lines (see ArData)
IP2Bus_Error <= '0'; -- Never cause a bus error
system_resetdone <= lock_resetdone and
unlock_resetdone and
trylock_resetdone and
owner_resetdone and
count_resetdone and
kind_resetdone and
result_resetdone and
thread_resetdone and
send_resetdone and
mutex_resetdone;
-- **********************
-- Ack router
-- **********************
IP2Bus_RdAck <= IP2Bus_RdAck_internal when rnw = '1' else '0';
IP2Bus_WrAck <= IP2Bus_WrAck_internal when rnw = '0' else '0';
-- **********************
IP2Bus_RdAck_internal <= lock_finish or
unlock_finish or
trylock_finish or
owner_finish or
count_finish or
kind_finish or
result_finish;
IP2Bus_WrAck_internal <= lock_finish or
unlock_finish or
trylock_finish or
owner_finish or
count_finish or
kind_finish or
result_finish;
IP2Bus_Data <= lock_data or
unlock_data or
trylock_data or
owner_data or
count_data or
kind_data or
result_data;
miaddr <= lock_maddr or
unlock_maddr or
trylock_maddr or
owner_maddr or
count_maddr or
kind_maddr;
miena <= lock_mena or
unlock_mena or
trylock_mena or
owner_mena or
count_mena or
kind_mena;
miwea <= lock_mwea or
unlock_mwea or
trylock_mwea or
owner_mwea or
count_mwea or
kind_mwea;
miowner <= lock_mowner or
unlock_mowner or
trylock_mowner or
owner_mowner or
count_mowner or
kind_mowner;
minext <= lock_mnext or
unlock_mnext or
trylock_mnext or
owner_mnext or
count_mnext or
kind_mnext;
milast <= lock_mlast or
unlock_mlast or
trylock_mlast or
owner_mlast or
count_mlast or
kind_mlast;
micount <= lock_mcount or
unlock_mcount or
trylock_mcount or
owner_mcount or
count_mcount or
kind_mcount;
mikind <= lock_mkind or
unlock_mkind or
trylock_mkind or
owner_mkind or
count_mkind or
kind_mkind;
tiaddr <= lock_taddr or
unlock_taddr or
trylock_taddr or
owner_taddr or
count_taddr or
kind_taddr;
tiena <= lock_tena or
unlock_tena or
trylock_tena or
owner_tena or
count_tena or
kind_tena;
tiwea <= lock_twea or
unlock_twea or
trylock_twea or
owner_twea or
count_twea or
kind_twea;
tinext <= lock_tnext or
unlock_tnext or
trylock_tnext or
owner_tnext or
count_tnext or
kind_tnext;
mutex_i : entity work.mutex_store
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
miaddr => miaddr,
miena => miena,
miwea => miwea,
miowner => miowner,
minext => minext,
milast => milast,
mikind => mikind,
micount => micount,
moowner => moowner,
monext => monext,
molast => molast,
mokind => mokind,
mocount => mocount,
sysrst => system_reset,
rstdone => mutex_resetdone
);
thread_i : entity work.thread_store
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
tiaddr => tiaddr,
tiena => tiena,
tiwea => tiwea,
tinext => tinext,
tonext => tonext,
sysrst => system_reset,
rstdone => thread_resetdone
);
send_i : entity work.send_store
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
siaddr => siaddr,
siena => siena,
siwea => siwea,
sinext => sinext,
sonext => sonext,
sysrst => system_reset,
rstdone => send_resetdone
);
lock_i : entity work.lock_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => lock_start,
finish => lock_finish,
data => lock_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => lock_maddr,
moena => lock_mena,
mowea => lock_mwea,
moowner => lock_mowner,
monext => lock_mnext,
molast => lock_mlast,
mocount => lock_mcount,
mokind => lock_mkind,
toaddr => lock_taddr,
toena => lock_tena,
towea => lock_twea,
tonext => lock_tnext,
sysrst => system_reset,
rstdone => lock_resetdone
);
unlock_i : entity work.unlock_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => unlock_start,
finish => unlock_finish,
data => unlock_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => unlock_maddr,
moena => unlock_mena,
mowea => unlock_mwea,
moowner => unlock_mowner,
monext => unlock_mnext,
molast => unlock_mlast,
mocount => unlock_mcount,
mokind => unlock_mkind,
toaddr => unlock_taddr,
toena => unlock_tena,
towea => unlock_twea,
tonext => unlock_tnext,
sena => unlock_sena,
sid => unlock_sid,
sack => send_ack,
sysrst => system_reset,
rstdone => unlock_resetdone
);
trylock_i : entity work.trylock_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => trylock_start,
finish => trylock_finish,
data => trylock_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => trylock_maddr,
moena => trylock_mena,
mowea => trylock_mwea,
moowner => trylock_mowner,
monext => trylock_mnext,
molast => trylock_mlast,
mocount => trylock_mcount,
mokind => trylock_mkind,
toaddr => trylock_taddr,
toena => trylock_tena,
towea => trylock_twea,
tonext => trylock_tnext,
sysrst => system_reset,
rstdone => trylock_resetdone
);
count_i : entity work.count_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => count_start,
finish => count_finish,
data => count_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => count_maddr,
moena => count_mena,
mowea => count_mwea,
moowner => count_mowner,
monext => count_mnext,
molast => count_mlast,
mocount => count_mcount,
mokind => count_mkind,
toaddr => count_taddr,
toena => count_tena,
towea => count_twea,
tonext => count_tnext,
sysrst => system_reset,
rstdone => count_resetdone
);
kind_i : entity work.kind_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => kind_start,
finish => kind_finish,
data => kind_data,
datain => datain,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => kind_maddr,
moena => kind_mena,
mowea => kind_mwea,
moowner => kind_mowner,
monext => kind_mnext,
molast => kind_mlast,
mocount => kind_mcount,
mokind => kind_mkind,
toaddr => kind_taddr,
toena => kind_tena,
towea => kind_twea,
tonext => kind_tnext,
rnw => rnw,
sysrst => system_reset,
rstdone => kind_resetdone
);
owner_i : entity work.owner_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => owner_start,
finish => owner_finish,
data => owner_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => owner_maddr,
moena => owner_mena,
mowea => owner_mwea,
moowner => owner_mowner,
monext => owner_mnext,
molast => owner_mlast,
mocount => owner_mcount,
mokind => owner_mkind,
toaddr => owner_taddr,
toena => owner_tena,
towea => owner_twea,
tonext => owner_tnext,
sysrst => system_reset,
rstdone => owner_resetdone
);
result_i : entity work.result_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => result_start,
finish => result_finish,
data => result_data,
datain => datain,
rnw => rnw,
sysrst => system_reset,
rstdone => result_resetdone
);
end behavioral;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/platforms/xilinx/ml605_pr_smp1_14_7/design/pcores/plb_sync_manager_v1_00_a/hdl/vhdl/slave.vhd | 10 | 27202 | -------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
use work.common.all;
entity slave is
generic
(
C_NUM_THREADS : integer := 256;
C_NUM_MUTEXES : integer := 64;
C_AWIDTH : integer := 32;
C_DWIDTH : integer := 32;
C_MAX_AR_DWIDTH : integer := 32;
C_NUM_ADDR_RNG : integer := 7;
C_NUM_CE : integer := 1
);
port
(
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to C_AWIDTH-1);
Bus2IP_Data : in std_logic_vector(0 to C_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_DWIDTH/8-1);
Bus2IP_CS : in std_logic_vector(0 to C_NUM_ADDR_RNG-1);
Bus2IP_RNW : in std_logic;
IP2Bus_Data : out std_logic_vector(0 to C_DWIDTH-1);
IP2Bus_Error : out std_logic;
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
system_reset : in std_logic;
system_resetdone : out std_logic;
send_ena : out std_logic;
send_id : out std_logic_vector(0 to log2(C_NUM_THREADS)-1);
send_ack : in std_logic;
siaddr : in std_logic_vector(0 to log2(C_NUM_THREADS)-1);
siena : in std_logic;
siwea : in std_logic;
sinext : in std_logic_vector(0 to log2(C_NUM_THREADS)-1);
sonext : out std_logic_vector(0 to log2(C_NUM_THREADS)-1)
);
end slave;
architecture behavioral of slave is
-- Declare constants for bits needed for threads, mutexes, commands, and kinds
constant MTX_BIT : integer := log2( C_NUM_MUTEXES );
constant THR_BIT : integer := log2( C_NUM_THREADS );
constant CMD_BIT : integer := 3;
constant CNT_BIT : integer := 8;
constant KND_BIT : integer := 2;
-- Declare signals for clock, reset, rnw, and data input
signal clk : std_logic;
signal rst : std_logic;
signal rnw : std_logic;
signal datain : std_logic_vector(0 to C_DWIDTH-1);
-- Declare finish signals for the state machines
signal IP2Bus_RdAck_internal, IP2Bus_WrAck_internal : std_logic;
signal lock_finish : std_logic;
signal unlock_finish : std_logic;
signal trylock_finish : std_logic;
signal count_finish : std_logic;
signal kind_finish : std_logic;
signal owner_finish : std_logic;
signal result_finish : std_logic;
-- Declare data signals for the state machines
signal lock_data : std_logic_vector(0 to C_DWIDTH-1);
signal unlock_data : std_logic_vector(0 to C_DWIDTH-1);
signal trylock_data : std_logic_vector(0 to C_DWIDTH-1);
signal count_data : std_logic_vector(0 to C_DWIDTH-1);
signal kind_data : std_logic_vector(0 to C_DWIDTH-1);
signal owner_data : std_logic_vector(0 to C_DWIDTH-1);
signal result_data : std_logic_vector(0 to C_DWIDTH-1);
-- Declare mutex address signals for the state machines
signal lock_maddr : std_logic_vector(0 to MTX_BIT-1);
signal unlock_maddr : std_logic_vector(0 to MTX_BIT-1);
signal trylock_maddr : std_logic_vector(0 to MTX_BIT-1);
signal count_maddr : std_logic_vector(0 to MTX_BIT-1);
signal kind_maddr : std_logic_vector(0 to MTX_BIT-1);
signal owner_maddr : std_logic_vector(0 to MTX_BIT-1);
-- Declare mutex enable signals for the state machines
signal lock_mena : std_logic;
signal unlock_mena : std_logic;
signal trylock_mena : std_logic;
signal count_mena : std_logic;
signal kind_mena : std_logic;
signal owner_mena : std_logic;
-- Declare mutex write enable signals for the state machines
signal lock_mwea : std_logic;
signal unlock_mwea : std_logic;
signal trylock_mwea : std_logic;
signal count_mwea : std_logic;
signal kind_mwea : std_logic;
signal owner_mwea : std_logic;
-- Declare mutex owner signals for the state machies
signal lock_mowner : std_logic_vector(0 to THR_BIT-1);
signal unlock_mowner : std_logic_vector(0 to THR_BIT-1);
signal trylock_mowner : std_logic_vector(0 to THR_BIT-1);
signal count_mowner : std_logic_vector(0 to THR_BIT-1);
signal kind_mowner : std_logic_vector(0 to THR_BIT-1);
signal owner_mowner : std_logic_vector(0 to THR_BIT-1);
-- Declare mutex next signals for the state machines
signal lock_mnext : std_logic_vector(0 to THR_BIT-1);
signal unlock_mnext : std_logic_vector(0 to THR_BIT-1);
signal trylock_mnext : std_logic_vector(0 to THR_BIT-1);
signal count_mnext : std_logic_vector(0 to THR_BIT-1);
signal kind_mnext : std_logic_vector(0 to THR_BIT-1);
signal owner_mnext : std_logic_vector(0 to THR_BIT-1);
-- Declare mutex last signals for the state machines
signal lock_mlast : std_logic_vector(0 to THR_BIT-1);
signal unlock_mlast : std_logic_vector(0 to THR_BIT-1);
signal trylock_mlast : std_logic_vector(0 to THR_BIT-1);
signal count_mlast : std_logic_vector(0 to THR_BIT-1);
signal kind_mlast : std_logic_vector(0 to THR_BIT-1);
signal owner_mlast : std_logic_vector(0 to THR_BIT-1);
-- Declare mutex count signals for the state machines
signal lock_mcount : std_logic_vector(0 to CNT_BIT-1);
signal unlock_mcount : std_logic_vector(0 to CNT_BIT-1);
signal trylock_mcount : std_logic_vector(0 to CNT_BIT-1);
signal count_mcount : std_logic_vector(0 to CNT_BIT-1);
signal kind_mcount : std_logic_vector(0 to CNT_BIT-1);
signal owner_mcount : std_logic_vector(0 to CNT_BIT-1);
-- Declare mutex kind signals for the state machines
signal lock_mkind : std_logic_vector(0 to KND_BIT-1);
signal unlock_mkind : std_logic_vector(0 to KND_BIT-1);
signal trylock_mkind : std_logic_vector(0 to KND_BIT-1);
signal count_mkind : std_logic_vector(0 to KND_BIT-1);
signal kind_mkind : std_logic_vector(0 to KND_BIT-1);
signal owner_mkind : std_logic_vector(0 to KND_BIT-1);
-- Declare thread address signals for the state machines
signal lock_taddr : std_logic_vector(0 to THR_BIT-1);
signal unlock_taddr : std_logic_vector(0 to THR_BIT-1);
signal trylock_taddr : std_logic_vector(0 to THR_BIT-1);
signal count_taddr : std_logic_vector(0 to THR_BIT-1);
signal kind_taddr : std_logic_vector(0 to THR_BIT-1);
signal owner_taddr : std_logic_vector(0 to THR_BIT-1);
-- Declare thread enable signals for the state machines
signal lock_tena : std_logic;
signal unlock_tena : std_logic;
signal trylock_tena : std_logic;
signal count_tena : std_logic;
signal kind_tena : std_logic;
signal owner_tena : std_logic;
-- Declare thread write enable signals for the state machines
signal lock_twea : std_logic;
signal unlock_twea : std_logic;
signal trylock_twea : std_logic;
signal count_twea : std_logic;
signal kind_twea : std_logic;
signal owner_twea : std_logic;
-- Declare thread next signals for the state machines
signal lock_tnext : std_logic_vector(0 to THR_BIT-1);
signal unlock_tnext : std_logic_vector(0 to THR_BIT-1);
signal trylock_tnext : std_logic_vector(0 to THR_BIT-1);
signal count_tnext : std_logic_vector(0 to THR_BIT-1);
signal kind_tnext : std_logic_vector(0 to THR_BIT-1);
signal owner_tnext : std_logic_vector(0 to THR_BIT-1);
-- Declare send enable signals for the state machines
signal unlock_sena : std_logic;
-- Declare send identifier signals for the state machines
signal unlock_sid : std_logic_vector(0 to THR_BIT-1);
-- Declare signals for the mutex store
signal miaddr : std_logic_vector(0 to MTX_BIT-1);
signal miena : std_logic;
signal miwea : std_logic;
signal miowner : std_logic_vector(0 to THR_BIT-1);
signal minext : std_logic_vector(0 to THR_BIT-1);
signal milast : std_logic_vector(0 to THR_BIT-1);
signal micount : std_logic_vector(0 to CNT_BIT-1);
signal mikind : std_logic_vector(0 to KND_BIT-1);
signal moowner : std_logic_vector(0 to THR_BIT-1);
signal monext : std_logic_vector(0 to THR_BIT-1);
signal molast : std_logic_vector(0 to THR_BIT-1);
signal mocount : std_logic_vector(0 to CNT_BIT-1);
signal mokind : std_logic_vector(0 to KND_BIT-1);
-- Declare signals for the thread store
signal tiaddr : std_logic_vector(0 to THR_BIT-1);
signal tiena : std_logic;
signal tiwea : std_logic;
signal tinext : std_logic_vector(0 to THR_BIT-1);
signal tonext : std_logic_vector(0 to THR_BIT-1);
-- Declare signals for the system reset
signal lock_resetdone : std_logic;
signal unlock_resetdone : std_logic;
signal trylock_resetdone : std_logic;
signal owner_resetdone : std_logic;
signal kind_resetdone : std_logic;
signal count_resetdone : std_logic;
signal result_resetdone : std_logic;
signal thread_resetdone : std_logic;
signal send_resetdone : std_logic;
signal mutex_resetdone : std_logic;
-- Declare aliases for the start signals
alias lock_start : std_logic is Bus2IP_CS(0);
alias unlock_start : std_logic is Bus2IP_CS(1);
alias trylock_start : std_logic is Bus2IP_CS(2);
alias owner_start : std_logic is Bus2IP_CS(3);
alias kind_start : std_logic is Bus2IP_CS(4);
alias count_start : std_logic is Bus2IP_CS(5);
alias result_start : std_logic is Bus2IP_CS(6);
-- Declare constants for the bit index positions
constant KND_SRT : integer := C_AWIDTH - 2;
constant KND_END : integer := C_AWIDTH - 1;
constant MTX_SRT : integer := KND_SRT - MTX_BIT;
constant MTX_END : integer := KND_SRT - 1;
constant THR_SRT : integer := MTX_SRT - THR_BIT;
constant THR_END : integer := MTX_SRT - 1;
constant CMD_SRT : integer := THR_SRT - CMD_BIT;
constant CMD_END : integer := THR_SRT - 1;
-- Declare aliases for the encoded parameters
alias knd_number : std_logic_vector(0 to KND_BIT-1) is
Bus2IP_Data(KND_SRT to KND_END);
alias mtx_number : std_logic_vector(0 to MTX_BIT-1) is
Bus2IP_Addr(MTX_SRT to MTX_END);
alias thr_number : std_logic_vector(0 to THR_BIT-1) is
Bus2IP_Addr(THR_SRT to THR_END);
alias cmd_number : std_logic_vector(0 to CMD_BIT-1) is
Bus2IP_Addr(CMD_SRT to CMD_END);
begin
clk <= Bus2IP_Clk; -- Use the bus clock for the core clock
rst <= Bus2IP_Reset; -- Use the bus reset for the core reset
rnw <= Bus2IP_RNW; -- Use the bus rnw for the core rnw
datain <= Bus2IP_Data; -- Use the bus data for the core data
send_ena <= unlock_sena; -- Output the send enable signal
send_id <= unlock_sid; -- Output the send identifier
--IP2Bus_Data <= (others => '0'); -- Never use bus data lines (see ArData)
IP2Bus_Error <= '0'; -- Never cause a bus error
system_resetdone <= lock_resetdone and
unlock_resetdone and
trylock_resetdone and
owner_resetdone and
count_resetdone and
kind_resetdone and
result_resetdone and
thread_resetdone and
send_resetdone and
mutex_resetdone;
-- **********************
-- Ack router
-- **********************
IP2Bus_RdAck <= IP2Bus_RdAck_internal when rnw = '1' else '0';
IP2Bus_WrAck <= IP2Bus_WrAck_internal when rnw = '0' else '0';
-- **********************
IP2Bus_RdAck_internal <= lock_finish or
unlock_finish or
trylock_finish or
owner_finish or
count_finish or
kind_finish or
result_finish;
IP2Bus_WrAck_internal <= lock_finish or
unlock_finish or
trylock_finish or
owner_finish or
count_finish or
kind_finish or
result_finish;
IP2Bus_Data <= lock_data or
unlock_data or
trylock_data or
owner_data or
count_data or
kind_data or
result_data;
miaddr <= lock_maddr or
unlock_maddr or
trylock_maddr or
owner_maddr or
count_maddr or
kind_maddr;
miena <= lock_mena or
unlock_mena or
trylock_mena or
owner_mena or
count_mena or
kind_mena;
miwea <= lock_mwea or
unlock_mwea or
trylock_mwea or
owner_mwea or
count_mwea or
kind_mwea;
miowner <= lock_mowner or
unlock_mowner or
trylock_mowner or
owner_mowner or
count_mowner or
kind_mowner;
minext <= lock_mnext or
unlock_mnext or
trylock_mnext or
owner_mnext or
count_mnext or
kind_mnext;
milast <= lock_mlast or
unlock_mlast or
trylock_mlast or
owner_mlast or
count_mlast or
kind_mlast;
micount <= lock_mcount or
unlock_mcount or
trylock_mcount or
owner_mcount or
count_mcount or
kind_mcount;
mikind <= lock_mkind or
unlock_mkind or
trylock_mkind or
owner_mkind or
count_mkind or
kind_mkind;
tiaddr <= lock_taddr or
unlock_taddr or
trylock_taddr or
owner_taddr or
count_taddr or
kind_taddr;
tiena <= lock_tena or
unlock_tena or
trylock_tena or
owner_tena or
count_tena or
kind_tena;
tiwea <= lock_twea or
unlock_twea or
trylock_twea or
owner_twea or
count_twea or
kind_twea;
tinext <= lock_tnext or
unlock_tnext or
trylock_tnext or
owner_tnext or
count_tnext or
kind_tnext;
mutex_i : entity work.mutex_store
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
miaddr => miaddr,
miena => miena,
miwea => miwea,
miowner => miowner,
minext => minext,
milast => milast,
mikind => mikind,
micount => micount,
moowner => moowner,
monext => monext,
molast => molast,
mokind => mokind,
mocount => mocount,
sysrst => system_reset,
rstdone => mutex_resetdone
);
thread_i : entity work.thread_store
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
tiaddr => tiaddr,
tiena => tiena,
tiwea => tiwea,
tinext => tinext,
tonext => tonext,
sysrst => system_reset,
rstdone => thread_resetdone
);
send_i : entity work.send_store
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
siaddr => siaddr,
siena => siena,
siwea => siwea,
sinext => sinext,
sonext => sonext,
sysrst => system_reset,
rstdone => send_resetdone
);
lock_i : entity work.lock_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => lock_start,
finish => lock_finish,
data => lock_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => lock_maddr,
moena => lock_mena,
mowea => lock_mwea,
moowner => lock_mowner,
monext => lock_mnext,
molast => lock_mlast,
mocount => lock_mcount,
mokind => lock_mkind,
toaddr => lock_taddr,
toena => lock_tena,
towea => lock_twea,
tonext => lock_tnext,
sysrst => system_reset,
rstdone => lock_resetdone
);
unlock_i : entity work.unlock_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => unlock_start,
finish => unlock_finish,
data => unlock_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => unlock_maddr,
moena => unlock_mena,
mowea => unlock_mwea,
moowner => unlock_mowner,
monext => unlock_mnext,
molast => unlock_mlast,
mocount => unlock_mcount,
mokind => unlock_mkind,
toaddr => unlock_taddr,
toena => unlock_tena,
towea => unlock_twea,
tonext => unlock_tnext,
sena => unlock_sena,
sid => unlock_sid,
sack => send_ack,
sysrst => system_reset,
rstdone => unlock_resetdone
);
trylock_i : entity work.trylock_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => trylock_start,
finish => trylock_finish,
data => trylock_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => trylock_maddr,
moena => trylock_mena,
mowea => trylock_mwea,
moowner => trylock_mowner,
monext => trylock_mnext,
molast => trylock_mlast,
mocount => trylock_mcount,
mokind => trylock_mkind,
toaddr => trylock_taddr,
toena => trylock_tena,
towea => trylock_twea,
tonext => trylock_tnext,
sysrst => system_reset,
rstdone => trylock_resetdone
);
count_i : entity work.count_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => count_start,
finish => count_finish,
data => count_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => count_maddr,
moena => count_mena,
mowea => count_mwea,
moowner => count_mowner,
monext => count_mnext,
molast => count_mlast,
mocount => count_mcount,
mokind => count_mkind,
toaddr => count_taddr,
toena => count_tena,
towea => count_twea,
tonext => count_tnext,
sysrst => system_reset,
rstdone => count_resetdone
);
kind_i : entity work.kind_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => kind_start,
finish => kind_finish,
data => kind_data,
datain => datain,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => kind_maddr,
moena => kind_mena,
mowea => kind_mwea,
moowner => kind_mowner,
monext => kind_mnext,
molast => kind_mlast,
mocount => kind_mcount,
mokind => kind_mkind,
toaddr => kind_taddr,
toena => kind_tena,
towea => kind_twea,
tonext => kind_tnext,
rnw => rnw,
sysrst => system_reset,
rstdone => kind_resetdone
);
owner_i : entity work.owner_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => owner_start,
finish => owner_finish,
data => owner_data,
mutex => mtx_number,
thread => thr_number,
miowner => moowner,
minext => monext,
milast => molast,
micount => mocount,
mikind => mokind,
tinext => tonext,
moaddr => owner_maddr,
moena => owner_mena,
mowea => owner_mwea,
moowner => owner_mowner,
monext => owner_mnext,
molast => owner_mlast,
mocount => owner_mcount,
mokind => owner_mkind,
toaddr => owner_taddr,
toena => owner_tena,
towea => owner_twea,
tonext => owner_tnext,
sysrst => system_reset,
rstdone => owner_resetdone
);
result_i : entity work.result_fsm
generic map
(
C_AWIDTH => C_AWIDTH,
C_DWIDTH => C_DWIDTH,
C_TWIDTH => THR_BIT,
C_MWIDTH => MTX_BIT,
C_CWIDTH => CNT_BIT
)
port map
(
clk => clk,
rst => rst,
start => result_start,
finish => result_finish,
data => result_data,
datain => datain,
rnw => rnw,
sysrst => system_reset,
rstdone => result_resetdone
);
end behavioral;
| bsd-3-clause |
jevinskie/aes-over-pcie | source/sbox.vhd | 1 | 7850 | -- File name: sbox.vhd
-- Created: 2009-02-26
-- Author: Jevin Sweval
-- Lab Section: 337-02
-- Version: 1.0 Initial Design Entry
-- Description: Rijndael S-Box
use work.aes.all;
use work.reduce_pack.all;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity sbox is
port (
clk : in std_logic;
a : in byte;
b : out byte
);
type matrix_type is array (7 downto 0) of byte;
function square_gf4 (q : nibble)
return nibble
is
variable k : nibble;
begin
k(3) := q(3);
k(2) := q(3) xor q(2);
k(1) := q(2) xor q(1);
k(0) := q(3) xor q(1) xor q(0);
return k;
end function square_gf4;
function mullambda_gf4 (q : nibble)
return nibble
is
variable k : nibble;
begin
k(3) := q(2) xor q(0);
k(2) := q(3) xor q(2) xor q(1) xor q(0);
k(1) := q(3);
k(0) := q(2);
return k;
end function mullambda_gf4;
function mul_gf2(q : pair; w : pair)
return pair
is
variable k : pair;
begin
k(1) := (q(1) and w(1)) xor (q(0) and w(1)) xor (q(1) and w(0));
k(0) := (q(1) and w(1)) xor (q(0) and w(0));
return k;
end function mul_gf2;
function mul_alt_gf2(q : pair; w : pair)
return pair
is
variable k : pair;
begin
k(1) := ((q(1) xor q(0)) and (w(1) xor w(0))) xor (q(0) and w(0));
k(0) := (q(1) and w(1)) xor (q(0) and w(0));
return k;
end function mul_alt_gf2;
function mulphi_gf2(q : pair)
return pair
is
variable k : pair;
begin
k(1) := q(1) xor q(0);
k(0) := q(1);
return k;
end function mulphi_gf2;
function mul_gf4(q : nibble; w : nibble)
return nibble
is
variable qh, ql, wh, wl : pair;
variable res_top, res_mid, res_bot : pair;
variable k : nibble;
begin
qh := q(3 downto 2);
ql := q(1 downto 0);
wh := w(3 downto 2);
wl := w(1 downto 0);
res_top := mulphi_gf2(mul_gf2(qh, wh));
res_mid := mul_alt_gf2(qh xor ql, wh xor wl);
res_bot := mul_alt_gf2(ql, wl);
k(3 downto 2) := res_mid xor res_bot;
k(1 downto 0) := res_top xor res_bot;
return k;
end function mul_gf4;
function iso_map(q : byte)
return byte
is
variable k : byte;
constant iso : matrix_type :=
("10100000",
"11011110",
"10101100",
"10101110",
"11000110",
"10011110",
"01010010",
"01000011");
begin
for i in iso'range loop
k(i) := xor_reduce(q and iso(i));
end loop;
return k;
end function iso_map;
function inv_iso_map(q : byte)
return byte
is
variable k : byte;
constant iso_inv : matrix_type :=
("11100010",
"01000100",
"01100010",
"01110110",
"00111110",
"10011110",
"00110000",
"01110101");
begin
for i in iso_inv'range loop
k(i) := xor_reduce(q and iso_inv(i));
end loop;
return k;
end function inv_iso_map;
function mulinv_gf4(q : nibble)
return nibble
is
variable k : nibble;
begin
k(3) := q(3) xor (q(3) and q(2) and q(1)) xor (q(3) and q(0)) xor q(2);
k(2) := (q(3) and q(2) and q(1)) xor (q(3) and q(2) and q(0)) xor
(q(3) and q(0)) xor q(2) xor (q(2) and q(1));
k(1) := q(3) xor (q(3) and q(2) and q(1)) xor (q(3) and q(1) and q(0)) xor
q(2) xor (q(2) and q(0)) xor q(1);
k(0) := (q(3) and q(2) and q(1)) xor (q(3) and q(2) and q(0)) xor
(q(3) and q(1)) xor (q(3) and q(1) and q(0)) xor (q(3) and q(0)) xor
q(2) xor (q(2) and q(1)) xor (q(2) and q(1) and q(0)) xor q(1) xor q(0);
return k;
end function mulinv_gf4;
function mulinv_lut_gf4(q : nibble)
return nibble
is
variable k : nibble;
begin
case q is
when x"0" => k := x"0";
when x"1" => k := x"1";
when x"2" => k := x"3";
when x"3" => k := x"2";
when x"4" => k := x"f";
when x"5" => k := x"c";
when x"6" => k := x"9";
when x"7" => k := x"b";
when x"8" => k := x"a";
when x"9" => k := x"6";
when x"a" => k := x"8";
when x"b" => k := x"7";
when x"c" => k := x"5";
when x"d" => k := x"e";
when x"e" => k := x"d";
when x"f" => k := x"4";
when others => k := x"0";
end case;
return k;
end function mulinv_lut_gf4;
function af(a : byte)
return byte
is
variable b : byte;
variable d : byte;
constant m : matrix_type :=
("11111000",
"01111100",
"00111110",
"00011111",
"10001111",
"11000111",
"11100011",
"11110001");
constant c : byte := "01100011";
begin
for i in m'range loop
b(i) := xor_reduce(a and m(i));
end loop;
b := b xor c;
return b;
end function af;
function iso_inv_af(a : byte)
return byte
is
variable b : byte;
variable d : byte;
constant m : matrix_type :=
("10001100",
"11110000",
"10000100",
"10010011",
"00000111",
"01111101",
"10000001",
"11000111");
constant c : byte := "01100011";
begin
for i in m'range loop
b(i) := xor_reduce(a and m(i));
end loop;
b := b xor c;
return b;
end function iso_inv_af;
end entity sbox;
architecture dataflow of sbox is
signal iso : byte;
signal isoh, isol : nibble;
signal left_top, left_bot : nibble;
signal right_top, right_bot : nibble;
signal mulinv : nibble;
begin
process(clk)
begin
if rising_edge(clk) then
end if;
end process;
iso <= iso_map(a);
isoh <= iso(7 downto 4);
isol <= iso(3 downto 0);
left_top <= mullambda_gf4(square_gf4(isoh));
left_bot <= mul_gf4(isoh xor isol, isol);
mulinv <= mulinv_lut_gf4(left_top xor left_bot);
right_top <= mul_gf4(mulinv, isoh);
right_bot <= mul_gf4(mulinv, isoh xor isol);
b <= iso_inv_af(right_top & right_bot);
end architecture dataflow;
architecture pipelined of sbox is
signal iso : byte;
signal isoh, isol : nibble;
signal isoh_q, isol_q : nibble;
signal left_top, left_bot : nibble;
signal left_top_q, left_bot_q : nibble;
signal right_top, right_bot : nibble;
signal mulinv : nibble;
signal subbyte : byte;
begin
process(clk)
begin
if (rising_edge(clk)) then
isoh_q <= isoh;
isol_q <= isol;
left_top_q <= left_top;
left_bot_q <= left_bot;
b <= subbyte;
end if;
end process;
iso <= iso_map(a);
isoh <= iso(7 downto 4);
isol <= iso(3 downto 0);
left_top <= mullambda_gf4(square_gf4(isoh));
left_bot <= mul_gf4(isoh xor isol, isol);
mulinv <= mulinv_lut_gf4(left_top_q xor left_bot_q);
right_top <= mul_gf4(mulinv, isoh_q);
right_bot <= mul_gf4(mulinv, isoh_q xor isol_q);
subbyte <= iso_inv_af(right_top & right_bot);
end architecture pipelined;
architecture lut of sbox is
begin
process(clk)
begin
if rising_edge(clk) then
end if;
end process;
b <= work.aes.sbox(to_integer(a));
end architecture lut;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/hardware/MyRepository/pcores/hw_acc_mergesort_v1_00_a/hdl/vhdl/user_logic_hwtul.vhd | 2 | 13607 | -- ************************************
-- Automatically Generated FSM
-- mergesort
-- ************************************
-- **********************
-- Library inclusions
-- **********************
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
-- **********************
-- Entity Definition
-- **********************
entity mergesort is
generic(
G_ADDR_WIDTH : integer := 32;
G_DATA_WIDTH : integer := 32
);
port
(
array0_addr0 : out std_logic_vector(0 to (G_ADDR_WIDTH - 1));
array0_dIN0 : out std_logic_vector(0 to (G_DATA_WIDTH - 1));
array0_dOUT0 : in std_logic_vector(0 to (G_DATA_WIDTH - 1));
array0_rENA0 : out std_logic;
array0_wENA0 : out std_logic;
array1_addr0 : out std_logic_vector(0 to (G_ADDR_WIDTH - 1));
array1_dIN0 : out std_logic_vector(0 to (G_DATA_WIDTH - 1));
array1_dOUT0 : in std_logic_vector(0 to (G_DATA_WIDTH - 1));
array1_rENA0 : out std_logic;
array1_wENA0 : out std_logic;
chan1_channelDataIn : out std_logic_vector(0 to (32 - 1));
chan1_channelDataOut : in std_logic_vector(0 to (32 - 1));
chan1_exists : in std_logic;
chan1_full : in std_logic;
chan1_channelRead : out std_logic;
chan1_channelWrite : out std_logic;
clock_sig : in std_logic;
reset_sig : in std_logic
);
end entity mergesort;
-- *************************
-- Architecture Definition
-- *************************
architecture IMPLEMENTATION of mergesort is
component infer_bram
generic
(
ADDRESS_BITS : integer := 9;
DATA_BITS : integer := 32
);
port (
CLKA : in std_logic;
ENA : in std_logic;
WEA : in std_logic;
ADDRA : in std_logic_vector(0 to (ADDRESS_BITS - 1));
DIA : in std_logic_vector(0 to (DATA_BITS - 1));
DOA : out std_logic_vector(0 to (DATA_BITS - 1));
CLKB : in std_logic;
ENB : in std_logic;
WEB : in std_logic;
ADDRB : in std_logic_vector(0 to (ADDRESS_BITS - 1));
DIB : in std_logic_vector(0 to (DATA_BITS - 1));
DOB : out std_logic_vector(0 to (DATA_BITS - 1))
);
end component infer_BRAM;
-- ****************************************************
-- Type definitions for state signals
-- ****************************************************
type STATE_MACHINE_TYPE is
(
reset,
idle,
decode,
dispatch,
begin_sort,
extra1,
begin_merge,
halt,
extra2,
merge_loop,
extra3,
extra4,
extra5,
for_loop,
extra6,
cond_check,
cond_body
);
signal current_state,next_state: STATE_MACHINE_TYPE :=reset;
-- ****************************************************
-- Type definitions for FSM signals
-- ****************************************************
signal swapped, swapped_next : std_logic;
signal n, n_next : std_logic_vector(0 to G_ADDR_WIDTH - 1);
signal n_new, n_new_next : std_logic_vector(0 to G_ADDR_WIDTH - 1);
signal i, i_next : std_logic_vector(0 to G_ADDR_WIDTH - 1);
signal data1, data1_next : std_logic_vector(0 to G_DATA_WIDTH - 1);
signal data2, data2_next : std_logic_vector(0 to G_DATA_WIDTH - 1);
signal size, size_next : std_logic_vector(0 to G_ADDR_WIDTH - 1);
signal ptr1, ptr1_next : std_logic_vector(0 to G_DATA_WIDTH - 1);
signal ptr2, ptr2_next : std_logic_vector(0 to G_DATA_WIDTH - 1);
signal arg1, arg1_next : std_logic_vector(0 to G_DATA_WIDTH - 1);
signal arg2, arg2_next : std_logic_vector(0 to G_DATA_WIDTH - 1);
signal opcode, opcode_next : std_logic_vector(0 to 1);
-- ****************************************************
-- User-defined VHDL Section
-- ****************************************************
-- Architecture Section
begin
-- ************************
-- Permanent Connections
-- ************************
-- ************************
-- BRAM implementations
-- ************************
-- ****************************************************
-- Process to handle the synchronous portion of an FSM
-- ****************************************************
FSM_SYNC_PROCESS : process(
swapped_next,
n_next,
n_new_next,
i_next,
data1_next,
data2_next,
size_next,
ptr1_next,
ptr2_next,
arg1_next,
arg2_next,
opcode_next,
next_state,
clock_sig, reset_sig) is
begin
if (clock_sig'event and clock_sig = '1') then
if (reset_sig = '1') then
-- Reset all FSM signals, and enter the initial state
swapped <= '0';
n <= (others => '0');
n_new <= (others => '0');
i <= (others => '0');
data1 <= (others => '0');
data2 <= (others => '0');
size <= (others => '0');
ptr1 <= (others => '0');
ptr2 <= (others => '0');
arg1 <= (others => '0');
arg2 <= (others => '0');
opcode <= (others => '0');
current_state <= reset;
else
-- Transition to next state
swapped <= swapped_next;
n <= n_next;
n_new <= n_new_next;
i <= i_next;
data1 <= data1_next;
data2 <= data2_next;
size <= size_next;
ptr1 <= ptr1_next;
ptr2 <= ptr2_next;
arg1 <= arg1_next;
arg2 <= arg2_next;
opcode <= opcode_next;
current_state <= next_state;
end if;
end if;
end process FSM_SYNC_PROCESS;
-- ************************************************************************
-- Process to handle the asynchronous (combinational) portion of an FSM
-- ************************************************************************
FSM_COMB_PROCESS : process(
array0_dOUT0,
array1_dOUT0,
chan1_channelDataOut, chan1_full, chan1_exists,
swapped,
n,
n_new,
i,
data1,
data2,
size,
ptr1,
ptr2,
arg1,
arg2,
opcode,
current_state) is
begin
-- Default signal assignments
swapped_next <= swapped;
n_next <= n;
n_new_next <= n_new;
i_next <= i;
data1_next <= data1;
data2_next <= data2;
size_next <= size;
ptr1_next <= ptr1;
ptr2_next <= ptr2;
arg1_next <= arg1;
arg2_next <= arg2;
opcode_next <= opcode;
array0_addr0 <= (others => '0');
array0_dIN0 <= (others => '0');
array0_rENA0 <= '0';
array0_wENA0 <= '0';
array1_addr0 <= (others => '0');
array1_dIN0 <= (others => '0');
array1_rENA0 <= '0';
array1_wENA0 <= '0';
chan1_channelDataIn <= (others => '0');
chan1_channelRead <= '0';
chan1_channelWrite <= '0';
next_state <= current_state;
-- FSM logic
case (current_state) is
when begin_merge =>
array0_addr0 <= arg2;
array0_rENA0 <= '1';
next_state <= extra2;
when begin_sort =>
if ( swapped = '0' ) then
next_state <= halt;
elsif ( swapped = '1' ) then
array0_addr0 <= arg1;
array0_rENA0 <= '1';
next_state <= extra5;
end if;
when cond_body =>
i_next <= i + 1;
swapped_next <= '1';
n_new_next <= i;
array0_addr0 <= i + 1;
array0_dIN0 <= data1;
array0_wENA0 <= '1';
array0_rENA0 <= '1';
next_state <= for_loop;
when cond_check =>
if ( data1 <= data2 ) then
i_next <= i + 1;
data1_next <= data2;
next_state <= for_loop;
elsif ( data1 > data2 ) then
array0_addr0 <= i;
array0_dIN0 <= data2;
array0_wENA0 <= '1';
array0_rENA0 <= '1';
next_state <= cond_body;
end if;
when decode =>
opcode_next <= n(0 to 1);
arg2_next <= "00000000000000000" & n(2 to 16);
arg1_next <= "00000000000000000" & n(17 to 31);
next_state <= dispatch;
when dispatch =>
if ( opcode = "00" ) then
swapped_next <= '1';
n_next <= arg2;
n_new_next <= arg2;
next_state <= begin_sort;
elsif ( opcode = "01" ) then
array0_addr0 <= arg1;
array0_rENA0 <= '1';
next_state <= extra1;
else
next_state <= halt;
end if;
when extra1 =>
if chan1_exists = '0' then
next_state <= extra1;
elsif chan1_exists /= '0' then
data1_next <= array0_dOUT0;
i_next <= (others => '0');
size_next <= chan1_channelDataOut;
chan1_channelRead <= '1';
ptr2_next <= arg2 + 1;
ptr1_next <= arg1 + 1;
next_state <= begin_merge;
end if;
when extra2 =>
data2_next <= array0_dOUT0;
next_state <= merge_loop;
when extra3 =>
i_next <= i + 1;
data1_next <= array0_dOUT0;
array1_addr0 <= i;
array1_dIN0 <= data1;
array1_wENA0 <= '1';
array1_rENA0 <= '1';
ptr1_next <= ptr1 + 1;
next_state <= merge_loop;
when extra4 =>
i_next <= i + 1;
data2_next <= array0_dOUT0;
array1_addr0 <= i;
array1_dIN0 <= data2;
array1_wENA0 <= '1';
array1_rENA0 <= '1';
ptr2_next <= ptr2 + 1;
next_state <= merge_loop;
when extra5 =>
data1_next <= array0_dOUT0;
i_next <= arg1;
swapped_next <= '0';
next_state <= for_loop;
when extra6 =>
data2_next <= array0_dOUT0;
next_state <= cond_check;
when for_loop =>
if ( i >= n ) then
n_next <= n_new;
next_state <= begin_sort;
elsif ( i < n ) then
array0_addr0 <= i + 1;
array0_rENA0 <= '1';
next_state <= extra6;
end if;
when halt =>
if chan1_full /= '0' then
next_state <= halt;
elsif chan1_full = '0' then
chan1_channelDataIn <= (others => '0');
chan1_channelWrite <= '1';
next_state <= idle;
end if;
when idle =>
if chan1_exists = '0' then
next_state <= idle;
elsif chan1_exists /= '0' then
n_next <= chan1_channelDataOut;
chan1_channelRead <= '1';
next_state <= decode;
end if;
when merge_loop =>
if ( ( i < size ) and ( ( ( data1 < data2 ) and ( ptr1 - ('0' & size(0 to 30)) <= arg1 ) ) or ( ptr2 - ('0' & size(0 to 30)) > arg2 ) ) ) then
array0_addr0 <= ptr1;
array0_rENA0 <= '1';
next_state <= extra3;
elsif ( ( i < size ) and ( ( ( data1 >= data2 ) and ( ptr2 - ('0' & size(0 to 30)) <= arg2 ) ) or ( ptr1 - ('0' & size(0 to 30)) > arg1 ) ) ) then
array0_addr0 <= ptr2;
array0_rENA0 <= '1';
next_state <= extra4;
else
next_state <= halt;
end if;
when reset =>
next_state <= idle;
when others =>
next_state <= reset;
end case;
end process FSM_COMB_PROCESS;
end architecture IMPLEMENTATION;
-- $$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$
-- $$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$
-- ************************************************
-- Entity used for implementing the inferred BRAMs
-- ************************************************
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_misc.all;
use IEEE.std_logic_misc.all;
use IEEE.numeric_std.all;
-- *************************************************************************
-- Entity declaration
-- *************************************************************************
entity infer_bram is
generic (
ADDRESS_BITS : integer := 9;
DATA_BITS : integer := 32
);
port (
CLKA : in std_logic;
ENA : in std_logic;
WEA : in std_logic;
ADDRA : in std_logic_vector(0 to (ADDRESS_BITS - 1));
DIA : in std_logic_vector(0 to (DATA_BITS - 1));
DOA : out std_logic_vector(0 to (DATA_BITS - 1));
CLKB : in std_logic;
ENB : in std_logic;
WEB : in std_logic;
ADDRB : in std_logic_vector(0 to (ADDRESS_BITS - 1));
DIB : in std_logic_vector(0 to (DATA_BITS - 1));
DOB : out std_logic_vector(0 to (DATA_BITS - 1))
);
end entity infer_bram;
-- *************************************************************************
-- Architecture declaration
-- *************************************************************************
architecture implementation of infer_bram is
-- Constant declarations
constant BRAM_SIZE : integer := 2 **ADDRESS_BITS; -- # of entries in the inferred BRAM
-- BRAM data storage (array)
type bram_storage is array( 0 to BRAM_SIZE - 1 ) of std_logic_vector( 0 to DATA_BITS - 1 );
shared variable BRAM_DATA : bram_storage;
-- attribute ram_style : string;
-- attribute ram_style of BRAM_DATA : signal is "block";
begin
-- *************************************************************************
-- Process: BRAM_CONTROLLER_A
-- Purpose: Controller for Port A of inferred dual-port BRAM, BRAM_DATA
-- *************************************************************************
BRAM_CONTROLLER_A : process(CLKA) is
begin
if( CLKA'event and CLKA = '1' ) then
if( ENA = '1' ) then
if( WEA = '1' ) then
BRAM_DATA( conv_integer(ADDRA) ) := DIA;
end if;
DOA <= BRAM_DATA( conv_integer(ADDRA) );
end if;
end if;
end process BRAM_CONTROLLER_A;
-- *************************************************************************
-- Process: BRAM_CONTROLLER_B
-- Purpose: Controller for Port B of inferred dual-port BRAM, BRAM_DATA
-- *************************************************************************
BRAM_CONTROLLER_B : process(CLKB) is
begin
if( CLKB'event and CLKB = '1' ) then
if( ENB = '1' ) then
if( WEB = '1' ) then
BRAM_DATA( conv_integer(ADDRB) ) := DIB;
end if;
DOB <= BRAM_DATA( conv_integer(ADDRB) );
end if;
end if;
end process BRAM_CONTROLLER_B;
end architecture implementation;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/platforms/xilinx/smp3_opbhwti/design/pcores/opb_v20_v1_10_d/hdl/vhdl/arb2bus_data_mux.vhd | 3 | 13208 | -------------------------------------------------------------------------------
-- $Id: arb2bus_data_mux.vhd,v 1.1.2.1 2009/10/06 21:14:59 gburch Exp $
-------------------------------------------------------------------------------
-- arb2bus_data_mux.vhd - entity/architecture pair
-------------------------------------------------------------------------------
--
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2003,2009 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
--
-------------------------------------------------------------------------------
-- Filename: arb2bus_data_mux.vhd
-- Version: v1.02e
-- Description:
-- This file muxes the priority register and control register
-- data to the IP2BUS data bus during a read cycle.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
--
-- opb_arbiter.vhd
-- --opb_arbiter_core.vhd
-- -- ipif_regonly_slave.vhd
-- -- priority_register_logic.vhd
-- -- priority_reg.vhd
-- -- onehot2encoded.vhd
-- -- or_bits.vhd
-- -- control_register.vhd
-- -- arb2bus_data_mux.vhd
-- -- mux_onehot.vhd
-- -- or_bits.vhd
-- -- watchdog_timer.vhd
-- -- arbitration_logic.vhd
-- -- or_bits.vhd
-- -- park_lock_logic.vhd
-- -- or_bits.vhd
-- -- or_gate.vhd
-- -- or_muxcy.vhd
-------------------------------------------------------------------------------
-- Author: ALS
-- History:
-- ALS 08/28/01 -- Version 1.01a creation to include IPIF v1.22a
-- ALS 10/04/01 -- Version 1.02a creation to include IPIF v1.23a
-- ALS 11/27/01
-- ^^^^^^
-- Version 1.02b created to fix registered grant problem.
-- ~~~~~~
-- ALS 01/26/02
-- ^^^^^^
-- Created version 1.02c to fix problem with registered grants, and buslock when
-- the buslock master is holding request high and performing conversion cycles.
-- ~~~~~~
-- ALS 01/09/03
-- ^^^^^^
-- Created version 1.02d to register OPB_timeout to improve timing
-- ~~~~~~
-- bsbrao 09/27/04
-- ^^^^^^
-- Created version 1.02e to upgrade IPIF from opb_ipif_v1_23_a to
-- opb_ipif_v3_01_a
-- ~~~~~~
-- LCW 02/04/05 - update library statements
-- GAB 10/05/09
-- ^^^^^^
-- Moved all helper libraries proc_common_v2_00_a, opb_ipif_v3_01_a, and
-- opb_arbiter_v1_02_e locally into opb_v20_v1_10_d
--
-- Updated legal header
-- ~~~~~~
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
--
library ieee;
use ieee.STD_LOGIC_1164.all;
library unisim;
use unisim.vcomponents.all;
library opb_v20_v1_10_d;
use opb_v20_v1_10_d.all;
-------------------------------------------------------------------------------
-- Port Declaration
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Definition of Generics:
-- C_NUM_MASTERS -- number of masters
-- C_OPBDATA_WIDTH -- width of OPB data bus
--
-- Definition of Ports:
--
-- -- IPIF interface signals
-- input Bus2IP_Reg_RdCE -- Read clock enables for registers
-- input Bus2IP_Reg_WrCE -- Write clock enables for registers
--
-- -- Data from control register
-- input Ctrl_reg
--
-- -- Data from priority registers
-- input Priority_regs
--
-- -- Multiplexed outputs based on register clock enables and
-- -- read/write requests
-- output Arb2bus_wrack -- mux'd output from register wracks
-- output Arb2bus_rdack -- register read acknowledge
-- output Arb2bus_data -- mux'd output data
--
-------------------------------------------------------------------------------
-----------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
entity arb2bus_data_mux is
generic ( C_NUM_MASTERS : integer := 4;
C_OPBDATA_WIDTH : integer := 32
);
port ( Bus2IP_Reg_RdCE : in std_logic_vector(0 to C_NUM_MASTERS);
Bus2IP_Reg_WrCE : in std_logic_vector(0 to C_NUM_MASTERS);
Ctrl_reg : in std_logic_vector(0 to C_OPBDATA_WIDTH-1);
Priority_regs : in std_logic_vector (0 to C_NUM_MASTERS*C_OPBDATA_WIDTH-1);
Arb2bus_wrack : out std_logic ;
Arb2bus_rdack : out std_logic ;
Arb2bus_data : out std_logic_vector (0 to C_OPBDATA_WIDTH-1)
);
end arb2bus_data_mux;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture implementation of arb2bus_data_mux is
-------------------------------------------------------------------------------
-- Signal and Type Declarations
-------------------------------------------------------------------------------
signal all_registers : std_logic_vector(0 to (C_NUM_MASTERS+1)*C_OPBDATA_WIDTH -1);
-------------------------------------------------------------------------------
-- Component Declarations
-------------------------------------------------------------------------------
-----------------------------------------------------------------------------
-- Begin architecture
-----------------------------------------------------------------------------
begin
-------------------------------------------------------------------------------
-- ARB2BUS_DATA_PROCESS
-------------------------------------------------------------------------------
-- This process multiplexes the data from the priority registers and the
-- control register based on the register chip enables to generate
-- ARB2BUS_DATA
-------------------------------------------------------------------------------
all_registers <= Ctrl_reg & Priority_regs;
ARB2BUS_DATAMUX_I: entity opb_v20_v1_10_d.mux_onehot
generic map ( C_DW => C_OPBDATA_WIDTH,
C_NB => C_NUM_MASTERS+1)
port map (
D => all_registers,
S => Bus2IP_Reg_RdCE,
Y => Arb2bus_data
);
-------------------------------------------------------------------------------
-- ARB2BUS_RDACK
-------------------------------------------------------------------------------
-- ARB2BUS_RDACK is simply the OR of all Bus2IP read register chip enables
-- Use the OR_BITS component to perform the OR of these bits most efficiently
-------------------------------------------------------------------------------
ARB2BUS_RDACK_I: entity opb_v20_v1_10_d.or_bits
generic map ( C_NUM_BITS => C_NUM_MASTERS+1,
C_START_BIT => 0,
C_BUS_SIZE => C_NUM_MASTERS+1)
port map (
In_bus => Bus2Ip_Reg_RdCE,
Sig => '0',
Or_out => Arb2bus_rdack
);
-------------------------------------------------------------------------------
-- ARB2BUS_WRACK generation
-------------------------------------------------------------------------------
-- This process ORs the wrack from the priority register logic and the
-- control register logic to generate ARB2BUS_WRACK
-------------------------------------------------------------------------------
ARB2BUS_WRACK_I: entity opb_v20_v1_10_d.or_bits
generic map ( C_NUM_BITS => C_NUM_MASTERS+1,
C_START_BIT => 0,
C_BUS_SIZE => C_NUM_MASTERS+1)
port map (
In_bus => Bus2Ip_Reg_WrCE,
Sig => '0',
Or_out => Arb2bus_wrack
);
end implementation;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/platforms/xilinx/smp3_opbhwti/design/pcores/opb_v20_v1_10_d/hdl/vhdl/counter_bit.vhd | 3 | 8843 | -------------------------------------------------------------------------------
-- counter_bit_imp.vhd - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2003,2009 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: counter_bit.vhd
--
-- Description: Implements 1 bit of the counter/timer
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- counter_bit.vhd
--
-------------------------------------------------------------------------------
-- Author: B.L. Tise
-- Revision: $Revision: 1.1.2.1 $
-- Date: $Date: 2009/10/06 21:15:00 $
--
-- History:
-- tise 2001-04-04 First Version
--
-- KC 2002-01-23 Remove used generics and removed unused code
--
--
-- GAB 10/05/09
-- ^^^^^^
-- Moved all helper libraries proc_common_v2_00_a, opb_ipif_v3_01_a, and
-- opb_arbiter_v1_02_e locally into opb_v20_v1_10_d
--
-- Updated legal header
-- ~~~~~~
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
library Unisim;
use Unisim.all;
-----------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
entity counter_bit is
port (
Clk : in std_logic;
Rst : in std_logic;
Count_In : in std_logic;
Load_In : in std_logic;
Count_Load : in std_logic;
Count_Down : in std_logic;
Carry_In : in std_logic;
Clock_Enable : in std_logic;
Result : out std_logic;
Carry_Out : out std_logic);
end entity counter_bit;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture imp of counter_bit is
component LUT4 is
generic(
INIT : bit_vector := X"0000"
);
port (
O : out std_logic;
I0 : in std_logic;
I1 : in std_logic;
I2 : in std_logic;
I3 : in std_logic);
end component LUT4;
component MUXCY_L is
port (
DI : in std_logic;
CI : in std_logic;
S : in std_logic;
LO : out std_logic);
end component MUXCY_L;
component XORCY is
port (
LI : in std_logic;
CI : in std_logic;
O : out std_logic);
end component XORCY;
component FDRE is
port (
Q : out std_logic;
C : in std_logic;
CE : in std_logic;
D : in std_logic;
R : in std_logic
);
end component FDRE;
signal count_AddSub : std_logic;
signal count_Result : std_logic;
signal count_Result_Reg : std_logic;
attribute INIT : string;
begin -- VHDL_RTL
I_ALU_LUT : LUT4
generic map(
INIT => X"36C6"
)
port map (
O => count_AddSub, -- [out]
I0 => Count_In, -- [in]
I1 => Count_Down, -- [in]
I2 => Count_Load, -- [in]
I3 => Load_In); -- [in]
MUXCY_I : MUXCY_L
port map (
DI => Count_Down,
CI => Carry_In,
S => count_AddSub,
LO => Carry_Out);
XOR_I : XORCY
port map (
LI => count_AddSub,
CI => Carry_In,
O => count_Result);
FDRE_I: FDRE
port map (
Q => count_Result_Reg, -- [out]
C => Clk, -- [in]
CE => Clock_Enable, -- [in]
D => count_Result, -- [in]
R => Rst -- [in]
);
Result <= count_Result_Reg;
end imp;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/platforms/xilinx/smp3_opbhwti/design/pcores/opb_ipif_v2_00_h/hdl/vhdl/pf_occ_counter.vhd | 3 | 6847 | -------------------------------------------------------------------------------
-- $Id: pf_occ_counter.vhd,v 1.2 2004/11/23 01:04:03 jcanaris Exp $
-------------------------------------------------------------------------------
-- pf_occ_counter - entity/architecture pair
-------------------------------------------------------------------------------
--
-- ****************************
-- ** Copyright Xilinx, Inc. **
-- ** All rights reserved. **
-- ****************************
--
-------------------------------------------------------------------------------
-- Filename: pf_occ_counter.vhd
--
-- Description: Implements packet fifo occupancy counter. This special
-- counter provides these functions:
-- - up/down count control
-- - pre-increment/pre-decrement of input load value
-- - count by 2
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- pf_occ_counter.vhd
--
-------------------------------------------------------------------------------
-- Author: B.L. Tise
-- Revision: $Revision: 1.2 $
-- Date: $Date: 2004/11/23 01:04:03 $
--
-- History:
-- D. Thorpe 2001-09-07 First Version
-- - adapted from B Tise MicroBlaze counters
--
-- DET 2001-09-11
-- - Added the Rst signal connect to the pf_counter_bit module
-- LCW Nov 8, 2004 -- updated for NCSim
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
library unisim;
use unisim.vcomponents.all;
library opb_ipif_v2_00_h;
use opb_ipif_v2_00_h.pf_counter_bit;
-----------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
entity pf_occ_counter is
generic (
C_COUNT_WIDTH : integer := 9
);
port (
Clk : in std_logic;
Rst : in std_logic;
Carry_Out : out std_logic;
Load_In : in std_logic_vector(0 to C_COUNT_WIDTH-1);
Count_Enable : in std_logic;
Count_Load : in std_logic;
Count_Down : in std_logic;
Cnt_by_2 : In std_logic;
Count_Out : out std_logic_vector(0 to C_COUNT_WIDTH-1)
);
end entity pf_occ_counter;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture implementation of pf_occ_counter is
constant CY_START : integer := 1;
signal alu_cy : std_logic_vector(0 to C_COUNT_WIDTH-1);
signal iCount_Out : std_logic_vector(0 to C_COUNT_WIDTH-2);
signal i_mux_Count_Out : std_logic_vector(0 to C_COUNT_WIDTH-2);
signal count_clock_en : std_logic;
signal carry_out_lsb : std_logic;
signal carry_in_lsb : std_logic;
signal count_out_lsb : std_logic;
Signal mux_cnt_in_lsb : std_logic;
Signal carry_out_select_di: std_logic;
Signal carry_start : std_logic;
Signal carry_start_select : std_logic;
Signal by_2_carry_start : std_logic;
begin -- VHDL_RTL
-----------------------------------------------------------------------------
-- Generate the Counter bits
-----------------------------------------------------------------------------
count_clock_en <= Count_Enable or Count_Load;
MUX_THE_LSB_INPUT : process (count_out_lsb, Load_In, Count_Load)
Begin
If (Count_Load = '0') Then
mux_cnt_in_lsb <= count_out_lsb;
else
mux_cnt_in_lsb <= Load_In(C_COUNT_WIDTH-1);
End if;
End process MUX_THE_LSB_INPUT;
carry_start <= Count_Down xor Count_Enable;
by_2_carry_start <= Cnt_by_2 and Count_Down;
carry_start_select <= not(Cnt_by_2);
I_MUXCY_LSB_IN : MUXCY_L
port map (
DI => by_2_carry_start,
CI => carry_start,
S => carry_start_select,
LO => carry_in_lsb);
I_COUNTER_BIT_LSB : entity opb_ipif_v2_00_h.pf_counter_bit
port map (
Clk => Clk,
Rst => Rst,
Count_In => mux_cnt_in_lsb,
Load_In => '0',
Count_Load => '0',
Count_Down => Count_Down,
Carry_In => carry_in_lsb,
Clock_Enable => count_clock_en,
Result => count_out_lsb,
Carry_Out => carry_out_lsb);
carry_out_select_di <= Count_Down xor Cnt_by_2;
I_MUXCY_LSB_OUT : MUXCY_L
port map (
DI => carry_out_select_di,
CI => carry_out_lsb,
S => carry_start_select,
LO => alu_cy(C_COUNT_WIDTH-1));
I_ADDSUB_GEN : for i in 0 to C_COUNT_WIDTH-2 generate
begin
MUX_THE_INPUT : process (iCount_Out, Load_In, Count_Load)
Begin
If (Count_Load = '0') Then
i_mux_Count_Out(i) <= iCount_Out(i);
else
i_mux_Count_Out(i) <= Load_In(i);
End if;
End process MUX_THE_INPUT;
Counter_Bit_I : entity opb_ipif_v2_00_h.pf_counter_bit
port map (
Clk => Clk,
Rst => Rst,
Count_In => i_mux_Count_Out(i),
Load_In => '0',
Count_Load => '0',
Count_Down => Count_Down,
Carry_In => alu_cy(i+1),
Clock_Enable => count_clock_en,
Result => iCount_Out(i),
Carry_Out => alu_cy(i));
end generate I_ADDSUB_GEN;
Count_Out <= iCount_Out & count_out_lsb;
Carry_Out <= '0';
end architecture implementation;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/hardware/XilinxProcessorIP/pcores/opb_ac97_v1_00_a/hdl/vhdl/TESTBENCH_ac97_core.vhd | 4 | 14277 | -------------------------------------------------------------------------------
-- $Id: TESTBENCH_ac97_core.vhd,v 1.1 2005/02/17 20:29:34 crh Exp $
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Filename: TESTBENCH_ac97_core.vhd
--
-- Description: Simple testbench for ac97_core
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
--
-------------------------------------------------------------------------------
-- Author: Mike Wirthlin
-- Revision: $Revision: 1.1 $
-- Date: $Date: 2005/02/17 20:29:34 $
--
-- History:
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
entity TESTBENCH_ac97_core is
end TESTBENCH_ac97_core;
library opb_ac97_v2_00_a;
use opb_ac97_v2_00_a.all;
use opb_ac97_v2_00_a.TESTBENCH_ac97_package.all;
architecture behavioral of TESTBENCH_ac97_core is
component ac97_core is
generic (
C_PCM_DATA_WIDTH : integer := 16
);
port (
Reset : in std_logic;
-- signals attaching directly to AC97 codec
AC97_Bit_Clk : in std_logic;
AC97_Sync : out std_logic;
AC97_SData_Out : out std_logic;
AC97_SData_In : in std_logic;
-- AC97 register interface
AC97_Reg_Addr : in std_logic_vector(0 to 6);
AC97_Reg_Write_Data : in std_logic_vector(0 to 15);
AC97_Reg_Read_Data : out std_logic_vector(0 to 15);
AC97_Reg_Read_Strobe : in std_logic; -- initiates a "read" command
AC97_Reg_Write_Strobe : in std_logic; -- initiates a "write" command
AC97_Reg_Busy : out std_logic;
AC97_Reg_Error : out std_logic;
AC97_Reg_Read_Data_Valid : out std_logic;
-- Playback signal interface
PCM_Playback_Left: in std_logic_vector(0 to C_PCM_DATA_WIDTH-1);
PCM_Playback_Right: in std_logic_vector(0 to C_PCM_DATA_WIDTH-1);
PCM_Playback_Left_Valid: in std_logic;
PCM_Playback_Right_Valid: in std_logic;
PCM_Playback_Left_Accept: out std_logic;
PCM_Playback_Right_Accept: out std_logic;
-- Record signal interface
PCM_Record_Left: out std_logic_vector(0 to C_PCM_DATA_WIDTH-1);
PCM_Record_Right: out std_logic_vector(0 to C_PCM_DATA_WIDTH-1);
PCM_Record_Left_Valid: out std_logic;
PCM_Record_Right_Valid: out std_logic;
--
CODEC_RDY : out std_logic
);
end component;
component ac97_model is
port (
AC97Reset_n : in std_logic;
Bit_Clk : out std_logic;
Sync : in std_logic;
SData_Out : in std_logic;
SData_In : out std_logic
);
end component;
signal reset : std_logic;
signal ac97_reset : std_logic;
signal clk : std_logic;
signal sync : std_logic;
signal sdata_out : std_logic;
signal sdata_in : std_logic;
signal reg_addr : std_logic_vector(0 to 6);
signal reg_write_data : std_logic_vector(0 to 15);
signal reg_read_data : std_logic_vector(0 to 15);
signal reg_read_data_valid : std_logic;
signal reg_read_strobe, reg_write_strobe : std_logic := '0';
signal reg_error : std_logic := '0';
signal reg_busy, reg_data_valid : std_logic;
signal play_left_accept, play_right_accept : std_logic;
signal PCM_Playback_Left: std_logic_vector(0 to 15) := (others =>'0');
signal PCM_Playback_Right: std_logic_vector(0 to 15) := (others => '0');
signal PCM_Playback_Left_Valid: std_logic;
signal PCM_Playback_Right_Valid: std_logic;
signal PCM_Record_Left: std_logic_vector(0 to 15);
signal PCM_Record_Right: std_logic_vector(0 to 15);
signal PCM_Record_Left_Valid: std_logic;
signal PCM_Record_Right_Valid: std_logic;
signal New_Frame : std_logic;
signal CODEC_RDY : std_logic;
signal test_no : integer;
begin -- behavioral
ac97_reset <= not reset;
model : ac97_model
port map (
AC97Reset_n => ac97_reset,
Bit_Clk => clk,
Sync => sync,
SData_Out => sdata_out,
SData_In => sdata_in
);
uut: ac97_core
port map (
Reset => reset,
-- signals attaching directly to AC97 codec
AC97_Bit_Clk => clk,
AC97_Sync => sync,
AC97_SData_Out => sdata_out,
AC97_SData_In => sdata_in,
AC97_Reg_Addr => reg_addr,
AC97_Reg_Write_Data => reg_write_data,
AC97_Reg_Read_Data => reg_read_data,
AC97_Reg_Read_Strobe => reg_read_strobe, --
AC97_Reg_Write_Strobe => reg_write_strobe, --
AC97_Reg_Busy => reg_busy, --
AC97_Reg_Error => reg_error, -- d
AC97_Reg_Read_Data_Valid => reg_data_valid, -- d
PCM_Playback_Left => PCM_Playback_Left,
PCM_Playback_Right => PCM_Playback_Right,
PCM_Playback_Left_Valid => PCM_Playback_Left_Valid,
PCM_Playback_Right_Valid => PCM_Playback_Right_Valid,
PCM_Playback_Left_Accept => play_left_accept, -- d
PCM_Playback_Right_Accept => play_right_accept, -- d
PCM_Record_Left => PCM_Record_Left,
PCM_Record_Right => PCM_Record_Right,
PCM_Record_Left_Valid => PCM_Record_Left_Valid,
PCM_Record_Right_Valid => PCM_Record_Right_Valid,
CODEC_RDY => CODEC_RDY
);
-- simulate a 20 ns reset pulse
opb_rst_gen: process
begin
reset <= '1';
wait for 20 ns;
reset <= '0';
wait;
end process opb_rst_gen;
-- Test process
register_if_process: process
begin
--PCM_Playback_Right_Valid <= '0';
--PCM_Playback_Left_Valid <= '0';
reg_read_strobe <= '0';
reg_write_strobe <= '0';
reg_addr <= (others => '0');
--PCM_Playback_Left <= (others => '0');
--PCM_Playback_Right <= (others => '0');
-- wait for codec ready
test_no <= 0;
wait until CODEC_RDY='1';
for i in 300 downto 0 loop
wait until clk'event and clk='1';
end loop;
-- Perform a register write (to reset register)
test_no <= 1;
reg_addr <= "0000010";
reg_write_data <= X"A5A5";
wait until clk'event and clk='1';
reg_write_strobe <= '1';
wait until clk'event and clk='1';
reg_write_strobe <= '0';
reg_addr <= "0000000";
reg_write_data <= X"0000";
wait until clk'event and clk='1';
wait until reg_busy = '0';
-- Perform a register read
test_no <= 2;
for i in 300 downto 0 loop
wait until clk'event and clk='1';
end loop;
reg_addr <= "0000010";
wait until clk'event and clk='1';
reg_read_strobe <= '1';
wait until clk'event and clk='1';
reg_read_strobe <= '0';
reg_addr <= "0000000";
wait until clk'event and clk='1';
wait until reg_busy = '0';
test_no <= 3;
-- -- set default values
-- reg_addr <= (others => '0');
-- reg_write_data <= (others => '0');
-- reg_read <= '0';
-- reg_write <= '0';
-- PCM_Playback_Left <= (others => '0');
-- PCM_Playback_Right <= (others => '0');
-- PCM_Playback_Left_Valid <= '0';
-- PCM_Playback_Right_Valid <= '0';
-- -- 1. Wait until CODEC ready before doing anything
-- wait until CODEC_RDY='1' and clk'event and clk='1';
-- -- skip some time slots before performing a bus cycle
-- for i in 300 downto 0 loop
-- wait until clk'event and clk='1';
-- end loop;
-- -- Start at first sync pulse
-- wait until Sync'event and Sync='1';
-- --wait until clk'event and clk='1';
-- wait until clk'event and clk='1';
-- test_no <= 1;
-- -- send some playback data
-- PCM_Playback_Left <= X"8001";
-- PCM_Playback_Right <= X"0180";
-- PCM_Playback_Left_Valid <= '1';
-- PCM_Playback_Right_Valid <= '1';
-- wait until New_Frame'event and New_Frame='0';
-- test_no <= 2;
-- PCM_Playback_Left <= X"4002";
-- PCM_Playback_Right <= X"0240";
-- wait until New_Frame'event and New_Frame='0';
-- test_no <= 3;
-- -- send a read command
-- PCM_Playback_Left <= X"2004";
-- PCM_Playback_Right <= X"0420";
-- reg_addr <= "0010001";
-- reg_read <= '1';
-- wait until New_Frame'event and New_Frame='0';
-- reg_read <= '0';
-- wait;
-- -- send a write command
-- PCM_Playback_Left <= X"2004";
-- PCM_Playback_Right <= X"0420";
-- reg_addr <= "0010001";
-- reg_write_data <= X"5A5A";
-- reg_write <= '1';
-- wait until New_Frame'event and New_Frame='0';
wait;
end process;
-- Test process
PCM_Playback_Left_Valid <= '1';
PCM_Playback_Right_Valid <= '1';
play_data_process: process
type register_type is array(0 to 31) of std_logic_vector(15 downto 0);
variable play_data : register_type := (
X"0001", X"0002", X"0004", X"0008", X"0010", X"0020", X"0040", X"0080",
X"0100", X"0200", X"0400", X"0800", X"1000", X"2000", X"4000", X"8000",
X"0001", X"0002", X"0004", X"0008", X"0010", X"0020", X"0040", X"0080",
X"0100", X"0200", X"0400", X"0800", X"1000", X"2000", X"4000", X"8000"
);
variable count : integer := 0;
begin
wait until codec_rdy = '1';
for count in 0 to 31 loop
PCM_Playback_Left <= play_data(count);
PCM_Playback_Right <= play_data(count);
wait until play_left_accept = '1' and
play_right_accept = '1' and clk'event and clk='1';
wait until clk'event and clk='1';
wait until clk'event and clk='1';
end loop;
end process;
-- -- Recording Data
-- sdata_in_proc: process
-- variable slot0 : std_logic_vector(15 downto 0) := "1001100000000000";
-- -- Control address
-- variable slot1 : std_logic_vector(19 downto 0) := "10000000000000000000";
-- -- Control data
-- variable slot2 : std_logic_vector(19 downto 0) := "10000000000000000000";
-- -- PCM left (0x69696)
-- variable slot3 : std_logic_vector(19 downto 0) := "01101001011010010110";
-- -- PCM right (0x96969)
-- variable slot4 : std_logic_vector(19 downto 0) := "10010110100101101001";
-- begin
-- sdata_in <= '0';
-- -- 1. Wait until CODEC ready before doing anything
-- wait until CODEC_RDY='1' and clk'event and clk='1';
-- -- skip some time slots before performing a bus cycle
-- for i in 300 downto 0 loop
-- wait until clk'event and clk='1';
-- end loop;
-- -- Start at first sync pulse
-- wait until Sync'event and Sync='1';
-- --wait until clk'event and clk='1';
-- wait until clk'event and clk='1';
-- -- (1) record data
-- send_basic_frame(clk, slot0, slot1, slot2, slot3, slot4, sdata_in);
-- -- (2) record data
-- slot3 := X"8001_0";
-- slot4 := X"1234_0";
-- send_basic_frame(clk, slot0, slot1, slot2, slot3, slot4, sdata_in);
-- -- (3) record data
-- slot3 := X"4002_0";
-- slot4 := X"2345_0";
-- send_basic_frame(clk, slot0, slot1, slot2, slot3, slot4, sdata_in);
-- -- (4) record data & some control data
-- slot3 := X"2004_0";
-- slot4 := X"3456_0";
-- slot0 := "1011100000000000";
-- slot2 := X"FEDC_B";
-- send_basic_frame(clk, slot0, slot1, slot2, slot3, slot4, sdata_in);
-- -- (5) record data
-- slot3 := X"1008_0";
-- slot4 := X"3456_0";
-- send_basic_frame(clk, slot0, slot1, slot2, slot3, slot4, sdata_in);
-- wait;
-- end process;
-- -- Recording Data
-- control_proc: process
-- begin
-- reg_addr <= (others => '0');
-- reg_write_data <= (others => '0');
-- reg_read <= '0';
-- reg_write <= '0';
-- PCM_Playback_Left <= (others => '0');
-- PCM_Playback_Right <= (others => '0');
-- PCM_Playback_Left_Valid <= '0';
-- PCM_Playback_Right_Valid <= '0';
-- -- skip 2 frames
-- for i in 1 downto 0 loop
-- wait until New_Frame'event and New_Frame='0';
-- end loop;
-- -- send some playback data
-- PCM_Playback_Left <= X"8001";
-- PCM_Playback_Right <= X"0180";
-- PCM_Playback_Left_Valid <= '1';
-- PCM_Playback_Right_Valid <= '1';
-- wait until New_Frame'event and New_Frame='0';
-- PCM_Playback_Left <= X"4002";
-- PCM_Playback_Right <= X"0240";
-- wait until New_Frame'event and New_Frame='0';
-- -- send a write command
-- PCM_Playback_Left <= X"2004";
-- PCM_Playback_Right <= X"0420";
-- reg_addr <= "0010001";
-- reg_write_data <= X"5A5A";
-- reg_write <= '1';
-- wait until New_Frame'event and New_Frame='0';
-- reg_write <= '0';
-- PCM_Playback_Left <= X"1008";
-- PCM_Playback_Right <= X"0810";
-- wait;
-- end process;
end behavioral;
| bsd-3-clause |
akhatnya/testing | vendor/bower/ace-builds/demo/kitchen-sink/docs/vhdl.vhd | 472 | 830 | library IEEE
user IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity COUNT16 is
port (
cOut :out std_logic_vector(15 downto 0); -- counter output
clkEn :in std_logic; -- count enable
clk :in std_logic; -- clock input
rst :in std_logic -- reset input
);
end entity;
architecture count_rtl of COUNT16 is
signal count :std_logic_vector (15 downto 0);
begin
process (clk, rst) begin
if(rst = '1') then
count <= (others=>'0');
elsif(rising_edge(clk)) then
if(clkEn = '1') then
count <= count + 1;
end if;
end if;
end process;
cOut <= count;
end architecture;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/platforms/xilinx/smp3_opbhwti_lbrams/design/pcores/opb_v20_v1_10_d/hdl/vhdl/pf_occ_counter.vhd | 3 | 10830 | -------------------------------------------------------------------------------
-- $Id: pf_occ_counter.vhd,v 1.1.2.1 2009/10/06 21:15:01 gburch Exp $
-------------------------------------------------------------------------------
-- pf_occ_counter - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2003,2009 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: pf_occ_counter.vhd
--
-- Description: Implements packet fifo occupancy counter. This special
-- counter provides these functions:
-- - up/down count control
-- - pre-increment/pre-decrement of input load value
-- - count by 2
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- pf_occ_counter.vhd
--
-------------------------------------------------------------------------------
-- Author: B.L. Tise
-- Revision: $Revision: 1.1.2.1 $
-- Date: $Date: 2009/10/06 21:15:01 $
--
-- History:
-- D. Thorpe 2001-09-07 First Version
-- - adapted from B Tise MicroBlaze counters
--
-- DET 2001-09-11
-- - Added the Rst signal connect to the pf_counter_bit module
--
-- DET 2002-02-24
-- - Changed the use of MUXCY_L to MUXCY.
--
--
-- GAB 10/05/09
-- ^^^^^^
-- Moved all helper libraries proc_common_v2_00_a, opb_ipif_v3_01_a, and
-- opb_arbiter_v1_02_e locally into opb_v20_v1_10_d
--
-- Updated legal header
-- ~~~~~~
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
library unisim;
use unisim.vcomponents.all;
library opb_v20_v1_10_d;
use opb_v20_v1_10_d.pf_counter_bit;
-----------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
entity pf_occ_counter is
generic (
C_COUNT_WIDTH : integer := 9
);
port (
Clk : in std_logic;
Rst : in std_logic;
Carry_Out : out std_logic;
Load_In : in std_logic_vector(0 to C_COUNT_WIDTH-1);
Count_Enable : in std_logic;
Count_Load : in std_logic;
Count_Down : in std_logic;
Cnt_by_2 : In std_logic;
Count_Out : out std_logic_vector(0 to C_COUNT_WIDTH-1)
);
end entity pf_occ_counter;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture implementation of pf_occ_counter is
constant CY_START : integer := 1;
signal alu_cy : std_logic_vector(0 to C_COUNT_WIDTH-1);
signal iCount_Out : std_logic_vector(0 to C_COUNT_WIDTH-2);
signal i_mux_Count_Out : std_logic_vector(0 to C_COUNT_WIDTH-2);
signal count_clock_en : std_logic;
signal carry_out_lsb : std_logic;
signal carry_in_lsb : std_logic;
signal count_out_lsb : std_logic;
Signal mux_cnt_in_lsb : std_logic;
Signal carry_out_select_di: std_logic;
Signal carry_start : std_logic;
Signal carry_start_select : std_logic;
Signal by_2_carry_start : std_logic;
begin -- VHDL_RTL
-----------------------------------------------------------------------------
-- Generate the Counter bits
-----------------------------------------------------------------------------
count_clock_en <= Count_Enable or Count_Load;
MUX_THE_LSB_INPUT : process (count_out_lsb, Load_In, Count_Load)
Begin
If (Count_Load = '0') Then
mux_cnt_in_lsb <= count_out_lsb;
else
mux_cnt_in_lsb <= Load_In(C_COUNT_WIDTH-1);
End if;
End process MUX_THE_LSB_INPUT;
carry_start <= Count_Down xor Count_Enable;
by_2_carry_start <= Cnt_by_2 and Count_Down;
carry_start_select <= not(Cnt_by_2);
I_MUXCY_LSB_IN : MUXCY
port map (
DI => by_2_carry_start,
CI => carry_start,
S => carry_start_select,
O => carry_in_lsb);
I_COUNTER_BIT_LSB : entity opb_v20_v1_10_d.pf_counter_bit
port map (
Clk => Clk,
Rst => Rst,
Count_In => mux_cnt_in_lsb,
Load_In => '0',
Count_Load => '0',
Count_Down => Count_Down,
Carry_In => carry_in_lsb,
Clock_Enable => count_clock_en,
Result => count_out_lsb,
Carry_Out => carry_out_lsb);
carry_out_select_di <= Count_Down xor Cnt_by_2;
I_MUXCY_LSB_OUT : MUXCY
port map (
DI => carry_out_select_di,
CI => carry_out_lsb,
S => carry_start_select,
O => alu_cy(C_COUNT_WIDTH-1));
I_ADDSUB_GEN : for i in 0 to C_COUNT_WIDTH-2 generate
begin
MUX_THE_INPUT : process (iCount_Out, Load_In, Count_Load)
Begin
If (Count_Load = '0') Then
i_mux_Count_Out(i) <= iCount_Out(i);
else
i_mux_Count_Out(i) <= Load_In(i);
End if;
End process MUX_THE_INPUT;
Counter_Bit_I : entity opb_v20_v1_10_d.pf_counter_bit
port map (
Clk => Clk,
Rst => Rst,
Count_In => i_mux_Count_Out(i),
Load_In => '0',
Count_Load => '0',
Count_Down => Count_Down,
Carry_In => alu_cy(i+1),
Clock_Enable => count_clock_en,
Result => iCount_Out(i),
Carry_Out => alu_cy(i));
end generate I_ADDSUB_GEN;
Count_Out <= iCount_Out & count_out_lsb;
Carry_Out <= '0';
end architecture implementation;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/hardware/MyRepository/pcores/hw_threads/hw_acc_v1_00_a/hdl/vhdl/user_logics/user_logic/user_logic_dwt.vhd | 2 | 15862 | ---------------------------------------------------------------------------
--
-- Title: Hardware Thread User Logic Quicksort
-- Thread implements the quicksort algorithm
-- Passed in argument is a pointer to following struct
-- struct sortData {
-- int * startData; //pointer to start of array
-- int * endData; //pointer to end of array
-- int cacheOption // 1 operate on data where it is, 0 copy into HWTI first
-- There is not return argument, the HWT just sorts the data.
--
---------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_misc.all;
library Unisim;
use Unisim.all;
---------------------------------------------------------------------------
-- Port declarations
---------------------------------------------------------------------------
-- Definition of Ports:
--
-- Misc. Signals
-- clock
--
-- HWTI to HWTUL interconnect
-- intrfc2thrd_address 32 bits memory
-- intrfc2thrd_value 32 bits memory function
-- intrfc2thrd_function 16 bits control
-- intrfc2thrd_goWait 1 bits control
--
-- HWTUL to HWTI interconnect
-- thrd2intrfc_address 32 bits memory
-- thrd2intrfc_value 32 bits memory function
-- thrd2intrfc_function 16 bits function
-- thrd2intrfc_opcode 6 bits memory function
--
---------------------------------------------------------------------------
-- Thread Manager Entity section
---------------------------------------------------------------------------
entity user_logic_hwtul is
port (
clock : in std_logic;
intrfc2thrd_address : in std_logic_vector(0 to 31);
intrfc2thrd_value : in std_logic_vector(0 to 31);
intrfc2thrd_function : in std_logic_vector(0 to 15);
intrfc2thrd_goWait : in std_logic;
thrd2intrfc_address : out std_logic_vector(0 to 31);
thrd2intrfc_value : out std_logic_vector(0 to 31);
thrd2intrfc_function : out std_logic_vector(0 to 15);
thrd2intrfc_opcode : out std_logic_vector(0 to 5)
);
end entity user_logic_hwtul;
---------------------------------------------------------------------------
-- Architecture section
---------------------------------------------------------------------------
architecture IMP of user_logic_hwtul is
---------------------------------------------------------------------------
-- Signal declarations
---------------------------------------------------------------------------
type state_machine is (
FUNCTION_RESET,
FUNCTION_USER_SELECT,
FUNCTION_START,
READ_ARGS_1,
READ_ARGS_2,
READ_ARGS_3,
WHILE_1,
WHILE_2,
WHILE_3,
WHILE_4,
WHILE_5,
WHILE_6,
WHILE_7,
WHILE_8,
FOO,
LOOP_1,
LOOP_2,
LOOP_3,
LOOP_4,
LOOP_5,
LOOP_6,
EXIT_THREAD,
EXIT_THREAD_1,
WAIT_STATE,
ERROR_STATE);
-- Function definitions
constant U_FUNCTION_RESET : std_logic_vector(0 to 15) := x"0000";
constant U_FUNCTION_WAIT : std_logic_vector(0 to 15) := x"0001";
constant U_FUNCTION_USER_SELECT : std_logic_vector(0 to 15) := x"0002";
constant U_FUNCTION_START : std_logic_vector(0 to 15) := x"0003";
-- Range 0003 to 7999 reserved for user logic's state machine
-- Range 8000 to 9999 reserved for system calls
constant FUNCTION_HTHREAD_ATTR_INIT : std_logic_vector(0 to 15) := x"8000";
constant FUNCTION_HTHREAD_ATTR_DESTROY : std_logic_vector(0 to 15) := x"8001";
constant FUNCTION_HTHREAD_CREATE : std_logic_vector(0 to 15) := x"8010";
constant FUNCTION_HTHREAD_JOIN : std_logic_vector(0 to 15) := x"8011";
constant FUNCTION_HTHREAD_SELF : std_logic_vector(0 to 15) := x"8012";
constant FUNCTION_HTHREAD_YIELD : std_logic_vector(0 to 15) := x"8013";
constant FUNCTION_HTHREAD_EQUAL : std_logic_vector(0 to 15) := x"8014";
constant FUNCTION_HTHREAD_EXIT : std_logic_vector(0 to 15) := x"8015";
constant FUNCTION_HTHREAD_EXIT_ERROR : std_logic_vector(0 to 15) := x"8016";
constant FUNCTION_HTHREAD_MUTEXATTR_INIT : std_logic_vector(0 to 15) := x"8020";
constant FUNCTION_HTHREAD_MUTEXATTR_DESTROY : std_logic_vector(0 to 15) := x"8021";
constant FUNCTION_HTHREAD_MUTEXATTR_SETNUM : std_logic_vector(0 to 15) := x"8022";
constant FUNCTION_HTHREAD_MUTEXATTR_GETNUM : std_logic_vector(0 to 15) := x"8023";
constant FUNCTION_HTHREAD_MUTEX_INIT : std_logic_vector(0 to 15) := x"8030";
constant FUNCTION_HTHREAD_MUTEX_DESTROY : std_logic_vector(0 to 15) := x"8031";
constant FUNCTION_HTHREAD_MUTEX_LOCK : std_logic_vector(0 to 15) := x"8032";
constant FUNCTION_HTHREAD_MUTEX_UNLOCK : std_logic_vector(0 to 15) := x"8033";
constant FUNCTION_HTHREAD_MUTEX_TRYLOCK : std_logic_vector(0 to 15) := x"8034";
constant FUNCTION_HTHREAD_CONDATTR_INIT : std_logic_vector(0 to 15) := x"8040";
constant FUNCTION_HTHREAD_CONDATTR_DESTROY : std_logic_vector(0 to 15) := x"8041";
constant FUNCTION_HTHREAD_CONDATTR_SETNUM : std_logic_vector(0 to 15) := x"8042";
constant FUNCTION_HTHREAD_CONDATTR_GETNUM : std_logic_vector(0 to 15) := x"8043";
constant FUNCTION_HTHREAD_COND_INIT : std_logic_vector(0 to 15) := x"8050";
constant FUNCTION_HTHREAD_COND_DESTROY : std_logic_vector(0 to 15) := x"8051";
constant FUNCTION_HTHREAD_COND_SIGNAL : std_logic_vector(0 to 15) := x"8052";
constant FUNCTION_HTHREAD_COND_BROADCAST : std_logic_vector(0 to 15) := x"8053";
constant FUNCTION_HTHREAD_COND_WAIT : std_logic_vector(0 to 15) := x"8054";
-- Ranged A000 to FFFF reserved for supported library calls
constant FUNCTION_MALLOC : std_logic_vector(0 to 15) := x"A000";
constant FUNCTION_CALLOC : std_logic_vector(0 to 15) := x"A001";
constant FUNCTION_FREE : std_logic_vector(0 to 15) := x"A002";
constant FUNCTION_MEMCPY : std_logic_vector(0 to 15) := x"A100";
-- user_opcode Constants
constant OPCODE_NOOP : std_logic_vector(0 to 5) := "000000";
-- Memory sub-interface specific opcodes
constant OPCODE_LOAD : std_logic_vector(0 to 5) := "000001";
constant OPCODE_STORE : std_logic_vector(0 to 5) := "000010";
constant OPCODE_DECLARE : std_logic_vector(0 to 5) := "000011";
constant OPCODE_READ : std_logic_vector(0 to 5) := "000100";
constant OPCODE_WRITE : std_logic_vector(0 to 5) := "000101";
constant OPCODE_ADDRESS : std_logic_vector(0 to 5) := "000110";
-- Function sub-interface specific opcodes
constant OPCODE_PUSH : std_logic_vector(0 to 5) := "010000";
constant OPCODE_POP : std_logic_vector(0 to 5) := "010001";
constant OPCODE_CALL : std_logic_vector(0 to 5) := "010010";
constant OPCODE_RETURN : std_logic_vector(0 to 5) := "010011";
constant Z32 : std_logic_vector(0 to 31) := (others => '0');
signal current_state, next_state : state_machine := FUNCTION_RESET;
signal return_state, return_state_next : state_machine := FUNCTION_RESET;
signal arg, arg_next : std_logic_vector(0 to 31);
signal sig, sig_next : std_logic_vector(0 to 31);
signal len, len_next : std_logic_vector(0 to 31);
signal i, i_next : std_logic_vector(0 to 31);
signal r1, r1_next : std_logic_vector(0 to 31);
signal r2, r2_next : std_logic_vector(0 to 31);
signal r3, r3_next : std_logic_vector(0 to 31);
signal r4, r4_next : std_logic_vector(0 to 31);
signal toUser_address : std_logic_vector(0 to 31);
signal toUser_value : std_logic_vector(0 to 31);
signal toUser_function : std_logic_vector(0 to 15);
signal toUser_goWait : std_logic;
---------------------------------------------------------------------------
-- Begin architecture
---------------------------------------------------------------------------
begin -- architecture IMP
HWTUL_STATE_PROCESS : process (clock) is
begin
if (clock'event and (clock = '1')) then
toUser_address <= intrfc2thrd_address;
toUser_value <= intrfc2thrd_value;
toUser_function <= intrfc2thrd_function;
toUser_goWait <= intrfc2thrd_goWait;
arg <= arg_next;
sig <= sig_next;
len <= len_next;
i <= i_next;
r1 <= r1_next;
r2 <= r2_next;
r3 <= r3_next;
r4 <= r4_next;
return_state <= return_state_next;
-- Find out if the HWTI is tell us what to do
if (intrfc2thrd_goWait = '1') then
case intrfc2thrd_function is
-- Typically the HWTI will tell us to control our own destiny
when U_FUNCTION_USER_SELECT =>
current_state <= next_state;
-- List all the functions the HWTI could tell us to run
when U_FUNCTION_RESET =>
current_state <= FUNCTION_RESET;
when U_FUNCTION_START =>
current_state <= FUNCTION_START;
-- If the HWTI tells us to do something we don't know, error
when OTHERS =>
current_state <= ERROR_STATE;
end case;
else
current_state <= WAIT_STATE;
end if;
end if;
end process HWTUL_STATE_PROCESS;
HWTUL_STATE_MACHINE : process (current_state) is
begin
-- Default register assignments
thrd2intrfc_opcode <= OPCODE_NOOP; -- When issuing an OPCODE, must be a pulse
thrd2intrfc_address <= Z32;
thrd2intrfc_value <= Z32;
thrd2intrfc_function <= U_FUNCTION_USER_SELECT;
next_state <= current_state;
return_state_next <= return_state;
arg_next <= arg;
sig_next <= sig;
len_next <= len;
i_next <= i;
r1_next <= r1;
r2_next <= r2;
r3_next <= r3;
r4_next <= r4;
-- The state machine
case current_state is
when FUNCTION_RESET =>
--Set default values
thrd2intrfc_opcode <= OPCODE_NOOP;
thrd2intrfc_address <= Z32;
thrd2intrfc_value <= Z32;
thrd2intrfc_function <= U_FUNCTION_START;
arg_next <= Z32;
sig_next <= Z32;
len_next <= Z32;
i_next <= Z32;
r1_next <= Z32;
r2_next <= Z32;
r3_next <= Z32;
r4_next <= Z32;
when FUNCTION_START =>
-- read the passed in argument
thrd2intrfc_opcode <= OPCODE_POP;
thrd2intrfc_address <= Z32;
return_state_next <= READ_ARGS_1;
next_state <= WAIT_STATE;
-- struct Array * arrayPtr;
-- arrayPtr = (struct Array *) arg;
-- Huint * sig = arrayPtr->data;
when READ_ARGS_1 =>
arg_next <= toUser_value;
-- Read the address of the data array
thrd2intrfc_opcode <= OPCODE_LOAD;
thrd2intrfc_address <= toUser_value;
return_state_next <= READ_ARGS_2;
next_state <= WAIT_STATE;
-- Huint len = arrayPtr->length
when READ_ARGS_2 =>
sig_next <= toUser_value;
-- Read value of length
thrd2intrfc_opcode <= OPCODE_LOAD;
thrd2intrfc_address <= arg + 4;
return_state_next <= READ_ARGS_3;
next_state <= WAIT_STATE;
-- int i=0
-- Huint tmp[LENGTH];
when READ_ARGS_3 =>
len_next <= toUser_value;
-- initialize i
i_next <= Z32;
-- Declare len number of variables on the stack
thrd2intrfc_opcode <= OPCODE_DECLARE;
thrd2intrfc_value <= toUser_value;
return_state_next <= WHILE_1;
next_state <= WAIT_STATE;
-- while ( i < (len >> 1 ) ) {
when WHILE_1 =>
-- set r1 to len >> 1
r1_next <= '0' & len(0 to 30);
-- set r2 to i << 1
r2_next <= i(1 to 31) & '0';
next_state <= WHILE_2;
when WHILE_2 =>
if ( i < r1 ) then
next_state <= WHILE_3;
else
next_state <= FOO;
end if;
-- tmp[(i<<1)+1] = (sig[(i<<1)] + sig[(i<<1)+1]) >> 1;
when WHILE_3 =>
-- Read the value of sig[(i<<1)]
thrd2intrfc_opcode <= OPCODE_LOAD;
thrd2intrfc_address <= sig + (r2(2 to 31) & "00");
return_state_next <= WHILE_4;
next_state <= WAIT_STATE;
when WHILE_4 =>
r3_next <= toUser_value;
-- Read the value of sig[(i<<1) + 1]
thrd2intrfc_opcode <= OPCODE_LOAD;
thrd2intrfc_address <= sig + (r2(2 to 31) & "00") + x"00000004";
return_state_next <= WHILE_5;
next_state <= WAIT_STATE;
when WHILE_5 =>
-- Calculate the averaged value
r4_next <= ('0' & r3(0 to 30)) + ('0' & toUser_value(0 to 30));
next_state <= WHILE_6;
when WHILE_6 =>
-- write the average value to tmp array
thrd2intrfc_opcode <= OPCODE_WRITE;
thrd2intrfc_address <= r2 + x"00000001";
thrd2intrfc_value <= r4;
return_state_next <= WHILE_7;
next_state <= WAIT_STATE;
-- tmp[(i<<1)] = (sig[(i<<1)] - tmp[(i<<1) + 1];
when WHILE_7 =>
-- write the difference to tmp array
thrd2intrfc_opcode <= OPCODE_WRITE;
thrd2intrfc_address <= r2;
thrd2intrfc_value <= r3 - r4;
return_state_next <= WHILE_8;
next_state <= WAIT_STATE;
-- i++;
when WHILE_8 =>
-- increment i
i_next <= i + x"00000001";
next_state <= WHILE_1;
-- i=0;
when FOO =>
i_next <= Z32;
next_state <= LOOP_1;
-- while ( i < (len >> 1 ) ) {
when LOOP_1 =>
-- set r2 to i << 1
r2_next <= i(1 to 31) & '0';
-- Check the while condition
if ( i < r1 ) then
next_state <= LOOP_2;
else
next_state <= EXIT_THREAD;
end if;
-- sig[i] = tmp[(i<<1)+1];
when LOOP_2 =>
-- read the value of the tmp array
thrd2intrfc_opcode <= OPCODE_READ;
thrd2intrfc_address <= r2 + x"00000001";
return_state_next <= LOOP_3;
next_state <= WAIT_STATE;
when LOOP_3 =>
-- write the temp value back to data array
thrd2intrfc_opcode <= OPCODE_STORE;
thrd2intrfc_address <= sig + (i(2 to 31) & "00");
thrd2intrfc_value <= toUser_value;
return_state_next <= LOOP_4;
next_state <= WAIT_STATE;
-- sig[(len>>1)+i] = tmp[(i<<1)];
when LOOP_4 =>
-- read the value of the tmp array
thrd2intrfc_opcode <= OPCODE_READ;
thrd2intrfc_address <= r2;
return_state_next <= LOOP_5;
next_state <= WAIT_STATE;
when LOOP_5 =>
-- write the temp value back to data array
thrd2intrfc_opcode <= OPCODE_STORE;
thrd2intrfc_address <= sig + (r1(2 to 31) & "00") + (i(2 to 31) & "00");
thrd2intrfc_value <= toUser_value;
return_state_next <= LOOP_6;
next_state <= WAIT_STATE;
when LOOP_6 =>
-- increment i
i_next <= i + x"00000001";
next_state <= LOOP_1;
when EXIT_THREAD =>
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= Z32;
return_state_next <= EXIT_THREAD_1;
next_state <= WAIT_STATE;
when EXIT_THREAD_1 =>
thrd2intrfc_opcode <= OPCODE_CALL;
thrd2intrfc_value <= Z32;
thrd2intrfc_function <= FUNCTION_HTHREAD_EXIT;
next_state <= WAIT_STATE;
when WAIT_STATE =>
case toUser_goWait is
when '1' => --Here because HWTUL chose to be here for one clock cycle
next_state <= return_state;
when OTHERS => --ie '0', Here because HWTI is telling us to wait
next_state <= return_state;
end case;
when others =>
next_state <= ERROR_STATE;
end case;
end process HWTUL_STATE_MACHINE;
end architecture IMP;
| bsd-3-clause |
EnricoGiordano1992/resim-simulating-partial-reconfiguration | examples/state_migration/edk/pcores/xps_icapi_v1_01_a/hdl/vhdl/icap_virtex_wrapper.vhd | 6 | 4458 |
---------------------------------------------------------------------
--
-- ICAP_VIRTEX4_WRAPPER
--
-- Description: Instantiating ICAP_VIRTEX4
-- Simulation/Synthesis: Synthesis
-- Reference: $XILINX_HOME/vhdl/src/unisims/primitive/ICAP_VIRTEX4.vhd
--
---------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
library UNISIM;
use UNISIM.VComponents.all;
entity ICAP_VIRTEX4_WRAPPER is
generic(
ICAP_WIDTH: string := "X8" -- "X8" or "X32"
);
port(
BUSY : out std_logic;
O : out std_logic_vector(31 downto 0);
CE : in std_logic;
CLK : in std_logic;
I : in std_logic_vector(31 downto 0);
WRITE : in std_logic
);
end ICAP_VIRTEX4_WRAPPER;
architecture synth of ICAP_VIRTEX4_WRAPPER is
component ICAP_VIRTEX4 is
generic(
ICAP_WIDTH : string := "X8"
);
port(
CLK : in std_logic;
CE : in std_logic;
WRITE : in std_logic;
I : in std_logic_vector(31 downto 0);
BUSY : out std_logic;
O : out std_logic_vector(31 downto 0)
);
end component;
begin
i_ICAP_VIRTEX4 : ICAP_VIRTEX4
generic map (
ICAP_WIDTH => ICAP_WIDTH
)
port map (
BUSY => BUSY,
O => O,
CE => CE,
CLK => CLK,
I => I,
WRITE => WRITE
);
end synth;
---------------------------------------------------------------------
--
-- ICAP_VIRTEX5_WRAPPER
--
-- Description: Instantiating ICAP_VIRTEX5
-- Simulation/Synthesis: Synthesis
-- Reference: $XILINX_HOME/vhdl/src/unisims/primitive/ICAP_VIRTEX5.vhd
--
---------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
library UNISIM;
use UNISIM.VComponents.all;
entity ICAP_VIRTEX5_WRAPPER is
generic(
ICAP_WIDTH: string := "X8" -- "X8", "X16" or "X32"
);
port(
BUSY : out std_logic;
O : out std_logic_vector(31 downto 0);
CE : in std_logic;
CLK : in std_logic;
I : in std_logic_vector(31 downto 0);
WRITE : in std_logic
);
end ICAP_VIRTEX5_WRAPPER;
architecture synth of ICAP_VIRTEX5_WRAPPER is
component ICAP_VIRTEX5 is
generic(
ICAP_WIDTH : string := "X8"
);
port(
CLK : in std_logic;
CE : in std_logic;
WRITE : in std_logic;
I : in std_logic_vector(31 downto 0);
BUSY : out std_logic;
O : out std_logic_vector(31 downto 0)
);
end component;
begin
i_ICAP_VIRTEX5 : ICAP_VIRTEX5
generic map (
ICAP_WIDTH => ICAP_WIDTH
)
port map (
BUSY => BUSY,
O => O,
CE => CE,
CLK => CLK,
I => I,
WRITE => WRITE
);
end synth;
---------------------------------------------------------------------
--
-- ICAP_VIRTEX6_WRAPPER
--
-- Description: Instantiating ICAP_VIRTEX6
-- Simulation/Synthesis: Synthesis
-- Reference: $XILINX_HOME/vhdl/src/unisims/primitive/ICAP_VIRTEX6.vhd
--
---------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
library UNISIM;
use UNISIM.VComponents.all;
entity ICAP_VIRTEX6_WRAPPER is
generic(
DEVICE_ID : bit_vector := X"04244093";
ICAP_WIDTH : string := "X8"; -- "X8", "X16" or "X32"
SIM_CFG_FILE_NAME : string := "NONE"
);
port(
BUSY : out std_logic;
O : out std_logic_vector(31 downto 0);
CSB : in std_logic;
CLK : in std_logic;
I : in std_logic_vector(31 downto 0);
RDWRB : in std_logic
);
end ICAP_VIRTEX6_WRAPPER;
architecture synth of ICAP_VIRTEX6_WRAPPER is
component ICAP_VIRTEX6 is
generic(
DEVICE_ID : bit_vector := X"04244093";
ICAP_WIDTH : string := "X8";
SIM_CFG_FILE_NAME : string := "NONE"
);
port(
CLK : in std_logic;
CSB : in std_logic;
RDWRB : in std_logic;
I : in std_logic_vector(31 downto 0);
BUSY : out std_logic;
O : out std_logic_vector(31 downto 0)
);
end component;
begin
i_ICAP_VIRTEX6 : ICAP_VIRTEX6
generic map (
DEVICE_ID => DEVICE_ID,
ICAP_WIDTH => ICAP_WIDTH,
SIM_CFG_FILE_NAME => SIM_CFG_FILE_NAME
)
port map (
BUSY => BUSY,
O => O,
CSB => CSB,
CLK => CLK,
I => I,
RDWRB => RDWRB
);
end synth;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/platforms/xilinx/smp3_opbhwti_lbrams/design/pcores/ipif_common_v1_00_c/hdl/vhdl/ipif_steer.vhd | 2 | 18833 | --SINGLE_FILE_TAG
-------------------------------------------------------------------------------
-- $Id: ipif_steer.vhd,v 1.1 2003/02/18 19:16:01 ostlerf Exp $
-------------------------------------------------------------------------------
-- IPIF_Steer - entity/architecture pair
-------------------------------------------------------------------------------
--
-- ****************************
-- ** Copyright Xilinx, Inc. **
-- ** All rights reserved. **
-- ****************************
--
-------------------------------------------------------------------------------
-- Filename: ipif_steer.vhd
-- Version: v1.00b
-- Description: Read and Write Steering logic for IPIF
--
-- For writes, this logic steers data from the correct byte
-- lane to IPIF devices which may be smaller than the bus
-- width. The BE signals are also steered if the BE_Steer
-- signal is asserted, which indicates that the address space
-- being accessed has a smaller maximum data transfer size
-- than the bus size.
--
-- For writes, the Decode_size signal determines how read
-- data is steered onto the byte lanes. To simplify the
-- logic, the read data is mirrored onto the entire data
-- bus, insuring that the lanes corrsponding to the BE's
-- have correct data.
--
--
--
-------------------------------------------------------------------------------
-- Structure:
--
-- ipif_steer.vhd
--
-------------------------------------------------------------------------------
-- Author: BLT
-- History:
-- BLT 2-5-2002 -- First version
-- ^^^^^^
-- First version of IPIF steering logic.
-- ~~~~~~
-- BLT 2-12-2002 -- Removed BE_Steer, now generated internally
--
-- DET 2-24-2002 -- Added 'When others' to size case statement
-- in BE_STEER_PROC process.
--
-- BLT 10-10-2002 -- Rewrote to get around some XST synthesis
-- issues.
--
-- BLT 11-18-2002 -- Added addr_bits to sensitivity lists to
-- fix simulation bug
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-------------------------------------------------------------------------------
-- Port declarations
-- generic definitions:
-- C_DWIDTH : integer := width of host databus attached to the IPIF
-- C_SMALLEST : integer := width of smallest device (not access size)
-- attached to the IPIF
-- C_AWIDTH : integer := width of the host address bus attached to
-- the IPIF
-- port definitions:
-- Wr_Data_In : in Write Data In (from host data bus)
-- Rd_Data_In : in Read Data In (from IPIC data bus)
-- Addr : in Address bus from host address bus
-- BE_In : in Byte Enables In from host side
-- Decode_size : in Size of MAXIMUM data access allowed to
-- a particular address map decode.
--
-- Size indication (Decode_size)
-- 001 - byte
-- 010 - halfword
-- 011 - word
-- 100 - doubleword
-- 101 - 128-b
-- 110 - 256-b
-- 111 - 512-b
-- num_bytes = 2^(n-1)
--
-- Wr_Data_Out : out Write Data Out (to IPIF data bus)
-- Rd_Data_Out : out Read Data Out (to host data bus)
-- BE_Out : out Byte Enables Out to IPIF side
--
-------------------------------------------------------------------------------
entity IPIF_Steer is
generic (
C_DWIDTH : integer := 32; -- 8, 16, 32, 64
C_SMALLEST : integer := 32; -- 8, 16, 32, 64
C_AWIDTH : integer := 32
);
port (
Wr_Data_In : in std_logic_vector(0 to C_DWIDTH-1);
Rd_Data_In : in std_logic_vector(0 to C_DWIDTH-1);
Addr : in std_logic_vector(0 to C_AWIDTH-1);
BE_In : in std_logic_vector(0 to C_DWIDTH/8-1);
Decode_size : in std_logic_vector(0 to 2);
Wr_Data_Out : out std_logic_vector(0 to C_DWIDTH-1);
Rd_Data_Out : out std_logic_vector(0 to C_DWIDTH-1);
BE_Out : out std_logic_vector(0 to C_DWIDTH/8-1)
);
end entity IPIF_Steer;
-------------------------------------------------------------------------------
-- Architecture section
-------------------------------------------------------------------------------
architecture IMP of IPIF_Steer is
-------------------------------------------------------------------------------
-- Begin architecture
-------------------------------------------------------------------------------
begin -- architecture IMP
-----------------------------------------------------------------------------
-- OPB Data Muxing and Steering
-----------------------------------------------------------------------------
-- GEN_DWIDTH_SMALLEST
GEN_SAME: if C_DWIDTH = C_SMALLEST generate
Wr_Data_Out <= Wr_Data_In;
BE_Out <= BE_In;
Rd_Data_Out <= Rd_Data_In;
end generate GEN_SAME;
GEN_16_8: if C_DWIDTH = 16 and C_SMALLEST = 8 generate
signal addr_bits : std_logic;
begin
CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In,Decode_size)
begin
Wr_Data_Out <= Wr_Data_In;
BE_Out <= BE_In;
Rd_Data_Out <= Rd_Data_In;
addr_bits <= Addr(C_AWIDTH-1);
case addr_bits is
when '1' =>
Wr_Data_Out(0 to 7) <= Wr_Data_In(8 to 15);
case Decode_size is
when "001" => --B
BE_Out(0) <= BE_In(1);
BE_Out(1) <= '0';
Rd_Data_Out(8 to 15) <= Rd_Data_In(0 to 7);
when others => null;
end case;
when others => null;
end case;
end process CONNECT_PROC;
end generate GEN_16_8;
GEN_32_8: if C_DWIDTH = 32 and C_SMALLEST = 8 generate
signal addr_bits : std_logic_vector(0 to 1);
begin
CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In,Decode_size)
begin
Wr_Data_Out <= Wr_Data_In;
BE_Out <= BE_In;
Rd_Data_Out <= Rd_Data_In;
addr_bits <= Addr(C_AWIDTH-2 to C_AWIDTH-1); --a30 to a31
case addr_bits is
when "01" =>
Wr_Data_Out(0 to 7) <= Wr_Data_In(8 to 15);
case Decode_size is
when "001" => --B
BE_Out(0) <= BE_In(1);
BE_Out(1 to 3) <= (others => '0');
Rd_Data_Out(8 to 15) <= Rd_Data_In(0 to 7);
when "010" => --HW
Rd_Data_Out(8 to 15) <= Rd_Data_In(8 to 15);
when others => null;
end case;
when "10" =>
Wr_Data_Out(0 to 15) <= Wr_Data_In(16 to 31);
case Decode_size is
when "001" => -- B
BE_Out(0) <= BE_In(2);
BE_Out(1 to 3) <= (others => '0');
Rd_Data_Out(16 to 23) <= Rd_Data_In(0 to 7);
when "010" => -- HW
BE_Out(0 to 1) <= BE_In(2 to 3);
BE_Out(2 to 3) <= (others => '0');
Rd_Data_Out(16 to 31) <= Rd_Data_In(0 to 15);
when others => null;
end case;
when "11" =>
Wr_Data_Out(0 to 7) <= Wr_Data_In(24 to 31);
Wr_Data_Out(8 to 15) <= Wr_Data_In(24 to 31);
case Decode_size is
when "001" => -- B
BE_Out(0) <= BE_In(3);
BE_Out(1 to 3) <= (others => '0');
Rd_Data_Out(24 to 31) <= Rd_Data_In(0 to 7);
when "010" => -- HW
BE_Out(1) <= BE_In(3);
BE_Out(2 to 3) <= (others => '0');
Rd_Data_Out(16 to 31) <= Rd_Data_In(0 to 15);
when others => null;
end case;
when others => null;
end case;
end process CONNECT_PROC;
end generate GEN_32_8;
GEN_32_16: if C_DWIDTH = 32 and C_SMALLEST = 16 generate
signal addr_bits : std_logic;
begin
CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In,Decode_size)
begin
Wr_Data_Out <= Wr_Data_In;
BE_Out <= BE_In;
Rd_Data_Out <= Rd_Data_In;
addr_bits <= Addr(C_AWIDTH-2); --a30
case addr_bits is
when '1' =>
Wr_Data_Out(0 to 15) <= Wr_Data_In(16 to 31);
case Decode_size is
when "010" => --HW
BE_Out(0 to 1) <= BE_In(2 to 3);
BE_Out(2 to 3) <= (others => '0');
Rd_Data_Out(16 to 31) <= Rd_Data_In(0 to 15);
when others => null;
end case;
when others => null;
end case;
end process CONNECT_PROC;
end generate GEN_32_16;
GEN_64_8: if C_DWIDTH = 64 and C_SMALLEST = 8 generate
signal addr_bits : std_logic_vector(0 to 2);
begin
CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In,Decode_size)
begin
Wr_Data_Out <= Wr_Data_In;
BE_Out <= BE_In;
Rd_Data_Out <= Rd_Data_In;
addr_bits <= Addr(C_AWIDTH-3 to C_AWIDTH-1); --a29 to a31
case addr_bits is
when "001" =>
Wr_Data_Out(0 to 7) <= Wr_Data_In(8 to 15);
case Decode_size is
when "001" => --B
BE_Out(0) <= BE_In(1);
BE_Out(1 to 7) <= (others => '0');
Rd_Data_Out(8 to 15) <= Rd_Data_In(0 to 7);
when others => null;
end case;
when "010" =>
Wr_Data_Out(0 to 15) <= Wr_Data_In(16 to 31);
case Decode_size is
when "001" => -- B
BE_Out(0) <= BE_In(2);
BE_Out(1 to 7) <= (others => '0');
Rd_Data_Out(16 to 23) <= Rd_Data_In(0 to 7);
when "010" => -- HW
BE_Out(0 to 1) <= BE_In(2 to 3);
BE_Out(2 to 7) <= (others => '0');
Rd_Data_Out(16 to 31) <= Rd_Data_In(0 to 15);
when others => null;
end case;
when "011" =>
Wr_Data_Out(0 to 7) <= Wr_Data_In(24 to 31);
Wr_Data_Out(8 to 15) <= Wr_Data_In(24 to 31);
case Decode_size is
when "001" => -- B
BE_Out(0) <= BE_In(3);
BE_Out(1 to 7) <= (others => '0');
Rd_Data_Out(24 to 31) <= Rd_Data_In(0 to 7);
when "010" => -- HW
BE_Out(0 to 1) <= BE_In(2 to 3);
BE_Out(2 to 7) <= (others => '0');
Rd_Data_Out(24 to 31) <= Rd_Data_In(8 to 15);
when others => null;
end case;
when "100" =>
Wr_Data_Out(0 to 31) <= Wr_Data_In(32 to 63);
case Decode_size is
when "001" => -- B
BE_Out(0) <= BE_In(4);
BE_Out(1 to 7) <= (others => '0');
Rd_Data_Out(32 to 39) <= Rd_Data_In(0 to 7);
when "010" => -- HW
BE_Out(0 to 1) <= BE_In(4 to 5);
BE_Out(2 to 7) <= (others => '0');
Rd_Data_Out(32 to 47) <= Rd_Data_In(0 to 15);
when "011" => -- FW
BE_Out(0 to 3) <= BE_In(4 to 7);
BE_Out(4 to 7) <= (others => '0');
Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31);
when others => null;
end case;
when "101" =>
Wr_Data_Out(0 to 7) <= Wr_Data_In(40 to 47);
Wr_Data_Out(8 to 15) <= Wr_Data_In(40 to 47);
case Decode_size is
when "001" => -- B
BE_Out(0) <= BE_In(5);
BE_Out(1 to 7) <= (others => '0');
Rd_Data_Out(40 to 47) <= Rd_Data_In(0 to 7);
when "010" => -- HW
BE_Out(0 to 1) <= BE_In(4 to 5);
BE_Out(2 to 7) <= (others => '0');
Rd_Data_Out(32 to 47) <= Rd_Data_In(0 to 15);
when "011" => -- FW
BE_Out(0 to 3) <= BE_In(4 to 7);
BE_Out(4 to 7) <= (others => '0');
Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31);
when others => null;
end case;
when "110" =>
Wr_Data_Out(0 to 15) <= Wr_Data_In(48 to 63);
Wr_Data_Out(16 to 31) <= Wr_Data_In(48 to 63);
case Decode_size is
when "001" => -- B
BE_Out(0) <= BE_In(6);
BE_Out(1 to 7) <= (others => '0');
Rd_Data_Out(48 to 55) <= Rd_Data_In(0 to 7);
when "010" => -- HW
BE_Out(0 to 1) <= BE_In(6 to 7);
BE_Out(2 to 7) <= (others => '0');
Rd_Data_Out(48 to 63) <= Rd_Data_In(0 to 15);
when "011" => -- FW
BE_Out(0 to 3) <= BE_In(4 to 7);
BE_Out(4 to 7) <= (others => '0');
Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31);
when others => null;
end case;
when "111" =>
Wr_Data_Out(0 to 7) <= Wr_Data_In(56 to 63);
Wr_Data_Out(8 to 15) <= Wr_Data_In(56 to 63);
Wr_Data_Out(24 to 31) <= Wr_Data_In(56 to 63);
case Decode_size is
when "001" => -- B
BE_Out(0) <= BE_In(7);
BE_Out(1 to 7) <= (others => '0');
Rd_Data_Out(56 to 63) <= Rd_Data_In(0 to 7);
when "010" => -- HW
BE_Out(0 to 1) <= BE_In(6 to 7);
BE_Out(2 to 7) <= (others => '0');
Rd_Data_Out(48 to 63) <= Rd_Data_In(0 to 15);
when "011" => -- FW
BE_Out(0 to 3) <= BE_In(4 to 7);
BE_Out(4 to 7) <= (others => '0');
Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31);
when others => null;
end case;
when others => null;
end case;
end process CONNECT_PROC;
end generate GEN_64_8;
GEN_64_16: if C_DWIDTH = 64 and C_SMALLEST = 16 generate
signal addr_bits : std_logic_vector(0 to 1);
begin
CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In,Decode_size)
begin
Wr_Data_Out <= Wr_Data_In;
BE_Out <= BE_In;
Rd_Data_Out <= Rd_Data_In;
addr_bits <= Addr(C_AWIDTH-3 to C_AWIDTH-2); --a29 to a30
case addr_bits is
when "01" =>
Wr_Data_Out(0 to 15) <= Wr_Data_In(16 to 31);
case Decode_size is
when "010" => --HW
BE_Out(0 to 1) <= BE_In(2 to 3);
BE_Out(2 to 7) <= (others => '0');
Rd_Data_Out(16 to 31) <= Rd_Data_In(0 to 15);
when others => null;
end case;
when "10" =>
Wr_Data_Out(0 to 31) <= Wr_Data_In(32 to 63);
case Decode_size is
when "010" => -- HW
BE_Out(0 to 1) <= BE_In(4 to 5);
BE_Out(2 to 7) <= (others => '0');
Rd_Data_Out(32 to 47) <= Rd_Data_In(0 to 15);
when "011" => -- FW
BE_Out(0 to 3) <= BE_In(4 to 7);
BE_Out(4 to 7) <= (others => '0');
Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31);
when others => null;
end case;
when "11" =>
Wr_Data_Out(0 to 15) <= Wr_Data_In(48 to 63);
Wr_Data_Out(16 to 31) <= Wr_Data_In(48 to 63);
case Decode_size is
when "010" => -- HW
BE_Out(0 to 1) <= BE_In(6 to 7);
BE_Out(2 to 7) <= (others => '0');
Rd_Data_Out(48 to 63) <= Rd_Data_In(0 to 15);
when "011" => -- FW
BE_Out(0 to 3) <= BE_In(4 to 7);
BE_Out(4 to 7) <= (others => '0');
Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31);
when others => null;
end case;
when others => null;
end case;
end process CONNECT_PROC;
end generate GEN_64_16;
GEN_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate
signal addr_bits : std_logic;
begin
CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In,Decode_size)
begin
Wr_Data_Out <= Wr_Data_In;
BE_Out <= BE_In;
Rd_Data_Out <= Rd_Data_In;
addr_bits <= Addr(C_AWIDTH-3); --a29
case addr_bits is
when '1' =>
Wr_Data_Out(0 to 31) <= Wr_Data_In(32 to 63);
case Decode_size is
when "011" =>
BE_Out(0 to 3) <= BE_In(4 to 7);
BE_Out(4 to 7) <= (others => '0');
Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31);
when others => null;
end case;
when others => null;
end case;
end process CONNECT_PROC;
end generate GEN_64_32;
-- Size indication (Decode_size)
-- n = 001 byte 2^0
-- n = 010 halfword 2^1
-- n = 011 word 2^2
-- n = 100 doubleword 2^3
-- n = 101 128-b
-- n = 110 256-b
-- n = 111 512-b
-- num_bytes = 2^(n-1)
end architecture IMP;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/hardware/MyRepository/pcores/plb_thread_manager_v1_00_a/devl/bfmsim/simulation/behavioral/synch_bus_wrapper.vhd | 6 | 975 | -------------------------------------------------------------------------------
-- synch_bus_wrapper.vhd
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
library bfm_synch_v1_00_a;
use bfm_synch_v1_00_a.all;
entity synch_bus_wrapper is
port (
FROM_SYNCH_OUT : in std_logic_vector(0 to 127);
TO_SYNCH_IN : out std_logic_vector(0 to 31)
);
end synch_bus_wrapper;
architecture STRUCTURE of synch_bus_wrapper is
component bfm_synch is
generic (
C_NUM_SYNCH : integer
);
port (
FROM_SYNCH_OUT : in std_logic_vector(0 to (C_NUM_SYNCH*32)-1);
TO_SYNCH_IN : out std_logic_vector(0 to 31)
);
end component;
begin
synch_bus : bfm_synch
generic map (
C_NUM_SYNCH => 4
)
port map (
FROM_SYNCH_OUT => FROM_SYNCH_OUT,
TO_SYNCH_IN => TO_SYNCH_IN
);
end architecture STRUCTURE;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/hardware/MyRepository/pcores/plb_scheduler_v1_00_a/devl/bfmsim/simulation/behavioral/synch_bus_wrapper.vhd | 6 | 975 | -------------------------------------------------------------------------------
-- synch_bus_wrapper.vhd
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
library bfm_synch_v1_00_a;
use bfm_synch_v1_00_a.all;
entity synch_bus_wrapper is
port (
FROM_SYNCH_OUT : in std_logic_vector(0 to 127);
TO_SYNCH_IN : out std_logic_vector(0 to 31)
);
end synch_bus_wrapper;
architecture STRUCTURE of synch_bus_wrapper is
component bfm_synch is
generic (
C_NUM_SYNCH : integer
);
port (
FROM_SYNCH_OUT : in std_logic_vector(0 to (C_NUM_SYNCH*32)-1);
TO_SYNCH_IN : out std_logic_vector(0 to 31)
);
end component;
begin
synch_bus : bfm_synch
generic map (
C_NUM_SYNCH => 4
)
port map (
FROM_SYNCH_OUT => FROM_SYNCH_OUT,
TO_SYNCH_IN => TO_SYNCH_IN
);
end architecture STRUCTURE;
| bsd-3-clause |
jevinskie/aes-over-pcie | source/experiment/lfsr.vhd | 1 | 1289 |
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity lfsr is
port (
clk : in std_logic;
clr : in std_logic;
count : out unsigned(3 downto 0);
top : out std_logic
);
end lfsr;
architecture behav of lfsr is
signal cnt, next_cnt : unsigned(3 downto 0);
signal near,near2 : std_logic;
signal g, next_g : unsigned(3 downto 0);
begin
process(clk)
begin
if (rising_edge(clk)) then
cnt <= next_cnt;
g <= next_g;
end if;
end process;
process(cnt, clr, near, g)
begin
if (clr='1') then
next_cnt <= (others => '1');
next_g <= (others => '1');
else
-- next_cnt <= cnt rol 1;
--next_cnt(3) <= cnt(2) xor cnt(0) xor near;
--next_cnt(2) <= cnt(1) xor cnt(0);
next_cnt <= cnt ror 1;
next_cnt(3) <= cnt(3) xor cnt(0) xor near;
next_g(3) <= g(0);
next_g(2) <= g(0) xor g(3);
next_g(1 downto 0) <= g(2 downto 1);
end if;
end process;
near <= '1' when (cnt(3)='0' and cnt(2)='0' and cnt(1)='0') else '0';
near2 <= '1' when (g(3)='0' and g(2)='0' and g(1)='0') else '0';
count <= cnt;
top <= '1' when cnt = x"e" else '0';
end behav;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/platforms/xilinx/pr_6smp/design/pcores/plb_sync_manager_v1_00_a/hdl/vhdl/trylock_fsm.vhd | 11 | 6378 | -------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
use work.common.all;
entity trylock_fsm is
generic
(
C_AWIDTH : integer := 32;
C_DWIDTH : integer := 32;
C_TWIDTH : integer := 8;
C_MWIDTH : integer := 6;
C_CWIDTH : integer := 8
);
port
(
clk : in std_logic;
rst : in std_logic;
start : in std_logic;
finish : out std_logic;
data : out std_logic_vector(0 to C_DWIDTH-1);
mutex : in std_logic_vector(0 to C_MWIDTH-1);
thread : in std_logic_vector(0 to C_TWIDTH-1);
miowner : in std_logic_vector(0 to C_TWIDTH-1);
milast : in std_logic_vector(0 to C_TWIDTH-1);
minext : in std_logic_vector(0 to C_TWIDTH-1);
micount : in std_logic_vector(0 to C_CWIDTH-1);
mikind : in std_logic_vector(0 to 1);
tinext : in std_logic_vector(0 to C_TWIDTH-1);
moaddr : out std_logic_vector(0 to C_MWIDTH-1);
moena : out std_logic;
mowea : out std_logic;
moowner : out std_logic_vector(0 to C_TWIDTH-1);
monext : out std_logic_vector(0 to C_TWIDTH-1);
molast : out std_logic_vector(0 to C_TWIDTH-1);
mocount : out std_logic_vector(0 to C_CWIDTH-1);
mokind : out std_logic_vector(0 to 1);
sysrst : in std_logic;
rstdone : out std_logic;
toaddr : out std_logic_vector(0 to C_TWIDTH-1);
toena : out std_logic;
towea : out std_logic;
tonext : out std_logic_vector(0 to C_TWIDTH-1)
);
end trylock_fsm;
architecture behavioral of trylock_fsm is
-- A type for the states in the try fsm
type try_state is
(
IDLE,
READ,
DONE
);
-- Declare signals for the try fsm
signal try_cs : try_state;
signal try_ns : try_state;
begin
-- This core resets in one clock cycle so it is always "done"
rstdone <= '1';
try_update : process (clk,rst,sysrst,try_ns) is
begin
if( rising_edge(clk) ) then
if( rst = '1' or sysrst = '1' ) then
try_cs <= IDLE;
else
try_cs <= try_ns;
end if;
end if;
end process try_update;
try_controller : process (try_cs,start,mutex,micount,mikind,miowner,milast,minext,thread) is
begin
try_ns <= try_cs;
finish <= '0';
data <= (others => '0');
moaddr <= (others => '0');
moena <= '0';
mowea <= '0';
moowner <= (others => '0');
monext <= (others => '0');
molast <= (others => '0');
mokind <= (others => '0');
mocount <= (others => '0');
toaddr <= (others => '0');
toena <= '0';
towea <= '0';
tonext <= (others => '0');
case try_cs is
when IDLE =>
if( start = '1' ) then
moaddr <= mutex;
moena <= '1';
mowea <= '0';
try_ns <= READ;
end if;
when READ =>
try_ns <= DONE;
when DONE =>
if( micount = zero(C_CWIDTH) ) then
moaddr <= mutex;
moena <= '1';
mowea <= '1';
moowner <= thread;
monext <= thread;
molast <= thread;
mocount <= one( C_CWIDTH );
mokind <= mikind;
elsif( mikind = SYNCH_RECURS and miowner = thread ) then
moaddr <= mutex;
moena <= '1';
mowea <= '1';
moowner <= miowner;
monext <= minext;
molast <= milast;
mocount <= micount + 1;
mokind <= mikind;
else
data(1) <= '1';
end if;
finish <= '1';
try_ns <= IDLE;
end case;
end process try_controller;
end behavioral;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/platforms/xilinx/smp3_opbhwti_lbrams/design/pcores/opb_v20_v1_10_d/hdl/vhdl/family.vhd | 3 | 21228 | -- $Header: /devl/xcs/repo/env/Databases/ip2/processor/hardware/opb_v20/opb_v20_v1_10_d/hdl/src/vhdl/Attic/family.vhd,v 1.1.2.1 2009/10/06 21:15:00 gburch Exp $
--------------------------------------------------------------------------------
-- BEGIN_CHANGELOG EDK_H_SP1
-- Added spartan3e
-- END_CHANGELOG
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2003,2009 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
--
-- GAB 10/05/09
-- ^^^^^^
-- Moved all helper libraries proc_common_v2_00_a, opb_ipif_v3_01_a, and
-- opb_arbiter_v1_02_e locally into opb_v20_v1_10_d
--
-- Updated legal header
-- ~~~~~~
-------------------------------------------------------------------------------
package family is
-- constant declarations
constant ANY : string := "any";
constant X4K : string := "x4k";
constant X4KE : string := "x4ke";
constant X4KL : string := "x4kl";
constant X4KEX : string := "x4kex";
constant X4KXL : string := "x4kxl";
constant X4KXV : string := "x4kxv";
constant X4KXLA : string := "x4kxla";
constant SPARTAN : string := "spartan";
constant SPARTANXL : string := "spartanxl";
constant SPARTAN2 : string := "spartan2";
constant SPARTAN2E : string := "spartan2e";
constant VIRTEX : string := "virtex";
constant VIRTEXE : string := "virtexe";
constant VIRTEX2 : string := "virtex2";
constant VIRTEX2P : string := "virtex2p";
constant BYZANTIUM : string := "byzantium";
constant SPARTAN3 : string := "spartan3";
constant QRVIRTEX2 : string := "qrvirtex2";
constant QVIRTEX2 : string := "qvirtex2";
constant VIRTEX4 : string := "virtex4";
constant VIRTEX5 : string := "virtex5";
constant SPARTAN3E : string := "spartan3e";
constant SPARTAN3A : string := "spartan3a";
constant SPARTAN3AN: string := "spartan3an";
-- function declarations
-- derived - provides a means to determine if a family specified in child is
-- the same as, or is a super set of, the family specified in
-- ancestor.
--
-- Typically, child is set to the generic specifying the family type
-- the user wishes to implement the design into (C_FAMILY), and the
-- designer hard codes ancestor to the family type supported by the
-- design. If the design supports multiple family types, then each
-- of those family types would need to be tested against C_FAMILY
-- using this function. An example for the VIRTEX2P hierarchy
-- is shown below:
--
-- VIRTEX2P_SPECIFIC_LOGIC_GEN:
-- if derived(C_FAMILY,VIRTEX2P)
-- generate
-- -- logic specific to Virtex2P family
-- end generate VIRTEX2P_SPECIFIC_LOGIC_GEN;
--
-- NON_VIRTEX2P_SPECIFIC_LOGIC_GEN:
-- if not derived(C_FAMILY,VIRTEX2P)
-- generate
--
-- VIRTEX2_SPECIFIC_LOGIC_GEN:
-- if derived(C_FAMILY,VIRTEX2)
-- generate
-- -- logic specific to Virtex2 family
-- end generate VIRTEX2_SPECIFIC_LOGIC_GEN;
--
-- NON_VIRTEX2_SPECIFIC_LOGIC_GEN
-- if not derived(C_FAMILY,VIRTEX2)
-- generate
--
-- VIRTEX_SPECIFIC_LOGIC_GEN:
-- if derived(C_FAMILY,VIRTEX)
-- generate
-- -- logic specific to Virtex family
-- end generate VIRTEX_SPECIFIC_LOGIC_GEN;
--
-- NON_VIRTEX_SPECIFIC_LOGIC_GEN;
-- if not derived(C_FAMILY,VIRTEX)
-- generate
--
-- ANY_FAMILY_TYPE_LOGIC_GEN:
-- if derived(C_FAMILY,ANY)
-- generate
-- -- logic not specific to any family
-- end generate ANY_FAMILY_TYPE_LOGIC_GEN;
--
-- end generate NON_VIRTEX_SPECIFIC_LOGIC_GEN;
--
-- end generate NON_VIRTEX2_SPECIFIC_LOGIC_GEN;
--
-- end generate NON_VIRTEX2P_SPECIFIC_LOGIC_GEN;
--
-- This function will return TRUE if the family type specified in
-- child is equal to, or a super set of, the family type specified in
-- ancestor, otherwise it returns FALSE.
--
-- The current super sets are defined by the following list, where
-- all family types listed to the right of an item are contained in
-- the super set of that item, for all lines containing that item.
--
-- ANY, X4K, SPARTAN, SPARTANXL
-- ANY, X4K, X4KE, X4KL
-- ANY, X4K, X4KEX, X4KXL, X4KXV, X4KXLA
-- ANY, VIRTEX, SPARTAN2, SPARTAN2E
-- ANY, VIRTEX, VIRTEXE
-- ANY, VIRTEX, VIRTEX2, BYZANTIUM
-- ANY, VIRTEX, VIRTEX2, VIRTEX2P
-- ANY, VIRTEX, VIRTEX2, SPARTAN3
--
-- For exampel, all other family types are contained in the super set
-- for ANY. Stated another way, if the designer specifies ANY
-- for the family type the design supports, then the function will
-- return TRUE for any family type the user wishes to implement the
-- design into.
--
-- if derived(C_FAMILY,ANY) generate ... end generate;
--
-- If the designer specifies VIRTEX2 as the family type supported by
-- the design, then the function will only return TRUE if the user
-- intends to implement the design in VIRTEX2, VIRTEX2P, BYZANTIUM,
-- or SPARTAN3.
--
-- if derived(C_FAMILY,VIRTEX2) generate
-- -- logic that uses VIRTEX2 BRAMs
-- end generate;
--
-- if not derived(C_FAMILY,VIRTEX2) generate
-- -- logic that uses non VIRTEX2 BRAMs
-- end generate;
--
-- Note:
-- The last three lines of the list above were modified from the
-- original to remove VIRTEX from those lines because, from our point
-- of view, VIRTEX2 is different enough from VIRTEX to conclude that
-- it should be its own base family type.
--
-- **************************************************************************
-- WARNING
-- **************************************************************************
-- DO NOT RELY ON THE DERIVED FUNCTION TO PROVIDE DIFFERENTIATION BETWEEN
-- FAMILY TYPES FOR ANYTHING OTHER THAN BRAMS
--
-- Use of the derived function assumes that the designer is not using
-- RLOCs (RLOC'd FIFO's from Coregen, etc.) and that the BRAMs in the
-- derived families are similar. If the designer is using specific
-- elements of a family type, they are responsible for ensuring that
-- those same elements are available in all family types supported by
-- their design, and that the elements function exactly the same in all
-- "similar" families.
--
-- **************************************************************************
--
function derived ( child, ancestor : string ) return boolean;
-- equalIgnoreCase - Returns TRUE if case insensitive string comparison
-- determines that str1 and str2 are equal, otherwise FALSE
function equalIgnoreCase( str1, str2 : string ) return boolean;
-- toLowerCaseChar - Returns the lower case form of char if char is an upper
-- case letter. Otherwise char is returned.
function toLowerCaseChar( char : character ) return character;
end family;
package body family is
-- True if architecture "child" is derived from, or equal to,
-- the architecture "ancestor".
-- ANY, X4K, SPARTAN, SPARTANXL
-- ANY, X4K, X4KE, X4KL
-- ANY, X4K, X4KEX, X4KXL, X4KXV, X4KXLA
-- ANY, VIRTEX, SPARTAN2, SPARTAN2E
-- ANY, VIRTEX, VIRTEXE
-- ANY, VIRTEX, VIRTEX2, BYZANTIUM
-- ANY, VIRTEX, VIRTEX2, VIRTEX2P
-- ANY, VIRTEX, VIRTEX2, SPARTAN3
function derived ( child, ancestor : string ) return boolean is
variable is_derived : boolean := FALSE;
begin
if equalIgnoreCase( child, VIRTEX ) then -- base family type
if ( equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, VIRTEX2 ) then
if ( equalIgnoreCase(ancestor,VIRTEX2) OR
equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, QRVIRTEX2 ) then
if ( equalIgnoreCase(ancestor,QRVIRTEX2) OR
equalIgnoreCase(ancestor,VIRTEX2) OR
equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, QVIRTEX2 ) then
if ( equalIgnoreCase(ancestor,QVIRTEX2) OR
equalIgnoreCase(ancestor,VIRTEX2) OR
equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, VIRTEX5 ) then
if ( equalIgnoreCase(ancestor,VIRTEX5) OR
equalIgnoreCase(ancestor,VIRTEX4) OR
equalIgnoreCase(ancestor,VIRTEX2P) OR
equalIgnoreCase(ancestor,VIRTEX2) OR
equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, VIRTEX4 ) then
if ( equalIgnoreCase(ancestor,VIRTEX4) OR
equalIgnoreCase(ancestor,VIRTEX2P) OR
equalIgnoreCase(ancestor,VIRTEX2) OR
equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, VIRTEX2P ) then
if ( equalIgnoreCase(ancestor,VIRTEX2P) OR
equalIgnoreCase(ancestor,VIRTEX2) OR
equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, BYZANTIUM ) then
if ( equalIgnoreCase(ancestor,BYZANTIUM) OR
equalIgnoreCase(ancestor,VIRTEX2) OR
equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, VIRTEXE ) then
if ( equalIgnoreCase(ancestor,VIRTEXE) OR
equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, SPARTAN2 ) then
if ( equalIgnoreCase(ancestor,SPARTAN2) OR
equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, SPARTAN2E ) then
if ( equalIgnoreCase(ancestor,SPARTAN2E) OR
equalIgnoreCase(ancestor,SPARTAN2) OR
equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, SPARTAN3 ) then
if ( equalIgnoreCase(ancestor,SPARTAN3) OR
equalIgnoreCase(ancestor,VIRTEX2) OR
equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, SPARTAN3E ) then
if ( equalIgnoreCase(ancestor,SPARTAN3E) OR
equalIgnoreCase(ancestor,SPARTAN3) OR
equalIgnoreCase(ancestor,VIRTEX2) OR
equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, SPARTAN3A ) then
if ( equalIgnoreCase(ancestor,SPARTAN3A) OR
equalIgnoreCase(ancestor,SPARTAN3E) OR
equalIgnoreCase(ancestor,SPARTAN3) OR
equalIgnoreCase(ancestor,VIRTEX2) OR
equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, SPARTAN3AN ) then
if ( equalIgnoreCase(ancestor,SPARTAN3AN) OR
equalIgnoreCase(ancestor,SPARTAN3E) OR
equalIgnoreCase(ancestor,SPARTAN3) OR
equalIgnoreCase(ancestor,VIRTEX2) OR
equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, X4K ) then -- base family type
if ( equalIgnoreCase(ancestor,X4K) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, X4KEX ) then
if ( equalIgnoreCase(ancestor,X4KEX) OR
equalIgnoreCase(ancestor,X4K) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, X4KXL ) then
if ( equalIgnoreCase(ancestor,X4KXL) OR
equalIgnoreCase(ancestor,X4KEX) OR
equalIgnoreCase(ancestor,X4K) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, X4KXV ) then
if ( equalIgnoreCase(ancestor,X4KXV) OR
equalIgnoreCase(ancestor,X4KXL) OR
equalIgnoreCase(ancestor,X4KEX) OR
equalIgnoreCase(ancestor,X4K) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, X4KXLA ) then
if ( equalIgnoreCase(ancestor,X4KXLA) OR
equalIgnoreCase(ancestor,X4KXV) OR
equalIgnoreCase(ancestor,X4KXL) OR
equalIgnoreCase(ancestor,X4KEX) OR
equalIgnoreCase(ancestor,X4K) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, X4KE ) then
if ( equalIgnoreCase(ancestor,X4KE) OR
equalIgnoreCase(ancestor,X4K) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, X4KL ) then
if ( equalIgnoreCase(ancestor,X4KL) OR
equalIgnoreCase(ancestor,X4KE) OR
equalIgnoreCase(ancestor,X4K) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, SPARTAN ) then
if ( equalIgnoreCase(ancestor,SPARTAN) OR
equalIgnoreCase(ancestor,X4K) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, SPARTANXL ) then
if ( equalIgnoreCase(ancestor,SPARTANXL) OR
equalIgnoreCase(ancestor,SPARTAN) OR
equalIgnoreCase(ancestor,X4K) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, ANY ) then
if equalIgnoreCase( ancestor, any ) then is_derived := TRUE;
end if;
end if;
return is_derived;
end derived;
-- Returns the lower case form of char if char is an upper case letter.
-- Otherwise char is returned.
function toLowerCaseChar( char : character ) return character is
begin
-- If char is not an upper case letter then return char
if char < 'A' OR char > 'Z' then
return char;
end if;
-- Otherwise map char to its corresponding lower case character and
-- return that
case char is
when 'A' => return 'a';
when 'B' => return 'b';
when 'C' => return 'c';
when 'D' => return 'd';
when 'E' => return 'e';
when 'F' => return 'f';
when 'G' => return 'g';
when 'H' => return 'h';
when 'I' => return 'i';
when 'J' => return 'j';
when 'K' => return 'k';
when 'L' => return 'l';
when 'M' => return 'm';
when 'N' => return 'n';
when 'O' => return 'o';
when 'P' => return 'p';
when 'Q' => return 'q';
when 'R' => return 'r';
when 'S' => return 's';
when 'T' => return 't';
when 'U' => return 'u';
when 'V' => return 'v';
when 'W' => return 'w';
when 'X' => return 'x';
when 'Y' => return 'y';
when 'Z' => return 'z';
when others => return char;
end case;
end toLowerCaseChar;
-- Returns true if case insensitive string comparison determines that
-- str1 and str2 are equal
function equalIgnoreCase( str1, str2 : string ) return boolean is
constant LEN1 : integer := str1'length;
constant LEN2 : integer := str2'length;
variable equal : boolean := TRUE;
begin
if not (LEN1 = LEN2) then
equal := FALSE;
else
for i in str1'range loop
if not (toLowerCaseChar(str1(i)) = toLowerCaseChar(str2(i))) then
equal := FALSE;
end if;
end loop;
end if;
return equal;
end equalIgnoreCase;
end family;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/hardware/MyRepository/pcores/util_intr_split_v1_00_a/hdl/vhdl/util_intr_split.vhd | 2 | 4825 | -------------------------------------------------------------------------------
-- $Id: util_intr_split.vhd,v 1.1 2003/10/02 22:48:54 abq_ip Exp $
-------------------------------------------------------------------------------
-- util_intr_split.vhd - Entity and architecture
--
-- ***************************************************************************
-- ** Copyright(C) 2003 by Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This text contains proprietary, confidential **
-- ** information of Xilinx, Inc. , is distributed by **
-- ** under license from Xilinx, Inc., and may be used, **
-- ** copied and/or disclosed only pursuant to the terms **
-- ** of a valid license agreement with Xilinx, Inc. **
-- ** **
-- ** Unmodified source code is guaranteed to place and route, **
-- ** function and run at speed according to the datasheet **
-- ** specification. Source code is provided "as-is", with no **
-- ** obligation on the part of Xilinx to provide support. **
-- ** **
-- ** Xilinx Hotline support of source code IP shall only include **
-- ** standard level Xilinx Hotline support, and will only address **
-- ** issues and questions related to the standard released Netlist **
-- ** version of the core (and thus indirectly, the original core source). **
-- ** **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Support Hotline will only be able **
-- ** to confirm the problem in the Netlist version of the core. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ***************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: util_intr_split.vhd
--
-- Description:
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- util_intr_split.vhd
--
-------------------------------------------------------------------------------
-- Author: goran
-- Revision: $Revision: 1.1 $
-- Date: $Date: 2003/10/02 22:48:54 $
--
-- History:
-- goran 2003-05-19 First Version
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
entity util_intr_split is
generic (
C_SIZE_IN : integer := 2);
--C_LEFT_POS : integer := 0;
--C_SPLIT : integer := 1);
port (
Sig : in std_logic_vector(0 to C_SIZE_IN-1);
Out1 : out std_logic;
Out2 : out std_logic);
--Out1 : out std_logic_vector(C_LEFT_POS to C_SPLIT-1);
--Out2 : out std_logic_vector(C_SPLIT to C_SIZE_IN-1));
end entity util_intr_split;
architecture IMP of util_intr_split is
begin -- architecture IMP
Out1 <= Sig(0);
Out2 <= Sig(1);
--Out1 <= Sig(C_LEFT_POS to C_SPLIT-1);
--Out2 <= Sig(C_SPLIT to C_SIZE_IN-1);
end architecture IMP;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/platforms/xilinx/ml605_pr_smp1_14_7/design/pcores/plb_scheduler_v1_00_a/devl/bfmsim/pcores/plb_scheduler_tb_v1_00_a/simhdl/vhdl/plb_scheduler_tb.vhd | 3 | 21916 | ------------------------------------------------------------------------------
--
-- This vhdl module is a template for creating IP testbenches using the IBM
-- BFM toolkits. It provides a fixed interface to the subsystem testbench.
--
-- DO NOT CHANGE THE entity name, architecture name, generic parameter
-- declaration or port declaration of this file. You may add components,
-- instances, constants, signals, etc. as you wish.
--
-- See IBM Bus Functional Model Toolkit User's Manual for more information
-- on the BFMs.
--
------------------------------------------------------------------------------
-- plb_scheduler_tb.vhd - entity/architecture pair
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: plb_scheduler_tb.vhd
-- Version: 1.00.a
-- Description: IP testbench
-- Date: Mon Apr 6 14:20:49 2009 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library plb_scheduler_v1_00_a;
--USER libraries added here
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
entity plb_scheduler_tb is
------------------------------------------
-- DO NOT CHANGE THIS GENERIC DECLARATION
------------------------------------------
generic
(
-- Bus protocol parameters, do not add to or delete
C_BASEADDR : std_logic_vector := X"FFFFFFFF";
C_HIGHADDR : std_logic_vector := X"00000000";
C_SPLB_AWIDTH : integer := 32;
C_SPLB_DWIDTH : integer := 128;
C_SPLB_NUM_MASTERS : integer := 8;
C_SPLB_MID_WIDTH : integer := 3;
C_SPLB_NATIVE_DWIDTH : integer := 32;
C_SPLB_P2P : integer := 0;
C_SPLB_SUPPORT_BURSTS : integer := 0;
C_SPLB_SMALLEST_MASTER : integer := 32;
C_SPLB_CLK_PERIOD_PS : integer := 10000;
C_INCLUDE_DPHASE_TIMER : integer := 0;
C_FAMILY : string := "virtex5";
C_MPLB_AWIDTH : integer := 32;
C_MPLB_DWIDTH : integer := 128;
C_MPLB_NATIVE_DWIDTH : integer := 32;
C_MPLB_P2P : integer := 0;
C_MPLB_SMALLEST_SLAVE : integer := 32;
C_MPLB_CLK_PERIOD_PS : integer := 10000
);
------------------------------------------
-- DO NOT CHANGE THIS PORT DECLARATION
------------------------------------------
port
(
-- PLB (v4.6) bus interface, do not add or delete
SPLB_Clk : in std_logic;
SPLB_Rst : in std_logic;
PLB_ABus : in std_logic_vector(0 to 31);
PLB_UABus : in std_logic_vector(0 to 31);
PLB_PAValid : in std_logic;
PLB_SAValid : in std_logic;
PLB_rdPrim : in std_logic;
PLB_wrPrim : in std_logic;
PLB_masterID : in std_logic_vector(0 to C_SPLB_MID_WIDTH-1);
PLB_abort : in std_logic;
PLB_busLock : in std_logic;
PLB_RNW : in std_logic;
PLB_BE : in std_logic_vector(0 to C_SPLB_DWIDTH/8-1);
PLB_MSize : in std_logic_vector(0 to 1);
PLB_size : in std_logic_vector(0 to 3);
PLB_type : in std_logic_vector(0 to 2);
PLB_lockErr : in std_logic;
PLB_wrDBus : in std_logic_vector(0 to C_SPLB_DWIDTH-1);
PLB_wrBurst : in std_logic;
PLB_rdBurst : in std_logic;
PLB_wrPendReq : in std_logic;
PLB_rdPendReq : in std_logic;
PLB_wrPendPri : in std_logic_vector(0 to 1);
PLB_rdPendPri : in std_logic_vector(0 to 1);
PLB_reqPri : in std_logic_vector(0 to 1);
PLB_TAttribute : in std_logic_vector(0 to 15);
Sl_addrAck : out std_logic;
Sl_SSize : out std_logic_vector(0 to 1);
Sl_wait : out std_logic;
Sl_rearbitrate : out std_logic;
Sl_wrDAck : out std_logic;
Sl_wrComp : out std_logic;
Sl_wrBTerm : out std_logic;
Sl_rdDBus : out std_logic_vector(0 to C_SPLB_DWIDTH-1);
Sl_rdWdAddr : out std_logic_vector(0 to 3);
Sl_rdDAck : out std_logic;
Sl_rdComp : out std_logic;
Sl_rdBTerm : out std_logic;
Sl_MBusy : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MWrErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MRdErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MIRQ : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
MPLB_Clk : in std_logic;
MPLB_Rst : in std_logic;
MD_error : out std_logic;
M_request : out std_logic;
M_priority : out std_logic_vector(0 to 1);
M_busLock : out std_logic;
M_RNW : out std_logic;
M_BE : out std_logic_vector(0 to C_MPLB_DWIDTH/8-1);
M_MSize : out std_logic_vector(0 to 1);
M_size : out std_logic_vector(0 to 3);
M_type : out std_logic_vector(0 to 2);
M_TAttribute : out std_logic_vector(0 to 15);
M_lockErr : out std_logic;
M_abort : out std_logic;
M_UABus : out std_logic_vector(0 to 31);
M_ABus : out std_logic_vector(0 to 31);
M_wrDBus : out std_logic_vector(0 to C_MPLB_DWIDTH-1);
M_wrBurst : out std_logic;
M_rdBurst : out std_logic;
PLB_MAddrAck : in std_logic;
PLB_MSSize : in std_logic_vector(0 to 1);
PLB_MRearbitrate : in std_logic;
PLB_MTimeout : in std_logic;
PLB_MBusy : in std_logic;
PLB_MRdErr : in std_logic;
PLB_MWrErr : in std_logic;
PLB_MIRQ : in std_logic;
PLB_MRdDBus : in std_logic_vector(0 to (C_MPLB_DWIDTH-1));
PLB_MRdWdAddr : in std_logic_vector(0 to 3);
PLB_MRdDAck : in std_logic;
PLB_MRdBTerm : in std_logic;
PLB_MWrDAck : in std_logic;
PLB_MWrBTerm : in std_logic;
-- BFM synchronization bus interface
SYNCH_IN : in std_logic_vector(0 to 31) := (others => '0');
SYNCH_OUT : out std_logic_vector(0 to 31) := (others => '0')
);
end entity plb_scheduler_tb;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture testbench of plb_scheduler_tb is
--USER testbench signal declarations added here as you wish
------------------------------------------
-- Signal to hook up master detected error and synch bus
------------------------------------------
signal sig_dev_mderr : std_logic;
------------------------------------------
-- Standard constants for bfl/vhdl communication
------------------------------------------
constant NOP : integer := 0;
constant START : integer := 1;
constant STOP : integer := 2;
constant WAIT_IN : integer := 3;
constant WAIT_OUT : integer := 4;
constant ASSERT_IN : integer := 5;
constant ASSERT_OUT : integer := 6;
constant ASSIGN_IN : integer := 7;
constant ASSIGN_OUT : integer := 8;
constant RESET_WDT : integer := 9;
constant MST_ERROR : integer := 30;
constant INTERRUPT : integer := 31;
signal my_reset : std_logic;
begin
------------------------------------------
-- Instance of IP under test.
-- Communication with the BFL is by using SYNCH_IN/SYNCH_OUT signals.
------------------------------------------
UUT : entity plb_scheduler_v1_00_a.plb_scheduler
generic map
(
-- MAP USER GENERICS BELOW THIS LINE ---------------
--USER generics mapped here
-- MAP USER GENERICS ABOVE THIS LINE ---------------
C_BASEADDR => C_BASEADDR,
C_HIGHADDR => C_HIGHADDR,
C_SPLB_AWIDTH => C_SPLB_AWIDTH,
C_SPLB_DWIDTH => C_SPLB_DWIDTH,
C_SPLB_NUM_MASTERS => C_SPLB_NUM_MASTERS,
C_SPLB_MID_WIDTH => C_SPLB_MID_WIDTH,
C_SPLB_NATIVE_DWIDTH => C_SPLB_NATIVE_DWIDTH,
C_SPLB_P2P => C_SPLB_P2P,
C_SPLB_SUPPORT_BURSTS => C_SPLB_SUPPORT_BURSTS,
C_SPLB_SMALLEST_MASTER => C_SPLB_SMALLEST_MASTER,
C_SPLB_CLK_PERIOD_PS => C_SPLB_CLK_PERIOD_PS,
C_INCLUDE_DPHASE_TIMER => C_INCLUDE_DPHASE_TIMER,
C_FAMILY => C_FAMILY,
C_MPLB_AWIDTH => C_MPLB_AWIDTH,
C_MPLB_DWIDTH => C_MPLB_DWIDTH,
C_MPLB_NATIVE_DWIDTH => C_MPLB_NATIVE_DWIDTH,
C_MPLB_P2P => C_MPLB_P2P,
C_MPLB_SMALLEST_SLAVE => C_MPLB_SMALLEST_SLAVE,
C_MPLB_CLK_PERIOD_PS => C_MPLB_CLK_PERIOD_PS
)
port map
(
-- MAP USER PORTS BELOW THIS LINE ------------------
--USER ports mapped here
Soft_Reset => my_reset,
Reset_Done => open,
Soft_Stop => '0',
SWTM_DOB => (others => '0'),
SWTM_ADDRB => open,
SWTM_DIB => open,
SWTM_ENB => open,
SWTM_WEB => open,
TM2SCH_current_cpu_tid => (others => '0'),
TM2SCH_opcode => (others => '0'),
TM2SCH_data => (others => '0'),
TM2SCH_request => '0',
SCH2TM_busy => open,
SCH2TM_data => open,
SCH2TM_next_cpu_tid => open,
SCH2TM_next_tid_valid => open,
Preemption_Interrupt => open,
-- MAP USER PORTS ABOVE THIS LINE ------------------
SPLB_Clk => SPLB_Clk,
SPLB_Rst => SPLB_Rst,
PLB_ABus => PLB_ABus,
PLB_UABus => PLB_UABus,
PLB_PAValid => PLB_PAValid,
PLB_SAValid => PLB_SAValid,
PLB_rdPrim => PLB_rdPrim,
PLB_wrPrim => PLB_wrPrim,
PLB_masterID => PLB_masterID,
PLB_abort => PLB_abort,
PLB_busLock => PLB_busLock,
PLB_RNW => PLB_RNW,
PLB_BE => PLB_BE,
PLB_MSize => PLB_MSize,
PLB_size => PLB_size,
PLB_type => PLB_type,
PLB_lockErr => PLB_lockErr,
PLB_wrDBus => PLB_wrDBus,
PLB_wrBurst => PLB_wrBurst,
PLB_rdBurst => PLB_rdBurst,
PLB_wrPendReq => PLB_wrPendReq,
PLB_rdPendReq => PLB_rdPendReq,
PLB_wrPendPri => PLB_wrPendPri,
PLB_rdPendPri => PLB_rdPendPri,
PLB_reqPri => PLB_reqPri,
PLB_TAttribute => PLB_TAttribute,
Sl_addrAck => Sl_addrAck,
Sl_SSize => Sl_SSize,
Sl_wait => Sl_wait,
Sl_rearbitrate => Sl_rearbitrate,
Sl_wrDAck => Sl_wrDAck,
Sl_wrComp => Sl_wrComp,
Sl_wrBTerm => Sl_wrBTerm,
Sl_rdDBus => Sl_rdDBus,
Sl_rdWdAddr => Sl_rdWdAddr,
Sl_rdDAck => Sl_rdDAck,
Sl_rdComp => Sl_rdComp,
Sl_rdBTerm => Sl_rdBTerm,
Sl_MBusy => Sl_MBusy,
Sl_MWrErr => Sl_MWrErr,
Sl_MRdErr => Sl_MRdErr,
Sl_MIRQ => Sl_MIRQ,
MPLB_Clk => MPLB_Clk,
MPLB_Rst => MPLB_Rst,
MD_error => sig_dev_mderr,
M_request => M_request,
M_priority => M_priority,
M_busLock => M_busLock,
M_RNW => M_RNW,
M_BE => M_BE,
M_MSize => M_MSize,
M_size => M_size,
M_type => M_type,
M_TAttribute => M_TAttribute,
M_lockErr => M_lockErr,
M_abort => M_abort,
M_UABus => M_UABus,
M_ABus => M_ABus,
M_wrDBus => M_wrDBus,
M_wrBurst => M_wrBurst,
M_rdBurst => M_rdBurst,
PLB_MAddrAck => PLB_MAddrAck,
PLB_MSSize => PLB_MSSize,
PLB_MRearbitrate => PLB_MRearbitrate,
PLB_MTimeout => PLB_MTimeout,
PLB_MBusy => PLB_MBusy,
PLB_MRdErr => PLB_MRdErr,
PLB_MWrErr => PLB_MWrErr,
PLB_MIRQ => PLB_MIRQ,
PLB_MRdDBus => PLB_MRdDBus,
PLB_MRdWdAddr => PLB_MRdWdAddr,
PLB_MRdDAck => PLB_MRdDAck,
PLB_MRdBTerm => PLB_MRdBTerm,
PLB_MWrDAck => PLB_MWrDAck,
PLB_MWrBTerm => PLB_MWrBTerm
);
------------------------------------------
-- Hook up UUT MD_error to synch_out bit for Master Detected Error status monitor
------------------------------------------
SYNCH_OUT(MST_ERROR) <= sig_dev_mderr;
------------------------------------------
-- Zero out the unused synch_out bits
------------------------------------------
SYNCH_OUT(10 to 31) <= (others => '0');
------------------------------------------
-- Test bench code itself
--
-- The test bench itself can be arbitrarily complex and may include
-- hierarchy as the designer sees fit
------------------------------------------
TEST_PROCESS : process
begin
SYNCH_OUT(NOP) <= '0';
SYNCH_OUT(START) <= '0';
SYNCH_OUT(STOP) <= '0';
SYNCH_OUT(WAIT_IN) <= '0';
SYNCH_OUT(WAIT_OUT) <= '0';
SYNCH_OUT(ASSERT_IN) <= '0';
SYNCH_OUT(ASSERT_OUT) <= '0';
SYNCH_OUT(ASSIGN_IN) <= '0';
SYNCH_OUT(ASSIGN_OUT) <= '0';
SYNCH_OUT(RESET_WDT) <= '0';
-- initializations
-- wait for reset to stabalize after power-up
wait for 200 ns;
my_reset <= '1';
-- wait for end of reset
wait until (SPLB_Rst'EVENT and SPLB_Rst = '0');
my_reset <= '0';
assert FALSE report "*** Real simulation starts here ***" severity NOTE;
-- wait for reset to be completed
wait for 200 ns;
------------------------------------------
-- Test User Logic Slave Register
------------------------------------------
-- send out start signal to begin testing ...
wait until (SPLB_Clk'EVENT and SPLB_Clk = '1');
SYNCH_OUT(START) <= '1';
assert FALSE report "*** Start User Logic Slave Register Test ***" severity NOTE;
wait until (SPLB_Clk'EVENT and SPLB_Clk = '1');
SYNCH_OUT(START) <= '0';
-- wait stop signal for end of testing ...
wait until (SYNCH_IN(STOP)'EVENT and SYNCH_IN(STOP) = '1');
assert FALSE report "*** User Logic Slave Register Test Complete ***" severity NOTE;
wait for 1 us;
------------------------------------------
-- Test User Logic IP Master
------------------------------------------
-- send out start signal to begin testing ...
wait until (SPLB_Clk'EVENT and SPLB_Clk = '1');
SYNCH_OUT(START) <= '1';
assert FALSE report "*** Start User Logic IP Master Read Test ***" severity NOTE;
wait until (SPLB_Clk'EVENT and SPLB_Clk = '1');
SYNCH_OUT(START) <= '0';
-- wait for awhile for wait_out signal to let user logic master complete master read ...
wait until (SYNCH_IN(WAIT_OUT)'EVENT and SYNCH_IN(WAIT_OUT) = '1');
assert FALSE report "*** User Logic is doing master read transaction now ***" severity NOTE;
wait for 1 us;
-- send out wait_in signal to continue testing ...
wait until (SPLB_Clk'EVENT and SPLB_Clk = '1');
SYNCH_OUT(WAIT_IN) <= '1';
assert FALSE report "*** Continue User Logic IP Master Write Test ***" severity NOTE;
wait until (SPLB_Clk'EVENT and SPLB_Clk = '1');
SYNCH_OUT(WAIT_IN) <= '0';
-- wait for awhile for wait_out signal to let user logic master complete master write ...
wait until (SYNCH_IN(WAIT_OUT)'EVENT and SYNCH_IN(WAIT_OUT) = '1');
assert FALSE report "*** User Logic is doing master write transaction now ***" severity NOTE;
wait for 1 us;
-- send out wait_in signal to continue testing ...
wait until (SPLB_Clk'EVENT and SPLB_Clk = '1');
SYNCH_OUT(WAIT_IN) <= '1';
assert FALSE report "*** Continue the rest of User Logic IP Master Test ***" severity NOTE;
wait until (SPLB_Clk'EVENT and SPLB_Clk = '1');
SYNCH_OUT(WAIT_IN) <= '0';
-- wait stop signal for end of testing ...
wait until (SYNCH_IN(STOP)'EVENT and SYNCH_IN(STOP) = '1');
assert FALSE report "*** User Logic IP Master Test Complete ***" severity NOTE;
wait for 1 us;
------------------------------------------
-- Test User I/Os and other features
------------------------------------------
--USER code added here to stimulate any user I/Os
wait;
end process TEST_PROCESS;
end architecture testbench;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/platforms/xilinx/smp1/design/pcores/plb_scheduler_v1_00_a/hdl/vhdl/parallel.vhd | 11 | 9985 | -------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity parallel is
generic
(
-- The number of input bits into the priority encoder
INPUT_BITS : integer := 128;
-- The number of output bits from the priority encoder.
-- For correct operation the number of output bits should be
-- any number greater than or equal to log2( INPUT_BITS ).
OUTPUT_BITS : integer := 7;
-- The number of bits to consider at a time.
-- This number should be less that INPUT_BITS and should divide
-- INPUT_BITS evenly.
CHUNK_BITS : integer := 32
);
port
(
clk : in std_logic;
rst : in std_logic;
input : in std_logic_vector(0 to INPUT_BITS - 1);
enable : in std_logic;
output : out std_logic_vector(0 to OUTPUT_BITS - 1)
);
end entity parallel;
-------------------------------------------------------------------------------
-- architecture
-------------------------------------------------------------------------------
architecture imp of parallel is
type find_state is ( narrow_search, prior_encode, prior_read );
-- Find the log base 2 of a natural number.
-- This function works for both synthesis and simulation
function log2( N : in natural ) return positive is
begin
if N <= 2 then
return 1;
else
return 1 + log2(N/2);
end if;
end;
-- Determine if any bit in the array is set.
-- If any of the bits are set then '1' is returned,
-- otherwise '0' is returned.
function bit_set( data : in std_logic_vector ) return std_logic is
begin
for i in data'range loop
if( data(i) = '1' ) then
return '1';
end if;
end loop;
return '0';
end function;
-- Return the array slice that is used for a given chunk index
function bit_range( data : in std_logic_vector; index : in integer ) return std_logic_vector is
begin
return data( (index * CHUNK_BITS) to ((index + 1) * CHUNK_BITS) - 1 );
end function;
-- Given the number of INPUT_BITS and the number of CHUNK_BITS we
-- can determine the number of chunks we will need to look at.
constant CHUNK_NUM : integer := INPUT_BITS / CHUNK_BITS;
-- Given the number of CHUNK_BITS we can determine the number of output
-- bits that the priority encoder is going to return.
constant CHUNK_OUT : integer := log2( CHUNK_BITS );
-- The number of EXTRA bits is the number of extra bits that we number add
-- to the output of the priority encoder to get the real output.
constant EXTRA_BITS : integer := OUTPUT_BITS - CHUNK_OUT;
-- These two signals control the state transitions in the FSM which
-- produces the output for this entity.
signal find_current : find_state;
signal find_next : find_state;
-- These signals are the input signals into the priority encoder.
signal pri_in : std_logic_vector(0 to CHUNK_BITS - 1);
signal pri_in_next : std_logic_vector(0 to CHUNK_BITS - 1);
-- This signal is the output from the priority encoder.
signal pri_out : std_logic_vector(0 to CHUNK_OUT - 1 );
-- This is the overall output from the design. It could be removed
-- by just assigning to output instead, however, that would mean that
-- output would need to be an inout signal instead of just an out.
signal best : std_logic_vector(0 to OUTPUT_BITS - 1);
signal best_next : std_logic_vector(0 to OUTPUT_BITS - 1);
-- These signals are used to narrow our search for the highest priority.
signal narrow : std_logic_vector(0 to CHUNK_NUM - 1);
signal narrow_next : std_logic_vector(0 to CHUNK_NUM - 1);
-- This forces the synthesizer to recognize the pri_out signal as the
-- output from a priority encoder. XST documentation says that the
-- synthesizer will recognize a priority encoder by setting this to
-- "yes" but will not actually generate a priority encoder unless this
-- is set to "force".
attribute PRIORITY_EXTRACT : string;
attribute PRIORITY_EXTRACT of pri_out: signal is "force";
begin
-- Output the best priority
output <= best;
-- This process is the priority encoder. It will determine the highest bits
-- set in the array pri_in and will return its index on the signal pri_out.
--
-- Notice that this process is NOT sensitive to the clock. This process
-- would not be recognized as a priority encoder if it were sensitive to
-- the clock.
priority_encoder : process ( pri_in ) is
begin
-- The default output. It no bits are set in the array (or if only
-- bit 0 is set) then this is the value returned.
pri_out <= (others => '0');
-- This statement loops over the entire array and finds the index of the
-- highest bit set. The index of the highest bit set is then converted
-- into a std_logic_vector and output onto pri_out.
--
-- Notice that the loop starts at the highest index and proceeds to the
-- lowest index. This is because in our system the lower the bit index
-- the higher the priority.
for i in pri_in'high downto 0 loop
if( pri_in(i) = '1' ) then
pri_out <= std_logic_vector( to_unsigned(i, pri_out'length) );
end if;
end loop;
end process priority_encoder;
-- This process controls the state transition from the current state
-- to the next state (and also handles reset). It also takes care of
-- transitioning FSM inputs to there next values.
find_best_next : process ( clk, rst, find_next ) is
begin
if( rising_edge(clk) ) then
if( rst = '1' ) then
find_current <= narrow_search;
best <= (others => '0');
pri_in <= (others => '0');
narrow <= (others => '0');
else
find_current <= find_next;
best <= best_next;
pri_in <= pri_in_next;
narrow <= narrow_next;
end if;
end if;
end process find_best_next;
-- This process implements the FSM logic. It is broken into three states.
-- NARROW_SEARCH:
-- This state narrows the priority search by taking each chunk of the input and
-- or'ing all of the chunks bits together. This provides an indication of which
-- chunk of the input contains the highest priority.
--
-- This allows use to use a smaller priority encoder as the expense of a 2 clock
-- cycle delay. However, the smaller priority encoder provides significant savings
-- in terms of slice utilization.
--
-- PRIOR_ENCODE:
-- This state determines which of the chunks contains the highest priority input and
-- then places that chunk's input bits onto the priority encoders input lines. If no
-- bits in the input array are set then the priority encoders input lines are NOT
-- changed.
--
-- PRIOR_READ:
-- This state reads the data off of the priority encoder and then adds the extra bits
-- needed to produce the full priority value. This is done because the priority encoder
-- returns the index of the highest bit of the selected chunk but we want the index
-- of the highest bit set in the input not in the chunk.
--
-- Luckily, the translation from chunk index to input index it straight forward because
-- chunks are just non-overlapping slices of the input array.
find_best_logic : process( find_current, input, best, pri_in, narrow, pri_out, enable ) is
begin
find_next <= find_current;
best_next <= best;
pri_in_next <= pri_in;
narrow_next <= narrow;
case find_current is
when narrow_search =>
if( enable = '1' ) then
for i in narrow'high downto 0 loop
narrow_next(i) <= bit_set( bit_range( input, i ) );
end loop;
find_next <= prior_encode;
end if;
when prior_encode =>
for i in narrow'high downto 0 loop
if( narrow(i) = '1' ) then
pri_in_next <= bit_range( input, i );
--exit;
end if;
end loop;
find_next <= prior_read;
when prior_read =>
for i in narrow'high downto 0 loop
if( narrow(i) = '1' ) then
best_next <= std_logic_vector(to_unsigned(i,EXTRA_BITS)) & pri_out;
end if;
end loop;
find_next <= narrow_search;
end case;
end process find_best_logic;
end architecture imp;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/hardware/MyRepository/pcores/plb_scheduler_smp_v1_00_a/hdl/vhdl/parallel.vhd | 11 | 9985 | -------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity parallel is
generic
(
-- The number of input bits into the priority encoder
INPUT_BITS : integer := 128;
-- The number of output bits from the priority encoder.
-- For correct operation the number of output bits should be
-- any number greater than or equal to log2( INPUT_BITS ).
OUTPUT_BITS : integer := 7;
-- The number of bits to consider at a time.
-- This number should be less that INPUT_BITS and should divide
-- INPUT_BITS evenly.
CHUNK_BITS : integer := 32
);
port
(
clk : in std_logic;
rst : in std_logic;
input : in std_logic_vector(0 to INPUT_BITS - 1);
enable : in std_logic;
output : out std_logic_vector(0 to OUTPUT_BITS - 1)
);
end entity parallel;
-------------------------------------------------------------------------------
-- architecture
-------------------------------------------------------------------------------
architecture imp of parallel is
type find_state is ( narrow_search, prior_encode, prior_read );
-- Find the log base 2 of a natural number.
-- This function works for both synthesis and simulation
function log2( N : in natural ) return positive is
begin
if N <= 2 then
return 1;
else
return 1 + log2(N/2);
end if;
end;
-- Determine if any bit in the array is set.
-- If any of the bits are set then '1' is returned,
-- otherwise '0' is returned.
function bit_set( data : in std_logic_vector ) return std_logic is
begin
for i in data'range loop
if( data(i) = '1' ) then
return '1';
end if;
end loop;
return '0';
end function;
-- Return the array slice that is used for a given chunk index
function bit_range( data : in std_logic_vector; index : in integer ) return std_logic_vector is
begin
return data( (index * CHUNK_BITS) to ((index + 1) * CHUNK_BITS) - 1 );
end function;
-- Given the number of INPUT_BITS and the number of CHUNK_BITS we
-- can determine the number of chunks we will need to look at.
constant CHUNK_NUM : integer := INPUT_BITS / CHUNK_BITS;
-- Given the number of CHUNK_BITS we can determine the number of output
-- bits that the priority encoder is going to return.
constant CHUNK_OUT : integer := log2( CHUNK_BITS );
-- The number of EXTRA bits is the number of extra bits that we number add
-- to the output of the priority encoder to get the real output.
constant EXTRA_BITS : integer := OUTPUT_BITS - CHUNK_OUT;
-- These two signals control the state transitions in the FSM which
-- produces the output for this entity.
signal find_current : find_state;
signal find_next : find_state;
-- These signals are the input signals into the priority encoder.
signal pri_in : std_logic_vector(0 to CHUNK_BITS - 1);
signal pri_in_next : std_logic_vector(0 to CHUNK_BITS - 1);
-- This signal is the output from the priority encoder.
signal pri_out : std_logic_vector(0 to CHUNK_OUT - 1 );
-- This is the overall output from the design. It could be removed
-- by just assigning to output instead, however, that would mean that
-- output would need to be an inout signal instead of just an out.
signal best : std_logic_vector(0 to OUTPUT_BITS - 1);
signal best_next : std_logic_vector(0 to OUTPUT_BITS - 1);
-- These signals are used to narrow our search for the highest priority.
signal narrow : std_logic_vector(0 to CHUNK_NUM - 1);
signal narrow_next : std_logic_vector(0 to CHUNK_NUM - 1);
-- This forces the synthesizer to recognize the pri_out signal as the
-- output from a priority encoder. XST documentation says that the
-- synthesizer will recognize a priority encoder by setting this to
-- "yes" but will not actually generate a priority encoder unless this
-- is set to "force".
attribute PRIORITY_EXTRACT : string;
attribute PRIORITY_EXTRACT of pri_out: signal is "force";
begin
-- Output the best priority
output <= best;
-- This process is the priority encoder. It will determine the highest bits
-- set in the array pri_in and will return its index on the signal pri_out.
--
-- Notice that this process is NOT sensitive to the clock. This process
-- would not be recognized as a priority encoder if it were sensitive to
-- the clock.
priority_encoder : process ( pri_in ) is
begin
-- The default output. It no bits are set in the array (or if only
-- bit 0 is set) then this is the value returned.
pri_out <= (others => '0');
-- This statement loops over the entire array and finds the index of the
-- highest bit set. The index of the highest bit set is then converted
-- into a std_logic_vector and output onto pri_out.
--
-- Notice that the loop starts at the highest index and proceeds to the
-- lowest index. This is because in our system the lower the bit index
-- the higher the priority.
for i in pri_in'high downto 0 loop
if( pri_in(i) = '1' ) then
pri_out <= std_logic_vector( to_unsigned(i, pri_out'length) );
end if;
end loop;
end process priority_encoder;
-- This process controls the state transition from the current state
-- to the next state (and also handles reset). It also takes care of
-- transitioning FSM inputs to there next values.
find_best_next : process ( clk, rst, find_next ) is
begin
if( rising_edge(clk) ) then
if( rst = '1' ) then
find_current <= narrow_search;
best <= (others => '0');
pri_in <= (others => '0');
narrow <= (others => '0');
else
find_current <= find_next;
best <= best_next;
pri_in <= pri_in_next;
narrow <= narrow_next;
end if;
end if;
end process find_best_next;
-- This process implements the FSM logic. It is broken into three states.
-- NARROW_SEARCH:
-- This state narrows the priority search by taking each chunk of the input and
-- or'ing all of the chunks bits together. This provides an indication of which
-- chunk of the input contains the highest priority.
--
-- This allows use to use a smaller priority encoder as the expense of a 2 clock
-- cycle delay. However, the smaller priority encoder provides significant savings
-- in terms of slice utilization.
--
-- PRIOR_ENCODE:
-- This state determines which of the chunks contains the highest priority input and
-- then places that chunk's input bits onto the priority encoders input lines. If no
-- bits in the input array are set then the priority encoders input lines are NOT
-- changed.
--
-- PRIOR_READ:
-- This state reads the data off of the priority encoder and then adds the extra bits
-- needed to produce the full priority value. This is done because the priority encoder
-- returns the index of the highest bit of the selected chunk but we want the index
-- of the highest bit set in the input not in the chunk.
--
-- Luckily, the translation from chunk index to input index it straight forward because
-- chunks are just non-overlapping slices of the input array.
find_best_logic : process( find_current, input, best, pri_in, narrow, pri_out, enable ) is
begin
find_next <= find_current;
best_next <= best;
pri_in_next <= pri_in;
narrow_next <= narrow;
case find_current is
when narrow_search =>
if( enable = '1' ) then
for i in narrow'high downto 0 loop
narrow_next(i) <= bit_set( bit_range( input, i ) );
end loop;
find_next <= prior_encode;
end if;
when prior_encode =>
for i in narrow'high downto 0 loop
if( narrow(i) = '1' ) then
pri_in_next <= bit_range( input, i );
--exit;
end if;
end loop;
find_next <= prior_read;
when prior_read =>
for i in narrow'high downto 0 loop
if( narrow(i) = '1' ) then
best_next <= std_logic_vector(to_unsigned(i,EXTRA_BITS)) & pri_out;
end if;
end loop;
find_next <= narrow_search;
end case;
end process find_best_logic;
end architecture imp;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/platforms/xilinx/numa3_hwti/design/pcores/plb_sync_manager_v1_00_a/hdl/vhdl/common.vhd | 11 | 19809 | -------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_misc.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
package common is
-- Synch Manager Operations
constant SYNCH_LOCK : std_logic_vector(0 to 2) := "000";
constant SYNCH_UNLOCK : std_logic_vector(0 to 2) := "001";
constant SYNCH_TRY : std_logic_vector(0 to 2) := "010";
constant SYNCH_OWNER : std_logic_vector(0 to 2) := "011";
constant SYNCH_KIND : std_logic_vector(0 to 2) := "100";
constant SYNCH_COUNT : std_logic_vector(0 to 2) := "101";
constant SYNCH_RESULT : std_logic_vector(0 to 2) := "110";
-- Synch Manager Lock Types
constant SYNCH_FAST : std_logic_vector(0 to 1) := "00";
constant SYNCH_RECURS : std_logic_vector(0 to 1) := "01";
constant SYNCH_ERROR : std_logic_vector(0 to 1) := "10";
-- Constants for the status codes which are returned
constant SYNCH_LOCKSTA_CONTINUE : std_logic_vector(0 to 0) := "0";
constant SYNCH_LOCKSTA_BLOCK : std_logic_vector(0 to 0) := "1";
constant SYNCH_UNLOCKSTA_SUCCESS : std_logic_vector(0 to 0) := "0";
constant SYNCH_UNLOCKSTA_ERROR : std_logic_vector(0 to 0) := "1";
constant SYNCH_TRYLOCKSTA_SUCCESS : std_logic_vector(0 to 0) := "0";
constant SYNCH_TRYLOCKSTA_ERROR : std_logic_vector(0 to 0) := "1";
-- Constants used by this package
constant CMBITS : natural := 3;
constant KNBITS : natural := 2;
-- Calculate the number one for any given bit width
function one( n : in natural ) return std_logic_vector;
-- Calculate the number zero for any given bit width
function zero( n : in natural ) return std_logic_vector;
-- Calculate the log base 2 of some natural number. This function can be
-- used to determine the minimum number of bits needed to represent the
-- given natural number.
function log2( n : in natural ) return positive;
-- Calculate the 2 to the power n. This function can be used to determine
-- the maximum natural number which is representable by a given number
-- of bits.
function pow2( n : in natural ) return positive;
-- Determine if a number is exactly a power of two. This can be used
-- to check that generics are input as power of two (if that is what
-- is wanted).
function is_pow2( n : in natural ) return boolean;
-- Calculate the address used to add a thread to the thread scheduler.
function add_thread( base : in std_logic_vector;
tid : in std_logic_vector ) return std_logic_vector;
-- Calculate the address used to store the result value from an add thread.
function add_result( base : in std_logic_vector ) return std_logic_vector;
-- Calculate a synchronization manager command
function synch_cmd( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
tid : in std_logic_vector;
mid : in std_logic_vector;
cmd : in std_logic_vector ) return std_logic_vector;
-- Calculate the address for a lock command
function synch_lockcmd( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
tid : in std_logic_vector;
mid : in std_logic_vector ) return std_logic_vector;
-- Calculate the address for a lock command
function synch_unlockcmd( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
tid : in std_logic_vector;
mid : in std_logic_vector ) return std_logic_vector;
-- Calculate the address for a lock command
function synch_trylockcmd( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
tid : in std_logic_vector;
mid : in std_logic_vector ) return std_logic_vector;
-- Calculate the address for a lock command
function synch_kindcmd( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
tid : in std_logic_vector;
mid : in std_logic_vector ) return std_logic_vector;
-- Calculate the address for a lock command
function synch_countcmd( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
tid : in std_logic_vector;
mid : in std_logic_vector ) return std_logic_vector;
-- Calculate the address for a lock command
function synch_ownercmd( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
tid : in std_logic_vector;
mid : in std_logic_vector ) return std_logic_vector;
-- Calculate the address for a lock command
function synch_locksta( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
sta : in std_logic_vector ) return std_logic_vector;
-- Calculate the address for a lock command
function synch_unlocksta( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
sta : in std_logic_vector ) return std_logic_vector;
-- Calculate the address for a lock command
function synch_trylocksta( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
sta : in std_logic_vector ) return std_logic_vector;
-- Calculate the address for a lock command
function synch_kindsta( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
sta : in std_logic_vector ) return std_logic_vector;
-- Calculate the address for a lock command
function synch_countsta( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
sta : in std_logic_vector ) return std_logic_vector;
-- Calculate the address for a lock command
function synch_ownersta( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
sta : in std_logic_vector ) return std_logic_vector;
end package common;
package body common is
-- Calculate a synchronization manager command
function synch_cmd( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
tid : in std_logic_vector;
mid : in std_logic_vector;
cmd : in std_logic_vector ) return std_logic_vector is
variable addr : std_logic_vector(0 to DWID-1);
begin
addr := BASE;
addr(DWID-MBITS-2 to DWID-3) := mid;
addr(DWID-MBITS-TBITS-2 to DWID-MBITS-3) := tid;
addr(DWID-MBITS-TBITS-CMBITS-2 to DWID-MBITS-TBITS-3) := cmd;
return addr;
end function synch_cmd;
-- Calculate the address for a lock command
function synch_lockcmd( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
tid : in std_logic_vector;
mid : in std_logic_vector ) return std_logic_vector is
begin
return synch_cmd(BASE,DWID,MBITS,TBITS,CBITS,tid,mid,SYNCH_LOCK);
end function synch_lockcmd;
-- Extract status information for the lock operation
function synch_locksta( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
sta : in std_logic_vector ) return std_logic_vector is
variable tmp : std_logic_vector(0 to 0);
begin
tmp(0) := sta(0) or sta(1);
return tmp;
end function synch_locksta;
-- Calculate the address for a lock command
function synch_unlockcmd( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
tid : in std_logic_vector;
mid : in std_logic_vector ) return std_logic_vector is
begin
return synch_cmd(BASE,DWID,MBITS,TBITS,CBITS,tid,mid,SYNCH_UNLOCK);
end function synch_unlockcmd;
-- Extract status information for the unlock operation
function synch_unlocksta( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
sta : in std_logic_vector ) return std_logic_vector is
begin
return sta(0 to 0);
end function synch_unlocksta;
-- Calculate the address for a lock command
function synch_trylockcmd( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
tid : in std_logic_vector;
mid : in std_logic_vector ) return std_logic_vector is
begin
return synch_cmd(BASE,DWID,MBITS,TBITS,CBITS,tid,mid,SYNCH_TRY);
end function synch_trylockcmd;
-- Extract status information for the unlock operation
function synch_trylocksta( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
sta : in std_logic_vector ) return std_logic_vector is
begin
return sta(1 to 1);
end function synch_trylocksta;
-- Calculate the address for a lock command
function synch_kindcmd( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
tid : in std_logic_vector;
mid : in std_logic_vector ) return std_logic_vector is
begin
return synch_cmd(BASE,DWID,MBITS,TBITS,CBITS,tid,mid,SYNCH_KIND);
end function synch_kindcmd;
-- Extract status information for the unlock operation
function synch_kindsta( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
sta : in std_logic_vector ) return std_logic_vector is
begin
return sta(DWID-2 to DWID-1);
end function synch_kindsta;
-- Calculate the address for a lock command
function synch_ownercmd( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
tid : in std_logic_vector;
mid : in std_logic_vector ) return std_logic_vector is
begin
return synch_cmd(BASE,DWID,MBITS,TBITS,CBITS,tid,mid,SYNCH_OWNER);
end function synch_ownercmd;
-- Extract status information for the unlock operation
function synch_ownersta( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
sta : in std_logic_vector ) return std_logic_vector is
begin
return sta(DWID-TBITS to DWID-1);
end function synch_ownersta;
-- Calculate the address for a lock command
function synch_countcmd( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
tid : in std_logic_vector;
mid : in std_logic_vector ) return std_logic_vector is
begin
return synch_cmd(BASE,DWID,MBITS,TBITS,CBITS,tid,mid,SYNCH_COUNT);
end function synch_countcmd;
-- Extract status information for the unlock operation
function synch_countsta( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
sta : in std_logic_vector ) return std_logic_vector is
begin
return sta(DWID-CBITS to DWID-1);
end function synch_countsta;
-- Calculate the number one for any given bit width
function one( n : in natural ) return std_logic_vector is
variable o : std_logic_vector(0 to n-1);
begin
o(0 to n-2) := (others => '0');
o(n-1) := '1';
return o;
end function one;
-- Calculate the number zero for any given bit width
function zero( n : in natural ) return std_logic_vector is
variable z : std_logic_vector(0 to n-1);
begin
z(0 to n-1) := (others => '0');
return z;
end function zero;
-- Calculate the log base 2 of some natural number. This function can be
-- used to determine the minimum number of bits needed to represent the
-- given natural number.
function log2( n : in natural ) return positive is
begin
if n <= 2 then
return 1;
else
return 1 + log2(n/2);
end if;
end function log2;
-- Calculate the 2 to the power n. This function can be used to determine
-- the maximum natural number which is representable by a given number
-- of bits.
function pow2( n : in natural ) return positive is
begin
if n = 0 then
return 1;
else
return 2 * pow2( n - 1 );
end if;
end function pow2;
-- Determine if a number is exactly a power of two. This can be used
-- to check that generics are input as power of two (if that is what
-- is wanted).
function is_pow2( n : in natural ) return boolean is
variable l : positive;
variable p : positive;
begin
if( n = 1 ) then
return true;
end if;
if( (n mod 2) = 1 or n = 0) then
return false;
end if;
return is_pow2( n / 2 );
end function is_pow2;
-- Calculate the address used to add a thread to the thread scheduler.
function add_thread( base : in std_logic_vector; tid : in std_logic_vector ) return std_logic_vector is
begin
return base(0 to base'high - tid'length - 7) &
"00100" &
tid &
base(base'high-1 to base'high);
end function add_thread;
-- Calculate the address used to store the result value from an add thread.
function add_result( base : in std_logic_vector ) return std_logic_vector is
begin
return base(0 to base'high-2) & "11";
end function add_result;
end package body common;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/hardware/MyRepository/pcores/axi_hthread_cores/axi_sync_manager_v1_00_a/hdl/vhdl/common.vhd | 11 | 19809 | -------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_misc.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
package common is
-- Synch Manager Operations
constant SYNCH_LOCK : std_logic_vector(0 to 2) := "000";
constant SYNCH_UNLOCK : std_logic_vector(0 to 2) := "001";
constant SYNCH_TRY : std_logic_vector(0 to 2) := "010";
constant SYNCH_OWNER : std_logic_vector(0 to 2) := "011";
constant SYNCH_KIND : std_logic_vector(0 to 2) := "100";
constant SYNCH_COUNT : std_logic_vector(0 to 2) := "101";
constant SYNCH_RESULT : std_logic_vector(0 to 2) := "110";
-- Synch Manager Lock Types
constant SYNCH_FAST : std_logic_vector(0 to 1) := "00";
constant SYNCH_RECURS : std_logic_vector(0 to 1) := "01";
constant SYNCH_ERROR : std_logic_vector(0 to 1) := "10";
-- Constants for the status codes which are returned
constant SYNCH_LOCKSTA_CONTINUE : std_logic_vector(0 to 0) := "0";
constant SYNCH_LOCKSTA_BLOCK : std_logic_vector(0 to 0) := "1";
constant SYNCH_UNLOCKSTA_SUCCESS : std_logic_vector(0 to 0) := "0";
constant SYNCH_UNLOCKSTA_ERROR : std_logic_vector(0 to 0) := "1";
constant SYNCH_TRYLOCKSTA_SUCCESS : std_logic_vector(0 to 0) := "0";
constant SYNCH_TRYLOCKSTA_ERROR : std_logic_vector(0 to 0) := "1";
-- Constants used by this package
constant CMBITS : natural := 3;
constant KNBITS : natural := 2;
-- Calculate the number one for any given bit width
function one( n : in natural ) return std_logic_vector;
-- Calculate the number zero for any given bit width
function zero( n : in natural ) return std_logic_vector;
-- Calculate the log base 2 of some natural number. This function can be
-- used to determine the minimum number of bits needed to represent the
-- given natural number.
function log2( n : in natural ) return positive;
-- Calculate the 2 to the power n. This function can be used to determine
-- the maximum natural number which is representable by a given number
-- of bits.
function pow2( n : in natural ) return positive;
-- Determine if a number is exactly a power of two. This can be used
-- to check that generics are input as power of two (if that is what
-- is wanted).
function is_pow2( n : in natural ) return boolean;
-- Calculate the address used to add a thread to the thread scheduler.
function add_thread( base : in std_logic_vector;
tid : in std_logic_vector ) return std_logic_vector;
-- Calculate the address used to store the result value from an add thread.
function add_result( base : in std_logic_vector ) return std_logic_vector;
-- Calculate a synchronization manager command
function synch_cmd( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
tid : in std_logic_vector;
mid : in std_logic_vector;
cmd : in std_logic_vector ) return std_logic_vector;
-- Calculate the address for a lock command
function synch_lockcmd( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
tid : in std_logic_vector;
mid : in std_logic_vector ) return std_logic_vector;
-- Calculate the address for a lock command
function synch_unlockcmd( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
tid : in std_logic_vector;
mid : in std_logic_vector ) return std_logic_vector;
-- Calculate the address for a lock command
function synch_trylockcmd( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
tid : in std_logic_vector;
mid : in std_logic_vector ) return std_logic_vector;
-- Calculate the address for a lock command
function synch_kindcmd( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
tid : in std_logic_vector;
mid : in std_logic_vector ) return std_logic_vector;
-- Calculate the address for a lock command
function synch_countcmd( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
tid : in std_logic_vector;
mid : in std_logic_vector ) return std_logic_vector;
-- Calculate the address for a lock command
function synch_ownercmd( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
tid : in std_logic_vector;
mid : in std_logic_vector ) return std_logic_vector;
-- Calculate the address for a lock command
function synch_locksta( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
sta : in std_logic_vector ) return std_logic_vector;
-- Calculate the address for a lock command
function synch_unlocksta( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
sta : in std_logic_vector ) return std_logic_vector;
-- Calculate the address for a lock command
function synch_trylocksta( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
sta : in std_logic_vector ) return std_logic_vector;
-- Calculate the address for a lock command
function synch_kindsta( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
sta : in std_logic_vector ) return std_logic_vector;
-- Calculate the address for a lock command
function synch_countsta( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
sta : in std_logic_vector ) return std_logic_vector;
-- Calculate the address for a lock command
function synch_ownersta( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
sta : in std_logic_vector ) return std_logic_vector;
end package common;
package body common is
-- Calculate a synchronization manager command
function synch_cmd( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
tid : in std_logic_vector;
mid : in std_logic_vector;
cmd : in std_logic_vector ) return std_logic_vector is
variable addr : std_logic_vector(0 to DWID-1);
begin
addr := BASE;
addr(DWID-MBITS-2 to DWID-3) := mid;
addr(DWID-MBITS-TBITS-2 to DWID-MBITS-3) := tid;
addr(DWID-MBITS-TBITS-CMBITS-2 to DWID-MBITS-TBITS-3) := cmd;
return addr;
end function synch_cmd;
-- Calculate the address for a lock command
function synch_lockcmd( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
tid : in std_logic_vector;
mid : in std_logic_vector ) return std_logic_vector is
begin
return synch_cmd(BASE,DWID,MBITS,TBITS,CBITS,tid,mid,SYNCH_LOCK);
end function synch_lockcmd;
-- Extract status information for the lock operation
function synch_locksta( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
sta : in std_logic_vector ) return std_logic_vector is
variable tmp : std_logic_vector(0 to 0);
begin
tmp(0) := sta(0) or sta(1);
return tmp;
end function synch_locksta;
-- Calculate the address for a lock command
function synch_unlockcmd( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
tid : in std_logic_vector;
mid : in std_logic_vector ) return std_logic_vector is
begin
return synch_cmd(BASE,DWID,MBITS,TBITS,CBITS,tid,mid,SYNCH_UNLOCK);
end function synch_unlockcmd;
-- Extract status information for the unlock operation
function synch_unlocksta( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
sta : in std_logic_vector ) return std_logic_vector is
begin
return sta(0 to 0);
end function synch_unlocksta;
-- Calculate the address for a lock command
function synch_trylockcmd( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
tid : in std_logic_vector;
mid : in std_logic_vector ) return std_logic_vector is
begin
return synch_cmd(BASE,DWID,MBITS,TBITS,CBITS,tid,mid,SYNCH_TRY);
end function synch_trylockcmd;
-- Extract status information for the unlock operation
function synch_trylocksta( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
sta : in std_logic_vector ) return std_logic_vector is
begin
return sta(1 to 1);
end function synch_trylocksta;
-- Calculate the address for a lock command
function synch_kindcmd( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
tid : in std_logic_vector;
mid : in std_logic_vector ) return std_logic_vector is
begin
return synch_cmd(BASE,DWID,MBITS,TBITS,CBITS,tid,mid,SYNCH_KIND);
end function synch_kindcmd;
-- Extract status information for the unlock operation
function synch_kindsta( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
sta : in std_logic_vector ) return std_logic_vector is
begin
return sta(DWID-2 to DWID-1);
end function synch_kindsta;
-- Calculate the address for a lock command
function synch_ownercmd( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
tid : in std_logic_vector;
mid : in std_logic_vector ) return std_logic_vector is
begin
return synch_cmd(BASE,DWID,MBITS,TBITS,CBITS,tid,mid,SYNCH_OWNER);
end function synch_ownercmd;
-- Extract status information for the unlock operation
function synch_ownersta( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
sta : in std_logic_vector ) return std_logic_vector is
begin
return sta(DWID-TBITS to DWID-1);
end function synch_ownersta;
-- Calculate the address for a lock command
function synch_countcmd( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
tid : in std_logic_vector;
mid : in std_logic_vector ) return std_logic_vector is
begin
return synch_cmd(BASE,DWID,MBITS,TBITS,CBITS,tid,mid,SYNCH_COUNT);
end function synch_countcmd;
-- Extract status information for the unlock operation
function synch_countsta( BASE : in std_logic_vector;
DWID : in natural;
MBITS : in natural;
TBITS : in natural;
CBITS : in natural;
sta : in std_logic_vector ) return std_logic_vector is
begin
return sta(DWID-CBITS to DWID-1);
end function synch_countsta;
-- Calculate the number one for any given bit width
function one( n : in natural ) return std_logic_vector is
variable o : std_logic_vector(0 to n-1);
begin
o(0 to n-2) := (others => '0');
o(n-1) := '1';
return o;
end function one;
-- Calculate the number zero for any given bit width
function zero( n : in natural ) return std_logic_vector is
variable z : std_logic_vector(0 to n-1);
begin
z(0 to n-1) := (others => '0');
return z;
end function zero;
-- Calculate the log base 2 of some natural number. This function can be
-- used to determine the minimum number of bits needed to represent the
-- given natural number.
function log2( n : in natural ) return positive is
begin
if n <= 2 then
return 1;
else
return 1 + log2(n/2);
end if;
end function log2;
-- Calculate the 2 to the power n. This function can be used to determine
-- the maximum natural number which is representable by a given number
-- of bits.
function pow2( n : in natural ) return positive is
begin
if n = 0 then
return 1;
else
return 2 * pow2( n - 1 );
end if;
end function pow2;
-- Determine if a number is exactly a power of two. This can be used
-- to check that generics are input as power of two (if that is what
-- is wanted).
function is_pow2( n : in natural ) return boolean is
variable l : positive;
variable p : positive;
begin
if( n = 1 ) then
return true;
end if;
if( (n mod 2) = 1 or n = 0) then
return false;
end if;
return is_pow2( n / 2 );
end function is_pow2;
-- Calculate the address used to add a thread to the thread scheduler.
function add_thread( base : in std_logic_vector; tid : in std_logic_vector ) return std_logic_vector is
begin
return base(0 to base'high - tid'length - 7) &
"00100" &
tid &
base(base'high-1 to base'high);
end function add_thread;
-- Calculate the address used to store the result value from an add thread.
function add_result( base : in std_logic_vector ) return std_logic_vector is
begin
return base(0 to base'high-2) & "11";
end function add_result;
end package body common;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/platforms/xilinx/ml605_pr_smp1_14_7/design/pcores/plb_cond_vars_v1_00_a/hdl/vhdl/condvar_tb.vhd | 9 | 5092 | --------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 17:46:38 06/16/2009
-- Design Name:
-- Module Name: /home/jagron/ise_projects/cond_var/proj/condvar_tb.vhd
-- Project Name: proj
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: condvar
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
ENTITY condvar_tb IS
END condvar_tb;
ARCHITECTURE behavior OF condvar_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT condvar
PORT(
msg_chan_channelDataIn : OUT std_logic_vector(0 to 7);
msg_chan_channelDataOut : IN std_logic_vector(0 to 7);
msg_chan_exists : IN std_logic;
msg_chan_full : IN std_logic;
msg_chan_channelRead : OUT std_logic;
msg_chan_channelWrite : OUT std_logic;
cmd : IN std_logic;
opcode : IN std_logic_vector(0 to 1);
cvar : IN std_logic_vector(0 to 7);
tid : IN std_logic_vector(0 to 7);
ack : OUT std_logic;
clock_sig : IN std_logic;
reset_sig : IN std_logic
);
END COMPONENT;
--Inputs
signal msg_chan_channelDataOut : std_logic_vector(0 to 7) := (others => '0');
signal msg_chan_exists : std_logic := '0';
signal msg_chan_full : std_logic := '0';
signal cmd : std_logic := '0';
signal opcode : std_logic_vector(0 to 1) := (others => '0');
signal cvar : std_logic_vector(0 to 7) := (others => '0');
signal tid : std_logic_vector(0 to 7) := (others => '0');
signal clock_sig : std_logic := '0';
signal reset_sig : std_logic := '0';
--Outputs
signal msg_chan_channelDataIn : std_logic_vector(0 to 7);
signal msg_chan_channelRead : std_logic;
signal msg_chan_channelWrite : std_logic;
signal ack : std_logic;
-- Clock period definitions
constant clock_sig_period : time := 10 ns;
constant C_ENQ : std_logic_vector(0 to 2-1) := conv_std_logic_vector(0, 2); -- Opcode for "wait" enqueue
constant C_DEQ : std_logic_vector(0 to 2-1) := conv_std_logic_vector(1, 2); -- Opcode for "signal" dequeue
constant C_DEQALL : std_logic_vector(0 to 2-1) := conv_std_logic_vector(2, 2); -- Opcode for "broadcast" dequeue
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: condvar PORT MAP (
msg_chan_channelDataIn => msg_chan_channelDataIn,
msg_chan_channelDataOut => msg_chan_channelDataOut,
msg_chan_exists => msg_chan_exists,
msg_chan_full => msg_chan_full,
msg_chan_channelRead => msg_chan_channelRead,
msg_chan_channelWrite => msg_chan_channelWrite,
cmd => cmd,
opcode => opcode,
cvar => cvar,
tid => tid,
ack => ack,
clock_sig => clock_sig,
reset_sig => reset_sig
);
-- Clock process definitions
clock_sig_process :process
begin
clock_sig <= '0';
wait for clock_sig_period/2;
clock_sig <= '1';
wait for clock_sig_period/2;
end process;
-- Stimulus process
stim_proc: process
procedure operation(aopcode : in std_logic_vector(0 to 1); acvar: in integer; atid : in integer) is
begin
-- Send a packet
wait until clock_sig = '0' and ack = '0';
cvar <= conv_std_logic_vector(acvar,8);
tid <= conv_std_logic_vector(atid,8);
cmd <= '1';
opcode <= aopcode;
wait until ack = '1';
cvar <= conv_std_logic_vector(0, 8);
tid <= conv_std_logic_vector(0, 8);
cmd <= '0';
opcode <= "00";
wait until clock_sig = '0';
wait for 4*clock_sig_period;
end procedure operation;
begin
wait for clock_sig_period*10;
-- Reset the core
reset_sig <= '1';
wait for clock_sig_period;
reset_sig <= '0';
wait for clock_sig_period;
-- Delay
wait for clock_sig_period*2048;
-- ENQ
operation(C_ENQ,0,9);
-- ENQ
operation(C_ENQ,0,7);
-- ENQ
operation(C_ENQ,0,5);
-- ENQ
operation(C_ENQ,0,3);
-- ENQ
operation(C_ENQ,1,10);
-- ENQ
operation(C_ENQ,1,8);
-- ENQ
operation(C_ENQ,1,6);
-- ENQ
operation(C_ENQ,1,4);
-- DEQ
operation(C_DEQ,0,0);
operation(C_DEQ,0,0);
operation(C_DEQ,0,0);
-- DEQ-ALL
operation(C_DEQALL,1,0);
-- DEQ-ALL
operation(C_DEQALL,0,0);
wait;
end process;
END;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/platforms/xilinx/smp3_opbhwti_lbrams/design/pcores/opb_ipif_v2_00_h/hdl/vhdl/ipif_control_rd.vhd | 3 | 35921 | -------------------------------------------------------------------------------
-- $Id: ipif_control_rd.vhd,v 1.1 2003/03/15 01:05:25 ostlerf Exp $
-------------------------------------------------------------------------------
--ipif_control_rd.vhd
-------------------------------------------------------------------------------
--
-- ****************************
-- ** Copyright Xilinx, Inc. **
-- ** All rights reserved. **
-- ****************************
--
-------------------------------------------------------------------------------
-- Filename: ipif_control_rd.vhd
--
-- Description: This VHDL design file is for the Point Design of the Mauna
-- Loa Read Packet FIFO IPIF Local Bus Interface control
-- block.
--
-------------------------------------------------------------------------------
-- Structure: This section should show the hierarchical structure of the
-- designs. Separate lines with blank lines if necessary to
-- improve readability.
--
-- ipif_control_rd.vhd
--
--
-------------------------------------------------------------------------------
-- Author: Doug Thorpe
--
-- History:
-- Doug Thorpe March 19,2001 -- V1.00a
--
-- Doug Thorpe June 08-12,2001 -- V1.00b
-- - Corrected an error condition where the FIFO2Bus_Error was getting set
-- at the end of a legitimate burst read operation. If the RdFIFO goes
-- empty after the initiation of the read (at least one FIFO2Bus_RdAck
-- has been issued), an 'Empty' condition causes only an inhibit of the
-- FIFO2Bus_RdAck signal.
-- - Fixed the implimentation of the MIR inclusion/occlusion through the
-- use of if--generate clauses.
--
-- DET June 25, 2001 V1.00c
-- - Removed redundant logic assignments flagged by
-- Synplicity
--
-- DET July 20, 2001
-- - Changed the C_MIR_ENABLE type to Boolean from std_logic.
--
-- DET Aug 20, 2001 Version v1.01a
-- - Platform Generator Compliancy modifications
--
-- DET Sept 17, 2001
-- - Size optimization changes
--
--
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
-- Library definitions
library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.std_logic_arith.all;
-------------------------------------------------------------------------------
entity ipif_control_rd is
Generic (
C_MIR_ENABLE : Boolean := true;
-- Enable for MIR synthesis (default for disable)
C_BLOCK_ID : integer range 0 to 255 := 255;
-- Platform Generator assigned ID number
C_INTFC_TYPE : integer range 0 to 31 := 1;
-- IPIF block protocol Type
C_VERSION_MAJOR : integer range 0 to 9 := 1;
-- Major versioning of top level design
C_VERSION_MINOR : integer range 0 to 99 := 2;
-- Minor Version of top level design
C_VERSION_REV : integer range 0 to 26 := 0;
-- Revision letter of top level design
C_FIFO_WIDTH : Integer := 32;
-- Width of FIFO data in bits
C_DP_ADDRESS_WIDTH : Integer := 9;
-- Indicates address width of RdFIFO memory
-- (= log2(fifo_depth)
C_SUPPORT_BURST : Boolean := true;
-- Indicates read burst support for the IPIF bus
C_IPIF_DBUS_WIDTH : Integer := 32
-- Width of the IPIF data bus in bits
);
port (
-- Inputs From the IPIF Bus
Bus_rst : In std_logic; -- Master Reset from the IPIF
Bus_Clk : In std_logic; -- Master timing clock from the IPIF
Bus_RdReq : In std_logic;
Bus_WrReq : In std_logic;
Bus2FIFO_RdCE1 : In std_logic;
Bus2FIFO_RdCE2 : In std_logic;
Bus2FIFO_RdCE3 : In std_logic;
Bus2FIFO_WrCE1 : In std_logic;
Bus2FIFO_WrCE2 : In std_logic;
Bus2FIFO_WrCE3 : In std_logic;
Bus_DBus : In std_logic_vector(C_IPIF_DBUS_WIDTH-4 to
C_IPIF_DBUS_WIDTH-1);
-- Inputs from the FIFO Interface Logic
Fifo_rd_data : In std_logic_vector(0 to C_FIFO_WIDTH-1);
BRAMFifo_RdAck : In std_logic;
SRLFifo_RdAck : In std_logic;
Occupancy : In std_logic_vector(0 to C_DP_ADDRESS_WIDTH);
AlmostEmpty : In std_logic;
Empty : In std_logic;
Deadlock : In std_logic;
-- Outputs to the FIFO
Fifo_rst : Out std_logic;
BRAMFifo_RdReq : Out std_logic;
SRLFifo_RdReq : Out std_logic;
Fifo_burst_rd_xfer : Out std_logic;
-- Outputs to the IPIF Bus
FIFO2IRPT_DeadLock : Out std_logic;
FIFO2Bus_DBus : Out std_logic_vector(0 to C_IPIF_DBUS_WIDTH-1);
FIFO2Bus_WrAck : Out std_logic;
FIFO2Bus_RdAck : Out std_logic;
FIFO2Bus_Error : Out std_logic;
FIFO2Bus_Retry : Out std_logic;
FIFO2Bus_ToutSup : Out std_logic
);
end ipif_control_rd ;
-------------------------------------------------------------------------------
architecture implementation of ipif_control_rd is
-- COMPONENTS
-- No components
--TYPES
-- no types
-- CONSTANTS
-- Module Software Reset screen value for write data
Constant RESET_MATCH : std_logic_vector(0 to 3) := "1010";
-- This requires a Hex 'A' to be written
-- to ativate the S/W reset port
-- general use constants
Constant LOGIC_LOW : std_logic := '0';
Constant LOGIC_HIGH : std_logic := '1';
--INTERNAL SIGNALS
signal bus_data_out : std_logic_vector(0 to C_IPIF_DBUS_WIDTH-1);
Signal sw_reset_error : std_logic;
signal reg_occupancy : std_logic_vector(0 to C_DP_ADDRESS_WIDTH);
Signal reg_almostempty : std_logic;
Signal reg_empty : std_logic;
Signal reg_deadlock : std_logic;
Signal reg_rdce2 : std_logic;
Signal reg_wrce1 : std_logic;
Signal reg_rdreq : std_logic;
Signal read_ack : std_logic;
Signal reg_read_ack : std_logic;
Signal write_ack : std_logic;
Signal rd_access_error : std_logic;
Signal wr_access_error : std_logic;
Signal burst_rd_xfer : std_logic;
Signal read_req : std_logic;
Signal reg_read_req : std_logic;
Signal write_req : std_logic;
Signal fifo_rd_req : std_logic;
Signal fifo_errack_inhibit : std_logic;
Signal rd_vect : std_logic_vector(0 to 3);
Signal sig_srl_rdack : std_logic;
Signal sig_bram_rdack : std_logic;
Signal sig_rst_match : std_logic;
Signal sig_rst_vect : std_logic_vector(0 to 1);
Signal sig_fifo_rd_data : std_logic_vector(0 to C_IPIF_DBUS_WIDTH-1);
-------------------------------------------------------------------------------
---------- start architecture logic -------------------------------------------
begin
-- General access detection (used to terminate reply signal to the Bus)
read_req <= (Bus2FIFO_RdCE1 or Bus2FIFO_RdCE2 or Bus2FIFO_RdCE3);
write_req <= (Bus2FIFO_WrCE1 or Bus2FIFO_WrCE2 or Bus2FIFO_WrCE3);
-- I/O assignments
FIFO2Bus_DBus <= bus_data_out;
FIFO2Bus_ToutSup <= LOGIC_LOW; -- output signal not currently used so
-- drive low .
FIFO2Bus_Retry <= LOGIC_LOW; -- output signal not currently used so
-- drive low.
FIFO2Bus_WrAck <= write_ack and write_req; -- connect the write
-- acknowledge (drive only
-- if a request is present)
FIFO2Bus_RdAck <= read_ack and read_req; -- connect the read
-- acknowledge (drive only if
-- a request is present)
FIFO2Bus_Error <= (sw_reset_error or
rd_access_error or
wr_access_error) and
(read_req or write_req);
FIFO2IRPT_DeadLock <= Deadlock;
BRAMFifo_RdReq <= Bus_RdReq and Bus2FIFO_RdCE3; -- Read Request to BRAM
-- based FIFO.
SRLFifo_RdReq <= reg_rdreq and Bus2FIFO_RdCE3; -- Read Request to SRL
-- based FIFO
Fifo_burst_rd_xfer <= burst_rd_xfer; -- Burst detect signal to FIFO read
-- controller
sig_srl_rdack <= SRLFifo_RdAck;
sig_bram_rdack <= BRAMFifo_RdAck;
------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- The FIFO data bus width is smaller than the IPIF data bus width so connect
-- the smaller FIFO data to LSB position of data bus to IPIF interface and
-- set the remaining data bus bits to zeroes.
-------------------------------------------------------------------------------
BUS_BIGGER_THAN_FIFO : if (C_IPIF_DBUS_WIDTH > C_FIFO_WIDTH) generate
CONNECT_DBUS : process (fifo_rd_data)
Begin
sig_fifo_rd_data <= (others => '0'); --default bus state
for j in 0 to C_FIFO_WIDTH-1 loop
sig_fifo_rd_data(C_IPIF_DBUS_WIDTH-C_FIFO_WIDTH+j)
<= fifo_rd_data(j);
End loop;
End process; -- CONNECT_DBUS
end generate BUS_BIGGER_THAN_FIFO;
-------------------------------------------------------------------------------
-- The FIFO data bus width is equal to the IPIF data bus width so connect
-- the FIFO data to IPIF data interface.
-------------------------------------------------------------------------------
BUS_EQUAL_TO_FIFO : if (C_IPIF_DBUS_WIDTH = C_FIFO_WIDTH) generate
sig_fifo_rd_data <= fifo_rd_data;
end generate BUS_EQUAL_TO_FIFO;
-------------------------------------------------------------------------------
-- The FIFO data bus width is bigger than the IPIF data bus width !!BAD!!!
-- Connect the LSBits of the FIFO data to the IPIF data bus interface,
-- Don't use (truncate) the MSBits of the FIFO data spilling over the IPIF
-- data bus width.
-------------------------------------------------------------------------------
BUS_SMALLER_THAN_FIFO : if (C_IPIF_DBUS_WIDTH < C_FIFO_WIDTH) generate
CONNECT_DBUS : process (fifo_rd_data)
Begin
for j in C_IPIF_DBUS_WIDTH-1 downto 0 loop
sig_fifo_rd_data(j) <= fifo_rd_data(C_FIFO_WIDTH-
C_IPIF_DBUS_WIDTH+j);
End loop;
End process; -- CONNECT_DBUS
end generate BUS_SMALLER_THAN_FIFO;
------------------------------------------------------------------------------
-- Register the input chip enables
------------------------------------------------------------------------------
REGISTER_CHIP_ENABLES : process (Bus_rst, Bus_Clk)
Begin
If (Bus_rst = '1') Then
reg_rdce2 <= '0';
reg_wrce1 <= '0';
reg_rdreq <= '0';
reg_read_req <= '0';
Elsif (Bus_Clk'EVENT and Bus_Clk = '1') Then
reg_rdce2 <= Bus2FIFO_RdCE2;
reg_wrce1 <= Bus2FIFO_WrCE1;
reg_rdreq <= Bus_RdReq;
reg_read_req <= read_req;
Else
null;
End if;
End process; -- REGISTER_CHIP_ENABLES
INCLUDE_BURST : if (C_SUPPORT_BURST = true) generate
--burst_rd_xfer <= reg_rdreq and Bus_RdReq;
-------------------------------------------------------------------------
-- This process detects the completion of at least one valid FIFO data
-- read cycle during a burst read.
-------------------------------------------------------------------------
GEN_ERRACK_INHIB : process (Bus_rst, Bus_Clk)
Begin
If (Bus_rst = '1') Then
fifo_errack_inhibit <= '0';
burst_rd_xfer <= '0';
Elsif (Bus_Clk'EVENT and Bus_Clk = '1' ) Then
burst_rd_xfer <= reg_rdreq and Bus_RdReq;
If (Bus2FIFO_RdCE3 = '1' and sig_bram_rdack = '1') Then
fifo_errack_inhibit <= '1';
Elsif (Bus2FIFO_RdCE3 = '1' and sig_srl_rdack = '1') Then
fifo_errack_inhibit <= '1';
Elsif (Bus2FIFO_RdCE3 = '0') Then
fifo_errack_inhibit <= '0';
else
null;
End if;
else
null;
End if;
End process; -- GEN_ERRACK_INHIB
end generate INCLUDE_BURST;
OMIT_BURST : if (C_SUPPORT_BURST = false) generate
burst_rd_xfer <= '0';
fifo_errack_inhibit <= '0';
end generate OMIT_BURST;
-------------------------------------------------------------------------------
-- Assemble and latch the FIFO status register fields
-------------------------------------------------------------------------------
GET_STATUS : process (Bus_rst, Bus_Clk)
Begin
If (Bus_rst = '1') Then
reg_occupancy <= (others => '0');
reg_deadlock <= '0';
reg_almostempty <= '0';
reg_empty <= '1';
Elsif (Bus_Clk'EVENT and Bus_Clk = '1') Then
If (reg_rdce2 = '1') Then -- hold last value registered during
-- read operation.
null;
else -- register new status every clock
reg_occupancy <= Occupancy ;
reg_deadlock <= Deadlock ;
reg_almostempty <= AlmostEmpty ;
reg_empty <= Empty ;
End if;
else
null; -- do nothing
End if;
End process; -- GET_STATUS
sig_rst_match <= Bus_DBus(C_IPIF_DBUS_WIDTH-4)
and not(Bus_DBus(C_IPIF_DBUS_WIDTH-3))
and Bus_DBus(C_IPIF_DBUS_WIDTH-2)
and not(Bus_DBus(C_IPIF_DBUS_WIDTH-1));
sig_rst_vect <= sig_rst_match & Bus2FIFO_WrCE1;
------------------------------------------------------------------------------
-- Generate the S/W reset as a result of an IPIF Bus write to register
-- port 1 and data on the DBus inputs matching the Reset match value.
------------------------------------------------------------------------------
GENERATE_SOFTWARE_RESET : process (Bus_rst, Bus_Clk)
Begin
If (Bus_rst = '1') Then
Fifo_rst <= '1';
sw_reset_error <= '0';
Elsif (Bus_Clk'EVENT and Bus_Clk = '1') Then
Case sig_rst_vect Is
When "11" =>
Fifo_rst <= '1';
sw_reset_error <= '0';
When "01" =>
Fifo_rst <= '0';
sw_reset_error <= '1';
When others =>
Fifo_rst <= '0';
sw_reset_error <= '0';
End case;
Else
null;
End if;
End process; -- GENERATE_SOFTWARE_RESET
-- Synthesis for MIR inclusion ------------------------------------------------
Include_MIR :if (C_MIR_ENABLE = True) generate
signal mir_value : std_logic_vector(0 to 31);
Signal mir_bus : std_logic_vector(0 to C_IPIF_DBUS_WIDTH-1);
Signal status_bus : std_logic_vector(0 to C_IPIF_DBUS_WIDTH-1);
begin
----------------------------------------------------------------------------
-- assemble the MIR fields from the Applicable Generics and Constants
-- Conversion to std_logic_vector is required
----------------------------------------------------------------------------
mir_value(0 to 3) <= CONV_STD_LOGIC_VECTOR(C_VERSION_MAJOR, 4);
mir_value(4 to 10) <= CONV_STD_LOGIC_VECTOR(C_VERSION_MINOR, 7);
mir_value(11 to 15) <= CONV_STD_LOGIC_VECTOR(C_VERSION_REV, 5);
mir_value(16 to 23) <= CONV_STD_LOGIC_VECTOR(C_BLOCK_ID, 8);
mir_value(24 to 31) <= CONV_STD_LOGIC_VECTOR(C_INTFC_TYPE, 8);
BUS_LEQ_32 : if (C_IPIF_DBUS_WIDTH <= 32) generate
begin
BUILD_MIR_BUS : process (mir_value)
Begin
for j in 0 to C_IPIF_DBUS_WIDTH-1 loop
mir_bus(j) <= mir_value((32-C_IPIF_DBUS_WIDTH)+j);
End loop;
End process; -- BUILD_MIR_BUS
end generate BUS_LEQ_32;
BUS_GT_32 : if (C_IPIF_DBUS_WIDTH > 32) generate
begin
BUILD_MIR_BUS : process (mir_value)
Begin
mir_bus <= (others => '0'); -- default bus values
for j in 0 to 31 loop
mir_bus((C_IPIF_DBUS_WIDTH-32)+j) <= mir_value(j);
End loop;
End process; -- BUILD_MIR_BUS
end generate BUS_GT_32;
----------------------------------------------------------------------------
-- The IPIF DBUS is larger than 32 bits in width. Place the 32 bit status
-- word on the 32 LSBits of the data bus.
-- Do not scale the vacancy value down.
-- Note status_bus bit 3 is not set, signaling a complete vacancy value.
----------------------------------------------------------------------------
BUILD_STATUS_BIG : if (C_IPIF_DBUS_WIDTH >= 32) generate
begin
BUILD_STATUS_BUS : process (reg_deadlock, reg_almostempty, reg_empty,
reg_occupancy)
Begin
status_bus <= (others => '0'); -- set default bus values
status_bus(C_IPIF_DBUS_WIDTH-29) <= '0';
-- Occupancy is not scaled in this case.
status_bus(C_IPIF_DBUS_WIDTH-30) <= reg_deadlock ;
status_bus(C_IPIF_DBUS_WIDTH-31) <= reg_almostempty ;
status_bus(C_IPIF_DBUS_WIDTH-32) <= reg_empty ;
for j in C_DP_ADDRESS_WIDTH downto 0 loop
status_bus((C_IPIF_DBUS_WIDTH-1)-(C_DP_ADDRESS_WIDTH-j))
<= reg_occupancy(j);
End loop;
End process; -- BUILD_STATUS_BUS
end generate BUILD_STATUS_BIG;
----------------------------------------------------------------------------
-- The IPIF DBUS is of sufficient width to contain the complete status
-- information so do not scale the occupancy value down.
-- Note status_bus bit 3 is not set, signaling a complete occupancy value.
----------------------------------------------------------------------------
BUILD_STATUS_FIT : if (C_IPIF_DBUS_WIDTH >= C_DP_ADDRESS_WIDTH+4
and C_IPIF_DBUS_WIDTH < 32) generate
begin
BUILD_STATUS_BUS : process (reg_deadlock, reg_almostempty, reg_empty,
reg_occupancy)
Begin
status_bus <= (others => '0'); -- set default bus values
status_bus(3) <= '0' ; -- occupancy is not scaled
status_bus(2) <= reg_deadlock ;
status_bus(1) <= reg_almostempty;
status_bus(0) <= reg_empty ;
for j in C_DP_ADDRESS_WIDTH downto 0 loop
status_bus((C_IPIF_DBUS_WIDTH-1)-(C_DP_ADDRESS_WIDTH-j))
<= reg_occupancy(j);
End loop;
End process; -- BUILD_STATUS_BUS
end generate BUILD_STATUS_FIT;
----------------------------------------------------------------------------
-- The IPIF DBUS is too narrow to contain the complete status information so
-- scale the occupancy value down until it fits in the available space.
-- Note status_bus bit 3 is now set, signaling a scaled occupancy value.
----------------------------------------------------------------------------
BUILD_STATUS_NO_FIT : if (C_IPIF_DBUS_WIDTH < C_DP_ADDRESS_WIDTH+4
and C_IPIF_DBUS_WIDTH < 32) generate
constant OCC_INDEX_END : Integer := (C_IPIF_DBUS_WIDTH-4)-1;
begin
BUILD_STATUS_BUS : process (reg_deadlock, reg_almostempty, reg_empty,
reg_occupancy)
Begin
status_bus <= (others => '0'); -- set default bus values
status_bus(3) <= '1'; -- occupancy is scaled to fit
status_bus(2) <= reg_deadlock ;
status_bus(1) <= reg_almostempty;
status_bus(0) <= reg_empty ;
for j in 0 to OCC_INDEX_END loop
status_bus((C_IPIF_DBUS_WIDTH-1)-OCC_INDEX_END+j)
<= reg_occupancy(j);
End loop;
End process; -- BUILD_STATUS_BUS
end generate BUILD_STATUS_NO_FIT;
----------------------------------------------------------------------------
-- Mux the three read data sources to the IPIF Local Bus output port during
-- reads.
----------------------------------------------------------------------------
MUX_THE_OUTPUT_DATA : process (Bus2FIFO_RdCE3, Bus2FIFO_RdCE2,
Bus2FIFO_RdCE1, mir_bus, status_bus,
sig_fifo_rd_data, rd_vect, reg_read_req)
Begin
rd_vect <= reg_read_req & Bus2FIFO_RdCE3 &
Bus2FIFO_RdCE2 & Bus2FIFO_RdCE1;
Case rd_vect Is
When "1001" => -- Read MIR port
bus_data_out <= mir_bus;
When "1010" => -- Read Status port
bus_data_out <= status_bus;
When "1100" => -- Read FIFO data port
bus_data_out <= sig_fifo_rd_data;
When others => -- default to zeroes
bus_data_out <= (others => '0');
End case;
End process; -- MUX_THE_OUTPUT_DATA
----------------------------------------------------------------------------
-- Generate the Read Error Acknowledge Reply to the Bus when
-- an attempted read access by the IPIF Local Bus is invalid
----------------------------------------------------------------------------
GEN_RD_ERROR : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
rd_access_error <= '0';
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
if (Bus2FIFO_RdCE3 = '1' and Empty = '1' and
fifo_errack_inhibit = '0') Then -- attempting to read the
-- rdfifo with an empty
rd_access_error <= '1'; -- condition is an error,
-- but only on the
-- initiation of the read
Else
rd_access_error <= '0';
End if;
Else
null;
End if;
End process; -- GEN_RD_ERROR
end generate Include_MIR;
-------------------------------------------------------------------------------
-- Synthesis for MIR occlusion
-------------------------------------------------------------------------------
Occlude_MIR : if (C_MIR_ENABLE = False) generate
Signal status_bus : std_logic_vector(0 to C_IPIF_DBUS_WIDTH-1);
begin
----------------------------------------------------------------------------
-- The IPIF DBUS is larger than 32 bits in width. Place the 32 bit status
-- word on the 32 LSBits of the data bus.
-- Do not scale the vacancy value down.
-- Note status_bus bit 3 is not set, signaling a complete vacancy value.
----------------------------------------------------------------------------
BUILD_STATUS_BIG : if (C_IPIF_DBUS_WIDTH >= 32) generate
begin
BUILD_STATUS_BUS : process (reg_deadlock, reg_almostempty, reg_empty,
reg_occupancy)
Begin
status_bus <= (others => '0'); -- set default bus values
status_bus(C_IPIF_DBUS_WIDTH-29) <= '0' ;
-- occupancy is not scaled in this case.
status_bus(C_IPIF_DBUS_WIDTH-30) <= reg_deadlock ;
status_bus(C_IPIF_DBUS_WIDTH-31) <= reg_almostempty ;
status_bus(C_IPIF_DBUS_WIDTH-32) <= reg_empty ;
for j in C_DP_ADDRESS_WIDTH downto 0 loop
status_bus((C_IPIF_DBUS_WIDTH-1)-(C_DP_ADDRESS_WIDTH-j))
<= reg_occupancy(j);
End loop;
End process; -- BUILD_STATUS_BUS
end generate BUILD_STATUS_BIG;
----------------------------------------------------------------------------
-- The IPIF DBUS is of sufficient width to contain the complete status
-- information so do not scale the occupancy value down.
-- Note status_bus bit 3 is not set, signaling a complete occupancy value.
----------------------------------------------------------------------------
BUILD_STATUS_FIT : if (C_IPIF_DBUS_WIDTH >= C_DP_ADDRESS_WIDTH+4
and C_IPIF_DBUS_WIDTH < 32) generate
begin
BUILD_STATUS_BUS : process (reg_deadlock, reg_almostempty, reg_empty,
reg_occupancy)
Begin
status_bus <= (others => '0'); -- set default bus values
status_bus(3) <= '0' ; -- occupancy is not scaled
status_bus(2) <= reg_deadlock ;
status_bus(1) <= reg_almostempty;
status_bus(0) <= reg_empty ;
for j in C_DP_ADDRESS_WIDTH downto 0 loop
status_bus((C_IPIF_DBUS_WIDTH-1)-(C_DP_ADDRESS_WIDTH-j))
<= reg_occupancy(j);
End loop;
End process; -- BUILD_STATUS_BUS
end generate BUILD_STATUS_FIT;
----------------------------------------------------------------------------
-- The IPIF DBUS is too narrow to contain the complete status information so
-- scale the occupancy value down until it fits in the available space.
-- Note status_bus bit 3 is now set, signaling a scaled occupancy value.
----------------------------------------------------------------------------
BUILD_STATUS_NO_FIT : if (C_IPIF_DBUS_WIDTH < C_DP_ADDRESS_WIDTH+4) generate
constant OCC_INDEX_END : Integer := (C_IPIF_DBUS_WIDTH-4)-1;
begin
BUILD_STATUS_BUS : process (reg_deadlock, reg_almostempty, reg_empty,
reg_occupancy)
Begin
status_bus(4 to C_IPIF_DBUS_WIDTH-1) <= (others => '0');
-- set default bus values
status_bus(3) <= '1' ;
-- Indicate occupancy is scaled to fit
status_bus(2) <= reg_deadlock ;
status_bus(1) <= reg_almostempty;
status_bus(0) <= reg_empty ;
for j in 0 to OCC_INDEX_END loop
status_bus((C_IPIF_DBUS_WIDTH-1)-OCC_INDEX_END+j)
<= reg_occupancy(j);
End loop;
End process; -- BUILD_STATUS_BUS
end generate BUILD_STATUS_NO_FIT;
----------------------------------------------------------------------------
-- Mux the three read data sources to the IPIF Local Bus output port during
-- reads.
----------------------------------------------------------------------------
MUX_THE_OUTPUT_DATA : process (Bus2FIFO_RdCE3, Bus2FIFO_RdCE2,
Bus2FIFO_RdCE1, sig_fifo_rd_data,
status_bus, rd_vect, reg_read_req)
Begin
rd_vect <= reg_read_req & Bus2FIFO_RdCE3 &
Bus2FIFO_RdCE2 & Bus2FIFO_RdCE1;
Case rd_vect Is
When "1010" =>
bus_data_out <= status_bus;
When "1100" =>
bus_data_out <= sig_fifo_rd_data;
When others =>
bus_data_out <= (others => '0');
End case;
End process ; -- MUX_THE_OUTPUT_DATA
----------------------------------------------------------------------------
-- Generate the Read Error Acknowledge Reply to the Bus when
-- an attempted read access by the IPIF Local Bus is invalid
----------------------------------------------------------------------------
GEN_RD_ERROR : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
rd_access_error <= '0';
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
if (Bus2FIFO_RdCE1 = '1') Then -- attempting to read MIR but it
-- is not included
rd_access_error <= '1';
Elsif (Bus2FIFO_RdCE3 = '1' and Empty = '1' and
fifo_errack_inhibit = '0') Then -- attempting to read the
-- rdfifo with an empty
rd_access_error <= '1'; -- condition is an error,
-- but only on the
-- initiation of the read
Else
rd_access_error <= '0';
End if;
Else
null;
End if;
End process; -- GEN_RD_ERROR
end generate Occlude_MIR;
-------------------------------------------------------------------------------
-- Generate the Read Acknowledge to the Bus
-------------------------------------------------------------------------------
GEN_READ_ACK : process (Bus_rst, Bus_Clk)
Begin
If (Bus_rst = '1') Then
reg_read_ack <= '0';
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
If (Bus2FIFO_RdCE1 = '1' ) Then
reg_read_ack <= '1';
Elsif (Bus2FIFO_RdCE2 = '1' ) Then
reg_read_ack <= '1';
Elsif (Bus2FIFO_RdCE3 = '1') Then
reg_read_ack <= sig_bram_rdack;
else
reg_read_ack <= '0';
End if;
Else
null;
End if;
End process; -- GEN_READ_ACK
read_ack <= reg_read_ack
or rd_access_error
or sig_srl_rdack;
write_ack <= reg_wrce1 or wr_access_error;
-------------------------------------------------------------------------------
-- Generate the Write Error Acknowledge Reply to the Bus when
-- an attempted write access by the IPIF Local Bus is invalid
-------------------------------------------------------------------------------
--GEN_WR_ERROR : process (Bus2FIFO_WrCE2, Bus2FIFO_WrCE3)
GEN_WR_ERROR : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
wr_access_error <= '0';
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
if (Bus2FIFO_WrCE2 = '1') Then -- attempting to write to the status
-- register.
wr_access_error <= '1';
ElsIf (Bus2FIFO_WrCE3 = '1') Then -- attempting a write to the FIFO
-- Read data port.
wr_access_error <= '1';
Else
wr_access_error <= '0';
End if;
Else
null;
End if;
End process; -- GEN_WR_ERROR
end implementation;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/platforms/xilinx/ml605_pr_smp1_14_7/design/pcores/xps_bram_if_cntlr_v1_00_b/hdl/vhdl/xbic_be_reset_gen.vhd | 2 | 10315 | -------------------------------------------------------------------------------
-- $Id: xbic_be_reset_gen.vhd,v 1.2.2.1 2008/12/16 22:23:17 dougt Exp $
-------------------------------------------------------------------------------
-- xbic_be_reset_gen - entity / architecture pair
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
-- DISCLAIMER OF LIABILITY
--
-- This file contains proprietary and confidential information of
-- Xilinx, Inc. ("Xilinx"), that is distributed under a license
-- from Xilinx, and may be used, copied and/or disclosed only
-- pursuant to the terms of a valid license agreement with Xilinx.
--
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION
-- ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER
-- EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT
-- LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT,
-- MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx
-- does not warrant that functions included in the Materials will
-- meet the requirements of Licensee, or that the operation of the
-- Materials will be uninterrupted or error-free, or that defects
-- in the Materials will be corrected. Furthermore, Xilinx does
-- not warrant or make any representations regarding use, or the
-- results of the use, of the Materials in terms of correctness,
-- accuracy, reliability or otherwise.
--
-- Xilinx products are not designed or intended to be fail-safe,
-- or for use in any application requiring fail-safe performance,
-- such as life-support or safety devices or systems, Class III
-- medical devices, nuclear facilities, applications related to
-- the deployment of airbags, or any other applications that could
-- lead to death, personal injury or severe property or
-- environmental damage (individually and collectively, "critical
-- applications"). Customer assumes the sole risk and liability
-- of any use of Xilinx products in critical applications,
-- subject only to applicable laws and regulations governing
-- limitations on product liability.
--
-- Copyright 2007, 2008, 2009 Xilinx, Inc.
-- All rights reserved.
--
-- This disclaimer and copyright notice must be retained as part
-- of this file at all times.
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Filename: xbic_be_reset_gen.vhd
--
-- Description:
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
--
-- xps_bram_if_cntlr.vhd
-- |
-- |- xbic_slave_attach_sngl
-- | |
-- | |- xbic_addr_decode
-- | |- xbic_addr_be_support
-- | |- xbic_data_steer_mirror
-- |
-- |- xbic_slave_attach_burst
-- |
-- |- xbic_addr_decode
-- |- xbic_addr_be_support
-- |- xbic_data_steer_mirror
-- |- xbic_addr_cntr
-- | |
-- | |- xbic_be_reset_gen.vhd
-- |
-- |- xbic_dbeat_control
-- |- xbic_data_steer_mirror
--
--
-------------------------------------------------------------------------------
-- Author: GAB
--
-- History:
--
-- DET Feb-5-07
-- ~~~~~~
-- -- Special version for the XPS BRAM IF Cntlr that is adapted
-- from plbv46_slave_burst_V1_00_a library
-- ^^^^^^
--
-- DET 3/6/2007 Reduced latency revision
-- ~~~~~~
-- - Added missing 64-bit case for when C_SMALLEST = 32 and C_NATIVE_DWIDTH=128.
-- this cause bus2ip_be to be driven incorrectly for address offets 0x4,
-- 0x5, 0x6,and 0x7.
-- ^^^^^^
--
-- DET 5/24/2007 Jm
-- ~~~~~~
-- - Changed the design to output active low BE reset mask.
-- - Modifed output Mask width to be the full width of the BE bus.
-- ^^^^^^
--
-- DET 9/9/2008 v1_00_b for EDK 11.x release
-- ~~~~~~
-- - Updated Disclaimer in header section.
-- ^^^^^^
--
-- DET 12/16/2008 v1_01_b
-- ~~~~~~
-- - Updated eula/header to latest version.
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library unisim;
use unisim.vcomponents.all;
entity xbic_be_reset_gen is
generic (
C_NATIVE_DWIDTH : integer := 32;
C_SMALLEST : integer := 32
);
port(
Addr : in std_logic_vector(0 to 1);
MSize : in std_logic_vector(0 to 1);
BE_Sngl_Mask : out std_logic_vector(0 to (C_NATIVE_DWIDTH/8) - 1)
);
end entity xbic_be_reset_gen;
architecture implementation of xbic_be_reset_gen is
-------------------------------------------------------------------------------
-- Signal Declarations
-------------------------------------------------------------------------------
signal reset_be_extended : std_logic_vector(0 to (C_NATIVE_DWIDTH/8) - 1);
------------------------------------------------------------------------------
-- Architecture BEGIN
------------------------------------------------------------------------------
begin
BE_Sngl_Mask <= not(reset_be_extended);
GEN_FOR_SAME : if C_NATIVE_DWIDTH <= C_SMALLEST generate
reset_be_extended <= (others => '0');
end generate GEN_FOR_SAME;
---------------------
-- 64 Bit Support --
---------------------
GEN_BE_64_32: if C_NATIVE_DWIDTH = 64 and C_SMALLEST = 32 generate
signal addr_bits : std_logic;
begin
CONNECT_PROC: process (addr_bits,Addr,MSize)
begin
addr_bits <= Addr(1); --a29
reset_be_extended <= (others => '0');
case addr_bits is
when '0' =>
case MSize is
when "00" => -- 32-Bit Master
reset_be_extended <= "00001111";
when others => null;
end case;
when '1' =>
case MSize is
when "00" => -- 32-Bit Master
reset_be_extended <= "11110000";
when others => null;
end case;
when others => null;
end case;
end process CONNECT_PROC;
end generate GEN_BE_64_32;
---------------------
-- 128 Bit Support --
---------------------
GEN_BE_128_32: if C_NATIVE_DWIDTH = 128 and C_SMALLEST = 32 generate
signal addr_bits : std_logic_vector(0 to 1);
begin
CONNECT_PROC: process (addr_bits,Addr,MSize)
begin
addr_bits <= Addr; -- 24 25 26 27 | 28 29 30 31
reset_be_extended <= (others => '0');
case addr_bits is
when "00" => --0
case MSize is
when "00" => -- 32-Bit Master
reset_be_extended <= "0000111111111111";
when "01" => -- 64-Bit Master
reset_be_extended <= "0000000011111111";
when others => null;
end case;
when "01" => --4
case MSize is
when "00" => -- 32-Bit Master
reset_be_extended <= "1111000011111111";
when "01" => -- 64-Bit Master -- GAB 12/22/06
reset_be_extended <= "0000000011111111";
when others => null;
end case;
when "10" => --8
case MSize is
when "00" => -- 32-Bit Master
reset_be_extended <= "1111111100001111";
when "01" => -- 64-Bit Master
reset_be_extended <= "1111111100000000";
when others => null;
end case;
when "11" => --C
case MSize is
when "00" => --32-Bit Master
reset_be_extended <= "1111111111110000";
when "01" => --64-Bit Master
reset_be_extended <= "1111111100000000";
when others => null;
end case;
when others => null;
end case;
end process CONNECT_PROC;
end generate GEN_BE_128_32;
GEN_BE_128_64: if C_NATIVE_DWIDTH = 128 and C_SMALLEST = 64 generate
signal addr_bits : std_logic;
begin
CONNECT_PROC: process (addr_bits,Addr,MSize)
begin
addr_bits <= Addr(0);
reset_be_extended <= (others => '0');
case addr_bits is
when '0' =>
case MSize is
when "01" => -- 64-Bit Master
reset_be_extended <= "0000000011111111";
when others => null;
end case;
when '1' => --8
case MSize is
when "01" => -- 64-Bit Master
reset_be_extended <= "1111111100000000";
when others => null;
end case;
when others =>
null;
end case;
end process CONNECT_PROC;
end generate GEN_BE_128_64;
end implementation; -- (architecture)
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/hardware/MyRepository/pcores/plb_hwti_v1_00_a/hdl/vhdl/plb_hwti.vhd | 2 | 40730 | library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v1_00_b;
use proc_common_v1_00_b.proc_common_pkg.all;
library ipif_common_v1_00_e;
use ipif_common_v1_00_e.ipif_pkg.all;
library plb_ipif_v2_01_a;
use plb_ipif_v2_01_a.all;
library plb_hwti_v1_00_a;
use plb_hwti_v1_00_a.all;
library fsl_v20_v2_10_a;
use fsl_v20_v2_10_a.all;
entity plb_hwti is
generic
(
C_MANAG_BASE : std_logic_vector := x"60000000";
C_SCHED_BASE : std_logic_vector := x"61000000";
C_MUTEX_BASE : std_logic_vector := x"75000000";
C_CONDV_BASE : std_logic_vector := x"74000000";
C_BASEADDR : std_logic_vector := X"FFFFFFFF";
C_HIGHADDR : std_logic_vector := X"00000000";
C_PLB_AWIDTH : integer := 32;
C_PLB_DWIDTH : integer := 64;
C_PLB_NUM_MASTERS : integer := 8;
C_PLB_MID_WIDTH : integer := 3;
C_FAMILY : string := "virtex2p"
);
port
(
U2HLOW_M_WRITE : in std_logic;
U2HLOW_M_DATA : in std_logic_vector(0 to 31);
U2HLOW_M_CONTROL : in std_logic;
U2HLOW_M_FULL : out std_logic;
U2HHIGH_M_WRITE : in std_logic;
U2HHIGH_M_DATA : in std_logic_vector(0 to 31);
U2HHIGH_M_CONTROL : in std_logic;
U2HHIGH_M_FULL : out std_logic;
H2ULOW_S_READ : in std_logic;
H2ULOW_S_DATA : out std_logic_vector(0 to 31);
H2ULOW_S_CONTROL : out std_logic;
H2ULOW_S_EXISTS : out std_logic;
H2UHIGH_S_READ : in std_logic;
H2UHIGH_S_DATA : out std_logic_vector(0 to 31);
H2UHIGH_S_CONTROL : out std_logic;
H2UHIGH_S_EXISTS : out std_logic;
PLB_Clk : in std_logic;
PLB_Rst : in std_logic;
Sl_addrAck : out std_logic;
Sl_MBusy : out std_logic_vector(0 to C_PLB_NUM_MASTERS-1);
Sl_MErr : out std_logic_vector(0 to C_PLB_NUM_MASTERS-1);
Sl_rdBTerm : out std_logic;
Sl_rdComp : out std_logic;
Sl_rdDAck : out std_logic;
Sl_rdDBus : out std_logic_vector(0 to C_PLB_DWIDTH-1);
Sl_rdWdAddr : out std_logic_vector(0 to 3);
Sl_rearbitrate : out std_logic;
Sl_SSize : out std_logic_vector(0 to 1);
Sl_wait : out std_logic;
Sl_wrBTerm : out std_logic;
Sl_wrComp : out std_logic;
Sl_wrDAck : out std_logic;
PLB_abort : in std_logic;
PLB_ABus : in std_logic_vector(0 to C_PLB_AWIDTH-1);
PLB_BE : in std_logic_vector(0 to C_PLB_DWIDTH/8-1);
PLB_busLock : in std_logic;
PLB_compress : in std_logic;
PLB_guarded : in std_logic;
PLB_lockErr : in std_logic;
PLB_masterID : in std_logic_vector(0 to C_PLB_MID_WIDTH-1);
PLB_MSize : in std_logic_vector(0 to 1);
PLB_ordered : in std_logic;
PLB_PAValid : in std_logic;
PLB_pendPri : in std_logic_vector(0 to 1);
PLB_pendReq : in std_logic;
PLB_rdBurst : in std_logic;
PLB_rdPrim : in std_logic;
PLB_reqPri : in std_logic_vector(0 to 1);
PLB_RNW : in std_logic;
PLB_SAValid : in std_logic;
PLB_size : in std_logic_vector(0 to 3);
PLB_type : in std_logic_vector(0 to 2);
PLB_wrBurst : in std_logic;
PLB_wrDBus : in std_logic_vector(0 to C_PLB_DWIDTH-1);
PLB_wrPrim : in std_logic;
M_abort : out std_logic;
M_ABus : out std_logic_vector(0 to C_PLB_AWIDTH-1);
M_BE : out std_logic_vector(0 to C_PLB_DWIDTH/8-1);
M_busLock : out std_logic;
M_compress : out std_logic;
M_guarded : out std_logic;
M_lockErr : out std_logic;
M_MSize : out std_logic_vector(0 to 1);
M_ordered : out std_logic;
M_priority : out std_logic_vector(0 to 1);
M_rdBurst : out std_logic;
M_request : out std_logic;
M_RNW : out std_logic;
M_size : out std_logic_vector(0 to 3);
M_type : out std_logic_vector(0 to 2);
M_wrBurst : out std_logic;
M_wrDBus : out std_logic_vector(0 to C_PLB_DWIDTH-1);
PLB_MBusy : in std_logic;
PLB_MErr : in std_logic;
PLB_MWrBTerm : in std_logic;
PLB_MWrDAck : in std_logic;
PLB_MAddrAck : in std_logic;
PLB_MRdBTerm : in std_logic;
PLB_MRdDAck : in std_logic;
PLB_MRdDBus : in std_logic_vector(0 to (C_PLB_DWIDTH-1));
PLB_MRdWdAddr : in std_logic_vector(0 to 3);
PLB_MRearbitrate : in std_logic;
PLB_MSSize : in std_logic_vector(0 to 1)
);
attribute SIGIS : string;
attribute SIGIS of PLB_Clk : signal is "Clk";
attribute SIGIS of PLB_Rst : signal is "Rst";
end entity plb_hwti;
architecture IMP of plb_hwti is
signal USER2HWTIL_S_READ : std_logic;
signal USER2HWTIL_S_DATA : std_logic_vector(0 to 31);
signal USER2HWTIL_S_CONTROL : std_logic;
signal USER2HWTIL_S_EXISTS : std_logic;
signal USER2HWTIL_M_WRITE : std_logic;
signal USER2HWTIL_M_DATA : std_logic_vector(0 to 31);
signal USER2HWTIL_M_CONTROL : std_logic;
signal USER2HWTIL_M_FULL : std_logic;
signal USER2HWTIH_S_READ : std_logic;
signal USER2HWTIH_S_DATA : std_logic_vector(0 to 31);
signal USER2HWTIH_S_CONTROL : std_logic;
signal USER2HWTIH_S_EXISTS : std_logic;
signal USER2HWTIH_M_WRITE : std_logic;
signal USER2HWTIH_M_DATA : std_logic_vector(0 to 31);
signal USER2HWTIH_M_CONTROL : std_logic;
signal USER2HWTIH_M_FULL : std_logic;
signal USER2HWTI_S_READ : std_logic;
signal USER2HWTI_S_DATA : std_logic_vector(0 to 63);
signal USER2HWTI_S_CONTROL : std_logic;
signal USER2HWTI_S_EXISTS : std_logic;
signal USER2HWTI_M_WRITE : std_logic;
signal USER2HWTI_M_DATA : std_logic_vector(0 to 63);
signal USER2HWTI_M_CONTROL : std_logic;
signal USER2HWTI_M_FULL : std_logic;
signal HWTI2USERL_S_READ : std_logic;
signal HWTI2USERL_S_DATA : std_logic_vector(0 to 31);
signal HWTI2USERL_S_CONTROL : std_logic;
signal HWTI2USERL_S_EXISTS : std_logic;
signal HWTI2USERL_M_WRITE : std_logic;
signal HWTI2USERL_M_DATA : std_logic_vector(0 to 31);
signal HWTI2USERL_M_CONTROL : std_logic;
signal HWTI2USERL_M_FULL : std_logic;
signal HWTI2USERH_S_READ : std_logic;
signal HWTI2USERH_S_DATA : std_logic_vector(0 to 31);
signal HWTI2USERH_S_CONTROL : std_logic;
signal HWTI2USERH_S_EXISTS : std_logic;
signal HWTI2USERH_M_WRITE : std_logic;
signal HWTI2USERH_M_DATA : std_logic_vector(0 to 31);
signal HWTI2USERH_M_CONTROL : std_logic;
signal HWTI2USERH_M_FULL : std_logic;
signal HWTI2USER_S_READ : std_logic;
signal HWTI2USER_S_DATA : std_logic_vector(0 to 63);
signal HWTI2USER_S_CONTROL : std_logic;
signal HWTI2USER_S_EXISTS : std_logic;
signal HWTI2USER_M_WRITE : std_logic;
signal HWTI2USER_M_DATA : std_logic_vector(0 to 63);
signal HWTI2USER_M_CONTROL : std_logic;
signal HWTI2USER_M_FULL : std_logic;
------------------------------------------
-- constants : generated by wizard for instantiation - do not change
------------------------------------------
-- specify address range definition identifier value, each entry with
-- predefined identifier indicates inclusion of corresponding ipif
-- service, following ipif mandatory service identifiers are predefined:
-- IPIF_INTR
-- IPIF_RST
-- IPIF_SEST_SEAR
-- IPIF_DMA_SG
-- IPIF_WRFIFO_REG
-- IPIF_WRFIFO_DATA
-- IPIF_RDFIFO_REG
-- IPIF_RDFIFO_DATA
constant USER_SLAVE : integer := USER_00;
constant USER_MASTER : integer := USER_10;
constant ARD_ID_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => USER_SLAVE, -- user logic slave space (s/w addressable constrol/status registers)
1 => USER_MASTER -- user logic master space (ip master model registers)
);
-- specify actual address range (defined by a pair of base address and
-- high address) for each address space, which are byte relative.
constant ZERO_ADDR_PAD : std_logic_vector(0 to 31) := (others => '0');
constant SLAVE_BASEADDR : std_logic_vector := C_BASEADDR or X"00000000";
constant SLAVE_HIGHADDR : std_logic_vector := C_BASEADDR or X"0000001F";
constant MASTER_BASEADDR : std_logic_vector := C_BASEADDR or X"00000020";
constant MASTER_HIGHADDR : std_logic_vector := C_BASEADDR or X"0000002F";
constant ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE :=
(
ZERO_ADDR_PAD & SLAVE_BASEADDR, -- user logic slave space base address
ZERO_ADDR_PAD & SLAVE_HIGHADDR, -- user logic slave space high address
ZERO_ADDR_PAD & MASTER_BASEADDR, -- user logic master space base address
ZERO_ADDR_PAD & MASTER_HIGHADDR -- user logic master space high address
);
-- specify data width for each target address range.
constant USER_DWIDTH : integer := 64;
constant ARD_DWIDTH_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => 32, -- user logic slave space data width
1 => 32 -- user logic master space data width
);
-- specify desired number of chip enables for each address range,
-- typically one ce per register and each ipif service has its
-- predefined value.
constant USER_NUM_SLAVE_CE : integer := 8;
constant USER_NUM_MASTER_CE : integer := 8;
constant USER_NUM_CE : integer := USER_NUM_SLAVE_CE+USER_NUM_MASTER_CE;
constant ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => pad_power2(USER_NUM_SLAVE_CE), -- number of chip enableds for user logic slave space (one per register)
1 => pad_power2(USER_NUM_MASTER_CE) -- number of chip enables for user logic master space (one per register)
);
-- specify unique properties for each address range, currently
-- only used for packet fifo data spaces.
constant ARD_DEPENDENT_PROPS_ARRAY : DEPENDENT_PROPS_ARRAY_TYPE :=
(
0 => (others => 0), -- user logic slave space dependent properties (none defined)
1 => (others => 0) -- user logic master space dependent properties (none defined)
);
-- specify determinate timing parameters to be used during read
-- accesses for each address range, these values are used to optimize
-- data beat timing response for burst reads from addresses sources such
-- as ddr and sdram memory, each address space requires three integer
-- entries for mode [0-2], latency [0-31] and wait states [0-31].
constant ARD_DTIME_READ_ARRAY : INTEGER_ARRAY_TYPE :=
(
0, 0, 0, -- user logic slave space determinate read parameters
0, 0, 0 -- user logic master space determinate read parameters
);
-- specify determinate timing parameters to be used during write
-- accesses for each address range, they not used currently, so
-- all entries should be set to zeros.
constant ARD_DTIME_WRITE_ARRAY : INTEGER_ARRAY_TYPE :=
(
0, 0, 0, -- user logic slave space determinate write parameters
0, 0, 0 -- user logic master space determinate write parameters
);
-- specify user defined device block id, which is used to uniquely
-- identify a device within a system.
constant DEV_BLK_ID : integer := 0;
-- specify inclusion/omission of module information register to be
-- read via the plb bus.
constant DEV_MIR_ENABLE : integer := 0;
-- specify inclusion/omission of additional logic needed to support
-- plb fixed burst transfers and optimized cacahline transfers.
constant DEV_BURST_ENABLE : integer := 1;
-- specify the maximum number of bytes that are allowed to be
-- transferred in a single burst operation, currently this needs
-- to be fixed at 128.
constant DEV_MAX_BURST_SIZE : integer := 128;
-- specify size of the largest target burstable memory space (in
-- bytes and a power of 2), this is to optimize the size of the
-- internal burst address counters.
constant DEV_BURST_PAGE_SIZE : integer := 1024;
-- specify number of plb clock cycles are allowed before a
-- data phase transfer timeout, this feature is useful during
-- system integration and debug.
constant DEV_DPHASE_TIMEOUT : integer := 64;
-- specify inclusion/omission of device interrupt source
-- controller for internal ipif generated interrupts.
constant INCLUDE_DEV_ISC : integer := 0;
-- specify inclusion/omission of device interrupt priority
-- encoder, this is useful in aiding the user interrupt service
-- routine to resolve the source of an interrupt within a plb
-- device incorporating an ipif.
constant INCLUDE_DEV_PENCODER : integer := 0;
-- specify number and capture mode of interrupt events from the
-- user logic to the ip isc located in the ipif interrupt service,
-- user logic interrupt event capture mode [1-6]:
-- 1 = Level Pass through (non-inverted)
-- 2 = Level Pass through (invert input)
-- 3 = Registered Event (non-inverted)
-- 4 = Registered Event (inverted input)
-- 5 = Rising Edge Detect
-- 6 = Falling Edge Detect
constant IP_INTR_MODE_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => 0 -- not used
);
-- specify inclusion/omission of plb master service for user logic.
constant IP_MASTER_PRESENT : integer := 1;
-- specify dma type for each channel (currently only 2 channels
-- supported), use following number:
-- 0 - simple dma
-- 1 - simple scatter gather
-- 2 - tx scatter gather with packet mode support
-- 3 - rx scatter gather with packet mode support
constant DMA_CHAN_TYPE_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => 0 -- not used
);
-- specify maximum width in bits for dma transfer byte counters.
constant DMA_LENGTH_WIDTH_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => 0 -- not used
);
-- specify address assigement for the length fifos used in
-- scatter gather operation.
constant DMA_PKT_LEN_FIFO_ADDR_ARRAY : SLV64_ARRAY_TYPE :=
(
0 => X"00000000_00000000" -- not used
);
-- specify address assigement for the status fifos used in
-- scatter gather operation.
constant DMA_PKT_STAT_FIFO_ADDR_ARRAY : SLV64_ARRAY_TYPE :=
(
0 => X"00000000_00000000" -- not used
);
-- specify interrupt coalescing value (number of interrupts to
-- accrue before issuing interrupt to system) for each dma
-- channel, apply to software design consideration.
constant DMA_INTR_COALESCE_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => 0 -- not used
);
-- specify allowing dma busrt mode transactions or not.
constant DMA_ALLOW_BURST : integer := 0;
-- specify maximum allowed time period (in ns) a packet may wait
-- before transfer by the scatter gather dma, apply to software
-- design consideration.
constant DMA_PACKET_WAIT_UNIT_NS : integer := 1000;
-- specify period of the plb clock in picoseconds, which is used
-- by the dma/sg service for timing funtions.
constant PLB_CLK_PERIOD_PS : integer := 10000;
-- specify ipif data bus size, used for future ipif optimization,
-- should be set equal to the plb data bus width.
constant IPIF_DWIDTH : integer := C_PLB_DWIDTH;
-- specify ipif address bus size, used for future ipif optimization,
-- should be set equal to the plb address bus width.
constant IPIF_AWIDTH : integer := C_PLB_AWIDTH;
-- specify user logic address bus width, must be same as the target bus.
constant USER_AWIDTH : integer := C_PLB_AWIDTH;
-- specify index for user logic slave/master spaces chip enable.
constant USER_SLAVE_CE_INDEX : integer := calc_start_ce_index(ARD_NUM_CE_ARRAY, get_id_index(ARD_ID_ARRAY, USER_SLAVE));
constant USER_MASTER_CE_INDEX : integer := calc_start_ce_index(ARD_NUM_CE_ARRAY, get_id_index(ARD_ID_ARRAY, USER_MASTER));
------------------------------------------
-- IP Interconnect (IPIC) signal declarations -- do not delete
-- prefix 'i' stands for IPIF while prefix 'u' stands for user logic
-- typically user logic will be hooked up to IPIF directly via i<sig>
-- unless signal slicing and muxing are needed via u<sig>
------------------------------------------
signal iBus2IP_Clk : std_logic;
signal iBus2IP_Reset : std_logic;
signal ZERO_IP2Bus_IntrEvent : std_logic_vector(0 to IP_INTR_MODE_ARRAY'length - 1) := (others => '0'); -- work around for XST not taking (others => '0') in port mapping
signal iIP2Bus_Data : std_logic_vector(0 to C_PLB_DWIDTH-1) := (others => '0');
signal iIP2Bus_WrAck : std_logic := '0';
signal iIP2Bus_RdAck : std_logic := '0';
signal iIP2Bus_Retry : std_logic := '0';
signal iIP2Bus_Error : std_logic := '0';
signal iIP2Bus_ToutSup : std_logic := '0';
signal iBus2IP_Data : std_logic_vector(0 to C_PLB_DWIDTH - 1);
signal iBus2IP_BE : std_logic_vector(0 to (C_PLB_DWIDTH/8) - 1);
signal iBus2IP_Burst : std_logic;
signal iBus2IP_WrReq : std_logic;
signal iBus2IP_RdReq : std_logic;
signal iBus2IP_RdCE : std_logic_vector(0 to calc_num_ce(ARD_NUM_CE_ARRAY)-1);
signal iBus2IP_WrCE : std_logic_vector(0 to calc_num_ce(ARD_NUM_CE_ARRAY)-1);
signal iIP2Bus_Addr : std_logic_vector(0 to IPIF_AWIDTH - 1) := (others => '0');
signal iIP2Bus_MstBE : std_logic_vector(0 to (IPIF_DWIDTH/8) - 1) := (others => '0');
signal iIP2IP_Addr : std_logic_vector(0 to IPIF_AWIDTH - 1) := (others => '0');
signal iIP2Bus_MstWrReq : std_logic := '0';
signal iIP2Bus_MstRdReq : std_logic := '0';
signal iIP2Bus_MstBurst : std_logic := '0';
signal iIP2Bus_MstBusLock : std_logic := '0';
signal iIP2Bus_MstNum : std_logic_vector(0 to log2(DEV_MAX_BURST_SIZE/(C_PLB_DWIDTH/8))) := (others => '0');
signal iBus2IP_MstWrAck : std_logic;
signal iBus2IP_MstRdAck : std_logic;
signal iBus2IP_MstRetry : std_logic;
signal iBus2IP_MstError : std_logic;
signal iBus2IP_MstTimeOut : std_logic;
signal iBus2IP_MstLastAck : std_logic;
signal ZERO_IP2RFIFO_Data : std_logic_vector(0 to find_id_dwidth(ARD_ID_ARRAY, ARD_DWIDTH_ARRAY, IPIF_RDFIFO_DATA, 32)-1) := (others => '0'); -- work around for XST not taking (others => '0') in port mapping
signal uBus2IP_Data : std_logic_vector(0 to USER_DWIDTH-1);
signal uBus2IP_BE : std_logic_vector(0 to USER_DWIDTH/8-1);
signal uBus2IP_RdCE : std_logic_vector(0 to USER_NUM_CE-1);
signal uBus2IP_WrCE : std_logic_vector(0 to USER_NUM_CE-1);
signal uIP2Bus_Data : std_logic_vector(0 to USER_DWIDTH-1);
signal uIP2Bus_MstBE : std_logic_vector(0 to USER_DWIDTH/8-1);
begin
------------------------------------------
-- instantiate the PLB IPIF
------------------------------------------
PLB_IPIF_I : entity plb_ipif_v2_01_a.plb_ipif
generic map
(
C_ARD_ID_ARRAY => ARD_ID_ARRAY,
C_ARD_ADDR_RANGE_ARRAY => ARD_ADDR_RANGE_ARRAY,
C_ARD_DWIDTH_ARRAY => ARD_DWIDTH_ARRAY,
C_ARD_NUM_CE_ARRAY => ARD_NUM_CE_ARRAY,
C_ARD_DEPENDENT_PROPS_ARRAY => ARD_DEPENDENT_PROPS_ARRAY,
C_ARD_DTIME_READ_ARRAY => ARD_DTIME_READ_ARRAY,
C_ARD_DTIME_WRITE_ARRAY => ARD_DTIME_WRITE_ARRAY,
C_DEV_BLK_ID => DEV_BLK_ID,
C_DEV_MIR_ENABLE => DEV_MIR_ENABLE,
C_DEV_BURST_ENABLE => DEV_BURST_ENABLE,
C_DEV_MAX_BURST_SIZE => DEV_MAX_BURST_SIZE,
C_DEV_BURST_PAGE_SIZE => DEV_BURST_PAGE_SIZE,
C_DEV_DPHASE_TIMEOUT => DEV_DPHASE_TIMEOUT,
C_INCLUDE_DEV_ISC => INCLUDE_DEV_ISC,
C_INCLUDE_DEV_PENCODER => INCLUDE_DEV_PENCODER,
C_IP_INTR_MODE_ARRAY => IP_INTR_MODE_ARRAY,
C_IP_MASTER_PRESENT => IP_MASTER_PRESENT,
C_DMA_CHAN_TYPE_ARRAY => DMA_CHAN_TYPE_ARRAY,
C_DMA_LENGTH_WIDTH_ARRAY => DMA_LENGTH_WIDTH_ARRAY,
C_DMA_PKT_LEN_FIFO_ADDR_ARRAY => DMA_PKT_LEN_FIFO_ADDR_ARRAY,
C_DMA_PKT_STAT_FIFO_ADDR_ARRAY => DMA_PKT_STAT_FIFO_ADDR_ARRAY,
C_DMA_INTR_COALESCE_ARRAY => DMA_INTR_COALESCE_ARRAY,
C_DMA_ALLOW_BURST => DMA_ALLOW_BURST,
C_DMA_PACKET_WAIT_UNIT_NS => DMA_PACKET_WAIT_UNIT_NS,
C_PLB_MID_WIDTH => C_PLB_MID_WIDTH,
C_PLB_NUM_MASTERS => C_PLB_NUM_MASTERS,
C_PLB_AWIDTH => C_PLB_AWIDTH,
C_PLB_DWIDTH => C_PLB_DWIDTH,
C_PLB_CLK_PERIOD_PS => PLB_CLK_PERIOD_PS,
C_IPIF_DWIDTH => IPIF_DWIDTH,
C_IPIF_AWIDTH => IPIF_AWIDTH,
C_FAMILY => C_FAMILY
)
port map
(
PLB_clk => PLB_Clk,
Reset => PLB_Rst,
Freeze => '0',
IP2INTC_Irpt => open,
PLB_ABus => PLB_ABus,
PLB_PAValid => PLB_PAValid,
PLB_SAValid => PLB_SAValid,
PLB_rdPrim => PLB_rdPrim,
PLB_wrPrim => PLB_wrPrim,
PLB_masterID => PLB_masterID,
PLB_abort => PLB_abort,
PLB_busLock => PLB_busLock,
PLB_RNW => PLB_RNW,
PLB_BE => PLB_BE,
PLB_MSize => PLB_MSize,
PLB_size => PLB_size,
PLB_type => PLB_type,
PLB_compress => PLB_compress,
PLB_guarded => PLB_guarded,
PLB_ordered => PLB_ordered,
PLB_lockErr => PLB_lockErr,
PLB_wrDBus => PLB_wrDBus,
PLB_wrBurst => PLB_wrBurst,
PLB_rdBurst => PLB_rdBurst,
PLB_pendReq => PLB_pendReq,
PLB_pendPri => PLB_pendPri,
PLB_reqPri => PLB_reqPri,
Sl_addrAck => Sl_addrAck,
Sl_SSize => Sl_SSize,
Sl_wait => Sl_wait,
Sl_rearbitrate => Sl_rearbitrate,
Sl_wrDAck => Sl_wrDAck,
Sl_wrComp => Sl_wrComp,
Sl_wrBTerm => Sl_wrBTerm,
Sl_rdDBus => Sl_rdDBus,
Sl_rdWdAddr => Sl_rdWdAddr,
Sl_rdDAck => Sl_rdDAck,
Sl_rdComp => Sl_rdComp,
Sl_rdBTerm => Sl_rdBTerm,
Sl_MBusy => Sl_MBusy,
Sl_MErr => Sl_MErr,
PLB_MAddrAck => PLB_MAddrAck,
PLB_MSSize => PLB_MSSize,
PLB_MRearbitrate => PLB_MRearbitrate,
PLB_MBusy => PLB_MBusy,
PLB_MErr => PLB_MErr,
PLB_MWrDAck => PLB_MWrDAck,
PLB_MRdDBus => PLB_MRdDBus,
PLB_MRdWdAddr => PLB_MRdWdAddr,
PLB_MRdDAck => PLB_MRdDAck,
PLB_MRdBTerm => PLB_MRdBTerm,
PLB_MWrBTerm => PLB_MWrBTerm,
M_request => M_request,
M_priority => M_priority,
M_busLock => M_busLock,
M_RNW => M_RNW,
M_BE => M_BE,
M_MSize => M_MSize,
M_size => M_size,
M_type => M_type,
M_compress => M_compress,
M_guarded => M_guarded,
M_ordered => M_ordered,
M_lockErr => M_lockErr,
M_abort => M_abort,
M_ABus => M_ABus,
M_wrDBus => M_wrDBus,
M_wrBurst => M_wrBurst,
M_rdBurst => M_rdBurst,
IP2Bus_Clk => '0',
Bus2IP_Clk => iBus2IP_Clk,
Bus2IP_Reset => iBus2IP_Reset,
Bus2IP_Freeze => open,
IP2Bus_IntrEvent => ZERO_IP2Bus_IntrEvent,
IP2Bus_Data => iIP2Bus_Data,
IP2Bus_WrAck => iIP2Bus_WrAck,
IP2Bus_RdAck => iIP2Bus_RdAck,
IP2Bus_Retry => iIP2Bus_Retry,
IP2Bus_Error => iIP2Bus_Error,
IP2Bus_ToutSup => iIP2Bus_ToutSup,
IP2Bus_PostedWrInh => '0',
Bus2IP_Addr => open,
Bus2IP_Data => iBus2IP_Data,
Bus2IP_RNW => open,
Bus2IP_BE => iBus2IP_BE,
Bus2IP_Burst => iBus2IP_Burst,
Bus2IP_WrReq => iBus2IP_WrReq,
Bus2IP_RdReq => iBus2IP_RdReq,
Bus2IP_CS => open,
Bus2IP_CE => open,
Bus2IP_RdCE => iBus2IP_RdCE,
Bus2IP_WrCE => iBus2IP_WrCE,
IP2DMA_RxLength_Empty => '0',
IP2DMA_RxStatus_Empty => '0',
IP2DMA_TxLength_Full => '0',
IP2DMA_TxStatus_Empty => '0',
IP2Bus_Addr => iIP2Bus_Addr,
IP2Bus_MstBE => iIP2Bus_MstBE,
IP2IP_Addr => iIP2IP_Addr,
IP2Bus_MstWrReq => iIP2Bus_MstWrReq,
IP2Bus_MstRdReq => iIP2Bus_MstRdReq,
IP2Bus_MstBurst => iIP2Bus_MstBurst,
IP2Bus_MstBusLock => iIP2Bus_MstBusLock,
IP2Bus_MstNum => iIP2Bus_MstNum,
Bus2IP_MstWrAck => iBus2IP_MstWrAck,
Bus2IP_MstRdAck => iBus2IP_MstRdAck,
Bus2IP_MstRetry => iBus2IP_MstRetry,
Bus2IP_MstError => iBus2IP_MstError,
Bus2IP_MstTimeOut => iBus2IP_MstTimeOut,
Bus2IP_MstLastAck => iBus2IP_MstLastAck,
Bus2IP_IPMstTrans => open,
IP2RFIFO_WrReq => '0',
IP2RFIFO_Data => ZERO_IP2RFIFO_Data,
IP2RFIFO_WrMark => '0',
IP2RFIFO_WrRelease => '0',
IP2RFIFO_WrRestore => '0',
RFIFO2IP_WrAck => open,
RFIFO2IP_AlmostFull => open,
RFIFO2IP_Full => open,
RFIFO2IP_Vacancy => open,
IP2WFIFO_RdReq => '0',
IP2WFIFO_RdMark => '0',
IP2WFIFO_RdRelease => '0',
IP2WFIFO_RdRestore => '0',
WFIFO2IP_Data => open,
WFIFO2IP_RdAck => open,
WFIFO2IP_AlmostEmpty => open,
WFIFO2IP_Empty => open,
WFIFO2IP_Occupancy => open,
IP2Bus_DMA_Req => '0',
Bus2IP_DMA_Ack => open
);
------------------------------------------
-- instantiate the User Logic
------------------------------------------
USER_LOGIC_I : entity plb_hwti_v1_00_a.user_logic
generic map
(
--C_MANAG_BASEADDR : std_logic_vector := x"00000000";
--C_SCHED_BASEADDR : std_logic_vector := x"00000000";
--C_MUTEX_BASEADDR : std_logic_vector := x"00000000";
--C_CONDV_BASEADDR : std_logic_vector := x"00000000";
USR_BASE => C_BASEADDR,
MTX_BASE => C_MUTEX_BASE,
CDV_BASE => C_CONDV_BASE,
SCH_BASE => C_SCHED_BASE,
MNG_BASE => C_MANAG_BASE,
C_AWIDTH => USER_AWIDTH,
C_DWIDTH => USER_DWIDTH,
C_NUM_CE => USER_NUM_CE
)
port map
(
FSL_S_READ => USER2HWTI_S_READ,
FSL_S_DATA => USER2HWTI_S_DATA,
FSL_S_CONTROL => USER2HWTI_S_CONTROL,
FSL_S_EXISTS => USER2HWTI_S_EXISTS,
FSL_M_WRITE => HWTI2USER_M_WRITE,
FSL_M_DATA => HWTI2USER_M_DATA,
FSL_M_CONTROL => HWTI2USER_M_CONTROL,
FSL_M_FULL => HWTI2USER_M_FULL,
Bus2IP_Clk => iBus2IP_Clk,
Bus2IP_Reset => iBus2IP_Reset,
Bus2IP_Data => uBus2IP_Data,
Bus2IP_BE => uBus2IP_BE,
Bus2IP_Burst => iBus2IP_Burst,
Bus2IP_RdCE => uBus2IP_RdCE,
Bus2IP_WrCE => uBus2IP_WrCE,
Bus2IP_RdReq => iBus2IP_RdReq,
Bus2IP_WrReq => iBus2IP_WrReq,
IP2Bus_Data => uIP2Bus_Data,
IP2Bus_Retry => iIP2Bus_Retry,
IP2Bus_Error => iIP2Bus_Error,
IP2Bus_ToutSup => iIP2Bus_ToutSup,
IP2Bus_RdAck => iIP2Bus_RdAck,
IP2Bus_WrAck => iIP2Bus_WrAck,
Bus2IP_MstError => iBus2IP_MstError,
Bus2IP_MstLastAck => iBus2IP_MstLastAck,
Bus2IP_MstRdAck => iBus2IP_MstRdAck,
Bus2IP_MstWrAck => iBus2IP_MstWrAck,
Bus2IP_MstRetry => iBus2IP_MstRetry,
Bus2IP_MstTimeOut => iBus2IP_MstTimeOut,
IP2Bus_Addr => iIP2Bus_Addr,
IP2Bus_MstBE => uIP2Bus_MstBE,
IP2Bus_MstBurst => iIP2Bus_MstBurst,
IP2Bus_MstBusLock => iIP2Bus_MstBusLock,
IP2Bus_MstNum => iIP2Bus_MstNum,
IP2Bus_MstRdReq => iIP2Bus_MstRdReq,
IP2Bus_MstWrReq => iIP2Bus_MstWrReq,
IP2IP_Addr => iIP2IP_Addr
);
user2hwti_low : entity fsl_v20_v2_10_a.fsl_v20
generic map
(
C_EXT_RESET_HIGH => 1,
C_ASYNC_CLKS => 0,
C_IMPL_STYLE => 0,
C_USE_CONTROL => 1,
C_FSL_DWIDTH => 32,
C_FSL_DEPTH => 16
)
port map
(
FSL_Clk => PLB_Clk,
SYS_Rst => PLB_Rst,
FSL_Rst => open,
FSL_M_Clk => '0',
FSL_M_Data => USER2HWTIL_M_Data,
FSL_M_Control => USER2HWTIL_M_Control,
FSL_M_Write => USER2HWTIL_M_Write,
FSL_M_Full => USER2HWTIL_M_Full,
FSL_S_Clk => '0',
FSL_S_Data => USER2HWTIL_S_Data,
FSL_S_Control => USER2HWTIL_S_Control,
FSL_S_Read => USER2HWTIL_S_Read,
FSL_S_Exists => USER2HWTIL_S_Exists,
FSL_Full => open,
FSL_Has_Data => open,
FSL_Control_IRQ => open
);
user2hwti_high : entity fsl_v20_v2_10_a.fsl_v20
generic map
(
C_EXT_RESET_HIGH => 1,
C_ASYNC_CLKS => 0,
C_IMPL_STYLE => 0,
C_USE_CONTROL => 1,
C_FSL_DWIDTH => 32,
C_FSL_DEPTH => 16
)
port map
(
FSL_Clk => PLB_Clk,
SYS_Rst => PLB_Rst,
FSL_Rst => open,
FSL_M_Clk => '0',
FSL_M_Data => USER2HWTIH_M_DATA,
FSL_M_Control => USER2HWTIH_M_CONTROL,
FSL_M_Write => USER2HWTIH_M_WRITE,
FSL_M_Full => USER2HWTIH_M_FULL,
FSL_S_Clk => '0',
FSL_S_Data => USER2HWTIH_S_DATA,
FSL_S_Control => USER2HWTIH_S_CONTROL,
FSL_S_Read => USER2HWTIH_S_READ,
FSL_S_Exists => USER2HWTIH_S_EXISTS,
FSL_Full => open,
FSL_Has_Data => open,
FSL_Control_IRQ => open
);
hwti2user_low : entity fsl_v20_v2_10_a.fsl_v20
generic map
(
C_EXT_RESET_HIGH => 1,
C_ASYNC_CLKS => 0,
C_IMPL_STYLE => 0,
C_USE_CONTROL => 1,
C_FSL_DWIDTH => 32,
C_FSL_DEPTH => 16
)
port map
(
FSL_Clk => PLB_Clk,
SYS_Rst => PLB_Rst,
FSL_Rst => open,
FSL_M_Clk => '0',
FSL_M_Data => HWTI2USERL_M_DATA,
FSL_M_Control => HWTI2USERL_M_CONTROL,
FSL_M_Write => HWTI2USERL_M_WRITE,
FSL_M_Full => HWTI2USERL_M_FULL,
FSL_S_Clk => '0',
FSL_S_Data => HWTI2USERL_S_DATA,
FSL_S_Control => HWTI2USERL_S_CONTROL,
FSL_S_Read => HWTI2USERL_S_READ,
FSL_S_Exists => HWTI2USERL_S_EXISTS,
FSL_Full => open,
FSL_Has_Data => open,
FSL_Control_IRQ => open
);
hwti2user_high : entity fsl_v20_v2_10_a.fsl_v20
generic map
(
C_EXT_RESET_HIGH => 1,
C_ASYNC_CLKS => 0,
C_IMPL_STYLE => 0,
C_USE_CONTROL => 1,
C_FSL_DWIDTH => 32,
C_FSL_DEPTH => 16
)
port map
(
FSL_Clk => PLB_Clk,
SYS_Rst => PLB_Rst,
FSL_Rst => open,
FSL_M_Clk => '0',
FSL_M_Data => HWTI2USERH_M_DATA,
FSL_M_Control => HWTI2USERH_M_CONTROL,
FSL_M_Write => HWTI2USERH_M_WRITE,
FSL_M_Full => HWTI2USERH_M_FULL,
FSL_S_Clk => '0',
FSL_S_Data => HWTI2USERH_S_DATA,
FSL_S_Control => HWTI2USERH_S_CONTROL,
FSL_S_Read => HWTI2USERH_S_READ,
FSL_S_Exists => HWTI2USERH_S_EXISTS,
FSL_Full => open,
FSL_Has_Data => open,
FSL_Control_IRQ => open
);
HWTI2USERL_M_DATA <= HWTI2USER_M_DATA(32 to 63);
HWTI2USERH_M_DATA <= HWTI2USER_M_DATA(0 to 31);
HWTI2USERL_M_CONTROL <= HWTI2USER_M_CONTROL;
HWTI2USERH_M_CONTROL <= HWTI2USER_M_CONTROL;
HWTI2USERL_M_WRITE <= HWTI2USER_M_WRITE;
HWTI2USERH_M_WRITE <= HWTI2USER_M_WRITE;
HWTI2USER_M_FULL <= HWTI2USERL_M_FULL or HWTI2USERH_M_FULL;
H2ULOW_S_DATA <= HWTI2USERL_S_DATA;
H2UHIGH_S_DATA <= HWTI2USERH_S_DATA;
H2ULOW_S_CONTROL <= HWTI2USERL_S_CONTROL;
H2UHIGH_S_CONTROL <= HWTI2USERH_S_CONTROL;
H2ULOW_S_EXISTS <= HWTI2USERL_S_EXISTS;
H2UHIGH_S_EXISTS <= HWTI2USERH_S_EXISTS;
HWTI2USERL_S_READ <= H2ULOW_S_READ or H2UHIGH_S_READ;
HWTI2USERH_S_READ <= H2ULOW_S_READ or H2UHIGH_S_READ;
USER2HWTIL_M_DATA <= U2HLOW_M_DATA;
USER2HWTIH_M_DATA <= U2HHIGH_M_DATA;
USER2HWTIL_M_CONTROL <= U2HLOW_M_CONTROL;
USER2HWTIH_M_CONTROL <= U2HHIGH_M_CONTROL;
USER2HWTIL_M_WRITE <= U2HLOW_M_WRITE;
USER2HWTIH_M_WRITE <= U2HHIGH_M_WRITE;
U2HLOW_M_FULL <= USER2HWTIL_M_FULL or USER2HWTIH_M_FULL;
U2HHIGH_M_FULL <= USER2HWTIL_M_FULL or USER2HWTIH_M_FULL;
USER2HWTI_S_DATA <= USER2HWTIH_S_DATA & USER2HWTIL_S_DATA;
USER2HWTI_S_CONTROL <= USER2HWTIL_S_CONTROL or USER2HWTIH_S_CONTROL;
USER2HWTI_S_EXISTS <= USER2HWTIL_S_EXISTS and USER2HWTIH_S_EXISTS;
USER2HWTIL_S_READ <= USER2HWTI_S_READ;
USER2HWTIH_S_READ <= USER2HWTI_S_READ;
--USER2HWTI_M_WRITE <= U2HLOW_M_WRITE and U2HHIGH_M_WRITE;
--USER2HWTI_M_DATA <= U2HLOW_M_DATA & U2HHIGH_M_DATA;
--USER2HWTI_M_CONTROL <= U2HLOW_M_CONTROL or U2HHIGH_M_CONTROL;
--USER2HWTI_M_FULL <= USER2HWTIH_M_FULL or USER2HWTIL_M_FULL;
--U2HLOW_M_FULL <= USER2HWTIL_M_FULL;
--U2HHIGH_M_FULL <= USER2HWTIH_M_FULL;
--HWTI2USER_S_READ <= H2ULOW_S_READ or H2UHIGH_S_READ;
--H2ULOW_S_DATA <= HWTI2USERL_S_DATA;
--H2ULOW_S_CONTROL <= HWTI2USERL_S_CONTROL;
--H2ULOW_S_EXISTS <= HWTI2USERL_S_EXISTS;
--H2UHIGH_S_DATA <= HWTI2USERH_S_DATA;
--H2UHIGH_S_CONTROL <= HWTI2USERH_S_CONTROL;
--H2UHIGH_S_EXISTS <= HWTI2USERH_S_EXISTS;
--USER2HWTIL_S_READ <= USER2HWTI_S_READ;
--USER2HWTIH_S_READ <= USER2HWTI_S_READ;
--USER2HWTI_S_DATA <= USER2HWTIH_S_DATA & USER2HWTIL_S_DATA;
--USER2HWTI_S_CONTROL <= USER2HWTIH_S_CONTROL or USER2HWTIL_S_CONTROL;
--USER2HWTI_S_EXISTS <= USER2HWTIH_S_EXISTS and USER2HWTIL_S_EXISTS;
--HWTI2USERH_M_WRITE <= HWTI2USER_M_WRITE;
--HWTI2USERL_M_WRITE <= HWTI2USER_M_WRITE;
--HWTI2USERH_M_DATA <= HWTI2USER_M_DATA(0 to 31);
--HWTI2USERL_M_DATA <= HWTI2USER_M_DATA(32 to 63);
--HWTI2USERH_M_CONTROL <= HWTI2USER_M_CONTROL;
--HWTI2USERL_M_CONTROL <= HWTI2USER_M_CONTROL;
--HWTI2USER_M_FULL <= HWTI2USERH_M_FULL or HWTI2USERL_M_FULL;
--HWTI2USER_S_READ <= FSL_S_READ;
--FSL_S_DATA <= HWTI2USER_S_DATA;
--FSL_S_CONTROL <= HWTI2USER_S_CONTROL;
--FSL_S_EXISTS <= HWTI2USER_S_EXISTS;
--USER2HWTI_M_WRITE <= FSL_M_WRITE;
--USER2HWTI_M_DATA <= FSL_M_DATA;
--USER2HWTI_M_CONTROL <= FSL_M_CONTROL;
--FSL_M_FULL <= USER2HWTI_M_FULL;
------------------------------------------
-- hooking up signal slicing
------------------------------------------
iIP2Bus_MstBE <= uIP2Bus_MstBE;
uBus2IP_Data <= iBus2IP_Data(0 to USER_DWIDTH-1);
uBus2IP_BE <= iBus2IP_BE(0 to USER_DWIDTH/8-1);
uBus2IP_RdCE(0 to USER_NUM_SLAVE_CE-1) <= iBus2IP_RdCE(USER_SLAVE_CE_INDEX to USER_SLAVE_CE_INDEX+USER_NUM_SLAVE_CE-1);
uBus2IP_RdCE(USER_NUM_SLAVE_CE to USER_NUM_CE-1) <= iBus2IP_RdCE(USER_MASTER_CE_INDEX to USER_MASTER_CE_INDEX+USER_NUM_MASTER_CE-1);
uBus2IP_WrCE(0 to USER_NUM_SLAVE_CE-1) <= iBus2IP_WrCE(USER_SLAVE_CE_INDEX to USER_SLAVE_CE_INDEX+USER_NUM_SLAVE_CE-1);
uBus2IP_WrCE(USER_NUM_SLAVE_CE to USER_NUM_CE-1) <= iBus2IP_WrCE(USER_MASTER_CE_INDEX to USER_MASTER_CE_INDEX+USER_NUM_MASTER_CE-1);
iIP2Bus_Data(0 to USER_DWIDTH-1) <= uIP2Bus_Data;
end IMP;
| bsd-3-clause |
jevinskie/aes-over-pcie | source/state_filter_out_p.vhd | 1 | 1761 | -- File name: state_filter_out_p.vhd
-- Created: 2009-04-26
-- Author: Jevin Sweval
-- Lab Section: 337-02
-- Version: 1.0 Initial Design Entry
-- Description: parallel state_filter_out
use work.aes.all;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity state_filter_out_p is
port (
current_state : in state_type;
sub_bytes_out : in state_type;
shift_rows_out : in state_type;
mix_columns_out : in state_type;
add_round_key_out : in state_type;
load_out : in byte;
subblock : in subblock_type;
i : in g_index;
next_state : out state_type
);
end entity state_filter_out_p;
architecture mux of state_filter_out_p is
begin
process(current_state, sub_bytes_out, shift_rows_out,
mix_columns_out, add_round_key_out, load_out, subblock, i)
begin
next_state <= current_state;
case subblock is
when identity =>
-- already selected
when sub_bytes =>
next_state <= sub_bytes_out;
when shift_rows =>
next_state <= shift_rows_out;
when mix_columns =>
next_state <= mix_columns_out;
when add_round_key =>
next_state <= add_round_key_out;
when load_pt =>
for x in index loop
for y in index loop
if (x + y * 4 = i) then
next_state(x, y) <= load_out;
end if;
end loop;
end loop;
when store_ct =>
-- already selected
when others =>
-- already selected
end case;
end process;
end architecture mux;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/platforms/xilinx/ml605_pr_smp1_14_7/design/pcores/plb_scheduler_v1_00_a/devl/bfmsim/simulation/behavioral/bfm_memory_wrapper.vhd | 4 | 6409 | -------------------------------------------------------------------------------
-- bfm_memory_wrapper.vhd
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
library plbv46_slave_bfm_v1_00_a;
use plbv46_slave_bfm_v1_00_a.all;
entity bfm_memory_wrapper is
port (
PLB_CLK : in std_logic;
PLB_RESET : in std_logic;
SYNCH_OUT : out std_logic_vector(0 to 31);
SYNCH_IN : in std_logic_vector(0 to 31);
PLB_PAValid : in std_logic;
PLB_SAValid : in std_logic;
PLB_rdPrim : in std_logic;
PLB_wrPrim : in std_logic;
PLB_masterID : in std_logic_vector(0 to 0);
PLB_abort : in std_logic;
PLB_busLock : in std_logic;
PLB_RNW : in std_logic;
PLB_BE : in std_logic_vector(0 to 15);
PLB_msize : in std_logic_vector(0 to 1);
PLB_size : in std_logic_vector(0 to 3);
PLB_type : in std_logic_vector(0 to 2);
PLB_TAttribute : in std_logic_vector(0 to 15);
PLB_lockErr : in std_logic;
PLB_UABus : in std_logic_vector(0 to 31);
PLB_ABus : in std_logic_vector(0 to 31);
PLB_wrDBus : in std_logic_vector(0 to 127);
PLB_wrBurst : in std_logic;
PLB_rdBurst : in std_logic;
PLB_rdpendReq : in std_logic;
PLB_wrpendReq : in std_logic;
PLB_rdpendPri : in std_logic_vector(0 to 1);
PLB_wrpendPri : in std_logic_vector(0 to 1);
PLB_reqPri : in std_logic_vector(0 to 1);
Sl_addrAck : out std_logic;
Sl_ssize : out std_logic_vector(0 to 1);
Sl_wait : out std_logic;
Sl_rearbitrate : out std_logic;
Sl_wrDAck : out std_logic;
Sl_wrComp : out std_logic;
Sl_wrBTerm : out std_logic;
Sl_rdDBus : out std_logic_vector(0 to 127);
Sl_rdWdAddr : out std_logic_vector(0 to 3);
Sl_rdDAck : out std_logic;
Sl_rdComp : out std_logic;
Sl_rdBTerm : out std_logic;
Sl_MBusy : out std_logic_vector(0 to 1);
Sl_MRdErr : out std_logic_vector(0 to 1);
Sl_MWrErr : out std_logic_vector(0 to 1);
Sl_MIRQ : out std_logic_vector(0 to 1)
);
end bfm_memory_wrapper;
architecture STRUCTURE of bfm_memory_wrapper is
component plbv46_slave_bfm is
generic (
PLB_SLAVE_SIZE : std_logic_vector(0 to 1);
PLB_SLAVE_NUM : std_logic_vector(0 to 3);
PLB_SLAVE_ADDR_LO_0 : std_logic_vector(0 to 31);
PLB_SLAVE_ADDR_HI_0 : std_logic_vector(0 to 31);
PLB_SLAVE_ADDR_LO_1 : std_logic_vector(0 to 31);
PLB_SLAVE_ADDR_HI_1 : std_logic_vector(0 to 31);
C_SPLB_DWIDTH : integer;
C_SPLB_NUM_MASTERS : integer;
C_SPLB_MID_WIDTH : integer
);
port (
PLB_CLK : in std_logic;
PLB_RESET : in std_logic;
SYNCH_OUT : out std_logic_vector(0 to 31);
SYNCH_IN : in std_logic_vector(0 to 31);
PLB_PAValid : in std_logic;
PLB_SAValid : in std_logic;
PLB_rdPrim : in std_logic;
PLB_wrPrim : in std_logic;
PLB_masterID : in std_logic_vector(0 to C_SPLB_MID_WIDTH-1);
PLB_abort : in std_logic;
PLB_busLock : in std_logic;
PLB_RNW : in std_logic;
PLB_BE : in std_logic_vector(0 to ((C_SPLB_DWIDTH/8)-1));
PLB_msize : in std_logic_vector(0 to 1);
PLB_size : in std_logic_vector(0 to 3);
PLB_type : in std_logic_vector(0 to 2);
PLB_TAttribute : in std_logic_vector(0 to 15);
PLB_lockErr : in std_logic;
PLB_UABus : in std_logic_vector(0 to 31);
PLB_ABus : in std_logic_vector(0 to 31);
PLB_wrDBus : in std_logic_vector(0 to (C_SPLB_DWIDTH-1));
PLB_wrBurst : in std_logic;
PLB_rdBurst : in std_logic;
PLB_rdpendReq : in std_logic;
PLB_wrpendReq : in std_logic;
PLB_rdpendPri : in std_logic_vector(0 to 1);
PLB_wrpendPri : in std_logic_vector(0 to 1);
PLB_reqPri : in std_logic_vector(0 to 1);
Sl_addrAck : out std_logic;
Sl_ssize : out std_logic_vector(0 to 1);
Sl_wait : out std_logic;
Sl_rearbitrate : out std_logic;
Sl_wrDAck : out std_logic;
Sl_wrComp : out std_logic;
Sl_wrBTerm : out std_logic;
Sl_rdDBus : out std_logic_vector(0 to (C_SPLB_DWIDTH-1));
Sl_rdWdAddr : out std_logic_vector(0 to 3);
Sl_rdDAck : out std_logic;
Sl_rdComp : out std_logic;
Sl_rdBTerm : out std_logic;
Sl_MBusy : out std_logic_vector(0 to (C_SPLB_NUM_MASTERS-1));
Sl_MRdErr : out std_logic_vector(0 to (C_SPLB_NUM_MASTERS-1));
Sl_MWrErr : out std_logic_vector(0 to (C_SPLB_NUM_MASTERS-1));
Sl_MIRQ : out std_logic_vector(0 to (C_SPLB_NUM_MASTERS-1))
);
end component;
begin
bfm_memory : plbv46_slave_bfm
generic map (
PLB_SLAVE_SIZE => B"10",
PLB_SLAVE_NUM => B"0000",
PLB_SLAVE_ADDR_LO_0 => X"10000000",
PLB_SLAVE_ADDR_HI_0 => X"1000ffff",
PLB_SLAVE_ADDR_LO_1 => X"20000000",
PLB_SLAVE_ADDR_HI_1 => X"2000ffff",
C_SPLB_DWIDTH => 128,
C_SPLB_NUM_MASTERS => 2,
C_SPLB_MID_WIDTH => 1
)
port map (
PLB_CLK => PLB_CLK,
PLB_RESET => PLB_RESET,
SYNCH_OUT => SYNCH_OUT,
SYNCH_IN => SYNCH_IN,
PLB_PAValid => PLB_PAValid,
PLB_SAValid => PLB_SAValid,
PLB_rdPrim => PLB_rdPrim,
PLB_wrPrim => PLB_wrPrim,
PLB_masterID => PLB_masterID,
PLB_abort => PLB_abort,
PLB_busLock => PLB_busLock,
PLB_RNW => PLB_RNW,
PLB_BE => PLB_BE,
PLB_msize => PLB_msize,
PLB_size => PLB_size,
PLB_type => PLB_type,
PLB_TAttribute => PLB_TAttribute,
PLB_lockErr => PLB_lockErr,
PLB_UABus => PLB_UABus,
PLB_ABus => PLB_ABus,
PLB_wrDBus => PLB_wrDBus,
PLB_wrBurst => PLB_wrBurst,
PLB_rdBurst => PLB_rdBurst,
PLB_rdpendReq => PLB_rdpendReq,
PLB_wrpendReq => PLB_wrpendReq,
PLB_rdpendPri => PLB_rdpendPri,
PLB_wrpendPri => PLB_wrpendPri,
PLB_reqPri => PLB_reqPri,
Sl_addrAck => Sl_addrAck,
Sl_ssize => Sl_ssize,
Sl_wait => Sl_wait,
Sl_rearbitrate => Sl_rearbitrate,
Sl_wrDAck => Sl_wrDAck,
Sl_wrComp => Sl_wrComp,
Sl_wrBTerm => Sl_wrBTerm,
Sl_rdDBus => Sl_rdDBus,
Sl_rdWdAddr => Sl_rdWdAddr,
Sl_rdDAck => Sl_rdDAck,
Sl_rdComp => Sl_rdComp,
Sl_rdBTerm => Sl_rdBTerm,
Sl_MBusy => Sl_MBusy,
Sl_MRdErr => Sl_MRdErr,
Sl_MWrErr => Sl_MWrErr,
Sl_MIRQ => Sl_MIRQ
);
end architecture STRUCTURE;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/hardware/MyRepository/pcores/hw_threads/hw_acc_v1_00_a/hdl/vhdl/user_logics/functional/join_4.vhd | 2 | 17135 | ---------------------------------------------------------------------------
--
-- Title: Hardware Thread User Logic Exit Thread
-- To be used as a place holder, and size estimate for HWTI
--
---------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_misc.all;
library Unisim;
use Unisim.all;
---------------------------------------------------------------------------
-- Port declarations
---------------------------------------------------------------------------
-- Definition of Ports:
--
-- Misc. Signals
-- clock
--
-- HWTI to HWTUL interconnect
-- intrfc2thrd_address 32 bits memory
-- intrfc2thrd_value 32 bits memory function
-- intrfc2thrd_function 16 bits control
-- intrfc2thrd_goWait 1 bits control
--
-- HWTUL to HWTI interconnect
-- thrd2intrfc_address 32 bits memory
-- thrd2intrfc_value 32 bits memory function
-- thrd2intrfc_function 16 bits function
-- thrd2intrfc_opcode 6 bits memory function
--
---------------------------------------------------------------------------
-- Thread Manager Entity section
---------------------------------------------------------------------------
entity user_logic_hwtul is
port (
clock : in std_logic;
intrfc2thrd_address : in std_logic_vector(0 to 31);
intrfc2thrd_value : in std_logic_vector(0 to 31);
intrfc2thrd_function : in std_logic_vector(0 to 15);
intrfc2thrd_goWait : in std_logic;
thrd2intrfc_address : out std_logic_vector(0 to 31);
thrd2intrfc_value : out std_logic_vector(0 to 31);
thrd2intrfc_function : out std_logic_vector(0 to 15);
thrd2intrfc_opcode : out std_logic_vector(0 to 5)
);
end entity user_logic_hwtul;
---------------------------------------------------------------------------
-- Architecture section
---------------------------------------------------------------------------
architecture IMP of user_logic_hwtul is
---------------------------------------------------------------------------
-- Signal declarations
---------------------------------------------------------------------------
type state_machine is (
FUNCTION_RESET,
FUNCTION_USER_SELECT,
FUNCTION_START,
FUNCTION_EXIT,
STATE_1,
STATE_2,
STATE_3,
STATE_4,
STATE_5,
STATE_6,
STATE_7,
STATE_8,
STATE_9,
STATE_10,
STATE_11,
STATE_12,
STATE_13,
STATE_14,
STATE_15,
STATE_16,
STATE_17,
STATE_18,
STATE_19,
STATE_20,
WAIT_STATE,
ERROR_STATE);
-- Function definitions
constant U_FUNCTION_RESET : std_logic_vector(0 to 15) := x"0000";
constant U_FUNCTION_WAIT : std_logic_vector(0 to 15) := x"0001";
constant U_FUNCTION_USER_SELECT : std_logic_vector(0 to 15) := x"0002";
constant U_FUNCTION_START : std_logic_vector(0 to 15) := x"0003";
constant U_STATE_1 : std_logic_vector(0 to 15) := x"0101";
constant U_STATE_2 : std_logic_vector(0 to 15) := x"0102";
constant U_STATE_3 : std_logic_vector(0 to 15) := x"0103";
constant U_STATE_4 : std_logic_vector(0 to 15) := x"0104";
constant U_STATE_5 : std_logic_vector(0 to 15) := x"0105";
constant U_STATE_6 : std_logic_vector(0 to 15) := x"0106";
constant U_STATE_7 : std_logic_vector(0 to 15) := x"0107";
constant U_STATE_8 : std_logic_vector(0 to 15) := x"0108";
constant U_STATE_9 : std_logic_vector(0 to 15) := x"0109";
constant U_STATE_10 : std_logic_vector(0 to 15) := x"0110";
constant U_STATE_11 : std_logic_vector(0 to 15) := x"0111";
constant U_STATE_12 : std_logic_vector(0 to 15) := x"0112";
constant U_STATE_13 : std_logic_vector(0 to 15) := x"0113";
constant U_STATE_14 : std_logic_vector(0 to 15) := x"0114";
constant U_STATE_15 : std_logic_vector(0 to 15) := x"0115";
constant U_STATE_16 : std_logic_vector(0 to 15) := x"0116";
constant U_STATE_17 : std_logic_vector(0 to 15) := x"0117";
constant U_STATE_18 : std_logic_vector(0 to 15) := x"0118";
constant U_STATE_19 : std_logic_vector(0 to 15) := x"0119";
constant U_STATE_20 : std_logic_vector(0 to 15) := x"0120";
-- Range 0003 to 7999 reserved for user logic's state machine
-- Range 8000 to 9999 reserved for system calls
constant FUNCTION_HTHREAD_ATTR_INIT : std_logic_vector(0 to 15) := x"8000";
constant FUNCTION_HTHREAD_ATTR_DESTROY : std_logic_vector(0 to 15) := x"8001";
constant FUNCTION_HTHREAD_CREATE : std_logic_vector(0 to 15) := x"8010";
constant FUNCTION_HTHREAD_JOIN : std_logic_vector(0 to 15) := x"8011";
constant FUNCTION_HTHREAD_SELF : std_logic_vector(0 to 15) := x"8012";
constant FUNCTION_HTHREAD_YIELD : std_logic_vector(0 to 15) := x"8013";
constant FUNCTION_HTHREAD_EQUAL : std_logic_vector(0 to 15) := x"8014";
constant FUNCTION_HTHREAD_EXIT : std_logic_vector(0 to 15) := x"8015";
constant FUNCTION_HTHREAD_EXIT_ERROR : std_logic_vector(0 to 15) := x"8016";
constant FUNCTION_HTHREAD_MUTEXATTR_INIT : std_logic_vector(0 to 15) := x"8020";
constant FUNCTION_HTHREAD_MUTEXATTR_DESTROY : std_logic_vector(0 to 15) := x"8021";
constant FUNCTION_HTHREAD_MUTEXATTR_SETNUM : std_logic_vector(0 to 15) := x"8022";
constant FUNCTION_HTHREAD_MUTEXATTR_GETNUM : std_logic_vector(0 to 15) := x"8023";
constant FUNCTION_HTHREAD_MUTEX_INIT : std_logic_vector(0 to 15) := x"8030";
constant FUNCTION_HTHREAD_MUTEX_DESTROY : std_logic_vector(0 to 15) := x"8031";
constant FUNCTION_HTHREAD_MUTEX_LOCK : std_logic_vector(0 to 15) := x"8032";
constant FUNCTION_HTHREAD_MUTEX_UNLOCK : std_logic_vector(0 to 15) := x"8033";
constant FUNCTION_HTHREAD_MUTEX_TRYLOCK : std_logic_vector(0 to 15) := x"8034";
constant FUNCTION_HTHREAD_CONDATTR_INIT : std_logic_vector(0 to 15) := x"8040";
constant FUNCTION_HTHREAD_CONDATTR_DESTROY : std_logic_vector(0 to 15) := x"8041";
constant FUNCTION_HTHREAD_CONDATTR_SETNUM : std_logic_vector(0 to 15) := x"8042";
constant FUNCTION_HTHREAD_CONDATTR_GETNUM : std_logic_vector(0 to 15) := x"8043";
constant FUNCTION_HTHREAD_COND_INIT : std_logic_vector(0 to 15) := x"8050";
constant FUNCTION_HTHREAD_COND_DESTROY : std_logic_vector(0 to 15) := x"8051";
constant FUNCTION_HTHREAD_COND_SIGNAL : std_logic_vector(0 to 15) := x"8052";
constant FUNCTION_HTHREAD_COND_BROADCAST : std_logic_vector(0 to 15) := x"8053";
constant FUNCTION_HTHREAD_COND_WAIT : std_logic_vector(0 to 15) := x"8054";
-- Ranged A000 to FFFF reserved for supported library calls
constant FUNCTION_MALLOC : std_logic_vector(0 to 15) := x"A000";
constant FUNCTION_CALLOC : std_logic_vector(0 to 15) := x"A001";
constant FUNCTION_FREE : std_logic_vector(0 to 15) := x"A002";
-- user_opcode Constants
constant OPCODE_NOOP : std_logic_vector(0 to 5) := "000000";
-- Memory sub-interface specific opcodes
constant OPCODE_LOAD : std_logic_vector(0 to 5) := "000001";
constant OPCODE_STORE : std_logic_vector(0 to 5) := "000010";
constant OPCODE_DECLARE : std_logic_vector(0 to 5) := "000011";
constant OPCODE_READ : std_logic_vector(0 to 5) := "000100";
constant OPCODE_WRITE : std_logic_vector(0 to 5) := "000101";
constant OPCODE_ADDRESS : std_logic_vector(0 to 5) := "000110";
-- Function sub-interface specific opcodes
constant OPCODE_PUSH : std_logic_vector(0 to 5) := "010000";
constant OPCODE_POP : std_logic_vector(0 to 5) := "010001";
constant OPCODE_CALL : std_logic_vector(0 to 5) := "010010";
constant OPCODE_RETURN : std_logic_vector(0 to 5) := "010011";
constant Z32 : std_logic_vector(0 to 31) := (others => '0');
signal current_state, next_state : state_machine := FUNCTION_RESET;
signal return_state, return_state_next: state_machine := FUNCTION_RESET;
signal toUser_address : std_logic_vector(0 to 31);
signal toUser_value : std_logic_vector(0 to 31);
signal toUser_function : std_logic_vector(0 to 15);
signal toUser_goWait : std_logic;
signal retVal, retVal_next : std_logic_vector(0 to 31);
signal arg, arg_next : std_logic_vector(0 to 31);
signal reg1, reg1_next : std_logic_vector(0 to 31);
signal reg2, reg2_next : std_logic_vector(0 to 31);
signal reg3, reg3_next : std_logic_vector(0 to 31);
signal reg4, reg4_next : std_logic_vector(0 to 31);
signal reg5, reg5_next : std_logic_vector(0 to 31);
signal reg6, reg6_next : std_logic_vector(0 to 31);
signal reg7, reg7_next : std_logic_vector(0 to 31);
signal reg8, reg8_next : std_logic_vector(0 to 31);
---------------------------------------------------------------------------
-- Begin architecture
---------------------------------------------------------------------------
begin -- architecture IMP
HWTUL_STATE_PROCESS : process (clock, intrfc2thrd_goWait) is
begin
if (clock'event and (clock = '1')) then
toUser_address <= intrfc2thrd_address;
toUser_value <= intrfc2thrd_value;
toUser_function <= intrfc2thrd_function;
toUser_goWait <= intrfc2thrd_goWait;
return_state <= return_state_next;
retVal <= retVal_next;
arg <= arg_next;
reg1 <= reg1_next;
reg2 <= reg2_next;
reg3 <= reg3_next;
reg4 <= reg4_next;
reg5 <= reg5_next;
reg6 <= reg6_next;
reg7 <= reg7_next;
reg8 <= reg8_next;
-- Find out if the HWTI is tell us what to do
if (intrfc2thrd_goWait = '1') then
case intrfc2thrd_function is
-- Typically the HWTI will tell us to control our own destiny
when U_FUNCTION_USER_SELECT =>
current_state <= next_state;
-- List all the functions the HWTI could tell us to run
when U_FUNCTION_RESET =>
current_state <= FUNCTION_RESET;
when U_FUNCTION_START =>
current_state <= FUNCTION_START;
when U_STATE_1 =>
current_state <= STATE_1;
when U_STATE_2 =>
current_state <= STATE_2;
when U_STATE_3 =>
current_state <= STATE_3;
when U_STATE_4 =>
current_state <= STATE_4;
when U_STATE_5 =>
current_state <= STATE_5;
when U_STATE_6 =>
current_state <= STATE_6;
when U_STATE_7 =>
current_state <= STATE_7;
when U_STATE_8 =>
current_state <= STATE_8;
when U_STATE_9 =>
current_state <= STATE_9;
when U_STATE_10 =>
current_state <= STATE_10;
when U_STATE_11 =>
current_state <= STATE_11;
when U_STATE_12 =>
current_state <= STATE_12;
when U_STATE_13 =>
current_state <= STATE_13;
when U_STATE_14 =>
current_state <= STATE_14;
when U_STATE_15 =>
current_state <= STATE_15;
when U_STATE_16 =>
current_state <= STATE_16;
when U_STATE_17 =>
current_state <= STATE_17;
when U_STATE_18 =>
current_state <= STATE_18;
when U_STATE_19 =>
current_state <= STATE_19;
when U_STATE_20 =>
current_state <= STATE_20;
-- If the HWTI tells us to do something we don't know, error
when OTHERS =>
current_state <= ERROR_STATE;
end case;
else
current_state <= WAIT_STATE;
end if;
end if;
end process HWTUL_STATE_PROCESS;
HWTUL_STATE_MACHINE : process (clock) is
begin
-- Default register assignments
thrd2intrfc_opcode <= OPCODE_NOOP; -- When issuing an OPCODE, must be a pulse
thrd2intrfc_address <= Z32;
thrd2intrfc_value <= Z32;
thrd2intrfc_function <= U_FUNCTION_USER_SELECT;
return_state_next <= return_state;
next_state <= current_state;
retVal_next <= retVal;
arg_next <= arg;
reg1_next <= reg1;
reg2_next <= reg2;
reg3_next <= reg3;
reg4_next <= reg4;
reg5_next <= reg5;
reg6_next <= reg6;
reg7_next <= reg7;
reg8_next <= reg8;
-----------------------------------------------------------------------
-- Testcase: join_4.c
-- reg6 = * function
-- reg7 = thread
-----------------------------------------------------------------------
-- The state machine
case current_state is
when FUNCTION_RESET =>
--Set default values
thrd2intrfc_opcode <= OPCODE_NOOP;
thrd2intrfc_address <= Z32;
thrd2intrfc_value <= Z32;
thrd2intrfc_function <= U_FUNCTION_START;
-- struct test_data * data = (struct test_data *) arg;
when FUNCTION_START =>
-- Pop the argument
thrd2intrfc_value <= Z32;
thrd2intrfc_opcode <= OPCODE_POP;
next_state <= WAIT_STATE;
return_state_next <= STATE_1;
when STATE_1 =>
arg_next <= intrfc2thrd_value;
-- Read the address of function
thrd2intrfc_opcode <= OPCODE_LOAD;
thrd2intrfc_address <= intrfc2thrd_value;
next_state <= WAIT_STATE;
return_state_next <= STATE_2;
when STATE_2 =>
reg6_next <= intrfc2thrd_value;
next_state <= STATE_3;
-- hthread_create( &data->thread, NULL, data->function, NULL );
when STATE_3 =>
-- push NULL
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= Z32;
next_state <= WAIT_STATE;
return_state_next <= STATE_4;
when STATE_4 =>
-- push data->function
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= reg6;
next_state <= WAIT_STATE;
return_state_next <= STATE_5;
when STATE_5 =>
-- push NULL
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= Z32;
next_state <= WAIT_STATE;
return_state_next <= STATE_6;
when STATE_6 =>
-- push &data->thread
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= arg + x"00000004";
next_state <= WAIT_STATE;
return_state_next <= STATE_7;
when STATE_7 =>
-- call hthread_create
thrd2intrfc_opcode <= OPCODE_CALL;
thrd2intrfc_function <= FUNCTION_HTHREAD_CREATE;
thrd2intrfc_value <= Z32(0 to 15) & U_STATE_8;
next_state <= WAIT_STATE;
-- hthread_join( data->thread, NULL );
when STATE_8 =>
-- Load the value of data->thread
thrd2intrfc_opcode <= OPCODE_LOAD;
thrd2intrfc_address <= arg + x"00000004";
next_state <= WAIT_STATE;
return_state_next <= STATE_9;
when STATE_9 =>
reg7_next <= intrfc2thrd_value;
-- push NULL
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= Z32;
next_state <= WAIT_STATE;
return_state_next <= STATE_10;
when STATE_10 =>
-- push data->thread
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= reg7;
next_state <= WAIT_STATE;
return_state_next <= STATE_11;
when STATE_11 =>
-- call hthread_join
thrd2intrfc_opcode <= OPCODE_CALL;
thrd2intrfc_function <= FUNCTION_HTHREAD_JOIN;
thrd2intrfc_value <= Z32(0 to 15) & U_STATE_12;
next_state <= WAIT_STATE;
--retVal = _read_thread_status( data->thread );
when STATE_12 =>
--Prepare cmd to send to Thread Manager
thrd2intrfc_opcode <= OPCODE_LOAD;
thrd2intrfc_address <= x"60000A00" and ( reg7(2 to 31) & "00" );
next_state <= WAIT_STATE;
return_state_next <= STATE_13;
--retVal = retVal & 0x00000010;
when STATE_13 =>
retVal_next <= intrfc2thrd_value and x"00000010";
next_state <= FUNCTION_EXIT;
when FUNCTION_EXIT =>
--Same as hthread_exit( (void *) retVal );
thrd2intrfc_value <= retVal;
thrd2intrfc_opcode <= OPCODE_RETURN;
next_state <= WAIT_STATE;
when WAIT_STATE =>
next_state <= return_state;
when ERROR_STATE =>
next_state <= ERROR_STATE;
when others =>
next_state <= ERROR_STATE;
end case;
end process HWTUL_STATE_MACHINE;
end architecture IMP;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/hardware/MyRepository/pcores/hw_acc_idea_v1_00_a/hdl/vhdl/Vector_3_par_user_logic_hwtul.vhd | 2 | 17358 | --accm
-- ************************************
-- Automatically Generated FSM
-- vector_chan
-- ************************************
-- **********************
-- Library inclusions
-- **********************
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
-- **********************
-- Entity Definition
-- **********************
entity vector_chan is
generic(
G_ADDR_WIDTH : integer := 32;
G_DATA_WIDTH : integer := 32;
OPCODE_BITS : integer := 6;
FUNC_BITS : integer := 6
);
port
(
Vector_A_addr0 : out std_logic_vector(0 to (G_ADDR_WIDTH - 1));
Vector_A_dIN0 : out std_logic_vector(0 to (G_DATA_WIDTH - 1));
Vector_A_dOUT0 : in std_logic_vector(0 to (G_DATA_WIDTH - 1));
Vector_A_rENA0 : out std_logic;
Vector_A_wENA0 : out std_logic_vector(0 to (G_DATA_WIDTH/8) -1);
Vector_B_addr0 : out std_logic_vector(0 to (G_ADDR_WIDTH - 1));
Vector_B_dIN0 : out std_logic_vector(0 to (G_DATA_WIDTH - 1));
Vector_B_dOUT0 : in std_logic_vector(0 to (G_DATA_WIDTH - 1));
Vector_B_rENA0 : out std_logic;
Vector_B_wENA0 : out std_logic_vector(0 to (G_DATA_WIDTH/8) -1);
Vector_C_addr0 : out std_logic_vector(0 to (G_ADDR_WIDTH - 1));
Vector_C_dIN0 : out std_logic_vector(0 to (G_DATA_WIDTH - 1));
Vector_C_dOUT0 : in std_logic_vector(0 to (G_DATA_WIDTH - 1));
Vector_C_rENA0 : out std_logic;
Vector_C_wENA0 : out std_logic_vector(0 to (G_DATA_WIDTH/8) -1);
chan1_channelDataIn : out std_logic_vector(0 to (32 - 1));
chan1_channelDataOut : in std_logic_vector(0 to (32 - 1));
chan1_exists : in std_logic;
chan1_full : in std_logic;
chan1_channelRead : out std_logic;
chan1_channelWrite : out std_logic;
clock_sig : in std_logic;
reset_sig : in std_logic
);
end entity vector_chan;
-- *************************
-- Architecture Definition
-- *************************
architecture IMPLEMENTATION of vector_chan is
component infer_bram
generic
(
ADDRESS_BITS : integer := 9;
DATA_BITS : integer := 32
);
port (
CLKA : in std_logic;
ENA : in std_logic;
WEA : in std_logic;
ADDRA : in std_logic_vector(0 to (ADDRESS_BITS - 1));
DIA : in std_logic_vector(0 to (DATA_BITS - 1));
DOA : out std_logic_vector(0 to (DATA_BITS - 1));
CLKB : in std_logic;
ENB : in std_logic;
WEB : in std_logic;
ADDRB : in std_logic_vector(0 to (ADDRESS_BITS - 1));
DIB : in std_logic_vector(0 to (DATA_BITS - 1));
DOB : out std_logic_vector(0 to (DATA_BITS - 1))
);
end component infer_BRAM;
-- ****************************************************
-- Type definitions for state signals
-- ****************************************************
type STATE_MACHINE_TYPE is
(
reset,
fetch,
get_instr,
read_size,
read_index,
decode,
defunc,
halt,
addv_for_loop,
extra1,
addv_ALU,
addv_write_back,
mulv_for_loop,
extra2,
mulv_ALU,
mulv_write_back,
redv_for_loop,
extra3,
redv_ALU,
redv_write_back
);
signal current_state,next_state: STATE_MACHINE_TYPE :=reset;
-- ****************************************************
-- Type definitions for FSM signals
-- ****************************************************
signal in_Vector_A_addr0 : std_logic_vector(0 to (G_ADDR_WIDTH - 1));
signal in_Vector_B_addr0 : std_logic_vector(0 to (G_ADDR_WIDTH - 1));
signal in_Vector_C_addr0 : std_logic_vector(0 to (G_ADDR_WIDTH - 1));
signal swapped, swapped_next : std_logic;
signal i, i_next : std_logic_vector(0 to G_ADDR_WIDTH - 1);
signal n, n_next : std_logic_vector(0 to G_DATA_WIDTH - 1);
signal n_new, n_new_next : std_logic_vector(0 to G_DATA_WIDTH - 1);
signal instruction, instruction_next : std_logic_vector(0 to G_DATA_WIDTH - 1);
signal index, index_next : std_logic_vector(0 to G_DATA_WIDTH - 1);
signal ret, ret_next : std_logic_vector(0 to G_DATA_WIDTH - 1);
signal dataA1, dataA1_next : std_logic_vector(0 to G_DATA_WIDTH - 1);
signal dataA2, dataA2_next : std_logic_vector(0 to G_DATA_WIDTH - 1);
signal dataB1, dataB1_next : std_logic_vector(0 to G_DATA_WIDTH - 1);
signal dataB2, dataB2_next : std_logic_vector(0 to G_DATA_WIDTH - 1);
signal dataC1, dataC1_next : std_logic_vector(0 to G_DATA_WIDTH - 1);
signal dataC2, dataC2_next : std_logic_vector(0 to G_DATA_WIDTH - 1);
signal dataMUL, dataMUL_next : std_logic_vector(0 to G_DATA_WIDTH + G_DATA_WIDTH - 1);
signal op, op_next : std_logic_vector(0 to 5);
signal rs, rs_next : std_logic_vector(0 to 4);
signal rt, rt_next : std_logic_vector(0 to 4);
signal rd, rd_next : std_logic_vector(0 to 4);
signal sh, sh_next : std_logic_vector(0 to 4);
signal fn, fn_next : std_logic_vector(0 to 5);
-- ****************************************************
-- User-defined VHDL Section
-- ****************************************************
constant OP_R : std_logic_vector(0 to OPCODE_BITS-1) := "000000";
constant FN_NOP : std_logic_vector(0 to FUNC_BITS-1) := "000000"; -- 0/00H
constant FN_ADDV : std_logic_vector(0 to FUNC_BITS-1) := "110000"; -- 0/30H
constant FN_MULV : std_logic_vector(0 to FUNC_BITS-1) := "110001"; -- 0/31
constant FN_REDV : std_logic_vector(0 to FUNC_BITS-1) := "110010"; -- 0/32
--constant OP_NOP : std_logic_vector(0 to OPCODE_BITS-1) := x"0";
--constant OP_ADD : std_logic_vector(0 to OPCODE_BITS-1) := x"1";
--constant OP_SUB : std_logic_vector(0 to OPCODE_BITS-1) := x"2";
--constant OP_ADDi : std_logic_vector(0 to OPCODE_BITS-1) := x"3";
--constant OP_SUBi : std_logic_vector(0 to OPCODE_BITS-1) := x"4";
--constant OP_ADDV : std_logic_vector(0 to OPCODE_BITS-1) := x"5";
--constant OP_SUBV : std_logic_vector(0 to OPCODE_BITS-1) := x"6";
--constant OP_ADDVS : std_logic_vector(0 to OPCODE_BITS-1) := x"7";
--constant OP_SUBVS : std_logic_vector(0 to OPCODE_BITS-1) := x"8";
--constant OP_SNEV : std_logic_vector(0 to OPCODE_BITS-1) := x"9";
--constant OP_SNEVS : std_logic_vector(0 to OPCODE_BITS-1) := x"A";
--constant OP_SLTV : std_logic_vector(0 to OPCODE_BITS-1) := x"B";
--constant OP_SLTVS : std_logic_vector(0 to OPCODE_BITS-1) := x"C";
--constant OP_CVM : std_logic_vector(0 to OPCODE_BITS-1) := x"D";
--constant OP_SVLR : std_logic_vector(0 to OPCODE_BITS-1) := x"E";
--constant OP_SVMR : std_logic_vector(0 to OPCODE_BITS-1) := x"F";
-- Architecture Section
begin
-- ************************
-- Permanent Connections
-- ************************
Vector_A_addr0 <= in_Vector_A_addr0(2 to 31) & "00";
Vector_B_addr0 <= in_Vector_B_addr0(2 to 31) & "00";
Vector_C_addr0 <= in_Vector_C_addr0(2 to 31) & "00";
-- ************************
-- BRAM implementations
-- ************************
-- ****************************************************
-- Process to handle the synchronous portion of an FSM
-- ****************************************************
FSM_SYNC_PROCESS : process(
swapped_next,
i_next,
n_next,
n_new_next,
instruction_next,
index_next,
ret_next,
dataA1_next,
dataA2_next,
dataB1_next,
dataB2_next,
dataC1_next,
dataC2_next,
dataMUL_next,
op_next,
rs_next,
rt_next,
rd_next,
sh_next,
fn_next,
next_state,
clock_sig, reset_sig) is
begin
if (clock_sig'event and clock_sig = '1') then
if (reset_sig = '1') then
-- Reset all FSM signals, and enter the initial state
swapped <= '0';
i <= (others => '0');
n <= (others => '0');
n_new <= (others => '0');
instruction <= (others => '0');
index <= (others => '0');
ret <= (others => '0');
dataA1 <= (others => '0');
dataA2 <= (others => '0');
dataB1 <= (others => '0');
dataB2 <= (others => '0');
dataC1 <= (others => '0');
dataC2 <= (others => '0');
dataMUL <= (others => '0');
op <= (others => '0');
rs <= (others => '0');
rt <= (others => '0');
rd <= (others => '0');
sh <= (others => '0');
fn <= (others => '0');
current_state <= reset;
else
-- Transition to next state
swapped <= swapped_next;
i <= i_next;
n <= n_next;
n_new <= n_new_next;
instruction <= instruction_next;
index <= index_next;
ret <= ret_next;
dataA1 <= dataA1_next;
dataA2 <= dataA2_next;
dataB1 <= dataB1_next;
dataB2 <= dataB2_next;
dataC1 <= dataC1_next;
dataC2 <= dataC2_next;
dataMUL <= dataMUL_next;
op <= op_next;
rs <= rs_next;
rt <= rt_next;
rd <= rd_next;
sh <= sh_next;
fn <= fn_next;
current_state <= next_state;
end if;
end if;
end process FSM_SYNC_PROCESS;
-- ************************************************************************
-- Process to handle the asynchronous (combinational) portion of an FSM
-- ************************************************************************
FSM_COMB_PROCESS : process(
Vector_A_dOUT0,
Vector_B_dOUT0,
Vector_C_dOUT0,
chan1_channelDataOut, chan1_full, chan1_exists,
swapped,
i,
n,
n_new,
instruction,
index,
ret,
dataA1,
dataA2,
dataB1,
dataB2,
dataC1,
dataC2,
dataMUL,
op,
rs,
rt,
rd,
sh,
fn,
current_state) is
begin
-- Default signal assignments
swapped_next <= swapped;
i_next <= i;
n_next <= n;
n_new_next <= n_new;
instruction_next <= instruction;
index_next <= index;
ret_next <= ret;
dataA1_next <= dataA1;
dataA2_next <= dataA2;
dataB1_next <= dataB1;
dataB2_next <= dataB2;
dataC1_next <= dataC1;
dataC2_next <= dataC2;
dataMUL_next <= dataMUL;
op_next <= op;
rs_next <= rs;
rt_next <= rt;
rd_next <= rd;
sh_next <= sh;
fn_next <= fn;
in_Vector_A_addr0 <= (others => '0');
Vector_A_dIN0 <= (others => '0');
Vector_A_rENA0 <= '0';
Vector_A_wENA0 <= (others => '0');
in_Vector_B_addr0 <= (others => '0');
Vector_B_dIN0 <= (others => '0');
Vector_B_rENA0 <= '0';
Vector_B_wENA0 <= (others => '0');
in_Vector_C_addr0 <= (others => '0');
Vector_C_dIN0 <= (others => '0');
Vector_C_rENA0 <= '0';
Vector_C_wENA0 <= (others => '0');
chan1_channelDataIn <= (others => '0');
chan1_channelRead <= '0';
chan1_channelWrite <= '0';
next_state <= current_state;
-- FSM logic
case (current_state) is
when addv_ALU =>
dataC1_next <= dataA1 + dataB1;
next_state <= addv_write_back;
when addv_for_loop =>
if ( i >= n ) then
next_state <= halt;
elsif ( i < n ) then
in_Vector_A_addr0 <= i;
Vector_A_rENA0 <= '1';
in_Vector_B_addr0 <= i;
Vector_B_rENA0 <= '1';
next_state <= extra1;
end if;
when addv_write_back =>
i_next <= i + 1;
in_Vector_C_addr0 <= i;
Vector_C_dIN0 <= dataC1;
Vector_C_wENA0 <= (others => '1');
Vector_C_rENA0 <= '1';
next_state <= addv_for_loop;
when decode =>
if ( op = OP_R ) then
next_state <= defunc;
end if;
when defunc =>
if ( fn = FN_NOP ) then
next_state <= halt;
elsif ( fn = FN_ADDV ) then
i_next <= index;
next_state <= addv_for_loop;
elsif ( fn = FN_MULV ) then
i_next <= index;
next_state <= mulv_for_loop;
elsif ( fn = FN_REDV ) then
i_next <= index;
next_state <= redv_for_loop;
end if;
when extra1 =>
dataB1_next <= Vector_B_dOUT0;
dataA1_next <= Vector_A_dOUT0;
next_state <= addv_ALU;
when extra2 =>
dataB1_next <= Vector_B_dOUT0;
dataA1_next <= Vector_A_dOUT0;
next_state <= mulv_ALU;
when extra3 =>
dataB1_next <= Vector_B_dOUT0;
dataA1_next <= Vector_A_dOUT0;
next_state <= redv_ALU;
when fetch =>
if chan1_exists = '0' then
next_state <= fetch;
elsif chan1_exists /= '0' then
instruction_next <= chan1_channelDataOut;
chan1_channelRead <= '1';
next_state <= get_instr;
end if;
when get_instr =>
ret_next <= (others => '0');
fn_next <= instruction(26 to 31);
sh_next <= instruction(21 to 25);
rt_next <= instruction(16 to 20);
rs_next <= instruction(11 to 15);
rd_next <= instruction(6 to 10);
op_next <= instruction(0 to 5);
next_state <= read_size;
when halt =>
if chan1_full /= '0' then
next_state <= halt;
elsif chan1_full = '0' then
chan1_channelDataIn <= ret;
chan1_channelWrite <= '1';
next_state <= fetch;
end if;
when mulv_ALU =>
dataMUL_next <= dataA1 * dataB1;
next_state <= mulv_write_back;
when mulv_for_loop =>
if ( i >= n ) then
next_state <= halt;
elsif ( i < n ) then
in_Vector_A_addr0 <= i;
Vector_A_rENA0 <= '1';
in_Vector_B_addr0 <= i;
Vector_B_rENA0 <= '1';
next_state <= extra2;
end if;
when mulv_write_back =>
i_next <= i + 1;
in_Vector_C_addr0 <= i;
Vector_C_dIN0 <= dataMUL(32 to 63);
Vector_C_wENA0 <= (others => '1');
Vector_C_rENA0 <= '1';
next_state <= mulv_for_loop;
when read_index =>
if chan1_exists = '0' then
next_state <= read_index;
elsif chan1_exists /= '0' then
index_next <= chan1_channelDataOut;
chan1_channelRead <= '1';
next_state <= decode;
end if;
when read_size =>
if chan1_exists = '0' then
next_state <= read_size;
elsif chan1_exists /= '0' then
n_next <= chan1_channelDataOut;
chan1_channelRead <= '1';
next_state <= read_index;
end if;
when redv_ALU =>
dataMUL_next <= dataA1 * dataB1;
next_state <= redv_write_back;
when redv_for_loop =>
if ( i >= n ) then
next_state <= halt;
elsif ( i < n ) then
in_Vector_A_addr0 <= i;
Vector_A_rENA0 <= '1';
in_Vector_B_addr0 <= i;
Vector_B_rENA0 <= '1';
next_state <= extra3;
end if;
when redv_write_back =>
i_next <= i + 1;
ret_next <= ret + dataMUL(32 to 63);
next_state <= redv_for_loop;
when reset =>
next_state <= fetch;
when others =>
next_state <= reset;
end case;
end process FSM_COMB_PROCESS;
end architecture IMPLEMENTATION;
-- $$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$
-- $$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$$
-- ************************************************
-- Entity used for implementing the inferred BRAMs
-- ************************************************
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_misc.all;
use IEEE.std_logic_misc.all;
use IEEE.numeric_std.all;
-- *************************************************************************
-- Entity declaration
-- *************************************************************************
entity infer_bram is
generic (
ADDRESS_BITS : integer := 9;
DATA_BITS : integer := 32
);
port (
CLKA : in std_logic;
ENA : in std_logic;
WEA : in std_logic;
ADDRA : in std_logic_vector(0 to (ADDRESS_BITS - 1));
DIA : in std_logic_vector(0 to (DATA_BITS - 1));
DOA : out std_logic_vector(0 to (DATA_BITS - 1));
CLKB : in std_logic;
ENB : in std_logic;
WEB : in std_logic;
ADDRB : in std_logic_vector(0 to (ADDRESS_BITS - 1));
DIB : in std_logic_vector(0 to (DATA_BITS - 1));
DOB : out std_logic_vector(0 to (DATA_BITS - 1))
);
end entity infer_bram;
-- *************************************************************************
-- Architecture declaration
-- *************************************************************************
architecture implementation of infer_bram is
-- Constant declarations
constant BRAM_SIZE : integer := 2 **ADDRESS_BITS; -- # of entries in the inferred BRAM
-- BRAM data storage (array)
type bram_storage is array( 0 to BRAM_SIZE - 1 ) of std_logic_vector( 0 to DATA_BITS - 1 );
shared variable BRAM_DATA : bram_storage;
-- attribute ram_style : string;
-- attribute ram_style of BRAM_DATA : signal is "block";
begin
-- *************************************************************************
-- Process: BRAM_CONTROLLER_A
-- Purpose: Controller for Port A of inferred dual-port BRAM, BRAM_DATA
-- *************************************************************************
BRAM_CONTROLLER_A : process(CLKA) is
begin
if( CLKA'event and CLKA = '1' ) then
if( ENA = '1' ) then
if( WEA = '1' ) then
BRAM_DATA( conv_integer(ADDRA) ) := DIA;
end if;
DOA <= BRAM_DATA( conv_integer(ADDRA) );
end if;
end if;
end process BRAM_CONTROLLER_A;
-- *************************************************************************
-- Process: BRAM_CONTROLLER_B
-- Purpose: Controller for Port B of inferred dual-port BRAM, BRAM_DATA
-- *************************************************************************
BRAM_CONTROLLER_B : process(CLKB) is
begin
if( CLKB'event and CLKB = '1' ) then
if( ENB = '1' ) then
if( WEB = '1' ) then
BRAM_DATA( conv_integer(ADDRB) ) := DIB;
end if;
DOB <= BRAM_DATA( conv_integer(ADDRB) );
end if;
end if;
end process BRAM_CONTROLLER_B;
end architecture implementation;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/hardware/MyRepository/pcores/hw_acc_crc_v1_00_a/hdl/vhdl/user_logic_hwtul.vhd | 3 | 6536 | -- ************************************
-- Automatically Generated FSM
-- crc
-- ************************************
-- **********************
-- Library inclusions
-- **********************
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
-- **********************
-- Entity Definition
-- **********************
entity crc is
generic(
G_INPUT_WIDTH : integer := 32;
G_ADDR_WIDTH : integer := 32;
G_DIVISOR_WIDTH : integer := 4;
divisor : std_logic_vector(0 to 3) := "1011"
);
port
(
array_addr0 : out std_logic_vector(0 to (G_ADDR_WIDTH - 1));
array_dIN0 : out std_logic_vector(0 to (G_INPUT_WIDTH - 1));
array_dOUT0 : in std_logic_vector(0 to (G_INPUT_WIDTH - 1));
array_rENA0 : out std_logic;
array_wENA0 : out std_logic_vector(0 to (G_INPUT_WIDTH/8) -1);
chan1_channelDataIn : out std_logic_vector(0 to (G_INPUT_WIDTH - 1));
chan1_channelDataOut : in std_logic_vector(0 to (G_INPUT_WIDTH - 1));
chan1_exists : in std_logic;
chan1_full : in std_logic;
chan1_channelRead : out std_logic;
chan1_channelWrite : out std_logic;
clock_sig : in std_logic;
reset_sig : in std_logic
);
end entity crc;
-- *************************
-- Architecture Definition
-- *************************
architecture IMPLEMENTATION of crc is
component infer_bram
generic
(
ADDRESS_BITS : integer := 9;
DATA_BITS : integer := 32
);
port (
CLKA : in std_logic;
ENA : in std_logic;
WEA : in std_logic;
ADDRA : in std_logic_vector(0 to (ADDRESS_BITS - 1));
DIA : in std_logic_vector(0 to (DATA_BITS - 1));
DOA : out std_logic_vector(0 to (DATA_BITS - 1));
CLKB : in std_logic;
ENB : in std_logic;
WEB : in std_logic;
ADDRB : in std_logic_vector(0 to (ADDRESS_BITS - 1));
DIB : in std_logic_vector(0 to (DATA_BITS - 1));
DOB : out std_logic_vector(0 to (DATA_BITS - 1))
);
end component infer_BRAM;
-- ****************************************************
-- Type definitions for state signals
-- ****************************************************
type STATE_MACHINE_TYPE is
(
reset,
idle,
read_data,
extra1,
do_crc
);
signal current_state,next_state: STATE_MACHINE_TYPE :=reset;
-- ****************************************************
-- Type definitions for FSM signals
-- ****************************************************
signal i, i_next : std_logic_vector(0 to 7);
signal j, j_next : std_logic_vector(0 to 31);
signal result, result_next : std_logic_vector(0 to G_INPUT_WIDTH - 1);
signal size, size_next : std_logic_vector(0 to G_INPUT_WIDTH - 1);
signal in_array_addr0 : std_logic_vector(0 to (G_ADDR_WIDTH - 1));
-- ****************************************************
-- User-defined VHDL Section
-- ****************************************************
-- Architecture Section
begin
-- ************************
-- Permanent Connections
-- ************************
array_addr0 <= in_array_addr0(2 to 31) & "00"; --The external memory is organized in this way.
-- ************************
-- Permanent Connections
-- ************************
-- ************************
-- BRAM implementations
-- ************************
-- ****************************************************
-- Process to handle the synchronous portion of an FSM
-- ****************************************************
FSM_SYNC_PROCESS : process(
i_next,
j_next,
result_next,
size_next,
next_state,
clock_sig, reset_sig) is
begin
if (clock_sig'event and clock_sig = '1') then
if (reset_sig = '1') then
-- Reset all FSM signals, and enter the initial state
i <= (others => '0');
j <= (others => '0');
result <= (others => '0');
size <= (others => '0');
current_state <= reset;
else
-- Transition to next state
i <= i_next;
j <= j_next;
result <= result_next;
size <= size_next;
current_state <= next_state;
end if;
end if;
end process FSM_SYNC_PROCESS;
-- ************************************************************************
-- Process to handle the asynchronous (combinational) portion of an FSM
-- ************************************************************************
FSM_COMB_PROCESS : process(
array_dOUT0,
chan1_channelDataOut, chan1_full, chan1_exists,
i,
j,
result,
size,
current_state) is
begin
-- Default signal assignments
i_next <= i;
j_next <= j;
result_next <= result;
size_next <= size;
in_array_addr0 <= (others => '0');
array_dIN0 <= (others => '0');
array_rENA0 <= '0';
array_wENA0 <= (others => '0');
chan1_channelDataIn <= (others => '0');
chan1_channelRead <= '0';
chan1_channelWrite <= '0';
next_state <= current_state;
-- FSM logic
case (current_state) is
when do_crc =>
if ( i < G_INPUT_WIDTH - G_DIVISOR_WIDTH + 1 ) and ( result(conv_integer(i)) = '0' ) then
i_next <= i + 1;
next_state <= do_crc;
elsif ( i < G_INPUT_WIDTH - G_DIVISOR_WIDTH + 1 ) then
result_next(conv_integer(i) to conv_integer(i) + ( G_DIVISOR_WIDTH - 1 )) <= result(conv_integer(i) to conv_integer(i) + ( G_DIVISOR_WIDTH - 1 )) xor divisor;
i_next <= i + 1;
next_state <= do_crc;
else
in_array_addr0 <= j;
array_dIN0 <= result;
array_wENA0 <= (others => '1');
array_rENA0 <= '1';
next_state <= read_data;
j_next <= j + 1;
end if;
when extra1 =>
i_next <= conv_std_logic_vector(0,8);
result_next <= array_dOUT0;
next_state <= do_crc;
when idle =>
if chan1_exists = '0' then
next_state <= idle;
elsif chan1_exists /= '0' then
j_next <= "00000000000000000" & chan1_channelDataOut(17 to 31);
size_next <= "00000000000000000" & chan1_channelDataOut(2 to 16);
chan1_channelRead <= '1';
next_state <= read_data;
end if;
when read_data =>
if ( j < size ) then
in_array_addr0 <= j;
array_rENA0 <= '1';
next_state <= extra1;
elsif chan1_full /= '0' then
next_state <= read_data;
elsif chan1_full = '0' then
chan1_channelDataIn <= (others => '0');
chan1_channelWrite <= '1';
next_state <= idle;
end if;
when reset =>
next_state <= idle;
when others =>
next_state <= reset;
end case;
end process FSM_COMB_PROCESS;
end architecture IMPLEMENTATION;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/hardware/MyRepository/pcores/hw_threads/hw_acc_two_v1_00_a/hdl/vhdl/hw_acc_two.vhd | 2 | 7966 | ------------------------------------------------------------------------------
-- add_sub_core - entity/architecture pair
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: add_sub_core
-- Version: 1.00.a
-- Description: Example FSL core (VHDL).
-- Date: Thu Aug 9 10:06:10 2012 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
-------------------------------------------------------------------------------------
--
--
-- Definition of Ports
-- FSL_Clk : Synchronous clock
-- FSL_Rst : System reset, should always come from FSL bus
-- FSL_S_Clk : Slave asynchronous clock
-- FSL_S_Read : Read signal, requiring next available input to be read
-- FSL_S_Data : Input data
-- FSL_S_CONTROL : Control Bit, indicating the input data are control word
-- FSL_S_Exists : Data Exist Bit, indicating data exist in the input FSL bus
-- FSL_M_Clk : Master asynchronous clock
-- FSL_M_Write : Write signal, enabling writing to output FSL bus
-- FSL_M_Data : Output data
-- FSL_M_Control : Control Bit, indicating the output data are contol word
-- FSL_M_Full : Full Bit, indicating output FSL bus is full
--
-------------------------------------------------------------------------------
------------------------------------------------------------------------------
-- Entity Section
------------------------------------------------------------------------------
entity hw_acc_two is
port
(
Tintrfc2thrd_value : out std_logic_vector(0 to 31);
Tintrfc2thrd_function : out std_logic_vector(0 to 15);
Tintrfc2thrd_goWait : out std_logic;
Tthrd2intrfc_address : out std_logic_vector(0 to 31);
Tthrd2intrfc_value : out std_logic_vector(0 to 31);
Tthrd2intrfc_function : out std_logic_vector(0 to 15);
Tthrd2intrfc_opcode : out std_logic_vector(0 to 5);
Ttimer : out std_logic_vector( 0 to 31);
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add or delete.
FSL_Clk : in std_logic;
FSL0_S_Read : out std_logic;
FSL0_S_Data : in std_logic_vector(0 to 31);
FSL0_S_Exists : in std_logic;
FSL1_S_Read : out std_logic;
FSL1_S_Data : in std_logic_vector(0 to 31);
FSL1_S_Exists : in std_logic;
------------------------------------------------------
FSL0_M_Write : out std_logic;
FSL0_M_Data : out std_logic_vector(0 to 31);
FSL0_M_Full : in std_logic;
FSL1_M_Write : out std_logic;
FSL1_M_Data : out std_logic_vector(0 to 31);
FSL1_M_Full : in std_logic;
FSL2_M_Write : out std_logic;
FSL2_M_Data : out std_logic_vector(0 to 31);
FSL2_M_Full : in std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute SIGIS : string;
attribute SIGIS of FSL_Clk : signal is "Clk";
end hw_acc_two;
-- *************************
-- Architecture Definition
-- *************************
architecture IMPLEMENTATION of hw_acc_two is
component user_logic_hwtul is
port (
clock : in std_logic;
intrfc2thrd : in std_logic_vector(0 to 63);
thrd2intrfc : out std_logic_vector( 0 to 95);
rd : out std_logic;
wr : out std_logic;
exist : in std_logic ;
full : in std_logic ;
Ttimer : out std_logic_vector( 0 to 31)
);
end component user_logic_hwtul;
signal intrfc2thrd : std_logic_vector(0 to 63);
signal thrd2intrfc : std_logic_vector( 0 to 95);
signal rd : std_logic;
signal wr : std_logic;
signal exist : std_logic;
signal full : std_logic;
signal timer : std_logic_vector( 0 to 31) ;
-- Architecture Section
begin
Tintrfc2thrd_value <= intrfc2thrd(0 to 31) ;
Tintrfc2thrd_function <= intrfc2thrd (32 to 47);
Tintrfc2thrd_goWait <= exist ;
Tthrd2intrfc_address <= thrd2intrfc (32 to 63);
Tthrd2intrfc_value <= thrd2intrfc (0 to 31) ;
Tthrd2intrfc_function <= thrd2intrfc (64 to 79);
Tthrd2intrfc_opcode <= thrd2intrfc (80 to 85);
Ttimer <= timer;
intrfc2thrd <= FSL0_S_Data & FSL1_S_Data;
FSL0_M_Data <= thrd2intrfc(0 to 31);
FSL1_M_Data <= thrd2intrfc(32 to 63);
FSL2_M_Data <= thrd2intrfc(64 to 95);
--=======================================================
full <= FSL0_M_Full or FSL1_M_Full or FSL2_M_Full;
exist <= FSL0_S_Exists and FSL1_S_Exists ;
--=======================================================
FSL0_S_Read <= rd;
FSL1_S_Read <= rd;
FSL0_M_Write <= wr;
FSL1_M_Write <= wr;
FSL2_M_Write <= wr;
USER_LOGIC_HWTUL_I : user_logic_hwtul
port map
(
clock => FSL_Clk,
intrfc2thrd => intrfc2thrd,
thrd2intrfc => thrd2intrfc,
rd => rd,
wr => wr,
exist => exist,
full => full,
Ttimer => timer
);
end architecture implementation;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/hardware/MyRepository/pcores/hw_threads/hw_acc_v1_00_a/hdl/vhdl/user_logics/user_logic/user_logic_huffmanEncode.vhd | 2 | 21738 | ---------------------------------------------------------------------------
--
-- Title: Hardware Thread User Logic Quicksort
-- Thread implements the quicksort algorithm
-- Passed in argument is a pointer to following struct
-- struct sortData {
-- int * startData; //pointer to start of array
-- int * endData; //pointer to end of array
-- int cacheOption // 1 operate on data where it is, 0 copy into HWTI first
-- There is not return argument, the HWT just sorts the data.
--
---------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_misc.all;
library Unisim;
use Unisim.all;
---------------------------------------------------------------------------
-- Port declarations
---------------------------------------------------------------------------
-- Definition of Ports:
--
-- Misc. Signals
-- clock
--
-- HWTI to HWTUL interconnect
-- intrfc2thrd_address 32 bits memory
-- intrfc2thrd_value 32 bits memory function
-- intrfc2thrd_function 16 bits control
-- intrfc2thrd_goWait 1 bits control
--
-- HWTUL to HWTI interconnect
-- thrd2intrfc_address 32 bits memory
-- thrd2intrfc_value 32 bits memory function
-- thrd2intrfc_function 16 bits function
-- thrd2intrfc_opcode 6 bits memory function
--
---------------------------------------------------------------------------
-- Thread Manager Entity section
---------------------------------------------------------------------------
entity user_logic_hwtul is
port (
clock : in std_logic;
intrfc2thrd_address : in std_logic_vector(0 to 31);
intrfc2thrd_value : in std_logic_vector(0 to 31);
intrfc2thrd_function : in std_logic_vector(0 to 15);
intrfc2thrd_goWait : in std_logic;
thrd2intrfc_address : out std_logic_vector(0 to 31);
thrd2intrfc_value : out std_logic_vector(0 to 31);
thrd2intrfc_function : out std_logic_vector(0 to 15);
thrd2intrfc_opcode : out std_logic_vector(0 to 5)
);
end entity user_logic_hwtul;
---------------------------------------------------------------------------
-- Architecture section
---------------------------------------------------------------------------
architecture IMP of user_logic_hwtul is
---------------------------------------------------------------------------
-- Signal declarations
---------------------------------------------------------------------------
type state_machine is (
FUNCTION_RESET,
FUNCTION_USER_SELECT,
FUNCTION_START,
READ_ARGS_1,
READ_ARGS_2,
READ_ARGS_3,
READ_ARGS_4,
READ_ARGS_5,
FORLOOP_1,
FORLOOP_2,
FORLOOP_3,
FORLOOP_4,
WHILE_A_1,
WHILE_A_2,
WHILE_A_3,
WHILE_B_1,
WHILE_B_2,
WHILE_B_3,
WHILE_B_4,
WHILE_B_5,
WHILE_B_6,
FLUSH_1,
FLUSH_2,
EXIT_THREAD,
EXIT_THREAD_1,
WAIT_STATE,
ERROR_STATE);
-- Function definitions
constant U_FUNCTION_RESET : std_logic_vector(0 to 15) := x"0000";
constant U_FUNCTION_WAIT : std_logic_vector(0 to 15) := x"0001";
constant U_FUNCTION_USER_SELECT : std_logic_vector(0 to 15) := x"0002";
constant U_FUNCTION_START : std_logic_vector(0 to 15) := x"0003";
-- Range 0003 to 7999 reserved for user logic's state machine
-- Range 8000 to 9999 reserved for system calls
constant FUNCTION_HTHREAD_ATTR_INIT : std_logic_vector(0 to 15) := x"8000";
constant FUNCTION_HTHREAD_ATTR_DESTROY : std_logic_vector(0 to 15) := x"8001";
constant FUNCTION_HTHREAD_CREATE : std_logic_vector(0 to 15) := x"8010";
constant FUNCTION_HTHREAD_JOIN : std_logic_vector(0 to 15) := x"8011";
constant FUNCTION_HTHREAD_SELF : std_logic_vector(0 to 15) := x"8012";
constant FUNCTION_HTHREAD_YIELD : std_logic_vector(0 to 15) := x"8013";
constant FUNCTION_HTHREAD_EQUAL : std_logic_vector(0 to 15) := x"8014";
constant FUNCTION_HTHREAD_EXIT : std_logic_vector(0 to 15) := x"8015";
constant FUNCTION_HTHREAD_EXIT_ERROR : std_logic_vector(0 to 15) := x"8016";
constant FUNCTION_HTHREAD_MUTEXATTR_INIT : std_logic_vector(0 to 15) := x"8020";
constant FUNCTION_HTHREAD_MUTEXATTR_DESTROY : std_logic_vector(0 to 15) := x"8021";
constant FUNCTION_HTHREAD_MUTEXATTR_SETNUM : std_logic_vector(0 to 15) := x"8022";
constant FUNCTION_HTHREAD_MUTEXATTR_GETNUM : std_logic_vector(0 to 15) := x"8023";
constant FUNCTION_HTHREAD_MUTEX_INIT : std_logic_vector(0 to 15) := x"8030";
constant FUNCTION_HTHREAD_MUTEX_DESTROY : std_logic_vector(0 to 15) := x"8031";
constant FUNCTION_HTHREAD_MUTEX_LOCK : std_logic_vector(0 to 15) := x"8032";
constant FUNCTION_HTHREAD_MUTEX_UNLOCK : std_logic_vector(0 to 15) := x"8033";
constant FUNCTION_HTHREAD_MUTEX_TRYLOCK : std_logic_vector(0 to 15) := x"8034";
constant FUNCTION_HTHREAD_CONDATTR_INIT : std_logic_vector(0 to 15) := x"8040";
constant FUNCTION_HTHREAD_CONDATTR_DESTROY : std_logic_vector(0 to 15) := x"8041";
constant FUNCTION_HTHREAD_CONDATTR_SETNUM : std_logic_vector(0 to 15) := x"8042";
constant FUNCTION_HTHREAD_CONDATTR_GETNUM : std_logic_vector(0 to 15) := x"8043";
constant FUNCTION_HTHREAD_COND_INIT : std_logic_vector(0 to 15) := x"8050";
constant FUNCTION_HTHREAD_COND_DESTROY : std_logic_vector(0 to 15) := x"8051";
constant FUNCTION_HTHREAD_COND_SIGNAL : std_logic_vector(0 to 15) := x"8052";
constant FUNCTION_HTHREAD_COND_BROADCAST : std_logic_vector(0 to 15) := x"8053";
constant FUNCTION_HTHREAD_COND_WAIT : std_logic_vector(0 to 15) := x"8054";
-- Ranged A000 to FFFF reserved for supported library calls
constant FUNCTION_MALLOC : std_logic_vector(0 to 15) := x"A000";
constant FUNCTION_CALLOC : std_logic_vector(0 to 15) := x"A001";
constant FUNCTION_FREE : std_logic_vector(0 to 15) := x"A002";
constant FUNCTION_MEMCPY : std_logic_vector(0 to 15) := x"A100";
-- user_opcode Constants
constant OPCODE_NOOP : std_logic_vector(0 to 5) := "000000";
-- Memory sub-interface specific opcodes
constant OPCODE_LOAD : std_logic_vector(0 to 5) := "000001";
constant OPCODE_STORE : std_logic_vector(0 to 5) := "000010";
constant OPCODE_DECLARE : std_logic_vector(0 to 5) := "000011";
constant OPCODE_READ : std_logic_vector(0 to 5) := "000100";
constant OPCODE_WRITE : std_logic_vector(0 to 5) := "000101";
constant OPCODE_ADDRESS : std_logic_vector(0 to 5) := "000110";
-- Function sub-interface specific opcodes
constant OPCODE_PUSH : std_logic_vector(0 to 5) := "010000";
constant OPCODE_POP : std_logic_vector(0 to 5) := "010001";
constant OPCODE_CALL : std_logic_vector(0 to 5) := "010010";
constant OPCODE_RETURN : std_logic_vector(0 to 5) := "010011";
constant Z32 : std_logic_vector(0 to 31) := (others => '0');
constant MAX_SIZE : std_logic_vector(0 to 31) := x"00000400";
signal current_state, next_state : state_machine := FUNCTION_RESET;
signal return_state, return_state_next : state_machine := FUNCTION_RESET;
signal arg, arg_next : std_logic_vector(0 to 31);
signal bufer, buffer_next : std_logic_vector(0 to 31);
signal bufLen, bufLen_next : std_logic_vector(0 to 31);
signal outputIndex, outputIndex_next : std_logic_vector(0 to 31);
signal index, index_next : std_logic_vector(0 to 31);
signal len, len_next : std_logic_vector(0 to 31);
signal pos, pos_next : std_logic_vector(0 to 31);
signal inputData, inputData_next : std_logic_vector(0 to 31);
signal outputData, outputData_next : std_logic_vector(0 to 31);
signal count, count_next : std_logic_vector(0 to 31);
signal toUser_address : std_logic_vector(0 to 31);
signal toUser_value : std_logic_vector(0 to 31);
signal toUser_function : std_logic_vector(0 to 15);
signal toUser_goWait : std_logic;
---------------------------------------------------------------------------
-- Begin architecture
---------------------------------------------------------------------------
begin -- architecture IMP
HWTUL_STATE_PROCESS : process (clock) is
begin
if (clock'event and (clock = '1')) then
toUser_address <= intrfc2thrd_address;
toUser_value <= intrfc2thrd_value;
toUser_function <= intrfc2thrd_function;
toUser_goWait <= intrfc2thrd_goWait;
arg <= arg_next;
bufer <= buffer_next;
bufLen <= bufLen_next;
outputIndex <= outputIndex_next;
index <= index_next;
len <= len_next;
pos <= pos_next;
inputData <= inputData_next;
outputData <= outputData_next;
count <= count_next;
return_state <= return_state_next;
-- Find out if the HWTI is tell us what to do
if (intrfc2thrd_goWait = '1') then
case intrfc2thrd_function is
-- Typically the HWTI will tell us to control our own destiny
when U_FUNCTION_USER_SELECT =>
current_state <= next_state;
-- List all the functions the HWTI could tell us to run
when U_FUNCTION_RESET =>
current_state <= FUNCTION_RESET;
when U_FUNCTION_START =>
current_state <= FUNCTION_START;
-- If the HWTI tells us to do something we don't know, error
when OTHERS =>
current_state <= ERROR_STATE;
end case;
else
current_state <= WAIT_STATE;
end if;
end if;
end process HWTUL_STATE_PROCESS;
HWTUL_STATE_MACHINE : process (current_state) is
begin
-- Default register assignments
thrd2intrfc_opcode <= OPCODE_NOOP; -- When issuing an OPCODE, must be a pulse
thrd2intrfc_address <= Z32;
thrd2intrfc_value <= Z32;
thrd2intrfc_function <= U_FUNCTION_USER_SELECT;
next_state <= current_state;
return_state_next <= return_state;
arg_next <= arg;
buffer_next <= bufer;
bufLen_next <= bufLen;
outputIndex_next <= outputIndex;
index_next <= index;
len_next <= len;
pos_next <= pos;
inputData_next <= inputData;
outputData_next <= outputData;
count_next <= count;
-- The state machine
case current_state is
when FUNCTION_RESET =>
--Set default values
thrd2intrfc_opcode <= OPCODE_NOOP;
thrd2intrfc_address <= Z32;
thrd2intrfc_value <= Z32;
thrd2intrfc_function <= U_FUNCTION_START;
arg_next <= Z32;
buffer_next <= Z32;
bufLen_next <= Z32;
outputIndex_next <= Z32;
index_next <= Z32;
len_next <= Z32;
pos_next <= Z32;
inputData_next <= Z32;
outputData_next <= Z32;
count_next <= Z32;
when FUNCTION_START =>
-- read the passed in argument
thrd2intrfc_opcode <= OPCODE_POP;
thrd2intrfc_address <= Z32;
return_state_next <= READ_ARGS_1;
next_state <= WAIT_STATE;
-- int Code[MAX_SIZE]
when READ_ARGS_1 =>
arg_next <= toUser_value;
-- Declare an array of MAX_SIZE on the stack
thrd2intrfc_opcode <= OPCODE_DECLARE;
thrd2intrfc_value <= MAX_SIZE;
return_state_next <= READ_ARGS_2;
next_state <= WAIT_STATE;
-- struct HuffmanStructure * huffman = (struct HuffmanStructure *)arg;
when READ_ARGS_2 =>
-- Read value of inputData pointer
thrd2intrfc_opcode <= OPCODE_LOAD;
thrd2intrfc_address <= arg;
return_state_next <= READ_ARGS_3;
next_state <= WAIT_STATE;
when READ_ARGS_3 =>
inputData_next <= toUser_value;
-- Read value of outputData pointer
thrd2intrfc_opcode <= OPCODE_LOAD;
thrd2intrfc_address <= arg + 4;
return_state_next <= READ_ARGS_4;
next_state <= WAIT_STATE;
when READ_ARGS_4 =>
outputData_next <= toUser_value;
-- Read value of count
thrd2intrfc_opcode <= OPCODE_LOAD;
thrd2intrfc_address <= arg + 8;
return_state_next <= READ_ARGS_5;
next_state <= WAIT_STATE;
when READ_ARGS_5 =>
count_next <= toUser_value;
next_state <= FORLOOP_1;
-- for ( index = 0; index < huffman->count; index++ );
when FORLOOP_1 =>
-- index was initialized in the start state
-- check to see if index is less than count
if ( index < count ) then
next_state <= FORLOOP_2;
else
next_state <= FLUSH_1;
end if;
-- Len = 0;
-- Pos = huffman->inputData[Index];
when FORLOOP_2 =>
-- len was initialized in the start state
-- read the character to encode
thrd2intrfc_opcode <= OPCODE_LOAD;
thrd2intrfc_address <= inputData + (index(2 to 31) & "00");
return_state_next <= FORLOOP_3;
next_state <= WAIT_STATE;
when FORLOOP_3 =>
pos_next <= toUser_value;
next_state <= WHILE_A_1;
-- while ((pos < MAX_SIZE) && (huffman->code.Parent[Pos] >= 0 ))
when WHILE_A_1 =>
-- check to see if pos < MAX_SIZE
if ( pos < MAX_SIZE ) then
-- read the value of huffman->code.Parent[pos]
thrd2intrfc_opcode <= OPCODE_LOAD;
thrd2intrfc_address <= arg + x"0000100C" + (pos(2 to 31) & "00");
return_state_next <= WHILE_A_2;
next_state <= WAIT_STATE;
else
next_state <= WHILE_B_1;
end if;
-- code[len++] = huffman->code.bit[pos]
-- pos = huffman->code.parent[pos]
when WHILE_A_2 =>
-- check to see of huffman->code.Parent[pos] >= 0
-- can check this by inspecting the bit 0
case toUser_value(0) is
when '0' =>
-- this is a positive number or zero
pos_next <= toUser_value;
-- read the value of huffman->code.bit[pos]
thrd2intrfc_opcode <= OPCODE_LOAD;
thrd2intrfc_address <= arg + x"0000400C" + (pos(2 to 31) & "00");
return_state_next <= WHILE_A_3;
next_state <= WAIT_STATE;
when others =>
-- this is a negative number
next_state <= WHILE_B_1;
end case;
when WHILE_A_3 =>
-- set code[len] to the value of huffman->code.bit[pos]
thrd2intrfc_opcode <= OPCODE_WRITE;
thrd2intrfc_value <= toUser_value;
thrd2intrfc_address <= len;
-- increment len
len_next <= len + x"00000001";
return_state_next <= WHILE_A_1;
next_state <= WAIT_STATE;
-- end of while loop
-- while( len > 0 )
when WHILE_B_1 =>
case len is
when x"00000000" =>
-- len is = 0
next_state <= FORLOOP_4;
when others =>
-- len is > 0
-- decrement len in preparation for next step
len_next <= len - x"00000001";
next_state <= WHILE_B_2;
end case;
-- Buffer = (Buffer << 1) | Code[--Len];
when WHILE_B_2 =>
-- read the value of code[len]
thrd2intrfc_opcode <= OPCODE_READ;
thrd2intrfc_value <= toUser_value;
thrd2intrfc_address <= len;
return_state_next <= WHILE_B_3;
next_state <= WAIT_STATE;
-- BufLen++;
when WHILE_B_3 =>
-- set the value of buffer
--buffer_next <= (bufer(1 to 31) & '0') | toUser_value;
buffer_next <= bufer(1 to 31) & toUser_value(31);
-- increment buflen
buflen_next <= bufLen + x"00000001";
next_state <= WHILE_B_4;
-- if ( BufLen == 32 )
-- huffman->outputData[outputIndex] = Buffer
when WHILE_B_4 =>
-- check to see if BufLen == 32, do this by checking bit 26
case bufLen(26) is
when '0' =>
-- bufLen is less than 32
next_state <= WHILE_B_1;
when others =>
-- bufLen is 32
-- store the value of outputData[outputIndex]
thrd2intrfc_opcode <= OPCODE_STORE;
thrd2intrfc_value <= bufer;
thrd2intrfc_address <= outputData + (outputIndex(2 to 31) & "00");
return_state_next <= WHILE_B_5;
next_state <= WAIT_STATE;
end case;
-- outputIndex++;
-- Buffer = 0;
-- BufLen = 0;
when WHILE_B_5 =>
outputIndex_next <= outputIndex + x"00000001";
buffer_next <= Z32;
bufLen_next <= Z32;
next_state <= WHILE_B_1;
-- end if statement
-- end while loop
when FORLOOP_4 =>
-- increment index
index_next <= index + x"00000001";
next_state <= FORLOOP_1;
-- end for loop
-- if ( bufLen != 0 )
-- Buffer = Buffer << (32 - BufLen)
when FLUSH_1 =>
-- check to see if bufLen is equal to 0, only have to check last 6 bits
-- if it is not 0, check the value to know how much to shift buffer
case bufLen(26 to 31) is
when "000000" =>
next_state <= EXIT_THREAD;
when "000001" =>
buffer_next <= bufer(31) & Z32(0 to 30);
next_state <= FLUSH_2;
when "000010" =>
buffer_next <= bufer(30 to 31) & Z32(0 to 29);
next_state <= FLUSH_2;
when "000011" =>
buffer_next <= bufer(29 to 31) & Z32(0 to 28);
next_state <= FLUSH_2;
when "000100" =>
buffer_next <= bufer(28 to 31) & Z32(0 to 27);
next_state <= FLUSH_2;
when "000101" =>
buffer_next <= bufer(27 to 31) & Z32(0 to 26);
next_state <= FLUSH_2;
when "000110" =>
buffer_next <= bufer(26 to 31) & Z32(0 to 25);
next_state <= FLUSH_2;
when "000111" =>
buffer_next <= bufer(25 to 31) & Z32(0 to 24);
next_state <= FLUSH_2;
when "001000" =>
buffer_next <= bufer(24 to 31) & Z32(0 to 23);
next_state <= FLUSH_2;
when "001001" =>
buffer_next <= bufer(23 to 31) & Z32(0 to 22);
next_state <= FLUSH_2;
when "001010" =>
buffer_next <= bufer(22 to 31) & Z32(0 to 21);
next_state <= FLUSH_2;
when "001011" =>
buffer_next <= bufer(21 to 31) & Z32(0 to 20);
next_state <= FLUSH_2;
when "001100" =>
buffer_next <= bufer(20 to 31) & Z32(0 to 19);
next_state <= FLUSH_2;
when "001101" =>
buffer_next <= bufer(19 to 31) & Z32(0 to 18);
next_state <= FLUSH_2;
when "001110" =>
buffer_next <= bufer(18 to 31) & Z32(0 to 17);
next_state <= FLUSH_2;
when "001111" =>
buffer_next <= bufer(17 to 31) & Z32(0 to 16);
next_state <= FLUSH_2;
when "010000" =>
buffer_next <= bufer(16 to 31) & Z32(0 to 15);
next_state <= FLUSH_2;
when "010001" =>
buffer_next <= bufer(15 to 31) & Z32(0 to 14);
next_state <= FLUSH_2;
when "010010" =>
buffer_next <= bufer(14 to 31) & Z32(0 to 13);
next_state <= FLUSH_2;
when "010011" =>
buffer_next <= bufer(13 to 31) & Z32(0 to 12);
next_state <= FLUSH_2;
when "010100" =>
buffer_next <= bufer(12 to 31) & Z32(0 to 11);
next_state <= FLUSH_2;
when "010101" =>
buffer_next <= bufer(11 to 31) & Z32(0 to 10);
next_state <= FLUSH_2;
when "010110" =>
buffer_next <= bufer(10 to 31) & Z32(0 to 9);
next_state <= FLUSH_2;
when "010111" =>
buffer_next <= bufer(9 to 31) & Z32(0 to 8);
next_state <= FLUSH_2;
when "011000" =>
buffer_next <= bufer(8 to 31) & Z32(0 to 7);
next_state <= FLUSH_2;
when "011001" =>
buffer_next <= bufer(7 to 31) & Z32(0 to 6);
next_state <= FLUSH_2;
when "011010" =>
buffer_next <= bufer(6 to 31) & Z32(0 to 5);
next_state <= FLUSH_2;
when "011011" =>
buffer_next <= bufer(5 to 31) & Z32(0 to 4);
next_state <= FLUSH_2;
when "011100" =>
buffer_next <= bufer(4 to 31) & Z32(0 to 3);
next_state <= FLUSH_2;
when "011101" =>
buffer_next <= bufer(3 to 31) & Z32(0 to 2);
next_state <= FLUSH_2;
when "011110" =>
buffer_next <= bufer(2 to 31) & Z32(0 to 1);
next_state <= FLUSH_2;
when "011111" =>
buffer_next <= bufer(1 to 31) & Z32(0);
next_state <= FLUSH_2;
when others =>
-- should never get to this state
next_state <= EXIT_THREAD;
end case;
-- huffman->outputData[outputIndex] = Buffer
when FLUSH_2 =>
thrd2intrfc_opcode <= OPCODE_STORE;
thrd2intrfc_value <= bufer;
thrd2intrfc_address <= outputData + (outputIndex(2 to 31) & "00");
return_state_next <= EXIT_THREAD;
next_state <= WAIT_STATE;
-- end if statement
when EXIT_THREAD =>
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= Z32;
return_state_next <= EXIT_THREAD_1;
next_state <= WAIT_STATE;
when EXIT_THREAD_1 =>
thrd2intrfc_opcode <= OPCODE_CALL;
thrd2intrfc_value <= Z32;
thrd2intrfc_function <= FUNCTION_HTHREAD_EXIT;
next_state <= WAIT_STATE;
when WAIT_STATE =>
case toUser_goWait is
when '1' => --Here because HWTUL chose to be here for one clock cycle
next_state <= return_state;
when OTHERS => --ie '0', Here because HWTI is telling us to wait
next_state <= return_state;
end case;
when others =>
next_state <= ERROR_STATE;
end case;
end process HWTUL_STATE_MACHINE;
end architecture IMP;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/hardware/MyRepository/pcores/axi_hthread_cores/proc_common_v3_00_a/hdl/vhdl/mux_onehot.vhd | 2 | 14599 | -------------------------------------------------------------------------------
-- $Id: mux_onehot.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $
-------------------------------------------------------------------------------
-- mux_onehot - arch and entity
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: mux_onehot.vhd
--
-- Description: Parameterizable multiplexer with one hot select lines
--
--
-------------------------------------------------------------------------------
-- Structure:
-- Multi- use module
--------------------------------------------------------------------------------
-- Author: BLT
-- History:
-- BLT 2/22/01 -- First version
--
-- ALS 3/30/01
-- ^^^^^^
-- Added process to replicate select bus for each of the data buses
-- ~~~~~~
--
-- ALS 4/19/01
-- ^^^^^^
-- Modified assignments of DI and CI to use signals one and zero. VHDL87
-- doesn't support direct assignment of these signals to '0' and '1'.
-- ~~~~~~
--
-- DET 1/17/2008 v3_00_a
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
---------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
-- Generic definitions:
--
-- C_DW: Data width of buses entering the mux. Valid range is 1 to 256.
-- C_NB: Number of data buses entering the mux. Valid range is 1 to 64.
--
-- The input data is represented by a one-dimensional bus that is made up
-- of all of the data buses concatenated together. For example, a 4 to 1
-- mux with 2 bit data buses (C_DW=2,C_NB=4) is represented by:
--
-- D = (Bus0Data0, Bus0Data1, Bus1Data0, Bus1Data1, Bus2Data0, Bus2Data1,
-- Bus3Data0, Bus3Data1)
--
-- There is a separate select line for EACH data bit, leaving it to the
-- user to set fanout on the select lines before using this mux. The select
-- bus into the mux is created by concatenating the one-hot select bus for
-- a single output bit as many times as needed for the data width. Continuing
-- the 4 to 1, 2 bit example from above:
--
-- S = (Sel0Data0,Sel1Data0,Sel2Data0,Sel3Data0,
-- Sel0Data1,Sel1Data1,Sel2Data1,Sel3Data1)
--
-- 4/3/01 ALS - modified the code slightly to have the select bus generated
-- from within this code - input select bus is simply one bit per bus
---------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
-- UNISIM library is required when Xilinx primitives are instantiated.
library unisim;
use unisim.all;
-------------------------------------------------------------------------------
-- Port Declaration
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Definition of Generics:
-- C_DW: Data width of buses entering the mux. Valid range is 1 to 256.
-- C_NB: Number of data buses entering the mux. Valid range is 1 to 64.
--
-- The input data is represented by a one-dimensional bus that is made up
-- of all of the data buses concatenated together. For example, a 4 to 1
-- mux with 2 bit data buses (C_DW=2,C_NB=4) is represented by:
--
-- D = (Bus0Data0, Bus0Data1, Bus1Data0, Bus1Data1, Bus2Data0, Bus2Data1,
-- Bus3Data0, Bus3Data1)
--
-- There is a separate select line for EACH data bit, leaving it to the
-- user to set fanout on the select lines before using this mux. The select
-- bus into the mux is created by concatenating the one-hot select bus for
-- a single output bit as many times as needed for the data width. Continuing
-- the 4 to 1, 2 bit example from above:
--
-- S = (Sel0Data0,Sel1Data0,Sel2Data0,Sel3Data0,
-- Sel0Data1,Sel1Data1,Sel2Data1,Sel3Data1)
--
-- 4/3/01 ALS - modified the code slightly to have the select bus generated
-- from within this code - input select bus is simply one bit per bus
--
-- Definition of Ports:
-- input D -- input data bus
-- input S -- input select bus
--
-- output Y -- output bus
-------------------------------------------------------------------------------
entity mux_onehot is
generic( C_DW: integer := 32;
C_NB: integer := 5 );
port(
D: in std_logic_vector(0 to C_DW*C_NB-1);
S: in std_logic_vector(0 to C_NB-1);
Y: out std_logic_vector(0 to C_DW-1));
end mux_onehot;
architecture imp of mux_onehot is
-------------------------------------------------------------------------------
-- Signal and Type Declarations
-------------------------------------------------------------------------------
signal Dreord: std_logic_vector(0 to C_DW*((C_NB+1)/2)*2-1);
signal sel: std_logic_vector(0 to C_DW*((C_NB+1)/2)*2-1);
signal lutout: std_logic_vector(0 to (C_DW*(C_NB+1)/2)-1);
signal cyout: std_logic_vector(0 to (C_DW*(C_NB+1)/2)-1);
signal one: std_logic := '1';
signal zero: std_logic := '0';
-------------------------------------------------------------------------------
-- Component Declarations
-------------------------------------------------------------------------------
-- MUXCY used to multiplex busses
component MUXCY
port(
O : out STD_LOGIC;
DI : in STD_LOGIC;
CI : in STD_LOGIC;
S : in STD_LOGIC);
end component;
begin
-- Reorder data buses
REORD: process( D )
variable m,n: integer;
begin
for m in 0 to C_DW-1 loop
for n in 0 to C_NB-1 loop
Dreord( m*C_NB+n) <= D( n*C_DW+m );
end loop;
end loop;
end process REORD;
-------------------------------------------------------------------------------
-- REPSELS_PROCESS
-------------------------------------------------------------------------------
-- The one-hot select bus contains 1-bit for each bus. To more easily
-- parameterize the carry chains and reduce loading on the select bus, these
-- signals are replicated into a bus that replicates the select bits for the
-- data width of the busses
-------------------------------------------------------------------------------
REPSELS_PROCESS : process ( S )
variable i, j : integer;
begin
-- loop through all data bits and busses
for i in 0 to C_DW-1 loop
for j in 0 to C_NB-1 loop
sel(i*C_NB+j) <= S(j);
end loop;
end loop;
end process REPSELS_PROCESS;
-- Handle case for even number of buses
EVEN_GEN: if C_NB rem 2 = 0 and C_NB /= 2 generate
DATA_WIDTH_GEN: for i in 0 to C_DW-1 generate
lutout(i*(C_NB+1)/2) <= not((Dreord(i*C_NB) and sel(i*C_NB)) or
(Dreord(i*C_NB+1) and sel(i*C_NB+1)));
CYMUX_FIRST: MUXCY
port map (CI=> zero,
DI=> one,
S=>lutout(i*(C_NB+1)/2),
O=>cyout(i*(C_NB+1)/2));
NUM_BUSES_GEN: for j in 1 to (C_NB+1)/2-1 generate
lutout(i*(C_NB+1)/2+j) <= not((Dreord(i*C_NB+j*2) and sel(i*C_NB+j*2)) or
(Dreord(i*C_NB+j*2+1) and sel(i*C_NB+j*2+1)));
CARRY_MUX: MUXCY
port map (CI=>cyout(i*(C_NB+1)/2+j-1),
DI=> one,
S=>lutout(i*(C_NB+1)/2+j),
O=>cyout(i*(C_NB+1)/2+j));
end generate;
Y(i) <= cyout(i*(C_NB+1)/2+(C_NB+1)/2-1);
end generate;
end generate;
-- Handle case for odd number of buses
ODD_GEN: if C_NB rem 2 /= 0 and C_NB /= 1 generate
DATA_WIDTH_GEN: for i in 0 to C_DW-1 generate
lutout(i*(C_NB+1)/2) <= not((Dreord(i*C_NB) and sel(i*C_NB)) or
(Dreord(i*C_NB+1) and sel(i*C_NB+1)));
CYMUX_FIRST: MUXCY
port map (CI=> zero,
DI=> one,
S=>lutout(i*(C_NB+1)/2),
O=>cyout(i*(C_NB+1)/2));
NUM_BUSES_GEN: for j in 1 to (C_NB+1)/2-2 generate
lutout(i*(C_NB+1)/2+j) <= not((Dreord(i*C_NB+j*2) and sel(i*C_NB+j*2)) or
(Dreord(i*C_NB+j*2+1) and sel(i*C_NB+j*2+1)));
CARRY_MUX: MUXCY
port map (CI=>cyout(i*(C_NB+1)/2+j-1),
DI=> one,
S=>lutout(i*(C_NB+1)/2+j),
O=>cyout(i*(C_NB+1)/2+j));
end generate;
ODD_BUS_GEN: for j in (C_NB+1)/2-1 to (C_NB+1)/2-1 generate
lutout(i*(C_NB+1)/2+j) <= not((Dreord(i*C_NB+j*2) and sel(i*C_NB+j*2)));
CARRY_MUX: MUXCY
port map (CI=>cyout(i*(C_NB+1)/2+j-1),
DI=> one,
S=>lutout(i*(C_NB+1)/2+j),
O=>cyout(i*(C_NB+1)/2+j));
end generate;
Y(i) <= cyout(i*(C_NB+1)/2+(C_NB+1)/2-1);
end generate;
end generate;
ONE_GEN: if C_NB = 1 generate
Y <= D;
end generate;
TWO_GEN: if C_NB = 2 generate
DATA_WIDTH_GEN2: for i in 0 to C_DW-1 generate
lutout(i*(C_NB+1)/2) <= ((Dreord(i*C_NB) and sel(i*C_NB)) or
(Dreord(i*C_NB+1) and sel(i*C_NB+1)));
Y(i) <= lutout(i*(C_NB+1)/2);
end generate;
end generate;
end imp;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/hardware/MyRepository/pcores/plb_sync_manager_v1_00_a/hdl/vhdl/mutex_store.vhd | 11 | 7532 | -------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
use work.common.all;
entity mutex_store is
generic
(
C_AWIDTH : integer := 32;
C_DWIDTH : integer := 32;
C_TWIDTH : integer := 8;
C_MWIDTH : integer := 6;
C_CWIDTH : integer := 8
);
port
(
clk : in std_logic;
rst : in std_logic;
miaddr : in std_logic_vector(0 to C_MWIDTH-1);
miena : in std_logic;
miwea : in std_logic;
miowner : in std_logic_vector(0 to C_TWIDTH-1);
minext : in std_logic_vector(0 to C_TWIDTH-1);
milast : in std_logic_vector(0 to C_TWIDTH-1);
mikind : in std_logic_vector(0 to 1);
micount : in std_logic_vector(0 to C_CWIDTH-1);
sysrst : in std_logic;
rstdone : out std_logic;
moowner : out std_logic_vector(0 to C_TWIDTH-1);
monext : out std_logic_vector(0 to C_TWIDTH-1);
molast : out std_logic_vector(0 to C_TWIDTH-1);
mokind : out std_logic_vector(0 to 1);
mocount : out std_logic_vector(0 to C_CWIDTH-1)
);
end mutex_store;
architecture behavioral of mutex_store is
-- Calculate the number of mutexes to use
constant MUTEXES : integer := pow2( C_MWIDTH );
-- Constant for the last position to be reset
constant RST_END : std_logic_vector(0 to C_MWIDTH-1) := (others => '1');
-- Calculate the beginning and ending bit positions for data
constant OWN_SRT : integer := 0;
constant OWN_END : integer := OWN_SRT + C_TWIDTH-1;
constant NXT_SRT : integer := OWN_END+1;
constant NXT_END : integer := NXT_SRT + C_TWIDTH-1;
constant LST_SRT : integer := NXT_END+1;
constant LST_END : integer := LST_SRT + C_TWIDTH-1;
constant KND_SRT : integer := LST_END+1;
constant KND_END : integer := KND_SRT + 1;
constant CNT_SRT : integer := KND_END + 1;
constant CNT_END : integer := CNT_SRT + C_CWIDTH-1;
-- Declare a storage area for the mutex data
type mstore is array(0 to MUTEXES-1) of std_logic_vector(0 to 3*C_TWIDTH+C_CWIDTH+1);
-- Declare signals for the mutex storage area
signal store : mstore;
signal mena : std_logic;
signal mwea : std_logic;
signal maddr : std_logic_vector(0 to C_MWIDTH-1);
signal minput : std_logic_vector(0 to 3*C_TWIDTH+C_CWIDTH+1);
signal moutput : std_logic_vector(0 to 3*C_TWIDTH+C_CWIDTH+1);
-- Type for the reset state machine
type rststate is
(
IDLE,
RESET
);
-- Declare signals for the reset
signal rena : std_logic;
signal rwea : std_logic;
signal rst_cs : rststate;
signal raddr : std_logic_vector(0 to C_MWIDTH-1);
signal raddrn : std_logic_vector(0 to C_MWIDTH-1);
signal rowner : std_logic_vector(0 to C_TWIDTH-1);
signal rnext : std_logic_vector(0 to C_TWIDTH-1);
signal rlast : std_logic_vector(0 to C_TWIDTH-1);
signal rkind : std_logic_vector(0 to 1);
signal rcount : std_logic_vector(0 to C_CWIDTH-1);
begin
moowner <= moutput(OWN_SRT to OWN_END);
monext <= moutput(NXT_SRT to NXT_END);
molast <= moutput(LST_SRT to LST_END);
mokind <= moutput(KND_SRT to KND_END);
mocount <= moutput(CNT_SRT to CNT_END);
mutex_mux : process(clk,rst,sysrst,rena,rwea,raddr,rowner,rnext,rlast,rkind,rcount,
miena,miwea,miaddr,miowner,milast,mikind,micount) is
begin
if( rising_edge(clk) ) then
if( rst = '1' or sysrst = '1' ) then
mena <= rena;
mwea <= rwea;
maddr <= raddr;
minput <= rowner & rnext & rlast & rkind & rcount;
else
mena <= miena;
mwea <= miwea;
maddr <= miaddr;
minput <= miowner & minext & milast & mikind & micount;
end if;
end if;
end process mutex_mux;
mutex_reset_controller : process(clk,rst) is
begin
if( rising_edge(clk) ) then
if( rst = '1' or sysrst = '1' ) then
rst_cs <= RESET;
raddr <= raddrn;
else
rst_cs <= IDLE;
end if;
end if;
end process mutex_reset_controller;
mutex_reset_logic : process(rst_cs,raddr) is
begin
rena <= '1';
rwea <= '1';
rstdone <= '1';
rowner <= (others => '0');
rnext <= (others => '0');
rlast <= (others => '0');
rkind <= (others => '0');
rcount <= (others => '0');
case rst_cs is
when IDLE =>
raddrn <= (others => '0');
when RESET =>
if( raddr = RST_END ) then
raddrn <= raddr;
else
rstdone <= '0';
raddrn <= raddr + 1;
end if;
end case;
end process mutex_reset_logic;
mutex_store_controller : process (clk) is
begin
if( rising_edge(clk) ) then
if( mena = '1' ) then
if( mwea = '1' ) then
store( conv_integer(maddr) ) <= minput;
end if;
moutput <= store( conv_integer(maddr) );
end if;
end if;
end process mutex_store_controller;
end behavioral;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/platforms/xilinx/hwti_mblaze_6smp/design/pcores/plb_sync_manager_v1_00_a/hdl/vhdl/master.vhd | 10 | 10243 | -------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
use work.common.all;
entity master is
generic
(
C_BASEADDR : std_logic_vector := x"00000000";
C_HIGHADDR : std_logic_vector := x"FFFFFFFF";
C_SCHED_BASEADDR : std_logic_vector := x"00000000";
C_RESULT_BASEADDR : std_logic_vector := x"00000000";
C_NUM_THREADS : integer := 256;
C_NUM_MUTEXES : integer := 64;
C_AWIDTH : integer := 32;
C_DWIDTH : integer := 32;
C_MAX_AR_DWIDTH : integer := 32;
C_NUM_ADDR_RNG : integer := 6;
C_NUM_CE : integer := 4
);
port
(
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
-- Bus2IP_MstLastAck : in std_logic;
-- IP2Bus_Addr : out std_logic_vector(0 to C_AWIDTH-1);
-- IP2Bus_MstBE : out std_logic_vector(0 to C_DWIDTH/8-1);
-- IP2Bus_MstBurst : out std_logic;
-- IP2Bus_MstBusLock : out std_logic;
-- IP2Bus_MstRdReq : out std_logic;
-- IP2Bus_MstWrReq : out std_logic;
-- IP2IP_Addr : out std_logic_vector(0 to C_AWIDTH-1);
IP2Bus_MstRd_Req : out std_logic;
IP2Bus_MstWr_Req : out std_logic;
IP2Bus_Mst_Addr : out std_logic_vector(0 to C_AWIDTH-1);
IP2Bus_Mst_BE : out std_logic_vector(0 to C_DWIDTH/8-1);
IP2Bus_Mst_Lock : out std_logic;
IP2Bus_Mst_Reset : out std_logic;
Bus2IP_Mst_CmdAck : in std_logic;
Bus2IP_Mst_Cmplt : in std_logic;
Bus2IP_Mst_Error : in std_logic;
Bus2IP_Mst_Rearbitrate : in std_logic;
Bus2IP_Mst_Cmd_Timeout : in std_logic;
Bus2IP_MstRd_d : in std_logic_vector(0 to C_DWIDTH-1);
Bus2IP_MstRd_src_rdy_n : in std_logic;
IP2Bus_MstWr_d : out std_logic_vector(0 to C_DWIDTH-1);
Bus2IP_MstWr_dst_rdy_n : in std_logic;
system_reset : in std_logic;
system_resetdone : out std_logic;
send_ena : in std_logic;
send_id : in std_logic_vector(0 to log2(C_NUM_THREADS)-1);
send_ack : out std_logic;
saddr : out std_logic_vector(0 to log2(C_NUM_THREADS)-1);
sena : out std_logic;
swea : out std_logic;
sonext : out std_logic_vector(0 to log2(C_NUM_THREADS)-1);
sinext : in std_logic_vector(0 to log2(C_NUM_THREADS)-1)
);
end master;
architecture behavioral of master is
constant THR_BIT : integer := log2( C_NUM_THREADS );
type send_state is
(
IDLE,
SENDING,
FINISH
);
type queue_state is
(
IDLE,
DONE,
GETWAIT,
GETDONE
);
signal mst_cmplt : std_logic;
signal send_cs : send_state;
signal send_ns : send_state;
signal queue_cs : queue_state;
signal queue_ns : queue_state;
signal send_rdy : std_logic;
signal send_valid : std_logic;
signal send_validn : std_logic;
signal send_cur : std_logic_vector(0 to THR_BIT-1);
signal send_curn : std_logic_vector(0 to THR_BIT-1);
signal send_first : std_logic_vector(0 to THR_BIT-1);
signal send_firstn : std_logic_vector(0 to THR_BIT-1);
signal send_last : std_logic_vector(0 to THR_BIT-1);
signal send_lastn : std_logic_vector(0 to THR_BIT-1);
signal send_count : std_logic_vector(0 to THR_BIT-1);
signal send_countn : std_logic_vector(0 to THR_BIT-1);
begin
-- System reset only takes one clock cycle so were always "done"
system_resetdone <= '1';
queue_update : process(Bus2IP_Clk) is
begin
if( rising_edge(Bus2IP_Clk) ) then
if( Bus2IP_Reset = '1' or system_reset = '1' ) then
queue_cs <= IDLE;
send_count <= (others => '0');
send_first <= (others => '0');
send_last <= (others => '0');
send_cur <= (others => '0');
send_valid <= '0';
else
queue_cs <= queue_ns;
send_count <= send_countn;
send_first <= send_firstn;
send_last <= send_lastn;
send_cur <= send_curn;
send_valid <= send_validn;
end if;
end if;
end process queue_update;
queue_controller : process(Bus2IP_Clk,queue_cs, send_cur, send_last, send_first, send_count, send_ena, send_id, send_rdy, sinext) is
begin
sena <= '0';
swea <= '0';
saddr <= (others => '0');
sonext <= (others => '0');
queue_ns <= queue_cs;
send_curn <= send_cur;
send_lastn <= send_last;
send_firstn <= send_first;
send_countn <= send_count;
send_validn <= '0';
case queue_cs is
when IDLE =>
if( send_ena = '1' ) then
if( send_count = zero(THR_BIT) ) then
send_firstn <= send_id;
else
sena <= '1';
swea <= '1';
saddr <= send_last;
sonext <= send_id;
end if;
send_lastn <= send_id;
send_countn <= send_count+1;
send_ack <= '1';
queue_ns <= DONE;
elsif( send_rdy = '1' and send_count /= zero(THR_BIT) ) then
send_curn <= send_first;
send_validn <= '1';
sena <= '1';
saddr <= send_first;
queue_ns <= GETWAIT;
end if;
when DONE =>
send_ack <= '1';
if( send_ena = '0' ) then
queue_ns <= IDLE;
end if;
when GETWAIT => null;
queue_ns <= GETDONE;
when GETDONE =>
send_firstn <= sinext;
send_countn <= send_count-1;
queue_ns <= IDLE;
end case;
end process queue_controller;
send_update : process (Bus2IP_Clk,send_ns) is
begin
if( rising_edge(Bus2IP_Clk) ) then
if( Bus2IP_Reset = '1' or system_reset = '1' ) then
send_cs <= IDLE;
else
send_cs <= send_ns;
end if;
end if;
end process send_update;
send_controller : process (Bus2IP_Mst_CmdAck, Bus2IP_MstRd_src_rdy_n, send_cs,send_valid,send_cur) is
begin
send_ns <= send_cs;
send_rdy <= '0';
IP2Bus_Mst_Addr <= (others => '0');
IP2Bus_Mst_BE <= (others => '0');
IP2Bus_MstRd_Req <= '0';
case send_cs is
when IDLE =>
send_rdy <= '1';
if( send_valid = '1' ) then
send_ns <= SENDING;
end if;
when SENDING =>
-- Capture the Bus2IP_Mst_Cmplt value for later.
if (mst_cmplt = '0') then
mst_cmplt <= Bus2IP_Mst_Cmplt;
end if;
if (Bus2IP_Mst_CmdAck = '1') then
send_ns <= FINISH;
else
IP2Bus_Mst_Addr <= add_thread(C_SCHED_BASEADDR,send_cur);
IP2Bus_MstRd_Req <= '1';
IP2Bus_Mst_BE <= "1111";
send_ns <= SENDING;
end if;
when FINISH =>
if ((mst_cmplt = '1') or (Bus2IP_Mst_Cmplt = '1')) then
send_ns <= IDLE;
else
send_ns <= FINISH;
end if;
end case;
end process send_controller;
end behavioral;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/platforms/xilinx/pr_6smp/design/pcores/plb_sync_manager_v1_00_a/hdl/vhdl/master.vhd | 10 | 10243 | -------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
use work.common.all;
entity master is
generic
(
C_BASEADDR : std_logic_vector := x"00000000";
C_HIGHADDR : std_logic_vector := x"FFFFFFFF";
C_SCHED_BASEADDR : std_logic_vector := x"00000000";
C_RESULT_BASEADDR : std_logic_vector := x"00000000";
C_NUM_THREADS : integer := 256;
C_NUM_MUTEXES : integer := 64;
C_AWIDTH : integer := 32;
C_DWIDTH : integer := 32;
C_MAX_AR_DWIDTH : integer := 32;
C_NUM_ADDR_RNG : integer := 6;
C_NUM_CE : integer := 4
);
port
(
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
-- Bus2IP_MstLastAck : in std_logic;
-- IP2Bus_Addr : out std_logic_vector(0 to C_AWIDTH-1);
-- IP2Bus_MstBE : out std_logic_vector(0 to C_DWIDTH/8-1);
-- IP2Bus_MstBurst : out std_logic;
-- IP2Bus_MstBusLock : out std_logic;
-- IP2Bus_MstRdReq : out std_logic;
-- IP2Bus_MstWrReq : out std_logic;
-- IP2IP_Addr : out std_logic_vector(0 to C_AWIDTH-1);
IP2Bus_MstRd_Req : out std_logic;
IP2Bus_MstWr_Req : out std_logic;
IP2Bus_Mst_Addr : out std_logic_vector(0 to C_AWIDTH-1);
IP2Bus_Mst_BE : out std_logic_vector(0 to C_DWIDTH/8-1);
IP2Bus_Mst_Lock : out std_logic;
IP2Bus_Mst_Reset : out std_logic;
Bus2IP_Mst_CmdAck : in std_logic;
Bus2IP_Mst_Cmplt : in std_logic;
Bus2IP_Mst_Error : in std_logic;
Bus2IP_Mst_Rearbitrate : in std_logic;
Bus2IP_Mst_Cmd_Timeout : in std_logic;
Bus2IP_MstRd_d : in std_logic_vector(0 to C_DWIDTH-1);
Bus2IP_MstRd_src_rdy_n : in std_logic;
IP2Bus_MstWr_d : out std_logic_vector(0 to C_DWIDTH-1);
Bus2IP_MstWr_dst_rdy_n : in std_logic;
system_reset : in std_logic;
system_resetdone : out std_logic;
send_ena : in std_logic;
send_id : in std_logic_vector(0 to log2(C_NUM_THREADS)-1);
send_ack : out std_logic;
saddr : out std_logic_vector(0 to log2(C_NUM_THREADS)-1);
sena : out std_logic;
swea : out std_logic;
sonext : out std_logic_vector(0 to log2(C_NUM_THREADS)-1);
sinext : in std_logic_vector(0 to log2(C_NUM_THREADS)-1)
);
end master;
architecture behavioral of master is
constant THR_BIT : integer := log2( C_NUM_THREADS );
type send_state is
(
IDLE,
SENDING,
FINISH
);
type queue_state is
(
IDLE,
DONE,
GETWAIT,
GETDONE
);
signal mst_cmplt : std_logic;
signal send_cs : send_state;
signal send_ns : send_state;
signal queue_cs : queue_state;
signal queue_ns : queue_state;
signal send_rdy : std_logic;
signal send_valid : std_logic;
signal send_validn : std_logic;
signal send_cur : std_logic_vector(0 to THR_BIT-1);
signal send_curn : std_logic_vector(0 to THR_BIT-1);
signal send_first : std_logic_vector(0 to THR_BIT-1);
signal send_firstn : std_logic_vector(0 to THR_BIT-1);
signal send_last : std_logic_vector(0 to THR_BIT-1);
signal send_lastn : std_logic_vector(0 to THR_BIT-1);
signal send_count : std_logic_vector(0 to THR_BIT-1);
signal send_countn : std_logic_vector(0 to THR_BIT-1);
begin
-- System reset only takes one clock cycle so were always "done"
system_resetdone <= '1';
queue_update : process(Bus2IP_Clk) is
begin
if( rising_edge(Bus2IP_Clk) ) then
if( Bus2IP_Reset = '1' or system_reset = '1' ) then
queue_cs <= IDLE;
send_count <= (others => '0');
send_first <= (others => '0');
send_last <= (others => '0');
send_cur <= (others => '0');
send_valid <= '0';
else
queue_cs <= queue_ns;
send_count <= send_countn;
send_first <= send_firstn;
send_last <= send_lastn;
send_cur <= send_curn;
send_valid <= send_validn;
end if;
end if;
end process queue_update;
queue_controller : process(Bus2IP_Clk,queue_cs, send_cur, send_last, send_first, send_count, send_ena, send_id, send_rdy, sinext) is
begin
sena <= '0';
swea <= '0';
saddr <= (others => '0');
sonext <= (others => '0');
queue_ns <= queue_cs;
send_curn <= send_cur;
send_lastn <= send_last;
send_firstn <= send_first;
send_countn <= send_count;
send_validn <= '0';
case queue_cs is
when IDLE =>
if( send_ena = '1' ) then
if( send_count = zero(THR_BIT) ) then
send_firstn <= send_id;
else
sena <= '1';
swea <= '1';
saddr <= send_last;
sonext <= send_id;
end if;
send_lastn <= send_id;
send_countn <= send_count+1;
send_ack <= '1';
queue_ns <= DONE;
elsif( send_rdy = '1' and send_count /= zero(THR_BIT) ) then
send_curn <= send_first;
send_validn <= '1';
sena <= '1';
saddr <= send_first;
queue_ns <= GETWAIT;
end if;
when DONE =>
send_ack <= '1';
if( send_ena = '0' ) then
queue_ns <= IDLE;
end if;
when GETWAIT => null;
queue_ns <= GETDONE;
when GETDONE =>
send_firstn <= sinext;
send_countn <= send_count-1;
queue_ns <= IDLE;
end case;
end process queue_controller;
send_update : process (Bus2IP_Clk,send_ns) is
begin
if( rising_edge(Bus2IP_Clk) ) then
if( Bus2IP_Reset = '1' or system_reset = '1' ) then
send_cs <= IDLE;
else
send_cs <= send_ns;
end if;
end if;
end process send_update;
send_controller : process (Bus2IP_Mst_CmdAck, Bus2IP_MstRd_src_rdy_n, send_cs,send_valid,send_cur) is
begin
send_ns <= send_cs;
send_rdy <= '0';
IP2Bus_Mst_Addr <= (others => '0');
IP2Bus_Mst_BE <= (others => '0');
IP2Bus_MstRd_Req <= '0';
case send_cs is
when IDLE =>
send_rdy <= '1';
if( send_valid = '1' ) then
send_ns <= SENDING;
end if;
when SENDING =>
-- Capture the Bus2IP_Mst_Cmplt value for later.
if (mst_cmplt = '0') then
mst_cmplt <= Bus2IP_Mst_Cmplt;
end if;
if (Bus2IP_Mst_CmdAck = '1') then
send_ns <= FINISH;
else
IP2Bus_Mst_Addr <= add_thread(C_SCHED_BASEADDR,send_cur);
IP2Bus_MstRd_Req <= '1';
IP2Bus_Mst_BE <= "1111";
send_ns <= SENDING;
end if;
when FINISH =>
if ((mst_cmplt = '1') or (Bus2IP_Mst_Cmplt = '1')) then
send_ns <= IDLE;
else
send_ns <= FINISH;
end if;
end case;
end process send_controller;
end behavioral;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/hardware/MyRepository/pcores/hw_threads/hw_acc_v1_00_a/hdl/vhdl/user_logics/functional/mutex_init_3.vhd | 2 | 15641 | ---------------------------------------------------------------------------
--
-- Title: Hardware Thread User Logic Exit Thread
-- To be used as a place holder, and size estimate for HWTI
--
---------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_misc.all;
library Unisim;
use Unisim.all;
---------------------------------------------------------------------------
-- Port declarations
---------------------------------------------------------------------------
-- Definition of Ports:
--
-- Misc. Signals
-- clock
--
-- HWTI to HWTUL interconnect
-- intrfc2thrd_address 32 bits memory
-- intrfc2thrd_value 32 bits memory function
-- intrfc2thrd_function 16 bits control
-- intrfc2thrd_goWait 1 bits control
--
-- HWTUL to HWTI interconnect
-- thrd2intrfc_address 32 bits memory
-- thrd2intrfc_value 32 bits memory function
-- thrd2intrfc_function 16 bits function
-- thrd2intrfc_opcode 6 bits memory function
--
---------------------------------------------------------------------------
-- Thread Manager Entity section
---------------------------------------------------------------------------
entity user_logic_hwtul is
port (
clock : in std_logic;
intrfc2thrd_address : in std_logic_vector(0 to 31);
intrfc2thrd_value : in std_logic_vector(0 to 31);
intrfc2thrd_function : in std_logic_vector(0 to 15);
intrfc2thrd_goWait : in std_logic;
thrd2intrfc_address : out std_logic_vector(0 to 31);
thrd2intrfc_value : out std_logic_vector(0 to 31);
thrd2intrfc_function : out std_logic_vector(0 to 15);
thrd2intrfc_opcode : out std_logic_vector(0 to 5)
);
end entity user_logic_hwtul;
---------------------------------------------------------------------------
-- Architecture section
---------------------------------------------------------------------------
architecture IMP of user_logic_hwtul is
---------------------------------------------------------------------------
-- Signal declarations
---------------------------------------------------------------------------
type state_machine is (
FUNCTION_RESET,
FUNCTION_USER_SELECT,
FUNCTION_START,
FUNCTION_EXIT,
STATE_1,
STATE_2,
STATE_3,
STATE_4,
STATE_5,
STATE_6,
STATE_7,
STATE_8,
STATE_9,
STATE_10,
STATE_11,
STATE_12,
STATE_13,
STATE_14,
STATE_15,
STATE_16,
STATE_17,
STATE_18,
STATE_19,
STATE_20,
WAIT_STATE,
ERROR_STATE);
-- Function definitions
constant U_FUNCTION_RESET : std_logic_vector(0 to 15) := x"0000";
constant U_FUNCTION_WAIT : std_logic_vector(0 to 15) := x"0001";
constant U_FUNCTION_USER_SELECT : std_logic_vector(0 to 15) := x"0002";
constant U_FUNCTION_START : std_logic_vector(0 to 15) := x"0003";
constant U_STATE_1 : std_logic_vector(0 to 15) := x"0101";
constant U_STATE_2 : std_logic_vector(0 to 15) := x"0102";
constant U_STATE_3 : std_logic_vector(0 to 15) := x"0103";
constant U_STATE_4 : std_logic_vector(0 to 15) := x"0104";
constant U_STATE_5 : std_logic_vector(0 to 15) := x"0105";
constant U_STATE_6 : std_logic_vector(0 to 15) := x"0106";
constant U_STATE_7 : std_logic_vector(0 to 15) := x"0107";
constant U_STATE_8 : std_logic_vector(0 to 15) := x"0108";
constant U_STATE_9 : std_logic_vector(0 to 15) := x"0109";
constant U_STATE_10 : std_logic_vector(0 to 15) := x"0110";
constant U_STATE_11 : std_logic_vector(0 to 15) := x"0111";
constant U_STATE_12 : std_logic_vector(0 to 15) := x"0112";
constant U_STATE_13 : std_logic_vector(0 to 15) := x"0113";
constant U_STATE_14 : std_logic_vector(0 to 15) := x"0114";
constant U_STATE_15 : std_logic_vector(0 to 15) := x"0115";
constant U_STATE_16 : std_logic_vector(0 to 15) := x"0116";
constant U_STATE_17 : std_logic_vector(0 to 15) := x"0117";
constant U_STATE_18 : std_logic_vector(0 to 15) := x"0118";
constant U_STATE_19 : std_logic_vector(0 to 15) := x"0119";
constant U_STATE_20 : std_logic_vector(0 to 15) := x"0120";
-- Range 0003 to 7999 reserved for user logic's state machine
-- Range 8000 to 9999 reserved for system calls
constant FUNCTION_HTHREAD_ATTR_INIT : std_logic_vector(0 to 15) := x"8000";
constant FUNCTION_HTHREAD_ATTR_DESTROY : std_logic_vector(0 to 15) := x"8001";
constant FUNCTION_HTHREAD_CREATE : std_logic_vector(0 to 15) := x"8010";
constant FUNCTION_HTHREAD_JOIN : std_logic_vector(0 to 15) := x"8011";
constant FUNCTION_HTHREAD_SELF : std_logic_vector(0 to 15) := x"8012";
constant FUNCTION_HTHREAD_YIELD : std_logic_vector(0 to 15) := x"8013";
constant FUNCTION_HTHREAD_EQUAL : std_logic_vector(0 to 15) := x"8014";
constant FUNCTION_HTHREAD_EXIT : std_logic_vector(0 to 15) := x"8015";
constant FUNCTION_HTHREAD_EXIT_ERROR : std_logic_vector(0 to 15) := x"8016";
constant FUNCTION_HTHREAD_MUTEXATTR_INIT : std_logic_vector(0 to 15) := x"8020";
constant FUNCTION_HTHREAD_MUTEXATTR_DESTROY : std_logic_vector(0 to 15) := x"8021";
constant FUNCTION_HTHREAD_MUTEXATTR_SETNUM : std_logic_vector(0 to 15) := x"8022";
constant FUNCTION_HTHREAD_MUTEXATTR_GETNUM : std_logic_vector(0 to 15) := x"8023";
constant FUNCTION_HTHREAD_MUTEX_INIT : std_logic_vector(0 to 15) := x"8030";
constant FUNCTION_HTHREAD_MUTEX_DESTROY : std_logic_vector(0 to 15) := x"8031";
constant FUNCTION_HTHREAD_MUTEX_LOCK : std_logic_vector(0 to 15) := x"8032";
constant FUNCTION_HTHREAD_MUTEX_UNLOCK : std_logic_vector(0 to 15) := x"8033";
constant FUNCTION_HTHREAD_MUTEX_TRYLOCK : std_logic_vector(0 to 15) := x"8034";
constant FUNCTION_HTHREAD_CONDATTR_INIT : std_logic_vector(0 to 15) := x"8040";
constant FUNCTION_HTHREAD_CONDATTR_DESTROY : std_logic_vector(0 to 15) := x"8041";
constant FUNCTION_HTHREAD_CONDATTR_SETNUM : std_logic_vector(0 to 15) := x"8042";
constant FUNCTION_HTHREAD_CONDATTR_GETNUM : std_logic_vector(0 to 15) := x"8043";
constant FUNCTION_HTHREAD_COND_INIT : std_logic_vector(0 to 15) := x"8050";
constant FUNCTION_HTHREAD_COND_DESTROY : std_logic_vector(0 to 15) := x"8051";
constant FUNCTION_HTHREAD_COND_SIGNAL : std_logic_vector(0 to 15) := x"8052";
constant FUNCTION_HTHREAD_COND_BROADCAST : std_logic_vector(0 to 15) := x"8053";
constant FUNCTION_HTHREAD_COND_WAIT : std_logic_vector(0 to 15) := x"8054";
-- Ranged A000 to FFFF reserved for supported library calls
constant FUNCTION_MALLOC : std_logic_vector(0 to 15) := x"A000";
constant FUNCTION_CALLOC : std_logic_vector(0 to 15) := x"A001";
constant FUNCTION_FREE : std_logic_vector(0 to 15) := x"A002";
-- user_opcode Constants
constant OPCODE_NOOP : std_logic_vector(0 to 5) := "000000";
-- Memory sub-interface specific opcodes
constant OPCODE_LOAD : std_logic_vector(0 to 5) := "000001";
constant OPCODE_STORE : std_logic_vector(0 to 5) := "000010";
constant OPCODE_DECLARE : std_logic_vector(0 to 5) := "000011";
constant OPCODE_READ : std_logic_vector(0 to 5) := "000100";
constant OPCODE_WRITE : std_logic_vector(0 to 5) := "000101";
constant OPCODE_ADDRESS : std_logic_vector(0 to 5) := "000110";
-- Function sub-interface specific opcodes
constant OPCODE_PUSH : std_logic_vector(0 to 5) := "010000";
constant OPCODE_POP : std_logic_vector(0 to 5) := "010001";
constant OPCODE_CALL : std_logic_vector(0 to 5) := "010010";
constant OPCODE_RETURN : std_logic_vector(0 to 5) := "010011";
constant Z32 : std_logic_vector(0 to 31) := (others => '0');
signal current_state, next_state : state_machine := FUNCTION_RESET;
signal return_state, return_state_next: state_machine := FUNCTION_RESET;
signal toUser_address : std_logic_vector(0 to 31);
signal toUser_value : std_logic_vector(0 to 31);
signal toUser_function : std_logic_vector(0 to 15);
signal toUser_goWait : std_logic;
signal retVal, retVal_next : std_logic_vector(0 to 31);
signal arg, arg_next : std_logic_vector(0 to 31);
signal reg1, reg1_next : std_logic_vector(0 to 31);
signal reg2, reg2_next : std_logic_vector(0 to 31);
signal reg3, reg3_next : std_logic_vector(0 to 31);
signal reg4, reg4_next : std_logic_vector(0 to 31);
signal reg5, reg5_next : std_logic_vector(0 to 31);
signal reg6, reg6_next : std_logic_vector(0 to 31);
signal reg7, reg7_next : std_logic_vector(0 to 31);
signal reg8, reg8_next : std_logic_vector(0 to 31);
---------------------------------------------------------------------------
-- Begin architecture
---------------------------------------------------------------------------
begin -- architecture IMP
HWTUL_STATE_PROCESS : process (clock, intrfc2thrd_goWait) is
begin
if (clock'event and (clock = '1')) then
toUser_address <= intrfc2thrd_address;
toUser_value <= intrfc2thrd_value;
toUser_function <= intrfc2thrd_function;
toUser_goWait <= intrfc2thrd_goWait;
return_state <= return_state_next;
retVal <= retVal_next;
arg <= arg_next;
reg1 <= reg1_next;
reg2 <= reg2_next;
reg3 <= reg3_next;
reg4 <= reg4_next;
reg5 <= reg5_next;
reg6 <= reg6_next;
reg7 <= reg7_next;
reg8 <= reg8_next;
-- Find out if the HWTI is tell us what to do
if (intrfc2thrd_goWait = '1') then
case intrfc2thrd_function is
-- Typically the HWTI will tell us to control our own destiny
when U_FUNCTION_USER_SELECT =>
current_state <= next_state;
-- List all the functions the HWTI could tell us to run
when U_FUNCTION_RESET =>
current_state <= FUNCTION_RESET;
when U_FUNCTION_START =>
current_state <= FUNCTION_START;
when U_STATE_1 =>
current_state <= STATE_1;
when U_STATE_2 =>
current_state <= STATE_2;
when U_STATE_3 =>
current_state <= STATE_3;
when U_STATE_4 =>
current_state <= STATE_4;
when U_STATE_5 =>
current_state <= STATE_5;
when U_STATE_6 =>
current_state <= STATE_6;
when U_STATE_7 =>
current_state <= STATE_7;
when U_STATE_8 =>
current_state <= STATE_8;
when U_STATE_9 =>
current_state <= STATE_9;
when U_STATE_10 =>
current_state <= STATE_10;
when U_STATE_11 =>
current_state <= STATE_11;
when U_STATE_12 =>
current_state <= STATE_12;
when U_STATE_13 =>
current_state <= STATE_13;
when U_STATE_14 =>
current_state <= STATE_14;
when U_STATE_15 =>
current_state <= STATE_15;
when U_STATE_16 =>
current_state <= STATE_16;
when U_STATE_17 =>
current_state <= STATE_17;
when U_STATE_18 =>
current_state <= STATE_18;
when U_STATE_19 =>
current_state <= STATE_19;
when U_STATE_20 =>
current_state <= STATE_20;
-- If the HWTI tells us to do something we don't know, error
when OTHERS =>
current_state <= ERROR_STATE;
end case;
else
current_state <= WAIT_STATE;
end if;
end if;
end process HWTUL_STATE_PROCESS;
HWTUL_STATE_MACHINE : process (clock) is
begin
-- Default register assignments
thrd2intrfc_opcode <= OPCODE_NOOP; -- When issuing an OPCODE, must be a pulse
thrd2intrfc_address <= Z32;
thrd2intrfc_value <= Z32;
thrd2intrfc_function <= U_FUNCTION_USER_SELECT;
return_state_next <= return_state;
next_state <= current_state;
retVal_next <= retVal;
arg_next <= arg;
reg1_next <= reg1;
reg2_next <= reg2;
reg3_next <= reg3;
reg4_next <= reg4;
reg5_next <= reg5;
reg6_next <= reg6;
reg7_next <= reg7;
reg8_next <= reg8;
-----------------------------------------------------------------------
-- mutex_init_3.c
-----------------------------------------------------------------------
-- The state machine
case current_state is
when FUNCTION_RESET =>
--Set default values
thrd2intrfc_opcode <= OPCODE_NOOP;
thrd2intrfc_address <= Z32;
thrd2intrfc_value <= Z32;
thrd2intrfc_function <= U_FUNCTION_START;
-- hthread_mutex_t * mutex = (hthread_mutex_t *) arg
when FUNCTION_START =>
-- Pop the argument
thrd2intrfc_value <= Z32;
thrd2intrfc_opcode <= OPCODE_POP;
next_state <= WAIT_STATE;
return_state_next <= STATE_1;
-- hthread_mutex_init( mutex, NULL );
when STATE_1 =>
-- Push NULL
arg_next <= intrfc2thrd_value;
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= Z32;
next_state <= WAIT_STATE;
return_state_next <= STATE_2;
when STATE_2 =>
-- Push mutex
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= arg;
next_state <= WAIT_STATE;
return_state_next <= STATE_3;
when STATE_3 =>
-- Call hthread_mutex_init
thrd2intrfc_opcode <= OPCODE_CALL;
thrd2intrfc_function <= FUNCTION_HTHREAD_MUTEX_INIT;
thrd2intrfc_value <= Z32(0 to 15) & U_STATE_4;
next_state <= WAIT_STATE;
-- retVal = _mutex_owner( mutex->num );
when STATE_4 =>
-- Load the value of mutex->num
thrd2intrfc_opcode <= OPCODE_LOAD;
thrd2intrfc_address <= arg;
next_state <= WAIT_STATE;
return_state_next <= STATE_5;
when STATE_5 =>
reg1_next <= intrfc2thrd_value;
-- Call the Synch Manager to find out the owner
thrd2intrfc_opcode <= OPCODE_LOAD;
thrd2intrfc_address <= x"75030000"; -- and yes I"m cheating with the calculated address
next_state <= WAIT_STATE;
return_state_next <= STATE_6;
when STATE_6 =>
retVal_next <= intrfc2thrd_value;
next_state <= FUNCTION_EXIT;
when FUNCTION_EXIT =>
--Same as hthread_exit( (void *) retVal );
thrd2intrfc_value <= retVal;
thrd2intrfc_opcode <= OPCODE_RETURN;
next_state <= WAIT_STATE;
when WAIT_STATE =>
next_state <= return_state;
when ERROR_STATE =>
next_state <= ERROR_STATE;
when others =>
next_state <= ERROR_STATE;
end case;
end process HWTUL_STATE_MACHINE;
end architecture IMP;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/platforms/xilinx/smp1/design/pcores/plb_sync_manager_v1_00_a/hdl/vhdl/result_fsm.vhd | 11 | 3686 | -------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
use work.common.all;
entity result_fsm is
generic
(
C_AWIDTH : integer := 32;
C_DWIDTH : integer := 32;
C_TWIDTH : integer := 8;
C_MWIDTH : integer := 6;
C_CWIDTH : integer := 8
);
port
(
clk : in std_logic;
rst : in std_logic;
start : in std_logic;
finish : out std_logic;
sysrst : in std_logic;
rstdone : out std_logic;
rnw : in std_logic;
datain : in std_logic_vector(0 to C_DWIDTH-1);
data : out std_logic_vector(0 to C_DWIDTH-1)
);
end result_fsm;
architecture behavioral of result_fsm is
-- Declare signals for the results register
signal results : std_logic_vector(0 to C_DWIDTH-1) := (others => '0');
begin
-- This core resets in one clock cycle so it is always "done"
rstdone <= '1';
result_controller : process (clk,rst,sysrst,start,results,datain,rnw) is
begin
if( rising_edge(clk) ) then
data <= (others => '0');
finish <= '0';
if( rst = '1' or sysrst = '1' ) then
results <= (others => '0');
else
results <= results;
if( start = '1' ) then
if( rnw = '1' ) then
results <= datain;
else
data <= results;
end if;
finish <= '1';
end if;
end if;
end if;
end process result_controller;
end behavioral;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/platforms/xilinx/smp3_opbhwti/design/pcores/plb_sync_manager_v1_00_a/hdl/vhdl/result_fsm.vhd | 11 | 3686 | -------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
use work.common.all;
entity result_fsm is
generic
(
C_AWIDTH : integer := 32;
C_DWIDTH : integer := 32;
C_TWIDTH : integer := 8;
C_MWIDTH : integer := 6;
C_CWIDTH : integer := 8
);
port
(
clk : in std_logic;
rst : in std_logic;
start : in std_logic;
finish : out std_logic;
sysrst : in std_logic;
rstdone : out std_logic;
rnw : in std_logic;
datain : in std_logic_vector(0 to C_DWIDTH-1);
data : out std_logic_vector(0 to C_DWIDTH-1)
);
end result_fsm;
architecture behavioral of result_fsm is
-- Declare signals for the results register
signal results : std_logic_vector(0 to C_DWIDTH-1) := (others => '0');
begin
-- This core resets in one clock cycle so it is always "done"
rstdone <= '1';
result_controller : process (clk,rst,sysrst,start,results,datain,rnw) is
begin
if( rising_edge(clk) ) then
data <= (others => '0');
finish <= '0';
if( rst = '1' or sysrst = '1' ) then
results <= (others => '0');
else
results <= results;
if( start = '1' ) then
if( rnw = '1' ) then
results <= datain;
else
data <= results;
end if;
finish <= '1';
end if;
end if;
end if;
end process result_controller;
end behavioral;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/platforms/xilinx/smp3_opbhwti/design/pcores/opb_ipif_v2_00_h/hdl/vhdl/address_decoder.vhd | 3 | 24702 | -------------------------------------------------------------------------------
-- $Id: address_decoder.vhd,v 1.3 2003/05/19 05:19:19 ostlerf Exp $
-------------------------------------------------------------------------------
-- address_decoder - entity/architecture pair
-------------------------------------------------------------------------------
--
-- ****************************
-- ** Copyright Xilinx, Inc. **
-- ** All rights reserved. **
-- ****************************
--
-------------------------------------------------------------------------------
-- Filename: address_decoder.vhd
--
-- Description: Address decoder utilizing unconstrained arrays for Base
-- Address specification, target data bus size, and ce number.
--
-------------------------------------------------------------------------------
--
-- -- address_decoder.vhd
--
-------------------------------------------------------------------------------
-- Author: D. Thorpe
-- History:
-- DET 02-12-2002 -- First version
--
--
-- FLO 03-11-2002 -- Modified for use with OPB IPIF
--
-- ToDo List
-- (1) Disable CE, CS if the byte-enable pattern doesn't match the size, or
-- alternatively, generate an error.
--
--
-- FLO 05/16/2003
-- ^^^^^^
-- Fixed pselect component declaration with generic C_BAR as a constrained
-- array. The pselect instance was being tied to the pselect entity with
-- C_BAR declared as an unconstrained array. ModelSim 7.2b detected
-- this error but earlier ModelSims, Synplify and XST allow it.
-- ~~~~~~
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
--use ieee.std_logic_unsigned.CONV_INTEGER; --Used in byte count compare 2 MA2SA_Num
--use ieee.std_logic_arith.conv_std_logic_vector;
library Unisim;
use Unisim.vcomponents.all;
--use Unisim.all;
library proc_common_v1_00_b;
use proc_common_v1_00_b.proc_common_pkg.all;
use proc_common_v1_00_b.pselect;
--use proc_common_v1_00_b.or_gate;
library ipif_common_v1_00_d;
use ipif_common_v1_00_d.ipif_pkg.all;
-------------------------------------------------------------------------------
-- Port declarations
-------------------------------------------------------------------------------
entity address_decoder is
generic (
C_BUS_AWIDTH : Integer := 32;
C_USE_REG_OUTPUTS : Boolean := true;
C_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE
-- := (
-- X"1000_0000", -- IP user0 base address
-- X"1000_01FF", -- IP user0 high address
-- X"1000_0200", -- IP user1 base address
-- X"1000_02FF", -- IP user1 high address
-- X"1000_2000", -- IP user2 base address
-- X"1000_20FF", -- IP user2 high address
-- X"1000_2100", -- IPIF Interrupt base address
-- X"1000_21ff", -- IPIF Interrupt high address
-- X"1000_2200", -- IPIF Reset base address
-- X"1000_22FF", -- IPIF Reset high address
-- X"1000_2300", -- IPIF WrFIFO Registers base address
-- X"1000_23FF", -- IPIF WrFIFO Registers high address
-- X"7000_0000", -- IPIF WrFIFO Data base address
-- X"7000_00FF", -- IPIF WrFIFO Data high address
-- X"8000_0000", -- IPIF RdFIFO Registers base address
-- X"8FFF_FFFF", -- IPIF RdFIFO Registers high address
-- X"9000_0000", -- IPIF RdFIFO Data base address
-- X"9FFF_FFFF" -- IPIF RdFIFO Data high address
-- )
;
C_ARD_DWIDTH_ARRAY : INTEGER_ARRAY_TYPE
-- := (
-- 64 , -- User0 data width
-- 64 , -- User1 data width
-- 64 , -- User2 data width
-- 32 , -- IPIF Interrupt data width
-- 32 , -- IPIF Reset data width
-- 32 , -- IPIF WrFIFO Registers data width
-- 64 , -- IPIF WrFIFO Data data width
-- 32 , -- IPIF RdFIFO Registers data width
-- 64 -- IPIF RdFIFO Data width
-- )
;
C_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE
-- := (
-- 8, -- User0 CE Number
-- 1, -- User1 CE Number
-- 1, -- User2 CE Number
-- 16, -- IPIF Interrupt CE Number
-- 1, -- IPIF Reset CE Number
-- 2, -- IPIF WrFIFO Registers CE Number
-- 1, -- IPIF WrFIFO Data data CE Number
-- 2, -- IPIF RdFIFO Registers CE Number
-- 1 -- IPIF RdFIFO Data CE Number
-- )
);
port (
Bus_clk : in std_logic;
Bus_rst : in std_logic;
Address_In : in std_logic_vector(0 to C_BUS_AWIDTH-1);
Address_Valid : In std_logic;
Bus_RNW : In std_logic;
IP2Bus_RdAck_mx : In std_logic;
IP2Bus_WrAck_mx : In std_logic;
Bus2IP_Burst : In std_logic;
Addr_Match : Out std_logic;
CS_Out : Out std_logic_vector(0 to ((C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2)-1);
CS_Size : Out std_logic_vector(0 to 2);
CE_Out : out std_logic_vector(0 to calc_num_ce(C_ARD_NUM_CE_ARRAY)-1);
RdCE_Out : out std_logic_vector(0 to calc_num_ce(C_ARD_NUM_CE_ARRAY)-1);
WrCE_Out : out std_logic_vector(0 to calc_num_ce(C_ARD_NUM_CE_ARRAY)-1);
Devicesel_inh_opb : in std_logic;
Devicesel_inh_mstr : in std_logic
);
end entity address_decoder;
-------------------------------------------------------------------------------
-- Architecture section
-------------------------------------------------------------------------------
architecture IMP of address_decoder is
-- local type declarations ----------------------------------------------------
type decode_bit_array_type is Array(natural range 0 to (
(C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2)-1) of integer;
type size_array_type is Array(natural range 0 to (
(C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2)-1) of
std_logic_vector(0 to 2);
-- functions ------------------------------------------------------------------
function Addr_Bits (x,y : std_logic_vector(0 to C_BUS_AWIDTH-1))
return integer is
variable addr_nor : std_logic_vector(0 to C_BUS_AWIDTH-1);
begin
addr_nor := x xor y;
for i in 0 to C_BUS_AWIDTH-1 loop
if addr_nor(i)='1' then return i;
end if;
end loop;
return(C_BUS_AWIDTH);
end function Addr_Bits;
function Get_Addr_Bits (baseaddrs : SLV64_ARRAY_TYPE)
return decode_bit_array_type is
Variable num_bits : decode_bit_array_type;
begin
for i in 0 to ((baseaddrs'length)/2)-1 loop
num_bits(i) := Addr_Bits(
baseaddrs(i*2)( baseaddrs(0)'length-C_BUS_AWIDTH
to baseaddrs(0)'length-1
),
baseaddrs(i*2+1)( baseaddrs(0)'length-C_BUS_AWIDTH
to baseaddrs(0)'length-1
)
);
end loop;
return(num_bits);
end function Get_Addr_Bits;
function encode_size (size : integer) return std_logic_vector is
Variable enc_size : Std_logic_vector(0 to 2);
begin
Case size Is
When 8 =>
enc_size := "001";
When 16 =>
enc_size := "010";
When 32 =>
enc_size := "011";
When 64 =>
enc_size := "100";
When 128 =>
enc_size := "101";
When others =>
enc_size := "000";
End case;
return(enc_size);
end function encode_size;
--ToDo, remove
-- function bool2int(b: boolean) return integer is
-- type tab_type is array (false to true) of integer;
-- constant tab : tab_type := (false => 0, true => 1);
-- begin
-- return tab(b);
-- end bool2int;
--
--
-------------------------------------------------------------------------------
---- Function calc_start_ce_index
----
---- This function is used to process the array specifying the number of Chip
---- Enables required for a Base Address specification. The CE Size array is
---- input to the function and an integer index representing the index of the
---- target module in the ce_num_array. An integer is returned reflecting the
---- starting index of the assigned Chip Enables within the CE, RdCE, and
---- WrCE Buses.
-------------------------------------------------------------------------------
-- function calc_start_ce_index (ce_num_array : INTEGER_ARRAY_TYPE;
-- index : integer) return integer is
-- Variable ce_num_sum : integer := 0;
-- begin
-- for i in 0 to index-1 loop
-- ce_num_sum := ce_num_sum + ce_num_array(i)
-- + bool2int(ce_num_array(i)=0);
-- End loop;
-- return(ce_num_sum);
-- end function calc_start_ce_index;
--
--
-------------------------------------------------------------------------------
---- Function calc_num_ce
----
---- This function is used to process the array specifying the number of Chip
---- Enables required for a Base Address specification. The array is input to
---- the function and an integer is returned reflecting the total number of
---- Chip Enables required for the CE, RdCE, and WrCE Buses
-------------------------------------------------------------------------------
-- function calc_num_ce (ce_num_array : INTEGER_ARRAY_TYPE) return integer is
-- Variable ce_num_sum : integer := 0;
-- begin
-- for i in 0 to (ce_num_array'length)-1 loop
-- ce_num_sum := ce_num_sum + ce_num_array(i)
-- + bool2int(ce_num_array(i)=0);
-- End loop;
-- return(ce_num_sum);
-- end function calc_num_ce;
-- Components------------------------------------------------------------------
component pselect is
generic (
C_AB : integer;
C_AW : integer;
C_BAR : std_logic_vector
);
port (
A : in std_logic_vector(0 to C_AW-1);
AValid : in std_logic;
CS : out std_logic
);
end component pselect;
component or_gate is
generic (
C_OR_WIDTH : natural;
C_BUS_WIDTH : natural;
C_USE_LUT_OR : boolean
);
port (
A : in std_logic_vector(0 to C_OR_WIDTH*C_BUS_WIDTH-1);
Y : out std_logic_vector(0 to C_BUS_WIDTH-1)
);
end component or_gate;
-- constants
constant NUM_BASE_ADDRS : integer := (C_ARD_ADDR_RANGE_ARRAY'length)/2;
Constant DECODE_BITS : decode_bit_array_type := Get_Addr_Bits(C_ARD_ADDR_RANGE_ARRAY);
Constant NUM_SIZES : integer := C_ARD_DWIDTH_ARRAY'length;
Constant NUM_CE_SIGNALS : integer := calc_num_ce(C_ARD_NUM_CE_ARRAY);
-- Signals
signal CS_Out_i : std_logic_vector(0 to ((C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2)-1);
signal CE_Out_i : std_logic_vector(0 to NUM_CE_SIGNALS-1);
signal CS_Size_i : std_logic_vector(0 to 2);
signal CS_Size_array : size_array_type;
Signal size_or_bus : std_logic_vector(0 to (3*NUM_SIZES)-1);
Signal decode_hit : std_logic_vector(0 to 0);
------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Begin architecture
-------------------------------------------------------------------------------
begin -- architecture IMP
-----------------------------------------------------------------------------
-- Universal Address Decode Block
-----------------------------------------------------------------------------
MEM_DECODE_GEN: for bar_index in 0 to NUM_BASE_ADDRS-1 generate
begin
-- Instantiate the basic Base Address Decoders
MEM_SELECT_I: pselect
generic map (
C_AB => DECODE_BITS(bar_index),
C_AW => C_BUS_AWIDTH,
C_BAR => C_ARD_ADDR_RANGE_ARRAY(bar_index*2)
( C_ARD_ADDR_RANGE_ARRAY(0)'length-C_BUS_AWIDTH
to C_ARD_ADDR_RANGE_ARRAY(0)'length-1
)
)
port map (
A => Address_In, -- [in]
AValid => Address_Valid, -- [in]
CS => CS_Out_i(bar_index) -- [out]
);
-- Generate the size outputs
Assign_size : process (CS_Out_i(bar_index))
Begin
If (CS_Out_i(bar_index) = '1') Then
CS_Size_array(bar_index) <= encode_size(C_ARD_DWIDTH_ARRAY(bar_index));
else
CS_Size_array(bar_index) <= (others => '0');
End if;
End process; -- assign_size
-------------------------------------------------------------------------
-- Now expand the individual chip enables for each base address.
-------------------------------------------------------------------------
DECODE_REGBITS: for ce_index in
0 to C_ARD_NUM_CE_ARRAY(bar_index)
- 1
generate
Constant NEXT_CE_INDEX_START : integer := calc_start_ce_index(C_ARD_NUM_CE_ARRAY,bar_index);
constant CE_DECODE_ADDR_SIZE : Integer range 0 to 15 := log2(C_ARD_NUM_CE_ARRAY(bar_index));
begin
---------------------------------------------------------------------
-- There is only one CE required so just use the output of the
-- pselect as the CE.
---------------------------------------------------------------------
CE_IS_CS : if (CE_DECODE_ADDR_SIZE = 0) generate
Constant ARRAY_INDEX : integer := ce_index;
Constant BASEADDR_INDEX : integer := bar_index;
begin
CE_Out_i(NEXT_CE_INDEX_START+ARRAY_INDEX) <= CS_Out_i(BASEADDR_INDEX);
end generate CE_IS_CS;
---------------------------------------------------------------------
-- Multiple CEs are required so expand and decode as needed by the
-- specified number of CEs.
---------------------------------------------------------------------
CE_EXPAND : if (CE_DECODE_ADDR_SIZE > 0) generate
Constant ARRAY_INDEX : integer := ce_index;
Constant BASEADDR_INDEX : integer := bar_index;
constant CE_DECODE_SKIP_BITS : Integer range 0 to 8 := log2(C_ARD_DWIDTH_ARRAY(BASEADDR_INDEX)/8);
constant CE_ADDR_WIDTH : Integer range 0 to 31 := CE_DECODE_ADDR_SIZE + CE_DECODE_SKIP_BITS;
constant ADDR_START_INDEX : integer range 0 to 31 := C_BUS_AWIDTH-CE_ADDR_WIDTH;
constant ADDR_END_INDEX : integer range 0 to 31 := C_BUS_AWIDTH-CE_DECODE_SKIP_BITS-1;
Signal compare_address : std_logic_vector(0 to CE_DECODE_ADDR_SIZE-1);
begin
INDIVIDUAL_CE_GEN : process (Address_In, CS_Out_i(BASEADDR_INDEX), compare_address)
Begin
compare_address <= Address_In(ADDR_START_INDEX to ADDR_END_INDEX);
if compare_address = ARRAY_INDEX then
CE_Out_i(NEXT_CE_INDEX_START+ARRAY_INDEX) <= CS_Out_i(BASEADDR_INDEX);
else
CE_Out_i(NEXT_CE_INDEX_START+ARRAY_INDEX) <= '0';
end if;
End process INDIVIDUAL_CE_GEN;
end generate CE_EXPAND;
end generate DECODE_REGBITS;
end generate MEM_DECODE_GEN;
OR_CS_Size : process (CS_Size_array)
Begin
for i in 0 to NUM_SIZES-1 loop
size_or_bus(3*i to 3*i+2) <= CS_Size_array(i);
End loop;
End process; -- OR_CS_SIZE
I_OR_SIZES : or_gate
generic map(
C_OR_WIDTH => NUM_SIZES,
C_BUS_WIDTH => 3,
C_USE_LUT_OR => TRUE
)
port map(
A => size_or_bus,
Y => CS_Size_i
);
I_OR_CS : or_gate
generic map(
C_OR_WIDTH => NUM_BASE_ADDRS,
C_BUS_WIDTH => 1,
C_USE_LUT_OR => TRUE
)
port map(
A => CS_Out_i,
Y => decode_hit
);
-------------------------------------------------------------------------------
-- end of decoder block
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Non-Registered Outputs Selection
-------------------------------------------------------------------------------
NOREG_OUTPUTS : if (C_USE_REG_OUTPUTS = False) generate
-- Assign output signals to combinational signals
Addr_Match <= decode_hit(0) ;
CS_Out <= CS_Out_i ;
CS_Size <= CS_Size_i ;
CE_Out <= CE_Out_i ;
SET_NOREG_RW_CE : process (CE_Out_i, Bus_RNW)
Begin
for i in 0 to NUM_CE_SIGNALS-1 loop
RdCE_Out(i) <= CE_Out_i(i) and Bus_RNW;
WrCE_Out(i) <= CE_Out_i(i) and not(Bus_RNW);
End loop;
End process; -- SET_NOREG_RW_CE
end generate NOREG_OUTPUTS;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- PLB REQUIRED REGISTERING
-------------------------------------------------------------------------------
-- The following logic is required by the PLB. It latches and holds the
-- signals necesary for the completion of the data phase of a PLB access.
-- It also generates the correct RdCE and WrCE timing for interfacing to
-- legacy OPB modules
-------------------------------------------------------------------------------
REGISTER_OUTPUTS : if (C_USE_REG_OUTPUTS = True) generate
signal CS_Out_i_reg : std_logic_vector(0 to ((C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2)-1);
signal CE_Out_i_reg : std_logic_vector(0 to NUM_CE_SIGNALS-1);
signal RdCE_Out_i_reg : std_logic_vector(0 to NUM_CE_SIGNALS-1);
signal WrCE_Out_i_reg : std_logic_vector(0 to NUM_CE_SIGNALS-1);
signal CS_Size_i_reg : std_logic_vector(0 to 2);
Signal decode_hit_reg : std_logic;
signal ff_reset : std_logic;
signal rdce_reset : std_logic;
signal wrce_reset : std_logic;
begin
ff_reset <= '1' when (Bus2IP_Burst = '0' and ( IP2Bus_WrAck_mx = '1'
or IP2Bus_RdAck_mx = '1'
)
)
or Bus_rst = '1' or
(Devicesel_inh_opb or Devicesel_inh_mstr) = '1'
else '0' ;
rdce_reset <= '1' when (Bus2IP_Burst = '0' and IP2Bus_RdAck_mx = '1')
or Bus_rst = '1'
or Bus_RNW = '0' or
(Devicesel_inh_opb or Devicesel_inh_mstr) = '1' else '0';
wrce_reset <= '1' when (Bus2IP_Burst = '0' and IP2Bus_WrAck_mx = '1')
or Bus_rst = '1'
or Bus_RNW = '1' or
(Devicesel_inh_opb or Devicesel_inh_mstr) = '1'
else '0';
REGCS_GEN: for i in 0 to ((C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2)-1 generate
REGCS_FF_I: FDR
port map (
Q => CS_Out_i_reg(i),
C => Bus_clk,
D => CS_Out_i(i),
R => ff_reset
);
end generate REGCS_GEN;
REGCS_SIZE_GEN: for i in 0 to 2 generate
REGCS_SIZE_FF_I: FDR
port map (
D => CS_Size_i(i),
C => Bus_clk,
Q => CS_Size_i_reg(i),
R => ff_reset
);
end generate REGCS_SIZE_GEN;
REGCE_GEN: for i in 0 to NUM_CE_SIGNALS-1 generate
REGCE_FF_I: FDR
port map (
D => CE_Out_i(i),
C => Bus_clk,
Q => CE_Out_i_reg(i),
R => ff_reset
);
REGRDCE_FF_I: FDR
port map (
D => CE_Out_i(i),
C => Bus_clk,
Q => RdCE_Out_i_reg(i),
R => rdce_reset
);
REGWRCE_FF_I: FDR
port map (
D => CE_Out_i(i),
C => Bus_clk,
Q => WrCE_Out_i_reg(i),
R => wrce_reset
);
end generate REGCE_GEN;
-- -- Register the CS and CE signals
-- REGCS_PROCESS: process(Bus_clk)
-- begin
--
-- if (Bus_clk'event and Bus_clk='1') then
-- if (Bus2IP_Burst = '0' and ( IP2Bus_WrAck_mx = '1'
-- or IP2Bus_RdAck_mx = '1'
-- )
-- )
-- or Bus_rst = '1'
-- then
-- CS_Out_i_reg <= (others => '0');
-- CS_Size_i_reg <= (others => '0');
-- CE_Out_i_reg <= (others => '0');
-- else
-- CS_Out_i_reg <= CS_Out_i;
-- CS_Size_i_reg <= CS_Size_i;
-- CE_Out_i_reg <= CE_Out_i;
-- end if;
-- end if;
--
-- if (Bus_clk'event and Bus_clk='1') then
-- if (Bus2IP_Burst = '0' and IP2Bus_RdAck_mx = '1')
-- or Bus_rst = '1'
-- or Bus_RNW = '0'
-- then
-- RdCE_Out_i_reg <= (others => '0');
-- else
-- RdCE_Out_i_reg <= CE_Out_i;
-- end if;
-- end if;
--
-- if (Bus_clk'event and Bus_clk='1') then
-- if (Bus2IP_Burst = '0' and IP2Bus_WrAck_mx = '1')
-- or Bus_rst = '1'
-- or Bus_RNW = '1'
-- then
-- WrCE_Out_i_reg <= (others => '0');
-- else
-- WrCE_Out_i_reg <= CE_Out_i;
-- end if;
-- end if;
--
-- end process;
-- Register the Decode hit signal
REG_DECODE_HIT : process (Bus_clk)
Begin
if (Bus_clk'event and Bus_clk='1') then
if Bus_rst = '1' then
decode_hit_reg <= '0';
else
decode_hit_reg <= decode_hit(0);
end if;
end if;
End process; -- REG_DECODE_HIT
-- Assign output signals to registered signals
Addr_Match <= decode_hit_reg ;
CS_Out <= CS_Out_i_reg ;
CS_Size <= CS_Size_i_reg ;
CE_Out <= CE_Out_i_reg ;
RdCE_Out <= RdCE_Out_i_reg ;
WrCE_Out <= WrCE_Out_i_reg ;
end generate REGISTER_OUTPUTS;
-------------------------------------------------------------------------------
end architecture IMP;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/platforms/xilinx/smp1/design/pcores/plb_hthreads_timer_v1_00_a/hdl/vhdl/user_logic.vhd | 9 | 9363 | ------------------------------------------------------------------------------
-- user_logic.vhd - entity/architecture pair
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: user_logic.vhd
-- Version: 1.00.a
-- Description: User logic.
-- Date: Mon Jun 29 12:13:20 2009 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
-- DO NOT EDIT BELOW THIS LINE --------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
-- DO NOT EDIT ABOVE THIS LINE --------------------
--USER libraries added here
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SLV_DWIDTH -- Slave interface data bus width
-- C_NUM_REG -- Number of software accessible registers
--
-- Definition of Ports:
-- Bus2IP_Clk -- Bus to IP clock
-- Bus2IP_Reset -- Bus to IP reset
-- Bus2IP_Addr -- Bus to IP address bus
-- Bus2IP_Data -- Bus to IP data bus
-- Bus2IP_BE -- Bus to IP byte enables
-- Bus2IP_RdCE -- Bus to IP read chip enable
-- Bus2IP_WrCE -- Bus to IP write chip enable
-- IP2Bus_Data -- IP to Bus data bus
-- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement
-- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement
-- IP2Bus_Error -- IP to Bus error response
------------------------------------------------------------------------------
entity user_logic is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_SLV_DWIDTH : integer := 32;
C_NUM_REG : integer := 2
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to 31);
Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1);
Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1);
Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1);
IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1);
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
IP2Bus_Error : out std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute SIGIS : string;
attribute SIGIS of Bus2IP_Clk : signal is "CLK";
attribute SIGIS of Bus2IP_Reset : signal is "RST";
end entity user_logic;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of user_logic is
--USER signal declarations added here, as needed for user logic
------------------------------------------
-- Signals for user logic slave model s/w accessible register example
------------------------------------------
signal slv_counter_reg : std_logic_vector(0 to 2*C_SLV_DWIDTH-1);
signal slv_reg_read_sel : std_logic_vector(0 to 1);
signal slv_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_read_ack : std_logic;
signal slv_write_ack : std_logic;
begin
--USER logic implementation added here
------------------------------------------
-- Example code to read/write user logic slave model s/w accessible registers
--
-- Note:
-- The example code presented here is to show you one way of reading/writing
-- software accessible registers implemented in the user logic slave model.
-- Each bit of the Bus2IP_WrCE/Bus2IP_RdCE signals is configured to correspond
-- to one software accessible register by the top level template. For example,
-- if you have four 32 bit software accessible registers in the user logic,
-- you are basically operating on the following memory mapped registers:
--
-- Bus2IP_WrCE/Bus2IP_RdCE Memory Mapped Register
-- "1000" C_BASEADDR + 0x0
-- "0100" C_BASEADDR + 0x4
-- "0010" C_BASEADDR + 0x8
-- "0001" C_BASEADDR + 0xC
--
------------------------------------------
slv_reg_read_sel <= Bus2IP_RdCE(0 to 1);
slv_write_ack <= Bus2IP_WrCE(0) or Bus2IP_WrCE(1);
slv_read_ack <= Bus2IP_RdCE(0) or Bus2IP_RdCE(1);
-- implement slave model software accessible register(s) and counter
SLAVE_REG_WRITE_PROC : process( Bus2IP_Clk ) is
begin
if Bus2IP_Clk'event and Bus2IP_Clk = '1' then
if Bus2IP_Reset = '1' then
slv_counter_reg <= (others => '0');
else
slv_counter_reg <= slv_counter_reg + 1;
end if;
end if;
end process SLAVE_REG_WRITE_PROC;
-- implement slave model software accessible register(s) read mux
SLAVE_REG_READ_PROC : process( slv_reg_read_sel, slv_counter_reg ) is
begin
case slv_reg_read_sel is
when "10" => slv_ip2bus_data <= slv_counter_reg(0 to C_SLV_DWIDTH-1); -- Upper bits
when "01" => slv_ip2bus_data <= slv_counter_reg(C_SLV_DWIDTH to 2*C_SLV_DWIDTH-1); -- Lower bits
when others => slv_ip2bus_data <= (others => '0');
end case;
end process SLAVE_REG_READ_PROC;
------------------------------------------
-- Example code to drive IP to Bus signals
------------------------------------------
IP2Bus_Data <= slv_ip2bus_data when slv_read_ack = '1' else
(others => '0');
IP2Bus_WrAck <= slv_write_ack;
IP2Bus_RdAck <= slv_read_ack;
IP2Bus_Error <= '0';
end IMP;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/platforms/xilinx/smp3_opbhwti/design/pcores/plb_hthreads_timer_v1_00_a/hdl/vhdl/user_logic.vhd | 9 | 9363 | ------------------------------------------------------------------------------
-- user_logic.vhd - entity/architecture pair
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: user_logic.vhd
-- Version: 1.00.a
-- Description: User logic.
-- Date: Mon Jun 29 12:13:20 2009 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
-- DO NOT EDIT BELOW THIS LINE --------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
-- DO NOT EDIT ABOVE THIS LINE --------------------
--USER libraries added here
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SLV_DWIDTH -- Slave interface data bus width
-- C_NUM_REG -- Number of software accessible registers
--
-- Definition of Ports:
-- Bus2IP_Clk -- Bus to IP clock
-- Bus2IP_Reset -- Bus to IP reset
-- Bus2IP_Addr -- Bus to IP address bus
-- Bus2IP_Data -- Bus to IP data bus
-- Bus2IP_BE -- Bus to IP byte enables
-- Bus2IP_RdCE -- Bus to IP read chip enable
-- Bus2IP_WrCE -- Bus to IP write chip enable
-- IP2Bus_Data -- IP to Bus data bus
-- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement
-- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement
-- IP2Bus_Error -- IP to Bus error response
------------------------------------------------------------------------------
entity user_logic is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_SLV_DWIDTH : integer := 32;
C_NUM_REG : integer := 2
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to 31);
Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1);
Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1);
Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1);
IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1);
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
IP2Bus_Error : out std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute SIGIS : string;
attribute SIGIS of Bus2IP_Clk : signal is "CLK";
attribute SIGIS of Bus2IP_Reset : signal is "RST";
end entity user_logic;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of user_logic is
--USER signal declarations added here, as needed for user logic
------------------------------------------
-- Signals for user logic slave model s/w accessible register example
------------------------------------------
signal slv_counter_reg : std_logic_vector(0 to 2*C_SLV_DWIDTH-1);
signal slv_reg_read_sel : std_logic_vector(0 to 1);
signal slv_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_read_ack : std_logic;
signal slv_write_ack : std_logic;
begin
--USER logic implementation added here
------------------------------------------
-- Example code to read/write user logic slave model s/w accessible registers
--
-- Note:
-- The example code presented here is to show you one way of reading/writing
-- software accessible registers implemented in the user logic slave model.
-- Each bit of the Bus2IP_WrCE/Bus2IP_RdCE signals is configured to correspond
-- to one software accessible register by the top level template. For example,
-- if you have four 32 bit software accessible registers in the user logic,
-- you are basically operating on the following memory mapped registers:
--
-- Bus2IP_WrCE/Bus2IP_RdCE Memory Mapped Register
-- "1000" C_BASEADDR + 0x0
-- "0100" C_BASEADDR + 0x4
-- "0010" C_BASEADDR + 0x8
-- "0001" C_BASEADDR + 0xC
--
------------------------------------------
slv_reg_read_sel <= Bus2IP_RdCE(0 to 1);
slv_write_ack <= Bus2IP_WrCE(0) or Bus2IP_WrCE(1);
slv_read_ack <= Bus2IP_RdCE(0) or Bus2IP_RdCE(1);
-- implement slave model software accessible register(s) and counter
SLAVE_REG_WRITE_PROC : process( Bus2IP_Clk ) is
begin
if Bus2IP_Clk'event and Bus2IP_Clk = '1' then
if Bus2IP_Reset = '1' then
slv_counter_reg <= (others => '0');
else
slv_counter_reg <= slv_counter_reg + 1;
end if;
end if;
end process SLAVE_REG_WRITE_PROC;
-- implement slave model software accessible register(s) read mux
SLAVE_REG_READ_PROC : process( slv_reg_read_sel, slv_counter_reg ) is
begin
case slv_reg_read_sel is
when "10" => slv_ip2bus_data <= slv_counter_reg(0 to C_SLV_DWIDTH-1); -- Upper bits
when "01" => slv_ip2bus_data <= slv_counter_reg(C_SLV_DWIDTH to 2*C_SLV_DWIDTH-1); -- Lower bits
when others => slv_ip2bus_data <= (others => '0');
end case;
end process SLAVE_REG_READ_PROC;
------------------------------------------
-- Example code to drive IP to Bus signals
------------------------------------------
IP2Bus_Data <= slv_ip2bus_data when slv_read_ack = '1' else
(others => '0');
IP2Bus_WrAck <= slv_write_ack;
IP2Bus_RdAck <= slv_read_ack;
IP2Bus_Error <= '0';
end IMP;
| bsd-3-clause |
jevinskie/aes-over-pcie | source/experiment/bus_test.vhd | 1 | 1243 | -- File name: bus_test.vhd
-- Created: 2009-02-25
-- Author: Jevin Sweval
-- Lab Section: 337-02
-- Version: 1.0 Initial Design Entry
-- Description: block for testing bus stuff
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity bus_test is
port (
clk : in std_logic;
nrst : in std_logic;
b : out unsigned(7 downto 0)
);
end bus_test;
architecture behavioral of bus_test is
signal n : unsigned(2 downto 0);
begin
process(clk, nrst)
begin
if (nrst='0') then
n <= (others => '0');
elsif (rising_edge(clk)) then
n <= n + 1;
end if;
end process;
process(n)
begin
case n is
when "001" => b <= to_unsigned(2, 8);
when others => b <= (others => 'Z');
end case;
end process;
process(n)
begin
case n is
when "011" => b <= to_unsigned(4, 8);
when others => b <= (others => 'Z');
end case;
end process;
process(n)
begin
case n is
when "001" => b <= (others => 'Z');
when "011" => b <= (others => 'Z');
when others => b <= to_unsigned(7, 8);
end case;
end process;
end behavioral;
| bsd-3-clause |
EnricoGiordano1992/resim-simulating-partial-reconfiguration | examples/state_migration/edk/pcores/ipif_v1_00_a/hdl/vhdl/plbv46_master_burst_wrapper_128.vhd | 3 | 19674 | ------------------------------------------------------------------------------
-- plbv46_master_burst_wrapper.vhd - entity/architecture pair
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
use proc_common_v3_00_a.ipif_pkg.all;
library plbv46_master_burst_v1_01_a;
use plbv46_master_burst_v1_01_a.plbv46_master_burst;
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SPLB_AWIDTH -- PLBv46 slave: address bus width
-- C_SPLB_DWIDTH -- PLBv46 slave: data bus width
-- C_SPLB_NUM_MASTERS -- PLBv46 slave: Number of masters
-- C_SPLB_MID_WIDTH -- PLBv46 slave: master ID bus width
-- C_SPLB_NATIVE_DWIDTH -- PLBv46 slave: internal native data bus width
-- C_SPLB_P2P -- PLBv46 slave: point to point interconnect scheme
-- C_SPLB_SUPPORT_BURSTS -- PLBv46 slave: support bursts
-- C_SPLB_SMALLEST_MASTER -- PLBv46 slave: width of the smallest master
-- C_SPLB_CLK_PERIOD_PS -- PLBv46 slave: bus clock in picoseconds
-- C_INCLUDE_DPHASE_TIMER -- PLBv46 slave: Data Phase Timer configuration; 0 = exclude timer, 1 = include timer
-- C_FAMILY -- Xilinx FPGA family
-- C_MPLB_AWIDTH -- PLBv46 master: address bus width
-- C_MPLB_DWIDTH -- PLBv46 master: data bus width
-- C_MPLB_NATIVE_DWIDTH -- PLBv46 master: internal native data width
-- C_MPLB_P2P -- PLBv46 master: point to point interconnect scheme
-- C_MPLB_SMALLEST_SLAVE -- PLBv46 master: width of the smallest slave
-- C_MPLB_CLK_PERIOD_PS -- PLBv46 master: bus clock in picoseconds
--
-- Definition of Ports:
-- SPLB_Clk -- PLB main bus clock
-- SPLB_Rst -- PLB main bus reset
-- PLB_ABus -- PLB address bus
-- PLB_UABus -- PLB upper address bus
-- PLB_PAValid -- PLB primary address valid indicator
-- PLB_SAValid -- PLB secondary address valid indicator
-- PLB_rdPrim -- PLB secondary to primary read request indicator
-- PLB_wrPrim -- PLB secondary to primary write request indicator
-- PLB_masterID -- PLB current master identifier
-- PLB_abort -- PLB abort request indicator
-- PLB_busLock -- PLB bus lock
-- PLB_RNW -- PLB read/not write
-- PLB_BE -- PLB byte enables
-- PLB_MSize -- PLB master data bus size
-- PLB_size -- PLB transfer size
-- PLB_type -- PLB transfer type
-- PLB_lockErr -- PLB lock error indicator
-- PLB_wrDBus -- PLB write data bus
-- PLB_wrBurst -- PLB burst write transfer indicator
-- PLB_rdBurst -- PLB burst read transfer indicator
-- PLB_wrPendReq -- PLB write pending bus request indicator
-- PLB_rdPendReq -- PLB read pending bus request indicator
-- PLB_wrPendPri -- PLB write pending request priority
-- PLB_rdPendPri -- PLB read pending request priority
-- PLB_reqPri -- PLB current request priority
-- PLB_TAttribute -- PLB transfer attribute
-- Sl_addrAck -- Slave address acknowledge
-- Sl_SSize -- Slave data bus size
-- Sl_wait -- Slave wait indicator
-- Sl_rearbitrate -- Slave re-arbitrate bus indicator
-- Sl_wrDAck -- Slave write data acknowledge
-- Sl_wrComp -- Slave write transfer complete indicator
-- Sl_wrBTerm -- Slave terminate write burst transfer
-- Sl_rdDBus -- Slave read data bus
-- Sl_rdWdAddr -- Slave read word address
-- Sl_rdDAck -- Slave read data acknowledge
-- Sl_rdComp -- Slave read transfer complete indicator
-- Sl_rdBTerm -- Slave terminate read burst transfer
-- Sl_MBusy -- Slave busy indicator
-- Sl_MWrErr -- Slave write error indicator
-- Sl_MRdErr -- Slave read error indicator
-- Sl_MIRQ -- Slave interrupt indicator
-- MPLB_Clk -- PLB main bus Clock
-- MPLB_Rst -- PLB main bus Reset
-- MD_error -- Master detected error status output
-- M_request -- Master request
-- M_priority -- Master request priority
-- M_busLock -- Master buslock
-- M_RNW -- Master read/nor write
-- M_BE -- Master byte enables
-- M_MSize -- Master data bus size
-- M_size -- Master transfer size
-- M_type -- Master transfer type
-- M_TAttribute -- Master transfer attribute
-- M_lockErr -- Master lock error indicator
-- M_abort -- Master abort bus request indicator
-- M_UABus -- Master upper address bus
-- M_ABus -- Master address bus
-- M_wrDBus -- Master write data bus
-- M_wrBurst -- Master burst write transfer indicator
-- M_rdBurst -- Master burst read transfer indicator
-- PLB_MAddrAck -- PLB reply to master for address acknowledge
-- PLB_MSSize -- PLB reply to master for slave data bus size
-- PLB_MRearbitrate -- PLB reply to master for bus re-arbitrate indicator
-- PLB_MTimeout -- PLB reply to master for bus time out indicator
-- PLB_MBusy -- PLB reply to master for slave busy indicator
-- PLB_MRdErr -- PLB reply to master for slave read error indicator
-- PLB_MWrErr -- PLB reply to master for slave write error indicator
-- PLB_MIRQ -- PLB reply to master for slave interrupt indicator
-- PLB_MRdDBus -- PLB reply to master for read data bus
-- PLB_MRdWdAddr -- PLB reply to master for read word address
-- PLB_MRdDAck -- PLB reply to master for read data acknowledge
-- PLB_MRdBTerm -- PLB reply to master for terminate read burst indicator
-- PLB_MWrDAck -- PLB reply to master for write data acknowledge
-- PLB_MWrBTerm -- PLB reply to master for terminate write burst indicator
------------------------------------------------------------------------------
entity plbv46_master_burst_wrapper is
generic
(
-- DO NOT EDIT BELOW THIS LINE ---------------------
C_MPLB_AWIDTH : integer := 32;
C_MPLB_DWIDTH : integer := 128;
C_MPLB_NATIVE_DWIDTH : integer := 128;
C_MPLB_SMALLEST_SLAVE : integer := 32;
C_INHIBIT_CC_BLE_INCLUSION : integer := 0;
C_FAMILY : string := "virtex5"
-- DO NOT EDIT ABOVE THIS LINE ---------------------
-- ADD USER GENERICS BELOW THIS LINE ---------------
-- ADD USER GENERICS ABOVE THIS LINE ---------------
);
port
(
-- DO NOT EDIT BELOW THIS LINE ---------------------
MPLB_Clk : in std_logic ;
MPLB_Rst : in std_logic ;
MD_error : out std_logic;
M_request : out std_logic;
M_priority : out std_logic_vector(0 to 1);
M_busLock : out std_logic;
M_RNW : out std_logic;
M_BE : out std_logic_vector(0 to (C_MPLB_DWIDTH/8) - 1);
M_MSize : out std_logic_vector(0 to 1);
M_size : out std_logic_vector(0 to 3);
M_type : out std_logic_vector(0 to 2);
M_TAttribute : out std_logic_vector(0 to 15);
M_abort : out std_logic;
M_lockErr : out std_logic;
M_ABus : out std_logic_vector(0 to 31);
M_UABus : out std_logic_vector(0 to 31);
M_wrBurst : out std_logic;
M_rdBurst : out std_logic;
M_wrDBus : out std_logic_vector(0 to C_MPLB_DWIDTH-1);
PLB_MAddrAck : in std_logic;
PLB_MSSize : in std_logic_vector(0 to 1);
PLB_MRearbitrate : in std_logic;
PLB_MTimeout : in std_logic;
PLB_MBusy : in std_logic;
PLB_MRdErr : in std_logic;
PLB_MWrErr : in std_logic;
PLB_MIRQ : in std_logic;
PLB_MRdDBus : in std_logic_vector(0 to C_MPLB_DWIDTH-1);
PLB_MRdWdAddr : in std_logic_vector(0 to 3);
PLB_MRdDAck : in std_logic;
PLB_MRdBTerm : in std_logic;
PLB_MWrDAck : in std_logic;
PLB_MWrBTerm : in std_logic;
-- DO NOT EDIT ABOVE THIS LINE ---------------------
-- ADD USER PORTS BELOW THIS LINE ------------------
Bus2IP_Mst_Clk : out std_logic;
Bus2IP_Mst_Reset : out std_logic;
IP2Bus_MstRd_Req : in std_logic;
IP2Bus_MstWr_Req : in std_logic;
IP2Bus_Mst_Addr : in std_logic_vector(0 to C_MPLB_AWIDTH-1);
IP2Bus_Mst_Length : in std_logic_vector(0 to 11);
IP2Bus_Mst_BE : in std_logic_vector(0 to 128/8 -1);
IP2Bus_Mst_Type : in std_logic;
IP2Bus_Mst_Lock : in std_logic;
IP2Bus_Mst_Reset : in std_logic;
Bus2IP_Mst_CmdAck : out std_logic;
Bus2IP_Mst_Cmplt : out std_logic;
Bus2IP_Mst_Error : out std_logic;
Bus2IP_Mst_Rearbitrate : out std_logic;
Bus2IP_Mst_Cmd_Timeout : out std_logic;
Bus2IP_MstRd_d : out std_logic_vector(0 to 128-1);
Bus2IP_MstRd_rem : out std_logic_vector(0 to 128/8-1);
Bus2IP_MstRd_sof_n : out std_logic;
Bus2IP_MstRd_eof_n : out std_logic;
Bus2IP_MstRd_src_rdy_n : out std_logic;
Bus2IP_MstRd_src_dsc_n : out std_logic;
IP2Bus_MstRd_dst_rdy_n : in std_logic;
IP2Bus_MstRd_dst_dsc_n : in std_logic;
IP2Bus_MstWr_d : in std_logic_vector(0 to 128-1);
IP2Bus_MstWr_rem : in std_logic_vector(0 to 128/8-1);
IP2Bus_MstWr_sof_n : in std_logic;
IP2Bus_MstWr_eof_n : in std_logic;
IP2Bus_MstWr_src_rdy_n : in std_logic;
IP2Bus_MstWr_src_dsc_n : in std_logic;
Bus2IP_MstWr_dst_rdy_n : out std_logic;
Bus2IP_MstWr_dst_dsc_n : out std_logic
-- ADD USER PORTS ABOVE THIS LINE ------------------
);
end entity plbv46_master_burst_wrapper;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of plbv46_master_burst_wrapper is
constant PADDING_ZEROS : std_logic_vector(0 to 127) := (others => '0');
------------------------------------------
-- IP Interconnect (IPIC) signal declarations
------------------------------------------
-- NOT USED: signal ipif_IP2Bus_MstRd_Req : std_logic;
-- NOT USED: signal ipif_IP2Bus_MstWr_Req : std_logic;
-- NOT USED: signal ipif_IP2Bus_Mst_Addr : std_logic_vector(0 to C_MPLB_AWIDTH-1);
-- NOT USED: signal ipif_IP2Bus_Mst_Length : std_logic_vector(0 to 11);
-- NOT USED: signal ipif_IP2Bus_Mst_Type : std_logic;
-- NOT USED: signal ipif_IP2Bus_Mst_Lock : std_logic;
-- NOT USED: signal ipif_IP2Bus_Mst_Reset : std_logic;
-- NOT USED: signal ipif_Bus2IP_Mst_CmdAck : std_logic;
-- NOT USED: signal ipif_Bus2IP_Mst_Cmplt : std_logic;
-- NOT USED: signal ipif_Bus2IP_Mst_Error : std_logic;
-- NOT USED: signal ipif_Bus2IP_Mst_Rearbitrate : std_logic;
-- NOT USED: signal ipif_Bus2IP_Mst_Cmd_Timeout : std_logic;
-- NOT USED: signal ipif_Bus2IP_MstRd_sof_n : std_logic;
-- NOT USED: signal ipif_Bus2IP_MstRd_eof_n : std_logic;
-- NOT USED: signal ipif_Bus2IP_MstRd_src_rdy_n : std_logic;
-- NOT USED: signal ipif_Bus2IP_MstRd_src_dsc_n : std_logic;
-- NOT USED: signal ipif_IP2Bus_MstRd_dst_rdy_n : std_logic;
-- NOT USED: signal ipif_IP2Bus_MstRd_dst_dsc_n : std_logic;
-- NOT USED: signal ipif_IP2Bus_MstWr_sof_n : std_logic;
-- NOT USED: signal ipif_IP2Bus_MstWr_eof_n : std_logic;
-- NOT USED: signal ipif_IP2Bus_MstWr_src_rdy_n : std_logic;
-- NOT USED: signal ipif_IP2Bus_MstWr_src_dsc_n : std_logic;
-- NOT USED: signal ipif_Bus2IP_MstWr_dst_rdy_n : std_logic;
-- NOT USED: signal ipif_Bus2IP_MstWr_dst_dsc_n : std_logic;
--
-- BITWIDTH ADAPTION:
--
-- Bitwidth of plbv46_master_burst is variable depending on the C_SPLB_DWIDTH/C_SPLB_NATIVE_DWIDTH
-- Bitwidth of plbv46_master_burst_wrapper_128 is tuned for 128bit systemc modules
--
-- The following signals may have different bitwidth between
-- plbv46_master_burst and plbv46_master_burst_wrapper_128. And MSBs of them may not be connected
--
signal ipif_IP2Bus_Mst_BE : std_logic_vector(0 to C_MPLB_NATIVE_DWIDTH/8-1);
signal ipif_Bus2IP_MstRd_d : std_logic_vector(0 to C_MPLB_NATIVE_DWIDTH-1);
signal ipif_Bus2IP_MstRd_rem : std_logic_vector(0 to C_MPLB_NATIVE_DWIDTH/8-1);
signal ipif_IP2Bus_MstWr_d : std_logic_vector(0 to C_MPLB_NATIVE_DWIDTH-1);
signal ipif_IP2Bus_MstWr_rem : std_logic_vector(0 to C_MPLB_NATIVE_DWIDTH/8-1);
begin
------------------------------------------
-- instantiate plbv46_master_burst
------------------------------------------
PLBV46_MASTER_BURST_I : entity plbv46_master_burst_v1_01_a.plbv46_master_burst
generic map
(
C_MPLB_AWIDTH => C_MPLB_AWIDTH,
C_MPLB_DWIDTH => C_MPLB_DWIDTH,
C_MPLB_NATIVE_DWIDTH => C_MPLB_NATIVE_DWIDTH,
C_MPLB_SMALLEST_SLAVE => C_MPLB_SMALLEST_SLAVE,
C_INHIBIT_CC_BLE_INCLUSION => C_INHIBIT_CC_BLE_INCLUSION,
C_FAMILY => C_FAMILY
)
port map
(
MPLB_Clk => MPLB_Clk,
MPLB_Rst => MPLB_Rst,
MD_error => MD_error,
M_request => M_request,
M_priority => M_priority,
M_busLock => M_busLock,
M_RNW => M_RNW,
M_BE => M_BE,
M_MSize => M_MSize,
M_size => M_size,
M_type => M_type,
M_TAttribute => M_TAttribute,
M_lockErr => M_lockErr,
M_abort => M_abort,
M_UABus => M_UABus,
M_ABus => M_ABus,
M_wrDBus => M_wrDBus,
M_wrBurst => M_wrBurst,
M_rdBurst => M_rdBurst,
PLB_MAddrAck => PLB_MAddrAck,
PLB_MSSize => PLB_MSSize,
PLB_MRearbitrate => PLB_MRearbitrate,
PLB_MTimeout => PLB_MTimeout,
PLB_MBusy => PLB_MBusy,
PLB_MRdErr => PLB_MRdErr,
PLB_MWrErr => PLB_MWrErr,
PLB_MIRQ => PLB_MIRQ,
PLB_MRdDBus => PLB_MRdDBus,
PLB_MRdWdAddr => PLB_MRdWdAddr,
PLB_MRdDAck => PLB_MRdDAck,
PLB_MRdBTerm => PLB_MRdBTerm,
PLB_MWrDAck => PLB_MWrDAck,
PLB_MWrBTerm => PLB_MWrBTerm,
IP2Bus_MstRd_Req => IP2Bus_MstRd_Req,
IP2Bus_MstWr_Req => IP2Bus_MstWr_Req,
IP2Bus_Mst_Addr => IP2Bus_Mst_Addr,
IP2Bus_Mst_Length => IP2Bus_Mst_Length,
IP2Bus_Mst_BE => ipif_IP2Bus_Mst_BE, ---- FOR BITWIDTH ADAPTION
IP2Bus_Mst_Type => IP2Bus_Mst_Type,
IP2Bus_Mst_Lock => IP2Bus_Mst_Lock,
IP2Bus_Mst_Reset => IP2Bus_Mst_Reset,
Bus2IP_Mst_CmdAck => Bus2IP_Mst_CmdAck,
Bus2IP_Mst_Cmplt => Bus2IP_Mst_Cmplt,
Bus2IP_Mst_Error => Bus2IP_Mst_Error,
Bus2IP_Mst_Rearbitrate => Bus2IP_Mst_Rearbitrate,
Bus2IP_Mst_Cmd_Timeout => Bus2IP_Mst_Cmd_Timeout,
Bus2IP_MstRd_d => ipif_Bus2IP_MstRd_d, ---- FOR BITWIDTH ADAPTION
Bus2IP_MstRd_rem => ipif_Bus2IP_MstRd_rem, ---- FOR BITWIDTH ADAPTION
Bus2IP_MstRd_sof_n => Bus2IP_MstRd_sof_n,
Bus2IP_MstRd_eof_n => Bus2IP_MstRd_eof_n,
Bus2IP_MstRd_src_rdy_n => Bus2IP_MstRd_src_rdy_n,
Bus2IP_MstRd_src_dsc_n => Bus2IP_MstRd_src_dsc_n,
IP2Bus_MstRd_dst_rdy_n => IP2Bus_MstRd_dst_rdy_n,
IP2Bus_MstRd_dst_dsc_n => IP2Bus_MstRd_dst_dsc_n,
IP2Bus_MstWr_d => ipif_IP2Bus_MstWr_d, ---- FOR BITWIDTH ADAPTION
IP2Bus_MstWr_rem => ipif_IP2Bus_MstWr_rem, ---- FOR BITWIDTH ADAPTION
IP2Bus_MstWr_sof_n => IP2Bus_MstWr_sof_n,
IP2Bus_MstWr_eof_n => IP2Bus_MstWr_eof_n,
IP2Bus_MstWr_src_rdy_n => IP2Bus_MstWr_src_rdy_n,
IP2Bus_MstWr_src_dsc_n => IP2Bus_MstWr_src_dsc_n,
Bus2IP_MstWr_dst_rdy_n => Bus2IP_MstWr_dst_rdy_n,
Bus2IP_MstWr_dst_dsc_n => Bus2IP_MstWr_dst_dsc_n
);
ipif_IP2Bus_Mst_BE <= IP2Bus_Mst_BE(128/8-C_MPLB_NATIVE_DWIDTH/8 to 128/8-1);
ipif_IP2Bus_MstWr_d <= IP2Bus_MstWr_d(128-C_MPLB_NATIVE_DWIDTH to 128-1);
ipif_IP2Bus_MstWr_rem <= IP2Bus_MstWr_rem(128/8-C_MPLB_NATIVE_DWIDTH/8 to 128/8-1);
Bus2IP_MstRd_d <= PADDING_ZEROS(C_MPLB_NATIVE_DWIDTH to 128-1) & ipif_Bus2IP_MstRd_d;
Bus2IP_MstRd_rem <= PADDING_ZEROS(C_MPLB_NATIVE_DWIDTH/8 to 16-1) & ipif_Bus2IP_MstRd_rem;
Bus2IP_Mst_Clk <= MPLB_Clk;
Bus2IP_Mst_Reset <= MPLB_Rst;
end IMP;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/platforms/xilinx/smp3_opbhwti_lbrams/design/pcores/plb_hthread_reset_core_v1_00_a/hdl/vhdl/plb_hthread_reset_core.vhd | 9 | 24727 | ------------------------------------------------------------------------------
-- plb_hthread_reset_core.vhd - entity/architecture pair
------------------------------------------------------------------------------
-- IMPORTANT:
-- DO NOT MODIFY THIS FILE EXCEPT IN THE DESIGNATED SECTIONS.
--
-- SEARCH FOR --USER TO DETERMINE WHERE CHANGES ARE ALLOWED.
--
-- TYPICALLY, THE ONLY ACCEPTABLE CHANGES INVOLVE ADDING NEW
-- PORTS AND GENERICS THAT GET PASSED THROUGH TO THE INSTANTIATION
-- OF THE USER_LOGIC ENTITY.
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: plb_hthread_reset_core.vhd
-- Version: 1.00.a
-- Description: Top level design, instantiates library components and user logic.
-- Date: Wed Sep 24 16:19:15 2008 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
use proc_common_v3_00_a.ipif_pkg.all;
use proc_common_v3_00_a.soft_reset;
library plbv46_slave_single_v1_01_a;
use plbv46_slave_single_v1_01_a.plbv46_slave_single;
library plb_hthread_reset_core_v1_00_a;
use plb_hthread_reset_core_v1_00_a.user_logic;
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_BASEADDR -- PLBv46 slave: base address
-- C_HIGHADDR -- PLBv46 slave: high address
-- C_SPLB_AWIDTH -- PLBv46 slave: address bus width
-- C_SPLB_DWIDTH -- PLBv46 slave: data bus width
-- C_SPLB_NUM_MASTERS -- PLBv46 slave: Number of masters
-- C_SPLB_MID_WIDTH -- PLBv46 slave: master ID bus width
-- C_SPLB_NATIVE_DWIDTH -- PLBv46 slave: internal native data bus width
-- C_SPLB_P2P -- PLBv46 slave: point to point interconnect scheme
-- C_SPLB_SUPPORT_BURSTS -- PLBv46 slave: support bursts
-- C_SPLB_SMALLEST_MASTER -- PLBv46 slave: width of the smallest master
-- C_SPLB_CLK_PERIOD_PS -- PLBv46 slave: bus clock in picoseconds
-- C_INCLUDE_DPHASE_TIMER -- PLBv46 slave: Data Phase Timer configuration; 0 = exclude timer, 1 = include timer
-- C_FAMILY -- Xilinx FPGA family
--
-- Definition of Ports:
-- SPLB_Clk -- PLB main bus clock
-- SPLB_Rst -- PLB main bus reset
-- PLB_ABus -- PLB address bus
-- PLB_UABus -- PLB upper address bus
-- PLB_PAValid -- PLB primary address valid indicator
-- PLB_SAValid -- PLB secondary address valid indicator
-- PLB_rdPrim -- PLB secondary to primary read request indicator
-- PLB_wrPrim -- PLB secondary to primary write request indicator
-- PLB_masterID -- PLB current master identifier
-- PLB_abort -- PLB abort request indicator
-- PLB_busLock -- PLB bus lock
-- PLB_RNW -- PLB read/not write
-- PLB_BE -- PLB byte enables
-- PLB_MSize -- PLB master data bus size
-- PLB_size -- PLB transfer size
-- PLB_type -- PLB transfer type
-- PLB_lockErr -- PLB lock error indicator
-- PLB_wrDBus -- PLB write data bus
-- PLB_wrBurst -- PLB burst write transfer indicator
-- PLB_rdBurst -- PLB burst read transfer indicator
-- PLB_wrPendReq -- PLB write pending bus request indicator
-- PLB_rdPendReq -- PLB read pending bus request indicator
-- PLB_wrPendPri -- PLB write pending request priority
-- PLB_rdPendPri -- PLB read pending request priority
-- PLB_reqPri -- PLB current request priority
-- PLB_TAttribute -- PLB transfer attribute
-- Sl_addrAck -- Slave address acknowledge
-- Sl_SSize -- Slave data bus size
-- Sl_wait -- Slave wait indicator
-- Sl_rearbitrate -- Slave re-arbitrate bus indicator
-- Sl_wrDAck -- Slave write data acknowledge
-- Sl_wrComp -- Slave write transfer complete indicator
-- Sl_wrBTerm -- Slave terminate write burst transfer
-- Sl_rdDBus -- Slave read data bus
-- Sl_rdWdAddr -- Slave read word address
-- Sl_rdDAck -- Slave read data acknowledge
-- Sl_rdComp -- Slave read transfer complete indicator
-- Sl_rdBTerm -- Slave terminate read burst transfer
-- Sl_MBusy -- Slave busy indicator
-- Sl_MWrErr -- Slave write error indicator
-- Sl_MRdErr -- Slave read error indicator
-- Sl_MIRQ -- Slave interrupt indicator
------------------------------------------------------------------------------
entity plb_hthread_reset_core is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_BASEADDR : std_logic_vector := X"FFFFFFFF";
C_HIGHADDR : std_logic_vector := X"00000000";
C_SPLB_AWIDTH : integer := 32;
C_SPLB_DWIDTH : integer := 128;
C_SPLB_NUM_MASTERS : integer := 8;
C_SPLB_MID_WIDTH : integer := 3;
C_SPLB_NATIVE_DWIDTH : integer := 32;
C_SPLB_P2P : integer := 0;
C_SPLB_SUPPORT_BURSTS : integer := 0;
C_SPLB_SMALLEST_MASTER : integer := 32;
C_SPLB_CLK_PERIOD_PS : integer := 10000;
C_INCLUDE_DPHASE_TIMER : integer := 0;
C_FAMILY : string := "virtex5"
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
reset_port0 : out std_logic;
reset_response_port0 : in std_logic;
reset_port1 : out std_logic;
reset_response_port1 : in std_logic;
reset_port2 : out std_logic;
reset_response_port2 : in std_logic;
reset_port3 : out std_logic;
reset_response_port3 : in std_logic;
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
SPLB_Clk : in std_logic;
SPLB_Rst : in std_logic;
PLB_ABus : in std_logic_vector(0 to 31);
PLB_UABus : in std_logic_vector(0 to 31);
PLB_PAValid : in std_logic;
PLB_SAValid : in std_logic;
PLB_rdPrim : in std_logic;
PLB_wrPrim : in std_logic;
PLB_masterID : in std_logic_vector(0 to C_SPLB_MID_WIDTH-1);
PLB_abort : in std_logic;
PLB_busLock : in std_logic;
PLB_RNW : in std_logic;
PLB_BE : in std_logic_vector(0 to C_SPLB_DWIDTH/8-1);
PLB_MSize : in std_logic_vector(0 to 1);
PLB_size : in std_logic_vector(0 to 3);
PLB_type : in std_logic_vector(0 to 2);
PLB_lockErr : in std_logic;
PLB_wrDBus : in std_logic_vector(0 to C_SPLB_DWIDTH-1);
PLB_wrBurst : in std_logic;
PLB_rdBurst : in std_logic;
PLB_wrPendReq : in std_logic;
PLB_rdPendReq : in std_logic;
PLB_wrPendPri : in std_logic_vector(0 to 1);
PLB_rdPendPri : in std_logic_vector(0 to 1);
PLB_reqPri : in std_logic_vector(0 to 1);
PLB_TAttribute : in std_logic_vector(0 to 15);
Sl_addrAck : out std_logic;
Sl_SSize : out std_logic_vector(0 to 1);
Sl_wait : out std_logic;
Sl_rearbitrate : out std_logic;
Sl_wrDAck : out std_logic;
Sl_wrComp : out std_logic;
Sl_wrBTerm : out std_logic;
Sl_rdDBus : out std_logic_vector(0 to C_SPLB_DWIDTH-1);
Sl_rdWdAddr : out std_logic_vector(0 to 3);
Sl_rdDAck : out std_logic;
Sl_rdComp : out std_logic;
Sl_rdBTerm : out std_logic;
Sl_MBusy : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MWrErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MRdErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MIRQ : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1)
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute SIGIS : string;
attribute SIGIS of SPLB_Clk : signal is "CLK";
attribute SIGIS of SPLB_Rst : signal is "RST";
end entity plb_hthread_reset_core;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of plb_hthread_reset_core is
------------------------------------------
-- Array of base/high address pairs for each address range
------------------------------------------
constant ZERO_ADDR_PAD : std_logic_vector(0 to 31) := (others => '0');
constant USER_SLV_BASEADDR : std_logic_vector := C_BASEADDR or X"00000000";
constant USER_SLV_HIGHADDR : std_logic_vector := C_BASEADDR or X"000000FF";
constant RST_BASEADDR : std_logic_vector := C_BASEADDR or X"00000100";
constant RST_HIGHADDR : std_logic_vector := C_BASEADDR or X"000001FF";
constant IPIF_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE :=
(
ZERO_ADDR_PAD & USER_SLV_BASEADDR, -- user logic slave space base address
ZERO_ADDR_PAD & USER_SLV_HIGHADDR, -- user logic slave space high address
ZERO_ADDR_PAD & RST_BASEADDR, -- soft reset space base address
ZERO_ADDR_PAD & RST_HIGHADDR -- soft reset space high address
);
------------------------------------------
-- Array of desired number of chip enables for each address range
------------------------------------------
constant USER_SLV_NUM_REG : integer := 4;
constant USER_NUM_REG : integer := USER_SLV_NUM_REG;
constant RST_NUM_CE : integer := 1;
constant IPIF_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => pad_power2(USER_SLV_NUM_REG), -- number of ce for user logic slave space
1 => RST_NUM_CE -- number of ce for soft reset space
);
------------------------------------------
-- Ratio of bus clock to core clock (for use in dual clock systems)
-- 1 = ratio is 1:1
-- 2 = ratio is 2:1
------------------------------------------
constant IPIF_BUS2CORE_CLK_RATIO : integer := 1;
------------------------------------------
-- Width of the slave data bus (32 only)
------------------------------------------
constant USER_SLV_DWIDTH : integer := C_SPLB_NATIVE_DWIDTH;
constant IPIF_SLV_DWIDTH : integer := C_SPLB_NATIVE_DWIDTH;
------------------------------------------
-- Width of triggered reset in bus clocks
------------------------------------------
constant RESET_WIDTH : integer := 4;
------------------------------------------
-- Index for CS/CE
------------------------------------------
constant USER_SLV_CS_INDEX : integer := 0;
constant USER_SLV_CE_INDEX : integer := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, USER_SLV_CS_INDEX);
constant RST_CS_INDEX : integer := 1;
constant RST_CE_INDEX : integer := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, RST_CS_INDEX);
constant USER_CE_INDEX : integer := USER_SLV_CE_INDEX;
------------------------------------------
-- IP Interconnect (IPIC) signal declarations
------------------------------------------
signal ipif_Bus2IP_Clk : std_logic;
signal ipif_Bus2IP_Reset : std_logic;
signal ipif_IP2Bus_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1);
signal ipif_IP2Bus_WrAck : std_logic;
signal ipif_IP2Bus_RdAck : std_logic;
signal ipif_IP2Bus_Error : std_logic;
signal ipif_Bus2IP_Addr : std_logic_vector(0 to C_SPLB_AWIDTH-1);
signal ipif_Bus2IP_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1);
signal ipif_Bus2IP_RNW : std_logic;
signal ipif_Bus2IP_BE : std_logic_vector(0 to IPIF_SLV_DWIDTH/8-1);
signal ipif_Bus2IP_CS : std_logic_vector(0 to ((IPIF_ARD_ADDR_RANGE_ARRAY'length)/2)-1);
signal ipif_Bus2IP_RdCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1);
signal ipif_Bus2IP_WrCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1);
signal rst_Bus2IP_Reset : std_logic;
signal rst_IP2Bus_WrAck : std_logic;
signal rst_IP2Bus_Error : std_logic;
signal user_Bus2IP_RdCE : std_logic_vector(0 to USER_NUM_REG-1);
signal user_Bus2IP_WrCE : std_logic_vector(0 to USER_NUM_REG-1);
signal user_IP2Bus_Data : std_logic_vector(0 to USER_SLV_DWIDTH-1);
signal user_IP2Bus_RdAck : std_logic;
signal user_IP2Bus_WrAck : std_logic;
signal user_IP2Bus_Error : std_logic;
begin
------------------------------------------
-- instantiate plbv46_slave_single
------------------------------------------
PLBV46_SLAVE_SINGLE_I : entity plbv46_slave_single_v1_01_a.plbv46_slave_single
generic map
(
C_ARD_ADDR_RANGE_ARRAY => IPIF_ARD_ADDR_RANGE_ARRAY,
C_ARD_NUM_CE_ARRAY => IPIF_ARD_NUM_CE_ARRAY,
C_SPLB_P2P => C_SPLB_P2P,
C_BUS2CORE_CLK_RATIO => IPIF_BUS2CORE_CLK_RATIO,
C_SPLB_MID_WIDTH => C_SPLB_MID_WIDTH,
C_SPLB_NUM_MASTERS => C_SPLB_NUM_MASTERS,
C_SPLB_AWIDTH => C_SPLB_AWIDTH,
C_SPLB_DWIDTH => C_SPLB_DWIDTH,
C_SIPIF_DWIDTH => IPIF_SLV_DWIDTH,
C_INCLUDE_DPHASE_TIMER => C_INCLUDE_DPHASE_TIMER,
C_FAMILY => C_FAMILY
)
port map
(
SPLB_Clk => SPLB_Clk,
SPLB_Rst => SPLB_Rst,
PLB_ABus => PLB_ABus,
PLB_UABus => PLB_UABus,
PLB_PAValid => PLB_PAValid,
PLB_SAValid => PLB_SAValid,
PLB_rdPrim => PLB_rdPrim,
PLB_wrPrim => PLB_wrPrim,
PLB_masterID => PLB_masterID,
PLB_abort => PLB_abort,
PLB_busLock => PLB_busLock,
PLB_RNW => PLB_RNW,
PLB_BE => PLB_BE,
PLB_MSize => PLB_MSize,
PLB_size => PLB_size,
PLB_type => PLB_type,
PLB_lockErr => PLB_lockErr,
PLB_wrDBus => PLB_wrDBus,
PLB_wrBurst => PLB_wrBurst,
PLB_rdBurst => PLB_rdBurst,
PLB_wrPendReq => PLB_wrPendReq,
PLB_rdPendReq => PLB_rdPendReq,
PLB_wrPendPri => PLB_wrPendPri,
PLB_rdPendPri => PLB_rdPendPri,
PLB_reqPri => PLB_reqPri,
PLB_TAttribute => PLB_TAttribute,
Sl_addrAck => Sl_addrAck,
Sl_SSize => Sl_SSize,
Sl_wait => Sl_wait,
Sl_rearbitrate => Sl_rearbitrate,
Sl_wrDAck => Sl_wrDAck,
Sl_wrComp => Sl_wrComp,
Sl_wrBTerm => Sl_wrBTerm,
Sl_rdDBus => Sl_rdDBus,
Sl_rdWdAddr => Sl_rdWdAddr,
Sl_rdDAck => Sl_rdDAck,
Sl_rdComp => Sl_rdComp,
Sl_rdBTerm => Sl_rdBTerm,
Sl_MBusy => Sl_MBusy,
Sl_MWrErr => Sl_MWrErr,
Sl_MRdErr => Sl_MRdErr,
Sl_MIRQ => Sl_MIRQ,
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Reset => ipif_Bus2IP_Reset,
IP2Bus_Data => ipif_IP2Bus_Data,
IP2Bus_WrAck => ipif_IP2Bus_WrAck,
IP2Bus_RdAck => ipif_IP2Bus_RdAck,
IP2Bus_Error => ipif_IP2Bus_Error,
Bus2IP_Addr => ipif_Bus2IP_Addr,
Bus2IP_Data => ipif_Bus2IP_Data,
Bus2IP_RNW => ipif_Bus2IP_RNW,
Bus2IP_BE => ipif_Bus2IP_BE,
Bus2IP_CS => ipif_Bus2IP_CS,
Bus2IP_RdCE => ipif_Bus2IP_RdCE,
Bus2IP_WrCE => ipif_Bus2IP_WrCE
);
------------------------------------------
-- instantiate soft_reset
------------------------------------------
SOFT_RESET_I : entity proc_common_v3_00_a.soft_reset
generic map
(
C_SIPIF_DWIDTH => IPIF_SLV_DWIDTH,
C_RESET_WIDTH => RESET_WIDTH
)
port map
(
Bus2IP_Reset => ipif_Bus2IP_Reset,
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_WrCE => ipif_Bus2IP_WrCE(RST_CE_INDEX),
Bus2IP_Data => ipif_Bus2IP_Data,
Bus2IP_BE => ipif_Bus2IP_BE,
Reset2IP_Reset => rst_Bus2IP_Reset,
Reset2Bus_WrAck => rst_IP2Bus_WrAck,
Reset2Bus_Error => rst_IP2Bus_Error,
Reset2Bus_ToutSup => open
);
------------------------------------------
-- instantiate User Logic
------------------------------------------
USER_LOGIC_I : entity plb_hthread_reset_core_v1_00_a.user_logic
generic map
(
-- MAP USER GENERICS BELOW THIS LINE ---------------
--USER generics mapped here
-- MAP USER GENERICS ABOVE THIS LINE ---------------
C_SLV_DWIDTH => USER_SLV_DWIDTH,
C_NUM_REG => USER_NUM_REG
)
port map
(
-- MAP USER PORTS BELOW THIS LINE ------------------
--USER ports mapped here
reset_port0 => reset_port0,
reset_response_port0 => reset_response_port0,
reset_port1 => reset_port1,
reset_response_port1 => reset_response_port1,
reset_port2 => reset_port2,
reset_response_port2 => reset_response_port2,
reset_port3 => reset_port3,
reset_response_port3 => reset_response_port3,
-- MAP USER PORTS ABOVE THIS LINE ------------------
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Reset => rst_Bus2IP_Reset,
Bus2IP_Addr => ipif_Bus2IP_Addr,
Bus2IP_Data => ipif_Bus2IP_Data,
Bus2IP_BE => ipif_Bus2IP_BE,
Bus2IP_RdCE => user_Bus2IP_RdCE,
Bus2IP_WrCE => user_Bus2IP_WrCE,
IP2Bus_Data => user_IP2Bus_Data,
IP2Bus_RdAck => user_IP2Bus_RdAck,
IP2Bus_WrAck => user_IP2Bus_WrAck,
IP2Bus_Error => user_IP2Bus_Error
);
------------------------------------------
-- connect internal signals
------------------------------------------
IP2BUS_DATA_MUX_PROC : process( ipif_Bus2IP_CS, user_IP2Bus_Data ) is
begin
case ipif_Bus2IP_CS is
when "10" => ipif_IP2Bus_Data <= user_IP2Bus_Data;
when "01" => ipif_IP2Bus_Data <= (others => '0');
when others => ipif_IP2Bus_Data <= (others => '0');
end case;
end process IP2BUS_DATA_MUX_PROC;
ipif_IP2Bus_WrAck <= user_IP2Bus_WrAck or rst_IP2Bus_WrAck;
ipif_IP2Bus_RdAck <= user_IP2Bus_RdAck;
ipif_IP2Bus_Error <= user_IP2Bus_Error or rst_IP2Bus_Error;
user_Bus2IP_RdCE <= ipif_Bus2IP_RdCE(USER_CE_INDEX to USER_CE_INDEX+USER_NUM_REG-1);
user_Bus2IP_WrCE <= ipif_Bus2IP_WrCE(USER_CE_INDEX to USER_CE_INDEX+USER_NUM_REG-1);
end IMP;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/hardware/MyRepository/pcores/plb_sync_manager_v1_00_a/hdl/vhdl/testbench.vhd | 11 | 11805 | -------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
use work.common.all;
entity testbench is
end testbench;
architecture behavior of testbench is
-- Synch Manager Configuration Constants
constant SCHED_BADDR : std_logic_vector(0 to 31) := x"60000000";
constant SCHED_HADDR : std_logic_vector(0 to 31) := x"6FFFFFFF";
constant MUTEX_BADDR : std_logic_vector(0 to 31) := x"70000000";
constant MUTEX_HADDR : std_logic_vector(0 to 31) := x"7FFFFFFF";
constant SYNCH_THREADS : integer := 256;
constant SYNCH_MUTEXES : integer := 64;
-- Constants for the number of bits needed to represent certain data
constant MUTEX_BITS : integer := log2(SYNCH_MUTEXES);
constant THREAD_BITS : integer := log2(SYNCH_THREADS);
constant KIND_BITS : integer := 2;
constant COUNT_BITS : integer := 8;
constant COMMAND_BITS : integer := 3;
constant DATA_BITS : integer := 32;
--Inputs
signal OPB_Clk : std_logic := '0';
signal OPB_Rst : std_logic := '0';
signal OPB_RNW : std_logic := '0';
signal OPB_select : std_logic := '0';
signal OPB_seqAddr : std_logic := '0';
signal OPB_errAck : std_logic := '0';
signal OPB_MGrant : std_logic := '0';
signal OPB_retry : std_logic := '0';
signal OPB_timeout : std_logic := '0';
signal OPB_xferAck : std_logic := '0';
signal OPB_ABus : std_logic_vector(0 to 31) := (others=>'0');
signal OPB_BE : std_logic_vector(0 to 3) := (others=>'0');
signal OPB_DBus : std_logic_vector(0 to 31) := (others=>'0');
--Outputs
signal Sl_DBus : std_logic_vector(0 to 31);
signal Sl_errAck : std_logic;
signal Sl_retry : std_logic;
signal Sl_toutSup : std_logic;
signal Sl_xferAck : std_logic;
signal M_ABus : std_logic_vector(0 to 31);
signal M_BE : std_logic_vector(0 to 3);
signal M_busLock : std_logic;
signal M_request : std_logic;
signal M_RNW : std_logic;
signal M_select : std_logic;
signal M_seqAddr : std_logic;
-- Reset signals
signal system_reset : std_logic;
signal system_resetdone : std_logic;
begin
-- Instantiate the Unit Under Test (UUT)
synch : entity work.opb_synchmanager
generic map
(
C_NUM_THREADS => SYNCH_THREADS,
C_NUM_MUTEXES => SYNCH_MUTEXES,
C_SCHED_BADDR => SCHED_BADDR,
C_SCHED_HADDR => SCHED_HADDR,
C_BASEADDR => MUTEX_BADDR,
C_HIGHADDR => MUTEX_HADDR
)
port map
(
OPB_Clk => OPB_Clk,
OPB_Rst => OPB_Rst,
Sl_DBus => Sl_DBus,
Sl_errAck => Sl_errAck,
Sl_retry => Sl_retry,
Sl_toutSup => Sl_toutSup,
Sl_xferAck => Sl_xferAck,
OPB_ABus => OPB_ABus,
OPB_BE => OPB_BE,
OPB_DBus => OPB_DBus,
OPB_RNW => OPB_RNW,
OPB_select => OPB_select,
OPB_seqAddr => OPB_seqAddr,
M_ABus => M_ABus,
M_BE => M_BE,
M_busLock => M_busLock,
M_request => M_request,
M_RNW => M_RNW,
M_select => M_select,
M_seqAddr => M_seqAddr,
OPB_errAck => OPB_errAck,
OPB_MGrant => OPB_MGrant,
OPB_retry => OPB_retry,
OPB_timeout => OPB_timeout,
OPB_xferAck => OPB_xferAck,
system_reset => system_reset,
system_resetdone => system_resetdone
);
tb : process
procedure bus_trans( rnw : in std_logic;
abus : in std_logic_vector(0 to 31);
dbus : in std_logic_vector(0 to 31) ) is
begin
wait until OPB_Clk = '1';
OPB_ABus <= abus;
OPB_DBus <= dbus;
OPB_RNW <= rnw;
OPB_select <= '1';
OPB_BE <= (others => '1');
wait until Sl_xferAck = '1' and OPB_Clk = '1';
OPB_ABus <= (others => '0');
OPB_DBus <= (others => '0');
OPB_RNW <= '0';
OPB_select <= '0';
OPB_BE <= (others => '0');
wait until OPB_Clk = '1';
end procedure bus_trans;
procedure bus_reset is
begin
wait until OPB_Clk = '1';
OPB_Rst <= '1';
OPB_select <= '0';
OPB_seqAddr <= '0';
OPB_RNW <= '0';
OPB_BE <= (others => '0');
OPB_ABus <= (others => '0');
OPB_DBus <= (others => '0');
wait until OPB_Clk = '1';
OPB_Rst <= '0';
end procedure bus_reset;
procedure sys_reset is
begin
-- Issue a bus reset first
bus_reset;
-- Assert the system reset signal
system_reset <= '1';
-- Wait until the core is finished resetting
wait until system_resetdone = '1';
-- Deassert the system reset signal
system_reset <= '0';
end procedure sys_reset;
function synch_cmd( tid : in std_logic_vector(0 to THREAD_BITS-1);
mid : in std_logic_vector(0 to MUTEX_BITS-1);
cmd : in std_logic_vector(0 to COMMAND_BITS-1) )
return std_logic_vector is
variable addr : std_logic_vector(0 to 31);
begin
addr := MUTEX_BADDR;
addr(30-MUTEX_BITS to 29) := mid;
addr(30-MUTEX_BITS-THREAD_BITS to 29-MUTEX_BITS) := tid;
addr(30-MUTEX_BITS-THREAD_BITS-COMMAND_BITS to 29-MUTEX_BITS-THREAD_BITS) := cmd;
return addr;
end function synch_cmd;
procedure synchm_lock( tid : in std_logic_vector(0 to THREAD_BITS-1);
mid : in std_logic_vector(0 to MUTEX_BITS-1) ) is
begin
bus_trans('1',synch_cmd(tid,mid,SYNCH_LOCK),x"FFFFFFFF");
end procedure synchm_lock;
procedure synchm_unlock( tid : in std_logic_vector(0 to THREAD_BITS-1);
mid : in std_logic_vector(0 to MUTEX_BITS-1) ) is
begin
bus_trans('1',synch_cmd(tid,mid,SYNCH_UNLOCK),x"FFFFFFFF");
end procedure synchm_unlock;
procedure synchm_trylock( tid : in std_logic_vector(0 to THREAD_BITS-1);
mid : in std_logic_vector(0 to MUTEX_BITS-1) ) is
begin
bus_trans('1',synch_cmd(tid,mid,SYNCH_TRY),x"FFFFFFFF");
end procedure synchm_trylock;
procedure synchm_kind( mid : in std_logic_vector(0 to MUTEX_BITS-1) ) is
begin
bus_trans('1',synch_cmd(x"00",mid,SYNCH_KIND),x"FFFFFFFF");
end procedure synchm_kind;
procedure synchm_count( mid : in std_logic_vector(0 to MUTEX_BITS-1) ) is
begin
bus_trans('1',synch_cmd(x"00",mid,SYNCH_COUNT),x"FFFFFFFF");
end procedure synchm_count;
procedure synchm_owner( mid : in std_logic_vector(0 to MUTEX_BITS-1) ) is
begin
bus_trans('1',synch_cmd(x"00",mid,SYNCH_OWNER),x"FFFFFFFF");
end procedure synchm_owner;
procedure synchm_setkind( mid : in std_logic_vector(0 to MUTEX_BITS-1);
kind : in std_logic_vector(0 to KIND_BITS-1)) is
variable data : std_logic_vector(0 to DATA_BITS-1);
begin
data := (others => '0');
data(DATA_BITS-KIND_BITS to DATA_BITS-1) := kind;
bus_trans('0',synch_cmd(x"00",mid,SYNCH_KIND),data);
end procedure synchm_setkind;
begin
-- Wait 100 ns for global reset to finish
wait for 100 ns;
-- Send a bus reset command
sys_reset;
-- Setup the mutex kinds
synchm_setkind( "000000", SYNCH_FAST );
synchm_setkind( "000001", SYNCH_FAST );
synchm_setkind( "000010", SYNCH_ERROR );
synchm_setkind( "000011", SYNCH_RECURS );
-- Test standard locking and unlocking
synchm_lock( x"01", "000000" );
synchm_lock( x"02", "000000" );
synchm_trylock( x"03", "000000" );
synchm_lock( x"04", "000000" );
synchm_unlock( x"01", "000000" );
synchm_unlock( x"02", "000000" );
synchm_unlock( x"04", "000000" );
-- Test that fast mutex locking method works properly
synchm_lock( x"0A", "000001" );
synchm_lock( x"0A", "000001" );
synchm_lock( x"0A", "000001" );
synchm_lock( x"0A", "000001" );
-- Test that error checking mutex locking method works properly
synchm_lock( x"0B", "000010" );
synchm_lock( x"0B", "000010" );
synchm_lock( x"0B", "000010" );
synchm_lock( x"0B", "000010" );
-- Test that recursive mutex locking method works properly
synchm_lock( x"0C", "000011" );
synchm_lock( x"0C", "000011" );
synchm_lock( x"0C", "000011" );
synchm_lock( x"0C", "000011" );
-- Test that getting the owner works properly
synchm_owner( "000001" );
synchm_owner( "000010" );
synchm_owner( "000011" );
-- Test that getting the count works properly
synchm_count( "000011" );
-- Test that getting the kind works properly
synchm_kind( "000000" );
synchm_kind( "000001" );
synchm_kind( "000010" );
synchm_kind( "000011" );
-- Test that recursive mutex unlocking works
synchm_unlock( x"0C", "000011" );
synchm_unlock( x"0C", "000011" );
synchm_unlock( x"0C", "000011" );
synchm_unlock( x"0C", "000011" );
wait; -- will wait forever
end process;
clk : process
begin
OPB_Clk <= '0';
wait for 10 ns;
loop
OPB_Clk <= '1', '0' after 5 ns;
wait for 10 ns;
end loop;
end process;
end;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/platforms/xilinx/hwti_mblaze_6smp/design/pcores/plb_sync_manager_v1_00_a/hdl/vhdl/testbench.vhd | 11 | 11805 | -------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
use work.common.all;
entity testbench is
end testbench;
architecture behavior of testbench is
-- Synch Manager Configuration Constants
constant SCHED_BADDR : std_logic_vector(0 to 31) := x"60000000";
constant SCHED_HADDR : std_logic_vector(0 to 31) := x"6FFFFFFF";
constant MUTEX_BADDR : std_logic_vector(0 to 31) := x"70000000";
constant MUTEX_HADDR : std_logic_vector(0 to 31) := x"7FFFFFFF";
constant SYNCH_THREADS : integer := 256;
constant SYNCH_MUTEXES : integer := 64;
-- Constants for the number of bits needed to represent certain data
constant MUTEX_BITS : integer := log2(SYNCH_MUTEXES);
constant THREAD_BITS : integer := log2(SYNCH_THREADS);
constant KIND_BITS : integer := 2;
constant COUNT_BITS : integer := 8;
constant COMMAND_BITS : integer := 3;
constant DATA_BITS : integer := 32;
--Inputs
signal OPB_Clk : std_logic := '0';
signal OPB_Rst : std_logic := '0';
signal OPB_RNW : std_logic := '0';
signal OPB_select : std_logic := '0';
signal OPB_seqAddr : std_logic := '0';
signal OPB_errAck : std_logic := '0';
signal OPB_MGrant : std_logic := '0';
signal OPB_retry : std_logic := '0';
signal OPB_timeout : std_logic := '0';
signal OPB_xferAck : std_logic := '0';
signal OPB_ABus : std_logic_vector(0 to 31) := (others=>'0');
signal OPB_BE : std_logic_vector(0 to 3) := (others=>'0');
signal OPB_DBus : std_logic_vector(0 to 31) := (others=>'0');
--Outputs
signal Sl_DBus : std_logic_vector(0 to 31);
signal Sl_errAck : std_logic;
signal Sl_retry : std_logic;
signal Sl_toutSup : std_logic;
signal Sl_xferAck : std_logic;
signal M_ABus : std_logic_vector(0 to 31);
signal M_BE : std_logic_vector(0 to 3);
signal M_busLock : std_logic;
signal M_request : std_logic;
signal M_RNW : std_logic;
signal M_select : std_logic;
signal M_seqAddr : std_logic;
-- Reset signals
signal system_reset : std_logic;
signal system_resetdone : std_logic;
begin
-- Instantiate the Unit Under Test (UUT)
synch : entity work.opb_synchmanager
generic map
(
C_NUM_THREADS => SYNCH_THREADS,
C_NUM_MUTEXES => SYNCH_MUTEXES,
C_SCHED_BADDR => SCHED_BADDR,
C_SCHED_HADDR => SCHED_HADDR,
C_BASEADDR => MUTEX_BADDR,
C_HIGHADDR => MUTEX_HADDR
)
port map
(
OPB_Clk => OPB_Clk,
OPB_Rst => OPB_Rst,
Sl_DBus => Sl_DBus,
Sl_errAck => Sl_errAck,
Sl_retry => Sl_retry,
Sl_toutSup => Sl_toutSup,
Sl_xferAck => Sl_xferAck,
OPB_ABus => OPB_ABus,
OPB_BE => OPB_BE,
OPB_DBus => OPB_DBus,
OPB_RNW => OPB_RNW,
OPB_select => OPB_select,
OPB_seqAddr => OPB_seqAddr,
M_ABus => M_ABus,
M_BE => M_BE,
M_busLock => M_busLock,
M_request => M_request,
M_RNW => M_RNW,
M_select => M_select,
M_seqAddr => M_seqAddr,
OPB_errAck => OPB_errAck,
OPB_MGrant => OPB_MGrant,
OPB_retry => OPB_retry,
OPB_timeout => OPB_timeout,
OPB_xferAck => OPB_xferAck,
system_reset => system_reset,
system_resetdone => system_resetdone
);
tb : process
procedure bus_trans( rnw : in std_logic;
abus : in std_logic_vector(0 to 31);
dbus : in std_logic_vector(0 to 31) ) is
begin
wait until OPB_Clk = '1';
OPB_ABus <= abus;
OPB_DBus <= dbus;
OPB_RNW <= rnw;
OPB_select <= '1';
OPB_BE <= (others => '1');
wait until Sl_xferAck = '1' and OPB_Clk = '1';
OPB_ABus <= (others => '0');
OPB_DBus <= (others => '0');
OPB_RNW <= '0';
OPB_select <= '0';
OPB_BE <= (others => '0');
wait until OPB_Clk = '1';
end procedure bus_trans;
procedure bus_reset is
begin
wait until OPB_Clk = '1';
OPB_Rst <= '1';
OPB_select <= '0';
OPB_seqAddr <= '0';
OPB_RNW <= '0';
OPB_BE <= (others => '0');
OPB_ABus <= (others => '0');
OPB_DBus <= (others => '0');
wait until OPB_Clk = '1';
OPB_Rst <= '0';
end procedure bus_reset;
procedure sys_reset is
begin
-- Issue a bus reset first
bus_reset;
-- Assert the system reset signal
system_reset <= '1';
-- Wait until the core is finished resetting
wait until system_resetdone = '1';
-- Deassert the system reset signal
system_reset <= '0';
end procedure sys_reset;
function synch_cmd( tid : in std_logic_vector(0 to THREAD_BITS-1);
mid : in std_logic_vector(0 to MUTEX_BITS-1);
cmd : in std_logic_vector(0 to COMMAND_BITS-1) )
return std_logic_vector is
variable addr : std_logic_vector(0 to 31);
begin
addr := MUTEX_BADDR;
addr(30-MUTEX_BITS to 29) := mid;
addr(30-MUTEX_BITS-THREAD_BITS to 29-MUTEX_BITS) := tid;
addr(30-MUTEX_BITS-THREAD_BITS-COMMAND_BITS to 29-MUTEX_BITS-THREAD_BITS) := cmd;
return addr;
end function synch_cmd;
procedure synchm_lock( tid : in std_logic_vector(0 to THREAD_BITS-1);
mid : in std_logic_vector(0 to MUTEX_BITS-1) ) is
begin
bus_trans('1',synch_cmd(tid,mid,SYNCH_LOCK),x"FFFFFFFF");
end procedure synchm_lock;
procedure synchm_unlock( tid : in std_logic_vector(0 to THREAD_BITS-1);
mid : in std_logic_vector(0 to MUTEX_BITS-1) ) is
begin
bus_trans('1',synch_cmd(tid,mid,SYNCH_UNLOCK),x"FFFFFFFF");
end procedure synchm_unlock;
procedure synchm_trylock( tid : in std_logic_vector(0 to THREAD_BITS-1);
mid : in std_logic_vector(0 to MUTEX_BITS-1) ) is
begin
bus_trans('1',synch_cmd(tid,mid,SYNCH_TRY),x"FFFFFFFF");
end procedure synchm_trylock;
procedure synchm_kind( mid : in std_logic_vector(0 to MUTEX_BITS-1) ) is
begin
bus_trans('1',synch_cmd(x"00",mid,SYNCH_KIND),x"FFFFFFFF");
end procedure synchm_kind;
procedure synchm_count( mid : in std_logic_vector(0 to MUTEX_BITS-1) ) is
begin
bus_trans('1',synch_cmd(x"00",mid,SYNCH_COUNT),x"FFFFFFFF");
end procedure synchm_count;
procedure synchm_owner( mid : in std_logic_vector(0 to MUTEX_BITS-1) ) is
begin
bus_trans('1',synch_cmd(x"00",mid,SYNCH_OWNER),x"FFFFFFFF");
end procedure synchm_owner;
procedure synchm_setkind( mid : in std_logic_vector(0 to MUTEX_BITS-1);
kind : in std_logic_vector(0 to KIND_BITS-1)) is
variable data : std_logic_vector(0 to DATA_BITS-1);
begin
data := (others => '0');
data(DATA_BITS-KIND_BITS to DATA_BITS-1) := kind;
bus_trans('0',synch_cmd(x"00",mid,SYNCH_KIND),data);
end procedure synchm_setkind;
begin
-- Wait 100 ns for global reset to finish
wait for 100 ns;
-- Send a bus reset command
sys_reset;
-- Setup the mutex kinds
synchm_setkind( "000000", SYNCH_FAST );
synchm_setkind( "000001", SYNCH_FAST );
synchm_setkind( "000010", SYNCH_ERROR );
synchm_setkind( "000011", SYNCH_RECURS );
-- Test standard locking and unlocking
synchm_lock( x"01", "000000" );
synchm_lock( x"02", "000000" );
synchm_trylock( x"03", "000000" );
synchm_lock( x"04", "000000" );
synchm_unlock( x"01", "000000" );
synchm_unlock( x"02", "000000" );
synchm_unlock( x"04", "000000" );
-- Test that fast mutex locking method works properly
synchm_lock( x"0A", "000001" );
synchm_lock( x"0A", "000001" );
synchm_lock( x"0A", "000001" );
synchm_lock( x"0A", "000001" );
-- Test that error checking mutex locking method works properly
synchm_lock( x"0B", "000010" );
synchm_lock( x"0B", "000010" );
synchm_lock( x"0B", "000010" );
synchm_lock( x"0B", "000010" );
-- Test that recursive mutex locking method works properly
synchm_lock( x"0C", "000011" );
synchm_lock( x"0C", "000011" );
synchm_lock( x"0C", "000011" );
synchm_lock( x"0C", "000011" );
-- Test that getting the owner works properly
synchm_owner( "000001" );
synchm_owner( "000010" );
synchm_owner( "000011" );
-- Test that getting the count works properly
synchm_count( "000011" );
-- Test that getting the kind works properly
synchm_kind( "000000" );
synchm_kind( "000001" );
synchm_kind( "000010" );
synchm_kind( "000011" );
-- Test that recursive mutex unlocking works
synchm_unlock( x"0C", "000011" );
synchm_unlock( x"0C", "000011" );
synchm_unlock( x"0C", "000011" );
synchm_unlock( x"0C", "000011" );
wait; -- will wait forever
end process;
clk : process
begin
OPB_Clk <= '0';
wait for 10 ns;
loop
OPB_Clk <= '1', '0' after 5 ns;
wait for 10 ns;
end loop;
end process;
end;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/platforms/xilinx/smp3_opbhwti/design/pcores/opb_v20_v1_10_d/hdl/vhdl/ipif_control_wr.vhd | 3 | 38141 | -------------------------------------------------------------------------------
-- $Id: ipif_control_wr.vhd,v 1.1.2.1 2009/10/06 21:15:00 gburch Exp $
-------------------------------------------------------------------------------
--ipif_control_wr.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2003,2009 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: ipif_control_wr.vhd
--
-- Description: This VHDL design file is for the Point Design of the Mauna
-- Loa Write Packet FIFO IPIF Local Bus Interface control
-- block.
--
-------------------------------------------------------------------------------
-- Structure:
--
--
--
-- ipif_control_wr.vhd
--
--
--
--
--
--
--
-------------------------------------------------------------------------------
-- Author: Doug Thorpe
--
-- History:
-- Doug Thorpe April 5, 2001 -- V1.00a
--
-- DET June 25, 2001 V1.00b
-- - eliminated redundant logic warnings during synthesis
--
--
-- DET July 20, 2001
-- - Changed the C_MIR_ENABLE type to Boolean from std_logic.
--
-- DET Sept. 4, 2001
-- - Optimization changes and clean up
--
-- GAB 10/05/09
-- ^^^^^^
-- Moved all helper libraries proc_common_v2_00_a, opb_ipif_v3_01_a, and
-- opb_arbiter_v1_02_e locally into opb_v20_v1_10_d
--
-- Updated legal header
-- ~~~~~~
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
-- Library definitions
library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.std_logic_arith.all;
-------------------------------------------------------------------------------
entity ipif_control_wr is
Generic (
C_MIR_ENABLE : Boolean := true;
-- Enable for MIR synthesis (default for disable)
C_BLOCK_ID : integer range 0 to 255 := 255;
-- Platform Generator assigned ID number
C_INTFC_TYPE : integer range 0 to 31 := 1;
-- IPIF block protocol Type
C_VERSION_MAJOR : integer range 0 to 9 := 9;
-- Major versioning of top level design
C_VERSION_MINOR : integer range 0 to 99 := 99;
-- Minor Version of top level design
C_VERSION_REV : integer range 0 to 25 := 0;
-- Revision letter of top level design
C_FIFO_WIDTH : Integer := 32;
-- Width of FIFO data in bits
C_DP_ADDRESS_WIDTH : Integer := 9;
-- Indicates address width of RdFIFO memory
-- (= log2(fifo_depth)
C_SUPPORT_BURST : Boolean := true;
-- Indicates write burst support for the IPIF bus
C_IPIF_DBUS_WIDTH : Integer := 32
-- Width of the IPIF data bus in bits
);
port (
-- Inputs From the IPIF Bus
Bus_rst : In std_logic; -- Master Reset from the IPIF
Bus_clk : In std_logic; -- Master timing clock from the IPIF
Bus_RdReq : In std_logic;
Bus_WrReq : In std_logic;
Bus2FIFO_RdCE1 : In std_logic;
Bus2FIFO_RdCE2 : In std_logic;
Bus2FIFO_RdCE3 : In std_logic;
Bus2FIFO_WrCE1 : In std_logic;
Bus2FIFO_WrCE2 : In std_logic;
Bus2FIFO_WrCE3 : In std_logic;
Bus_DBus : In std_logic_vector(0 to C_IPIF_DBUS_WIDTH-1);
-- Inputs from the FIFO Interface Logic
Fifo_WrAck : In std_logic;
Vacancy : In std_logic_vector(0 to C_DP_ADDRESS_WIDTH);
AlmostFull : In std_logic;
Full : In std_logic;
Deadlock : In std_logic;
-- Outputs to the FIFO
Fifo_wr_data : Out std_logic_vector(0 to C_FIFO_WIDTH-1);
Fifo_Reset : Out std_logic;
Fifo_WrReq : Out std_logic;
Fifo_burst_wr_xfer : Out std_logic;
-- Outputs to the IPIF Bus
FIFO2IRPT_DeadLock : Out std_logic;
FIFO2Bus_DBus : Out std_logic_vector(0 to C_IPIF_DBUS_WIDTH-1);
FIFO2Bus_WrAck : Out std_logic;
FIFO2Bus_RdAck : Out std_logic;
FIFO2Bus_Error : Out std_logic;
FIFO2Bus_Retry : Out std_logic;
FIFO2Bus_ToutSup : Out std_logic
);
end ipif_control_wr ;
-------------------------------------------------------------------------------
architecture implementation of ipif_control_wr is
--FUNCTIONS
-----------------------------------------------------------------------------
-- Function set_fwidth
--
-- This function is used to set the value of FIFO width status
-- field based on the setting of the width parameter.
-----------------------------------------------------------------------------
function set_fwidth (fifo_width : integer) return integer is
constant byte_lane_num : Integer := (fifo_width+7)/8;
Variable enc_size : Integer := 0;
begin
case byte_lane_num is
when 0|1 =>
enc_size := 1;
when 2 =>
enc_size := 2;
when 3 | 4 =>
enc_size := 3;
when 5|6|7|8 =>
enc_size := 4;
When 9|10|11|12|13|14|15|16 =>
enc_size := 5;
when others =>
enc_size := 6;
end case;
return(enc_size);
end function set_fwidth;
--TYPES
-- no types
-- CONSTANTS
-- Module Software Reset key value for write data
Constant RESET_MATCH : std_logic_vector(0 to 3) := "1010";
-- This requires a Hex 'A' to be written
-- to activate the S/W reset port
-- general use constants
Constant LOGIC_LOW : std_logic := '0';
Constant LOGIC_HIGH : std_logic := '1';
-- Bus Width Matching constant
Constant ENC_FIFO_WIDTH : integer := set_fwidth(C_FIFO_WIDTH);
--INTERNAL SIGNALS
signal bus_data_out : std_logic_vector(0 to C_IPIF_DBUS_WIDTH-1);
--signal mir_value : std_logic_vector(0 to 31);
Signal sw_reset_error : std_logic;
signal reg_vacancy : std_logic_vector(0 to C_DP_ADDRESS_WIDTH);
Signal reg_almostfull : std_logic;
Signal reg_full : std_logic;
Signal reg_deadlock : std_logic;
Signal reg_rdce1 : std_logic;
Signal reg_rdce2 : std_logic;
Signal reg_rdce3 : std_logic;
Signal reg_wrce1 : std_logic;
Signal reg_wrce2 : std_logic;
Signal reg_wrce3 : std_logic;
Signal reg_wrreq : std_logic;
Signal reg_rdreq : std_logic;
Signal read_ack : std_logic;
Signal reg_read_ack : std_logic;
Signal write_ack : std_logic;
Signal rd_access_error : std_logic;
Signal wr_access_error : std_logic;
Signal burst_wr_xfer : std_logic;
Signal read_req : std_logic;
Signal reg_read_req : std_logic;
Signal write_req : std_logic;
Signal reg_write_req : std_logic;
Signal fifo_errack_inhibit : std_logic;
Signal rd_vect : std_logic_vector(0 to 3);
Signal sig_rst_match : std_logic;
Signal sig_rst_vect : std_logic_vector(0 to 1);
Signal sig_fifo_wr_data : std_logic_vector(0 to C_IPIF_DBUS_WIDTH-1);
-------------------------------------------------------------------------------
--------------------- start architecture logic --------------------------------
begin
-- General access detection (used to terminate reply signal to the Bus)
read_req <= (Bus2FIFO_RdCE1 or Bus2FIFO_RdCE2 or Bus2FIFO_RdCE3);
write_req <= (Bus2FIFO_WrCE1 or Bus2FIFO_WrCE2 or Bus2FIFO_WrCE3);
-- I/O assignments
FIFO2Bus_DBus <= bus_data_out;
FIFO2Bus_ToutSup <= LOGIC_LOW;
-- This output signal not currently used so
-- drive it low.
FIFO2Bus_Retry <= LOGIC_LOW;
-- This output signal not currently used so
-- drive it low.
FIFO2Bus_WrAck <= write_ack and write_req;
-- Connect the write acknowledge (drive only if a
-- request is present)
FIFO2Bus_RdAck <= read_ack and read_req;
-- Connect the read acknowledge (drive only if
-- a request is present)
FIFO2Bus_Error <= (sw_reset_error or
rd_access_error or
wr_access_error) and
(read_req or write_req);
FIFO2IRPT_DeadLock <= Deadlock;
Fifo_WrReq <= Bus2FIFO_WrCE3 and Bus_WrReq;
-- Write Request to FIFO read controller
Fifo_burst_wr_xfer <= burst_wr_xfer;
-- Burst detect signal to FIFO read controller
sig_fifo_wr_data <= Bus_DBus;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- The FIFO data bus width is smaller than the IPIF data bus width so connect
-- the smaller FIFO data to LSB position of data bus to IPIF interface and
-- set the remaining data bus bits to zeroes.
-------------------------------------------------------------------------------
BUS_BIGGER_THAN_FIFO : if (C_IPIF_DBUS_WIDTH > C_FIFO_WIDTH) generate
CONNECT_DBUS : process (sig_fifo_wr_data)
Begin
for j in 0 to C_FIFO_WIDTH-1 loop
fifo_wr_data(j) <= sig_fifo_wr_data(C_IPIF_DBUS_WIDTH-
C_FIFO_WIDTH+j);
End loop;
End process; -- CONNECT_DBUS
end generate BUS_BIGGER_THAN_FIFO;
-------------------------------------------------------------------------------
-- The FIFO data bus width is equal to the IPIF data bus width so connect
-- the FIFO data to IPIF data interface.
-------------------------------------------------------------------------------
BUS_EQUAL_TO_FIFO : if (C_IPIF_DBUS_WIDTH = C_FIFO_WIDTH) generate
fifo_wr_data <= sig_fifo_wr_data;
end generate BUS_EQUAL_TO_FIFO;
-------------------------------------------------------------------------------
-- The FIFO data bus width is bigger than the IPIF data bus width !!BAD!!!
-- Connect the LSBits of the FIFO data to the IPIF data bus interface,
-- Don't use (truncate) the MSBits of the FIFO data spilling over the IPIF
-- data bus width.
-------------------------------------------------------------------------------
BUS_SMALLER_THAN_FIFO : if (C_IPIF_DBUS_WIDTH < C_FIFO_WIDTH) generate
CONNECT_DBUS : process (sig_fifo_wr_data)
Begin
fifo_wr_data <= (others => '0'); --default bus state
for j in C_IPIF_DBUS_WIDTH-1 downto 0 loop
fifo_wr_data(C_FIFO_WIDTH-C_IPIF_DBUS_WIDTH+j)
<= sig_fifo_wr_data(j);
End loop;
End process; -- CONNECT_DBUS
end generate BUS_SMALLER_THAN_FIFO;
------------------------------------------------------------------------------
-- Register the input chip enables
------------------------------------------------------------------------------
REGISTER_CHIP_ENABLES : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
reg_rdce1 <= '0';
reg_rdce2 <= '0';
reg_rdce3 <= '0';
reg_wrce1 <= '0';
reg_wrce2 <= '0';
reg_wrce3 <= '0';
reg_rdreq <= '0';
reg_wrreq <= '0';
reg_read_req <= '0';
reg_write_req <= '0';
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
reg_rdce1 <= Bus2FIFO_RdCE1;
reg_rdce2 <= Bus2FIFO_RdCE2;
reg_rdce3 <= Bus2FIFO_RdCE3;
reg_wrce1 <= Bus2FIFO_WrCE1;
reg_wrce2 <= Bus2FIFO_WrCE2;
reg_wrce3 <= Bus2FIFO_WrCE3;
reg_rdreq <= Bus_RdReq;
reg_read_req <= read_req;
reg_wrreq <= Bus_WrReq;
reg_write_req <= write_req;
Else
null;
End if;
End process; -- REGISTER_CHIP_ENABLES
-------------------------------------------------------------------------------
-- Detect a Burst Write Condition (used for reading the FIFO Data)
-------------------------------------------------------------------------------
BURST_DETECT : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
burst_wr_xfer <= '0';
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
If (reg_wrreq = '1' and Bus_WrReq = '1') Then
burst_wr_xfer <= '1';
Elsif (burst_wr_xfer = '1' and Bus_WrReq = '0') Then
burst_wr_xfer <= '0';
else
burst_wr_xfer <= '0';
End if;
else
null;
End if;
End process; -- BURST_DETECT
-------------------------------------------------------------------------------
-- Assemble and latch the FIFO status register fields
-------------------------------------------------------------------------------
GET_STATUS : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
reg_vacancy <= (others => '0');
reg_deadlock <= '0';
reg_almostfull <= '0';
reg_full <= '1';
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
If (reg_rdce2 = '1') Then -- hold last value registered during read
-- operation.
null;
else -- register new status every clock
reg_vacancy <= Vacancy ;
reg_deadlock <= Deadlock ;
reg_almostfull <= AlmostFull ;
reg_full <= Full ;
End if;
else
null; -- do nothing
End if;
End process; -- GET_STATUS
sig_rst_match <= Bus_DBus(C_IPIF_DBUS_WIDTH-4)
and not(Bus_DBus(C_IPIF_DBUS_WIDTH-3))
and Bus_DBus(C_IPIF_DBUS_WIDTH-2)
and not(Bus_DBus(C_IPIF_DBUS_WIDTH-1));
sig_rst_vect <= sig_rst_match & Bus2FIFO_WrCE1;
------------------------------------------------------------------------------
-- Generate the S/W reset as a result of an IPIF Bus write to register
-- port 1 and data on the DBus inputs matching the Reset match value.
------------------------------------------------------------------------------
GENERATE_SOFTWARE_RESET : process (Bus_rst, Bus_Clk)
Begin
If (Bus_rst = '1') Then
FIFO_Reset <= '1';
sw_reset_error <= '0';
Elsif (Bus_Clk'EVENT and Bus_Clk = '1') Then
Case sig_rst_vect Is
When "11" =>
FIFO_Reset <= '1';
sw_reset_error <= '0';
When "01" =>
FIFO_Reset <= '0';
sw_reset_error <= '1';
When others =>
FIFO_Reset <= '0';
sw_reset_error <= '0';
End case;
Else
null;
End if;
End process; -- GENERATE_SOFTWARE_RESET
-- Synthesis for MIR inclusion ------------------------------------------------
Include_MIR :if (C_MIR_ENABLE = True) generate
signal mir_value : std_logic_vector(0 to 31);
Signal mir_bus : std_logic_vector(0 to C_IPIF_DBUS_WIDTH-1);
Signal status_bus : std_logic_vector(0 to C_IPIF_DBUS_WIDTH-1);
begin
-------------------------------------------------------------------------
-- assemble the MIR fields from the Applicable Generics and Constants
-- conversion to std_logic_vector required
-------------------------------------------------------------------------
mir_value(0 to 3) <= CONV_STD_LOGIC_VECTOR(C_VERSION_MAJOR, 4);
mir_value(4 to 10) <= CONV_STD_LOGIC_VECTOR(C_VERSION_MINOR, 7);
mir_value(11 to 15) <= CONV_STD_LOGIC_VECTOR(C_VERSION_REV, 5);
mir_value(16 to 23) <= CONV_STD_LOGIC_VECTOR(C_BLOCK_ID, 8);
mir_value(24 to 31) <= CONV_STD_LOGIC_VECTOR(C_INTFC_TYPE, 8);
-------------------------------------------------------------------------
-- If the IPIF read data bus width is less than or equal to the MIR value
-- size (32bits), then populate the LS MIR bits that will fit. Truncate
-- those that will not fit.
-------------------------------------------------------------------------
BUS_LEQ_32 : if (C_IPIF_DBUS_WIDTH <= 32) generate
begin
BUILD_MIR_BUS : process (mir_value)
Begin
for j in 0 to (C_IPIF_DBUS_WIDTH-1) loop
mir_bus(j) <= mir_value((32-C_IPIF_DBUS_WIDTH)+j);
End loop;
End process; -- BUILD_MIR_BUS
end generate BUS_LEQ_32;
-------------------------------------------------------------------------
-- If the IPIF read data bus width is greater than the MIR value size
-- (32bits), then populate the MIR bits into the LSBits of the bus
-- and zero the remaining MSBits
-------------------------------------------------------------------------
BUS_GT_32 : if (C_IPIF_DBUS_WIDTH > 32) generate
begin
BUILD_MIR_BUS : process (mir_value)
Begin
mir_bus <= (others => '0'); -- default bus values
for j in 0 to 31 loop
mir_bus((C_IPIF_DBUS_WIDTH-32)+j) <= mir_value(j);
End loop;
End process; -- BUILD_MIR_BUS
end generate BUS_GT_32;
----------------------------------------------------------------------------
-- The IPIF DBUS is larger than 32 bits in width. Place the 32 bit status
-- word on the 32 LSBits of the data bus.
-- Do not scale the vacancy value down.
-- Note status_bus bit 3 is not set, signaling a complete vacancy value.
----------------------------------------------------------------------------
BUILD_STATUS_BIG : if (C_IPIF_DBUS_WIDTH >= 32) generate
begin
BUILD_STATUS_BUS : process (reg_deadlock, reg_almostfull, reg_full,
reg_vacancy)
Begin
status_bus <= (others => '0'); -- set default bus values
-- set Encoded FIFO data width
--status_bus(C_IPIF_DBUS_WIDTH-28 to C_IPIF_DBUS_WIDTH-26)
-- <= CONV_STD_LOGIC_VECTOR(ENC_FIFO_WIDTH,3);
-- occupancy is not scaled
status_bus(C_IPIF_DBUS_WIDTH-29) <= '0' ;
status_bus(C_IPIF_DBUS_WIDTH-30) <= reg_deadlock ;
status_bus(C_IPIF_DBUS_WIDTH-31) <= reg_almostfull;
status_bus(C_IPIF_DBUS_WIDTH-32) <= reg_full ;
for j in C_DP_ADDRESS_WIDTH downto 0 loop
status_bus((C_IPIF_DBUS_WIDTH-1)-(C_DP_ADDRESS_WIDTH-j))
<= reg_vacancy(j);
End loop;
End process; -- BUILD_STATUS_BUS
end generate BUILD_STATUS_BIG;
----------------------------------------------------------------------------
-- The IPIF DBUS is of sufficient width to contain the complete status
-- information so do not scale the vacancy value down.
-- Note status_bus bit 3 is not set, signaling a complete vacancy value.
----------------------------------------------------------------------------
BUILD_STATUS_FIT : if (C_IPIF_DBUS_WIDTH >= C_DP_ADDRESS_WIDTH+4
and C_IPIF_DBUS_WIDTH < 32) generate
begin
BUILD_STATUS_BUS : process (reg_deadlock, reg_almostfull, reg_full,
reg_vacancy)
Begin
status_bus <= (others => '0'); -- set default bus values
-- set Encoded FIFO data width
--status_bus(4 to 6) <= CONV_STD_LOGIC_VECTOR(ENC_FIFO_WIDTH,3);
-- Set Vacancy is not scaled in this case.
status_bus(3) <= '0';
status_bus(2) <= reg_deadlock ;
status_bus(1) <= reg_almostfull ;
status_bus(0) <= reg_full ;
for j in C_DP_ADDRESS_WIDTH downto 0 loop
status_bus((C_IPIF_DBUS_WIDTH-1)-(C_DP_ADDRESS_WIDTH-j))
<= reg_vacancy(j);
End loop;
End process; -- BUILD_STATUS_BUS
end generate BUILD_STATUS_FIT;
----------------------------------------------------------------------------
-- The IPIF DBUS is too narrow to contain the complete status information so
-- scale the vacancy value down until it fits in the available space.
-- Note status_bus bit 3 is now set, signaling a scaled vacancy value.
----------------------------------------------------------------------------
BUILD_STATUS_NO_FIT : if (C_IPIF_DBUS_WIDTH < C_DP_ADDRESS_WIDTH+4) generate
constant OCC_INDEX_END : Integer := (C_IPIF_DBUS_WIDTH-4)-1;
begin
BUILD_STATUS_BUS : process (reg_deadlock, reg_almostfull, reg_full,
reg_vacancy)
Begin
status_bus <= (others => '0'); -- set default bus values
-- set Encoded FIFO data width
--status_bus(4 to 6) <= CONV_STD_LOGIC_VECTOR(ENC_FIFO_WIDTH,3);
-- Set Vacancy is scaled in this case.
status_bus(3) <= '1';
status_bus(2) <= reg_deadlock ;
status_bus(1) <= reg_almostfull;
status_bus(0) <= reg_full ;
for j in 0 to OCC_INDEX_END loop
status_bus((C_IPIF_DBUS_WIDTH-1)-OCC_INDEX_END+j)
<= reg_vacancy(j);
End loop;
End process; -- BUILD_STATUS_BUS
end generate BUILD_STATUS_NO_FIT;
----------------------------------------------------------------------------
-- Mux the three read data sources to the IPIF Local Bus output port during
-- reads.
----------------------------------------------------------------------------
MUX_THE_OUTPUT_DATA : process (Bus2FIFO_RdCE3, Bus2FIFO_RdCE2,
Bus2FIFO_RdCE1, mir_bus, status_bus,
rd_vect, reg_read_req)
Begin
rd_vect <= reg_read_req & Bus2FIFO_RdCE3 &
Bus2FIFO_RdCE2 & Bus2FIFO_RdCE1;
Case rd_vect Is
When "1001" => -- Read MIR port
bus_data_out <= mir_bus;
When "1010" => -- Read Status port
bus_data_out <= status_bus;
When others => -- default to zeroes
bus_data_out <= (others => '0');
End case;
End process; -- MUX_THE_OUTPUT_DATA
----------------------------------------------------------------------------
-- Generate the Read Error Acknowledge Reply to the Bus when
-- an attempted read access by the IPIF Local Bus is invalid
----------------------------------------------------------------------------
GEN_RD_ERROR : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
rd_access_error <= '0';
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
If (Bus2FIFO_RdCE3 = '1') Then -- attempting a read of the FIFO
-- data through the data write
rd_access_error <= '1'; -- port. This is always an error.
Else
rd_access_error <= '0';
End if;
Else
null;
End if;
End process; -- GEN_RD_ERROR
end generate Include_MIR;
-- Synthesis for MIR occlusion ------------------------------------------------
Occlude_MIR : if (C_MIR_ENABLE = False) generate
Signal status_bus : std_logic_vector(0 to C_IPIF_DBUS_WIDTH-1);
begin
----------------------------------------------------------------------------
-- If the IPIF DBUS is larger than 32 bits in width, place the 32 bit status
-- word on the 32 LSBits of the data bus.
-- Do not scale the vacancy value down.
-- Note status_bus bit 3 is not set, signaling a complete vacancy value.
----------------------------------------------------------------------------
BUILD_STATUS_BIG : if (C_IPIF_DBUS_WIDTH >= 32) generate
begin
BUILD_STATUS_BUS : process (reg_deadlock, reg_almostfull, reg_full,
reg_vacancy)
Begin
status_bus <= (others => '0'); -- set default bus values
status_bus(C_IPIF_DBUS_WIDTH-29) <= '0' ;
-- occupancy is not scaled
status_bus(C_IPIF_DBUS_WIDTH-30) <= reg_deadlock ;
status_bus(C_IPIF_DBUS_WIDTH-31) <= reg_almostfull ;
status_bus(C_IPIF_DBUS_WIDTH-32) <= reg_full ;
for j in C_DP_ADDRESS_WIDTH downto 0 loop
status_bus((C_IPIF_DBUS_WIDTH-1)-(C_DP_ADDRESS_WIDTH-j))
<= reg_vacancy(j);
End loop;
End process; -- BUILD_STATUS_BUS
end generate BUILD_STATUS_BIG;
----------------------------------------------------------------------------
-- If the IPIF DBUS is less than or equal to 32 bits wide and is of sufficient
-- width to contain the complete status informatio, then.....
-- Do not scale the vacancy value down.
-- Note status_bus bit 3 is not set, signaling a complete vacancy value.
----------------------------------------------------------------------------
BUILD_STATUS_FIT : if (C_IPIF_DBUS_WIDTH >= C_DP_ADDRESS_WIDTH+4
and C_IPIF_DBUS_WIDTH < 32) generate
begin
BUILD_STATUS_BUS : process (reg_deadlock, reg_almostfull, reg_full,
reg_vacancy)
Begin
status_bus <= (others => '0'); -- set default bus values
status_bus(3) <= '0' ; -- occupancy is not scaled
status_bus(2) <= reg_deadlock ;
status_bus(1) <= reg_almostfull ;
status_bus(0) <= reg_full ;
for j in C_DP_ADDRESS_WIDTH downto 0 loop
status_bus((C_IPIF_DBUS_WIDTH-1)-(C_DP_ADDRESS_WIDTH-j))
<= reg_vacancy(j);
End loop;
End process; -- BUILD_STATUS_BUS
end generate BUILD_STATUS_FIT;
----------------------------------------------------------------------------
-- The IPIF DBUS is too narrow to contain the complete status information so
-- scale the vacancy value down until it fits in the available space.
-- Note status_bus bit 3 is now set, signaling a scaled vacancy value.
----------------------------------------------------------------------------
BUILD_STATUS_NO_FIT : if (C_IPIF_DBUS_WIDTH < C_DP_ADDRESS_WIDTH+4) generate
constant OCC_INDEX_END : Integer := (C_IPIF_DBUS_WIDTH-4)-1;
begin
BUILD_STATUS_BUS : process (reg_deadlock, reg_almostfull, reg_full,
reg_vacancy)
Begin
status_bus <= (others => '0'); -- set default bus values
status_bus(3) <= '1' ;
-- occupancy is scaled to fit
status_bus(2) <= reg_deadlock ;
status_bus(1) <= reg_almostfull;
status_bus(0) <= reg_full ;
for j in 0 to OCC_INDEX_END loop
status_bus((C_IPIF_DBUS_WIDTH-1)-OCC_INDEX_END+j)
<= reg_vacancy(j);
End loop;
End process; -- BUILD_STATUS_BUS
end generate BUILD_STATUS_NO_FIT;
----------------------------------------------------------------------------
-- Mux the two read data sources to the IPIF Local Bus output port during
-- reads.
----------------------------------------------------------------------------
MUX_THE_OUTPUT_DATA : process (Bus2FIFO_RdCE3, Bus2FIFO_RdCE2,
Bus2FIFO_RdCE1, status_bus,
rd_vect, reg_read_req)
Begin
rd_vect <= reg_read_req & Bus2FIFO_RdCE3 &
Bus2FIFO_RdCE2 & Bus2FIFO_RdCE1;
Case rd_vect Is
When "1010" =>
bus_data_out <= status_bus;
When others =>
bus_data_out <= (others => '0');
End case;
End process; -- MUX_THE_OUTPUT_DATA
----------------------------------------------------------------------------
-- Generate the Read Error Acknowledge Reply to the Bus when
-- an attempted read access by the IPIF Local Bus is invalid
----------------------------------------------------------------------------
GEN_RD_ERROR : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
rd_access_error <= '0';
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
if (Bus2FIFO_RdCE1 = '1') Then -- attempting to read the MIR but it
-- is not included
rd_access_error <= '1';
Elsif (Bus2FIFO_RdCE3 = '1') Then -- attempting a read of the FIFO
rd_access_error <= '1'; -- data through the data write
-- port. This is always an error.
Else
rd_access_error <= '0';
End if;
Else
null;
End if;
End process; -- GEN_RD_ERROR
end generate Occlude_MIR;
-------------------------------------------------------------------------------
-- Generate the Read Acknowledge to the Bus
-------------------------------------------------------------------------------
GEN_READ_ACK : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
reg_read_ack <= '0';
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
If (Bus2FIFO_RdCE1 = '1' ) Then
reg_read_ack <= '1';
Elsif (Bus2FIFO_RdCE2 = '1' ) Then
reg_read_ack <= '1';
else
reg_read_ack <= '0';
End if;
Else
null;
End if;
End process; -- GEN_READ_ACK
read_ack <= reg_read_ack or rd_access_error;
write_ack <= reg_wrce1 or -- used for reset port write
wr_access_error or -- used for error ack on invalid
-- write ops
Fifo_WrAck ; -- The FIFO accepted the write data
-------------------------------------------------------------------------------
-- This process detects the completion of at least one valid FIFO data write
-- cycle during a burst write. An error ack is generated only if a data
-- write is initiated at the same time as the FIFO is FUll.
-------------------------------------------------------------------------------
GEN_ERRACK_INHIB : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
fifo_errack_inhibit <= '0';
Elsif (Bus_clk'EVENT and Bus_clk = '1' ) Then
If (Bus2FIFO_WrCE3 = '1' and Fifo_WrAck = '1') Then
fifo_errack_inhibit <= '1';
Elsif (Bus2FIFO_WrCE3 = '0') Then
fifo_errack_inhibit <= '0';
else
null;
End if;
else
null;
End if;
End process; -- GEN_ERRACK_INHIB
-------------------------------------------------------------------------------
-- Generate the Error Acknowledge Reply to the Bus when
-- an attempted access by the IPIF Local Bus is invalid
-------------------------------------------------------------------------------
GEN_WR_ERROR : process (Bus_rst, Bus_clk)
Begin
If (Bus_rst = '1') Then
wr_access_error <= '0';
Elsif (Bus_clk'EVENT and Bus_clk = '1') Then
if (Bus2FIFO_WrCE3 = '1' and
Full = '1' and
fifo_errack_inhibit = '0') Then -- Initiating a fifo write during a
wr_access_error <= '1'; -- full condition, this is an error.
Elsif (Bus2FIFO_WrCE2 = '1') Then -- Attempting to write to the staus
-- register
wr_access_error <= '1';
Else
wr_access_error <= '0';
End if;
Else
null;
End if;
End process; -- GEN_WR_ERROR
end implementation;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/platforms/xilinx/smp3_opbhwti_lbrams/design/pcores/ipif_common_v1_00_d/hdl/vhdl/dma_sg_cmp.vhd | 3 | 22459 | -------------------------------------------------------------------------------
-- $Id: dma_sg_cmp.vhd,v 1.6 2003/09/03 18:07:53 ostlerf Exp $
-------------------------------------------------------------------------------
-- Package with component declarations to support the DMA Scatter/Gather entity
-------------------------------------------------------------------------------
--
-- ****************************
-- ** Copyright Xilinx, Inc. **
-- ** All rights reserved. **
-- ****************************
--
-------------------------------------------------------------------------------
-- Filename: dma_sg_cmp.vhd
--
-- Description: Components instantiated within dma_sg are declared here.
--
-------------------------------------------------------------------------------
-- Structure:
--
-- dma_sg_cmp.vhds
-- dma_sg_pkg.vhds
--
-------------------------------------------------------------------------------
-- Author: Farrell Ostler
-- History:
-- FLO 12/19/01 -- Header added
--
-- FLO 01/30/03
-- ^^^^^^
-- Changed the dma_sg component to correspond to a changes made to the entity
-- when fixed DMASG as a 32-bit device.
-- ~~~~~~
--
-- FLO 03/02/03
-- ^^^^^^
-- Added signal DMA2Bus_MstLoc2Loc.
-- ~~~~~~
--
-- FLO 05/15/2003
-- ^^^^^^
-- Added generics C_DMA_SHORT_BURST_REMAINDER and C_DMA_BURST_SIZE
-- to the dma_sg component.
-- ~~~~~~
-- FLO 09/03/2003
-- ^^^^^^
-- Added the ld_arith_reg2 component.
-- ~~~~~~
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library ipif_common_v1_00_d;
use ipif_common_v1_00_d.ipif_pkg.SLV64_ARRAY_TYPE;
use ipif_common_v1_00_d.ipif_pkg.INTEGER_ARRAY_TYPE;
package dma_sg_cmp is
component dma_sg is
-- Four channel, 0123, simple sg tx rx coalesc.
generic (
C_OPB_DWIDTH : natural := 32; -- Width of data bus (32, 64).
C_OPB_AWIDTH : natural := 32; -- width of Bus addr.
C_IPIF_ABUS_WIDTH : natural :=15;
C_CLK_PERIOD_PS : integer := 16000; --ps Period of Bus2IP_Clk.
-- The time unit, in nanoseconds, that applies to
-- the Packet Wait Bound register. The specified value of this
-- generic is 1,000,000 (1 ms), but a smaller value can be used for
-- simulations.
C_PACKET_WAIT_UNIT_NS : integer := 1000; --ns
C_DMA_CHAN_TYPE -- 0=simple, 1=sg, 2=tx, 3=rx
: INTEGER_ARRAY_TYPE
:= ( 0, 1, 2, 3 );
-- The leftmost defined bit of the LENGTH field, assuming
-- big endian bit numbering and a LSB at bit 31.
-- If the channel is a packet channel, it is assumed that
-- the number bits defined in the LENGTH register is also
-- enough bits to hold the length of a maximum sized packet.
-- ToDo, current impl requires all channels to be the same length.
C_DMA_LENGTH_WIDTH
: INTEGER_ARRAY_TYPE
:= ( 11, 11, 11, 11 );
C_LEN_FIFO_ADDR
-- : SLV64_ARRAY_TYPE (0 to 3)
: SLV64_ARRAY_TYPE
:= ( X"0000_0000_0000_0000",
X"0000_0000_0000_0000",
X"0000_0000_0000_3800",
X"0000_0000_0000_4800" );
C_STAT_FIFO_ADDR
-- : SLV64_ARRAY_TYPE (0 to 3)
: SLV64_ARRAY_TYPE
:= ( X"0000_0000_0000_0000",
X"0000_0000_0000_0000",
X"0000_0000_0000_3804",
X"0000_0000_0000_4804" );
C_INTR_COALESCE
: INTEGER_ARRAY_TYPE
:= ( 0, 0, 1, 1 );
C_DEV_BLK_ID : integer := 0;
C_DMA_BASEADDR : std_logic_vector
:= X"0000_0000_0000_0000";
C_DMA_BURST_SIZE : positive := 16; -- Must be a power of 2
C_DMA_SHORT_BURST_REMAINDER : integer := 0;
C_MA2SA_NUM_WIDTH : INTEGER := 8;
C_WFIFO_VACANCY_WIDTH : integer := 10
);
-- Two channel, 23, tx rx coalesc.
-- generic (
-- C_OPB_DWIDTH : natural := 32; -- Width of data bus (32, 64).
-- C_OPB_AWIDTH : natural := 32; -- width of Bus addr.
-- C_IPIF_ABUS_WIDTH : natural :=15;
--
-- C_CLK_PERIOD_PS : integer := 10000; --ps Period of Bus2IP_Clk.
--
-- -- The time unit, in nanoseconds, that applies to
-- -- the Packet Wait Bound register. The specified value of this
-- -- generic is 1,000,000 (1 ms), but a smaller value can be used for
-- -- simulations.
-- C_PACKET_WAIT_UNIT_NS : integer := 1000000; --ns
--
-- C_DMA_CHAN_TYPE -- 0=simple, 1=sg, 2=tx, 3=rx
-- : INTEGER_ARRAY_TYPE
-- := ( 2, 3 );
--
-- -- The leftmost defined bit of the LENGTH field, assuming
-- -- big endian bit numbering and a LSB at bit 31.
-- -- If the channel is a packet channel, it is assumed that
-- -- the number bits defined in the LENGTH register is also
-- -- enough bits to hold the length of a maximum sized packet.
-- -- ToDo, current impl requires all channels to be the same length.
-- C_DMA_LENGTH_WIDTH
-- : INTEGER_ARRAY_TYPE
-- := ( 11, 11 );
--
-- C_LEN_FIFO_ADDR
-- : SLV64_ARRAY_TYPE (0 to 1)
-- := ( X"0000_0000_0000_1800",
-- X"0000_0000_0000_2800" );
--
-- C_STAT_FIFO_ADDR
-- : SLV64_ARRAY_TYPE (0 to 1)
-- := ( X"0000_0000_0000_1804",
-- X"0000_0000_0000_2804" );
--
-- C_INTR_COALESCE
-- : INTEGER_ARRAY_TYPE
-- := ( 1, 1 );
--
-- C_DEV_BLK_ID : integer := 0;
--
-- C_DMA_BASEADDR : std_logic_vector
-- := X"0000_0000_0000_0000";
--
-- C_DMA_BURST_SIZE : positive := 16; -- Must be a power of 2
--
-- C_USE_SHORT_BURST_FOR_REMAINDER: boolean := false;
--
-- C_MA2SA_NUM_WIDTH : INTEGER := 4;
--
-- C_WFIFO_VACANCY_WIDTH : integer := 10
--);
-- Two channel, 00, simple DMA only
--generic (
-- C_OPB_DWIDTH : natural := 32; -- Width of data bus (32, 64).
-- C_OPB_AWIDTH : natural := 32; -- width of Bus addr.
-- C_IPIF_ABUS_WIDTH : natural :=15;
--
-- C_CLK_PERIOD_PS : integer := 16000; --ps Period of Bus2IP_Clk.
--
-- -- The time unit, in nanoseconds, that applies to
-- -- the Packet Wait Bound register. The specified value of this
-- -- generic is 1,000,000 (1 ms), but a smaller value can be used for
-- -- simulations.
-- C_PACKET_WAIT_UNIT_NS : integer := 1000; --ns
--
-- C_DMA_CHAN_TYPE -- 0=simple, 1=sg, 2=tx, 3=rx
-- : INTEGER_ARRAY_TYPE
-- := ( 0, 0 );
--
-- -- The leftmost defined bit of the LENGTH field, assuming
-- -- big endian bit numbering and a LSB at bit 31.
-- -- If the channel is a packet channel, it is assumed that
-- -- the number bits defined in the LENGTH register is also
-- -- enough bits to hold the length of a maximum sized packet.
-- -- ToDo, current impl requires all channels to be the same length.
-- C_DMA_LENGTH_WIDTH
-- : INTEGER_ARRAY_TYPE
-- := ( 11, 11 );
--
-- C_LEN_FIFO_ADDR
-- : SLV64_ARRAY_TYPE (0 to 1)
-- := ( X"0000_0000_0000_0000",
-- X"0000_0000_0000_0000" );
--
-- C_STAT_FIFO_ADDR
-- : SLV64_ARRAY_TYPE (0 to 1)
-- := ( X"0000_0000_0000_0000",
-- X"0000_0000_0000_0000" );
--
-- C_INTR_COALESCE
-- : INTEGER_ARRAY_TYPE
-- := ( 0, 0 );
--
-- C_DEV_BLK_ID : integer := 0;
--
-- C_DMA_BASEADDR : std_logic_vector
-- := X"0000_0000_0000_0000";
--
-- C_DMA_BURST_SIZE : positive := 16; -- Must be a power of 2
--
-- C_USE_SHORT_BURST_FOR_REMAINDER: boolean := false;
--
-- C_MA2SA_NUM_WIDTH : INTEGER := 4;
--
-- C_WFIFO_VACANCY_WIDTH : integer := 10
--);
-- Three channel, 000, simple DMA only
--generic (
-- C_OPB_DWIDTH : natural := 32; -- Width of data bus (32, 64).
-- C_OPB_AWIDTH : natural := 32; -- width of Bus addr.
-- C_IPIF_ABUS_WIDTH : natural :=15;
--
-- C_CLK_PERIOD_PS : integer := 16000; --ps Period of Bus2IP_Clk.
--
-- -- The time unit, in nanoseconds, that applies to
-- -- the Packet Wait Bound register. The specified value of this
-- -- generic is 1,000,000 (1 ms), but a smaller value can be used for
-- -- simulations.
-- C_PACKET_WAIT_UNIT_NS : integer := 1000; --ns
--
-- C_DMA_CHAN_TYPE -- 0=simple, 1=sg, 2=tx, 3=rx
-- : INTEGER_ARRAY_TYPE
-- := ( 0, 0, 0 );
--
-- -- The leftmost defined bit of the LENGTH field, assuming
-- -- big endian bit numbering and a LSB at bit 31.
-- -- If the channel is a packet channel, it is assumed that
-- -- the number bits defined in the LENGTH register is also
-- -- enough bits to hold the length of a maximum sized packet.
-- -- ToDo, current impl requires all channels to be the same length.
-- C_DMA_LENGTH_WIDTH
-- : INTEGER_ARRAY_TYPE
-- := ( 11, 11, 11 );
--
-- C_LEN_FIFO_ADDR
-- : SLV64_ARRAY_TYPE (0 to 1)
-- := ( X"0000_0000_0000_0000",
-- X"0000_0000_0000_0000",
-- X"0000_0000_0000_0000" );
--
-- C_STAT_FIFO_ADDR
-- : SLV64_ARRAY_TYPE (0 to 1)
-- := ( X"0000_0000_0000_0000",
-- X"0000_0000_0000_0000",
-- X"0000_0000_0000_0000" );
--
-- C_INTR_COALESCE
-- : INTEGER_ARRAY_TYPE
-- := ( 0, 0, 0 );
--
-- C_DEV_BLK_ID : integer := 0;
--
-- C_DMA_BASEADDR : std_logic_vector
-- := X"0000_0000_0000_0000";
--
-- C_DMA_BURST_SIZE : positive := 16; -- Must be a power of 2
--
-- C_USE_SHORT_BURST_FOR_REMAINDER: boolean := false;
--
-- C_MA2SA_NUM_WIDTH : INTEGER := 4;
--
-- C_WFIFO_VACANCY_WIDTH : integer := 10
--);
-- One channel version. (under construction)
--generic (
-- C_OPB_DWIDTH : natural := 32; -- Width of data bus (32, 64).
-- C_OPB_AWIDTH : natural := 32; -- width of Bus addr.
-- C_IPIF_ABUS_WIDTH : natural :=15;
--
-- C_CLK_PERIOD_PS : integer := 16000; --ps Period of Bus2IP_Clk.
--
-- -- The time unit, in nanoseconds, that applies to
-- -- the Packet Wait Bound register. The specified value of this
-- -- generic is 1,000,000 (1 ms), but a smaller value can be used for
-- -- simulations.
-- C_PACKET_WAIT_UNIT_NS : integer := 1000; --ns
--
-- C_DMA_CHAN_TYPE -- 0=simple, 1=sg, 2=tx, 3=rx
-- : INTEGER_ARRAY_TYPE
-- := ( 2, 3 );
--
-- -- The leftmost defined bit of the LENGTH field, assuming
-- -- big endian bit numbering and a LSB at bit 31.
-- -- If the channel is a packet channel, it is assumed that
-- -- the number bits defined in the LENGTH register is also
-- -- enough bits to hold the length of a maximum sized packet.
-- -- ToDo, current impl requires all channels to be the same length.
-- C_DMA_LENGTH_WIDTH
-- : INTEGER_ARRAY_TYPE
-- := ( 11, 11 );
--
-- C_LEN_FIFO_ADDR
-- : SLV64_ARRAY_TYPE (0 to 1)
-- := ( X"0000_0000_0000_1800", X"0000_0000_0000_2800" );
--
-- C_STAT_FIFO_ADDR
-- : SLV64_ARRAY_TYPE (0 to 1)
-- := ( X"0000_0000_0000_1804", X"0000_0000_0000_2804" );
--
--
-- C_INTR_COALESCE
-- : INTEGER_ARRAY_TYPE
-- := ( 1, 1 );
--
-- C_DEV_BLK_ID : integer := 0;
--
-- C_DMA_BASEADDR : std_logic_vector
-- := X"0000_0000_0000_0000";
--
-- C_DMA_BURST_SIZE : positive := 16; -- Must be a power of 2
--
-- C_USE_SHORT_BURST_FOR_REMAINDER: boolean := false;
--
-- C_MA2SA_NUM_WIDTH : INTEGER := 4;
--
-- C_WFIFO_VACANCY_WIDTH : integer := 10
--);
port (
DMA2Bus_Data : out std_logic_vector(0 to 31);
DMA2Bus_Addr : out std_logic_vector(0 to C_OPB_AWIDTH-1 );
DMA2Bus_MstBE : out std_logic_vector(0 to C_OPB_DWIDTH/8 - 1);
DMA2Bus_MstWrReq : out std_logic;
DMA2Bus_MstRdReq : out std_logic;
DMA2Bus_MstNum : out std_logic_vector(
0 to C_MA2SA_NUM_WIDTH-1);
DMA2Bus_MstBurst : out std_logic;
DMA2Bus_MstBusLock : out std_logic;
DMA2Bus_MstLoc2Loc : out std_logic;
DMA2IP_Addr : out std_logic_vector(0 to C_IPIF_ABUS_WIDTH-3);
DMA2Bus_WrAck : out std_logic;
DMA2Bus_RdAck : out std_logic;
DMA2Bus_Retry : out std_logic;
DMA2Bus_Error : out std_logic;
DMA2Bus_ToutSup : out std_logic;
Bus2IP_MstWrAck : in std_logic;
Bus2IP_MstRdAck : in std_logic;
Mstr_sel_ma : in std_logic;
Bus2IP_MstRetry : in std_logic;
Bus2IP_MstError : in std_logic;
Bus2IP_MstTimeOut : in std_logic;
Bus2IP_BE : in std_logic_vector(0 to 3);
Bus2IP_WrReq : in std_logic;
Bus2IP_RdReq : in std_logic;
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Freeze : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to C_IPIF_ABUS_WIDTH-3);
Bus2IP_Data : in std_logic_vector(0 to 31);
Bus2IP_Burst : in std_logic;
WFIFO2DMA_Vacancy : in std_logic_vector(0 to C_WFIFO_VACANCY_WIDTH-1);
Bus2IP_MstLastAck : in std_logic;
DMA_RdCE : in std_logic;
DMA_WrCE : in std_logic;
IP2DMA_RxStatus_Empty : in std_logic;
IP2DMA_RxLength_Empty : in std_logic;
IP2DMA_TxStatus_Empty : in std_logic;
IP2DMA_TxLength_Full : in std_logic;
IP2Bus_DMA_Req : in std_logic;
Bus2IP_DMA_Ack : out std_logic;
DMA2Intr_Intr : out std_logic_vector(0 to 1)
);
end component;
component ctrl_reg_0_to_6
generic(
C_RESET_VAL: std_logic_vector
);
port(
clk : in std_logic;
rst : in std_logic;
chan_sel : in std_logic;
reg_sel : in std_logic;
wr_ce : in std_logic;
d : in std_logic_vector(0 to 6);
q : out std_logic_vector(0 to 6)
);
end component;
component ctrl_reg_0_to_0
generic(
C_RESET_VAL: std_logic_vector
);
port(
clk : in std_logic;
rst : in std_logic;
chan_sel : in std_logic;
reg_sel : in std_logic;
wr_ce : in std_logic;
-- XGR_E33 d : in std_logic_vector(0 to 0);
-- XGR_E33 q : out std_logic_vector(0 to 0)
d : in std_logic;
q : out std_logic
);
end component;
component SRL_FIFO
generic (
C_DATA_BITS : natural := 8;
C_DEPTH : natural := 16
);
port (
Clk : in std_logic;
Reset : in std_logic;
FIFO_Write : in std_logic;
Data_In : in std_logic_vector(0 to C_DATA_BITS-1);
FIFO_Read : in std_logic;
Data_Out : out std_logic_vector(0 to C_DATA_BITS-1);
FIFO_Full : out std_logic;
Data_Exists : out std_logic;
Addr : out std_logic_vector(0 to 3) -- Added Addr as a port
);
end component;
component ld_arith_reg
generic (
------------------------------------------------------------------------
-- True if the arithmetic operation is add, false if subtract.
C_ADD_SUB_NOT : boolean := false;
------------------------------------------------------------------------
-- Width of the register.
C_REG_WIDTH : natural := 8;
------------------------------------------------------------------------
-- Reset value. (No default, must be specified in the instantiation.)
C_RESET_VALUE : std_logic_vector;
------------------------------------------------------------------------
-- Width of the load data.
C_LD_WIDTH : natural := 8;
------------------------------------------------------------------------
-- Offset to left (toward more significant) of the load data.
C_LD_OFFSET : natural := 0;
------------------------------------------------------------------------
-- Width of the arithmetic data.
C_AD_WIDTH : natural := 8;
------------------------------------------------------------------------
-- Offset to left of the arithmetic data.
C_AD_OFFSET : natural := 0
------------------------------------------------------------------------
------------------------------------------------------------------------
-- Dependencies: (1) C_LD_WIDTH + C_LD_OFFSET <= C_REG_WIDTH
-- (2) C_AD_WIDTH + C_AD_OFFSET <= C_REG_WIDTH
------------------------------------------------------------------------
);
port (
CK : in std_logic;
RST : in std_logic; -- Reset to C_RESET_VALUE. (Overrides OP,LOAD)
Q : out std_logic_vector(0 to C_REG_WIDTH-1);
LD : in std_logic_vector(0 to C_LD_WIDTH-1); -- Load data.
AD : in std_logic_vector(0 to C_AD_WIDTH-1); -- Arith data.
LOAD : in std_logic; -- Enable for the load op, Q <= LD.
OP : in std_logic -- Enable for the arith op, Q <= Q + AD.
-- (Q <= Q - AD if C_ADD_SUB_NOT = false.)
-- (Overrrides LOAD.)
);
end component;
component ld_arith_reg2
generic (
------------------------------------------------------------------------
-- True if the arithmetic operation is add, false if subtract.
C_ADD_SUB_NOT : boolean := false;
------------------------------------------------------------------------
-- Width of the register.
C_REG_WIDTH : natural := 32;
------------------------------------------------------------------------
-- Reset value. (No default, must be specified in the instantiation.)
C_RESET_VALUE : std_logic_vector;
------------------------------------------------------------------------
-- Width of the load data.
C_LD_WIDTH : natural := 32;
------------------------------------------------------------------------
-- Offset from the LSB (toward more significant) of the load data.
C_LD_OFFSET : natural := 0;
------------------------------------------------------------------------
-- Width of the arithmetic data.
C_AD_WIDTH : natural := 32;
------------------------------------------------------------------------
-- Offset from the LSB of the arithmetic data.
C_AD_OFFSET : natural := 0;
------------------------------------------------------------------------
C_LOAD_OVERRIDES : boolean := false
------------------------------------------------------------------------
-- Dependencies: (1) C_LD_WIDTH + C_LD_OFFSET <= C_REG_WIDTH
-- (2) C_AD_WIDTH + C_AD_OFFSET <= C_REG_WIDTH
------------------------------------------------------------------------
);
port (
CK : in std_logic;
RST : in std_logic; -- Reset to C_RESET_VALUE. (Overrides OP,LOAD_n)
Q : out std_logic_vector(0 to C_REG_WIDTH-1);
LD : in std_logic_vector(0 to C_LD_WIDTH-1); -- Load data.
AD : in std_logic_vector(0 to C_AD_WIDTH-1); -- Arith data.
LOAD_n : in std_logic; -- Active-low enable for the load op, Q <= LD.
OP : in std_logic -- Enable for the arith op, Q <= Q + AD.
-- (Q <= Q - AD if C_ADD_SUB_NOT = false.)
-- (Overrrides LOAD_n
-- unless C_LOAD_OVERRIDES = true)
);
end component;
component burst_size_calc is
generic (
C_LENGTH_WIDTH : positive := 11;
C_MSTNUM_WIDTH : positive := 5;
C_DMA_BURST_SIZE : positive := 16;
C_BYTES_PER_SINGLE_TRANSFER : positive := 4;
C_DMA_SHORT_BURST_REMAINDER : integer := 0
);
port (
Bus2IP_Clk : in std_logic;
LENGTH_cco : in std_logic_vector(0 to C_LENGTH_WIDTH-1);
PLENGTH_cco : in std_logic_vector(0 to C_LENGTH_WIDTH-1);
Rx_cco : in std_logic;
MstNum : out std_logic_vector(0 to C_MSTNUM_WIDTH-1)
);
end component burst_size_calc;
end package;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/platforms/xilinx/smp3_opbhwti/design/pcores/opb_ipif_v2_00_h/hdl/vhdl/pf_dpram_select.vhd | 3 | 115123 | -------------------------------------------------------------------------------
-- $Id: pf_dpram_select.vhd,v 1.1 2003/03/15 01:05:27 ostlerf Exp $
-------------------------------------------------------------------------------
-- pf_dpram_select.vhd
-------------------------------------------------------------------------------
--
-- ****************************
-- ** Copyright Xilinx, Inc. **
-- ** All rights reserved. **
-- ****************************
--
-------------------------------------------------------------------------------
-- Filename: pf_dpram_select.vhd
--
-- Description: This vhdl design file uses three input parameters describing
-- the desired storage depth, data width, and FPGA family type.
-- From these, the design selects the optimum Block RAM
-- primitive for the basic storage element and connects them
-- in parallel to accomodate the desired data width.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- pf_dpram_select.vhd
--
-------------------------------------------------------------------------------
-- Author: D. Thorpe
-- Revision: $Revision: 1.1 $
-- Date: $Date: 2003/03/15 01:05:27 $
--
-- History:
-- DET Oct. 7, 2001 First Version
-- - Adopted design concepts from Goran Bilski's
-- opb_bram.vhd design in the formulation of this
-- design for the Mauna Loa packet FIFO dual port
-- core function.
--
-- DET Oct-31-2001
-- - Changed the generic input parameter C_FAMILY of type string
-- back to the boolean type parameter C_VIRTEX_II. XST support
-- change.
--
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library unisim;
use unisim.all; -- uses BRAM primitives
-------------------------------------------------------------------------------
entity pf_dpram_select is
generic (
C_DP_DATA_WIDTH : Integer := 32;
C_DP_ADDRESS_WIDTH : Integer := 9;
C_VIRTEX_II : Boolean := true
);
port (
-- Write Port signals
Wr_rst : In std_logic;
Wr_Clk : in std_logic;
Wr_Enable : In std_logic;
Wr_Req : In std_logic;
Wr_Address : in std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1);
Wr_Data : In std_logic_vector(0 to C_DP_DATA_WIDTH-1);
-- Read Port Signals
Rd_rst : In std_logic;
Rd_Clk : in std_logic;
Rd_Enable : In std_logic;
Rd_Address : in std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1);
Rd_Data : out std_logic_vector(0 to C_DP_DATA_WIDTH-1)
);
end entity pf_dpram_select;
architecture implementation of pf_dpram_select is
Type family_type is (
any ,
x4k ,
x4ke ,
x4kl ,
x4kex ,
x4kxl ,
x4kxv ,
x4kxla ,
spartan ,
spartanxl,
spartan2 ,
spartan2e,
virtex ,
virtexe ,
virtex2 ,
virtex2p ,
unsupported
);
Type bram_prim_type is (
use_srl ,
B4_S1_S1 ,
B4_S2_S2 ,
B4_S4_S4 ,
B4_S8_S8 ,
B4_S16_S16 ,
B16_S1_S1 ,
B16_S2_S2 ,
B16_S4_S4 ,
B16_S9_S9 ,
B16_S18_S18 ,
B16_S36_S36 ,
indeterminate
);
-----------------------------------------------------------------------------
-- This function converts the input C_VIRTEX_II boolean type to an enumerated
-- type. Only Virtex and Virtex II types are currently supported. This
-- used to convert a string to a family type function but string support in
-- the synthesis tools was found to be mutually exclusive between Synplicity
-- and XST.
-----------------------------------------------------------------------------
function get_prim_family (vertex2_select : boolean) return family_type is
Variable prim_family : family_type;
begin
If (vertex2_select) Then
prim_family := virtex2;
else
prim_family := virtex;
End if;
Return (prim_family);
end function get_prim_family;
-----------------------------------------------------------------------------
-- This function chooses the optimum BRAM primitive to utilize as
-- specified by the inputs for data depth, data width, and FPGA part family.
-----------------------------------------------------------------------------
function get_bram_primitive (target_depth: integer;
target_width: integer;
family : family_type )
return bram_prim_type is
Variable primitive : bram_prim_type;
begin
Case family Is
When virtex2p | virtex2 =>
Case target_depth Is
When 1 | 2 =>
primitive := indeterminate; -- depth is too small for BRAM
-- based fifo control logic
When 4 | 8 | 16 =>
-- primitive := use_srl; -- activate when SRL FIFO incorporated
Case target_width Is -- use BRAM for now
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When 10 | 11 | 12 | 13 | 14 |
15 | 16 | 17 | 18 =>
primitive := B16_S18_S18;
When others =>
primitive := B16_S36_S36;
End case;
when 32 | 64 | 128 | 256 | 512 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When 10 | 11 | 12 | 13 | 14 |
15 | 16 | 17 | 18 =>
primitive := B16_S18_S18;
When others =>
primitive := B16_S36_S36;
End case;
When 1024 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When others =>
primitive := B16_S18_S18;
End case;
When 2048 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When others =>
primitive := B16_S9_S9;
End case;
When 4096 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When others =>
primitive := B16_S4_S4;
End case;
When 8192 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When others =>
primitive := B16_S2_S2;
End case;
When 16384 =>
primitive := B16_S1_S1;
When others =>
primitive := indeterminate;
End case;
When spartan2 | spartan2e | virtex | virtexe =>
Case target_depth Is
When 1 | 2 =>
primitive := indeterminate; -- depth is too small for BRAM
-- based fifo control logic
When 4 | 8 | 16 =>
-- primitive := use_srl; -- activate this when SRL FIFO is
-- incorporated
Case target_width Is -- use BRAM for now
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When 5 | 6 | 7 | 8 =>
primitive := B4_S8_S8;
When others =>
primitive := B4_S16_S16;
End case;
when 32 | 64 | 128 | 256 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When 5 | 6 | 7 | 8 =>
primitive := B4_S8_S8;
When others =>
primitive := B4_S16_S16;
End case;
when 512 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When others =>
primitive := B4_S8_S8;
End case;
When 1024 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When others =>
primitive := B4_S4_S4;
End case;
When 2048 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When others =>
primitive := B4_S2_S2;
End case;
When 4096 =>
primitive := B4_S1_S1;
When others =>
primitive := indeterminate;
End case;
When others =>
primitive := indeterminate;
End case;
Return primitive;
end function get_bram_primitive;
-----------------------------------------------------------------------------
-- This function calculates the number of BRAM primitives required as
-- specified by the inputs for data width and BRAM primitive type.
-----------------------------------------------------------------------------
function get_num_prims (bram_prim : bram_prim_type;
mem_width : integer)
return integer is
Variable bram_num : integer;
begin
Case bram_prim Is
When B16_S1_S1 | B4_S1_S1 =>
bram_num := mem_width;
When B16_S2_S2 | B4_S2_S2 =>
bram_num := (mem_width+1)/2;
When B16_S4_S4 | B4_S4_S4 =>
bram_num := (mem_width+3)/4;
When B4_S8_S8 =>
bram_num := (mem_width+7)/8;
When B16_S9_S9 =>
bram_num := (mem_width+8)/9;
When B4_S16_S16 =>
bram_num := (mem_width+15)/16;
When B16_S18_S18 =>
bram_num := (mem_width+17)/18;
When B16_S36_S36 =>
bram_num := (mem_width+35)/36;
When others =>
bram_num := 1;
End case;
Return (bram_num);
end function get_num_prims;
-- Now set the global CONSTANTS needed for IF-Generates
-- Determine the number of BRAM storage locations needed
constant FIFO_DEPTH : Integer := 2**C_DP_ADDRESS_WIDTH;
-- Convert the input C_VIRTEX_II generic boolean to enumerated type
Constant BRAM_FAMILY : family_type :=
get_prim_family(C_VIRTEX_II);
-- Select the optimum BRAM primitive to use
constant BRAM_PRIMITIVE : bram_prim_type :=
get_bram_primitive(FIFO_DEPTH,
C_DP_DATA_WIDTH,
BRAM_FAMILY);
-- Calculate how many of the selected primitives are needed
-- to populate the desired data width
constant BRAM_NUM : integer :=
get_num_prims(BRAM_PRIMITIVE,
C_DP_DATA_WIDTH);
begin -- architecture
----------------------------------------------------------------------------
-- Using VII 512 x 36 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S36_S36 : if (BRAM_PRIMITIVE = B16_S36_S36) generate
component RAMB16_S36_S36
port (DIA : in STD_LOGIC_VECTOR (31 downto 0);
DIB : in STD_LOGIC_VECTOR (31 downto 0);
DIPA : in STD_LOGIC_VECTOR (3 downto 0);
DIPB : in STD_LOGIC_VECTOR (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (8 downto 0);
ADDRB : in STD_LOGIC_VECTOR (8 downto 0);
DOA : out STD_LOGIC_VECTOR (31 downto 0);
DOB : out STD_LOGIC_VECTOR (31 downto 0);
DOPA : out STD_LOGIC_VECTOR (3 downto 0);
DOPB : out STD_LOGIC_VECTOR (3 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 9; -- 512 deep
Constant PRIM_PDBUS_WIDTH : integer := 4; -- 4 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 32; -- 4 parity data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_512x32 : RAMB16_S36_S36
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S36_S36;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 1024 x 18 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S18_S18 : if (BRAM_PRIMITIVE = B16_S18_S18) generate
component RAMB16_S18_S18
port (DIA : in STD_LOGIC_VECTOR (15 downto 0);
DIB : in STD_LOGIC_VECTOR (15 downto 0);
DIPA : in STD_LOGIC_VECTOR (1 downto 0);
DIPB : in STD_LOGIC_VECTOR (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (9 downto 0);
ADDRB : in STD_LOGIC_VECTOR (9 downto 0);
DOA : out STD_LOGIC_VECTOR (15 downto 0);
DOB : out STD_LOGIC_VECTOR (15 downto 0);
DOPA : out STD_LOGIC_VECTOR (1 downto 0);
DOPB : out STD_LOGIC_VECTOR (1 downto 0)
);
end component;
Constant PRIM_ADDR_WIDTH : integer := 10; -- 1024 deep
Constant PRIM_PDBUS_WIDTH : integer := 2; -- 2 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 16; -- 16 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_1024x18 : RAMB16_S18_S18
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S18_S18;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 2048 x 9 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S9_S9 : if (BRAM_PRIMITIVE = B16_S9_S9) generate
component RAMB16_S9_S9
port (
DIA : in std_logic_vector (7 downto 0);
DIB : in std_logic_vector (7 downto 0);
DIPA : in std_logic_vector (0 downto 0);
DIPB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (10 downto 0);
ADDRB : in std_logic_vector (10 downto 0);
DOA : out std_logic_vector (7 downto 0);
DOB : out std_logic_vector (7 downto 0);
DOPA : out std_logic_vector (0 downto 0);
DOPB : out std_logic_vector (0 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 11; -- 2048 deep
Constant PRIM_PDBUS_WIDTH : integer := 1; -- 1 parity data bit
Constant PRIM_DBUS_WIDTH : integer := 8; -- 8 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_2048x9 : RAMB16_S9_S9
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S9_S9;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 4096 x 4 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S4_S4 : if (BRAM_PRIMITIVE = B16_S4_S4) generate
component RAMB16_S4_S4
port (
DIA : in std_logic_vector (3 downto 0);
DIB : in std_logic_vector (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (11 downto 0);
ADDRB : in std_logic_vector (11 downto 0);
DOA : out std_logic_vector (3 downto 0);
DOB : out std_logic_vector (3 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 12; -- 4096 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 4; -- 4 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_4096x4 : RAMB16_S4_S4
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S4_S4;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 8192 x 2 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S2_S2 : if (BRAM_PRIMITIVE = B16_S2_S2) generate
component RAMB16_S2_S2
port (
DIA : in std_logic_vector (1 downto 0);
DIB : in std_logic_vector (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (12 downto 0);
ADDRB : in std_logic_vector (12 downto 0);
DOA : out std_logic_vector (1 downto 0);
DOB : out std_logic_vector (1 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 13; -- 8192 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 2; -- 2 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_8192x2 : RAMB16_S2_S2
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S2_S2;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 16384 x 1 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S1_S1 : if (BRAM_PRIMITIVE = B16_S1_S1) generate
component RAMB16_S1_S1
port (
DIA : in std_logic_vector (0 downto 0);
DIB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (13 downto 0);
ADDRB : in std_logic_vector (13 downto 0);
DOA : out std_logic_vector (0 downto 0);
DOB : out std_logic_vector (0 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 14; -- 16384 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 1; -- 1 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_16384x1 : RAMB16_S1_S1
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S1_S1;
--==========================================================================
-- End of Virtex-II and Virtex-II Pro support
--///////////////////////////////////////////////////////////////////////////
--///////////////////////////////////////////////////////////////////////////
-- Start Spartan-II, Spartan-IIE, Virtex, and VirtexE support
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 4096 x 1 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S1_S1 : if (BRAM_PRIMITIVE = B4_S1_S1) generate
component RAMB4_S1_S1
port (
DIA : in std_logic_vector (0 downto 0);
DIB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (11 downto 0);
ADDRB : in std_logic_vector (11 downto 0);
DOA : out std_logic_vector (0 downto 0);
DOB : out std_logic_vector (0 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 12; -- 4096 deep
Constant PRIM_DBUS_WIDTH : integer := 1; -- 1 data bit
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_4096x1 : RAMB4_S1_S1
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S1_S1;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 2048 x 2 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S2_S2 : if (BRAM_PRIMITIVE = B4_S2_S2) generate
component RAMB4_S2_S2
port (
DIA : in std_logic_vector (1 downto 0);
DIB : in std_logic_vector (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (10 downto 0);
ADDRB : in std_logic_vector (10 downto 0);
DOA : out std_logic_vector (1 downto 0);
DOB : out std_logic_vector (1 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 11; -- 2048 deep
Constant PRIM_DBUS_WIDTH : integer := 2; -- 2 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_2048x2 : RAMB4_S2_S2
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S2_S2;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 1024 x 4 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S4_S4 : if (BRAM_PRIMITIVE = B4_S4_S4) generate
component RAMB4_S4_S4
port (
DIA : in std_logic_vector (3 downto 0);
DIB : in std_logic_vector (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (9 downto 0);
ADDRB : in std_logic_vector (9 downto 0);
DOA : out std_logic_vector (3 downto 0);
DOB : out std_logic_vector (3 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 10; -- 1024 deep
Constant PRIM_DBUS_WIDTH : integer := 4; -- 4 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_1024x4 : RAMB4_S4_S4
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S4_S4;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 512 x 8 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S8_S8 : if (BRAM_PRIMITIVE = B4_S8_S8) generate
component RAMB4_S8_S8
port (
DIA : in std_logic_vector (7 downto 0);
DIB : in std_logic_vector (7 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (8 downto 0);
ADDRB : in std_logic_vector (8 downto 0);
DOA : out std_logic_vector (7 downto 0);
DOB : out std_logic_vector (7 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 9; -- 512 deep
Constant PRIM_DBUS_WIDTH : integer := 8; -- 8 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_512x8 : RAMB4_S8_S8
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S8_S8;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 256 x 16 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S16_S16 : if (BRAM_PRIMITIVE = B4_S16_S16) generate
component RAMB4_S16_S16
port (DIA : in STD_LOGIC_VECTOR (15 downto 0);
DIB : in STD_LOGIC_VECTOR (15 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (7 downto 0);
ADDRB : in STD_LOGIC_VECTOR (7 downto 0);
DOA : out STD_LOGIC_VECTOR (15 downto 0);
DOB : out STD_LOGIC_VECTOR (15 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 8; -- 256 deep
Constant PRIM_DBUS_WIDTH : integer := 16; -- 16 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_256x16 : RAMB4_S16_S16
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S16_S16;
--==========================================================================
UNSUPPORTED_FAMILY : if (BRAM_PRIMITIVE = indeterminate) generate
begin
-- assert (false)
-- report "Unsupported Part Family Selected or FIFO Depth/Width is invalid!"
-- severity failure;
--
end generate UNSUPPORTED_FAMILY;
end architecture implementation;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/platforms/xilinx/smp3_opbhwti/design/pcores/ipif_common_v1_00_d/hdl/vhdl/interrupt_control.vhd | 3 | 37168 | -------------------------------------------------------------------------------
-- $Id: interrupt_control.vhd,v 1.2 2003/05/07 22:45:11 ostlerf Exp $
-------------------------------------------------------------------------------
--interrupt_control.vhd version v1.00b
-------------------------------------------------------------------------------
--
-- ****************************
-- ** Copyright Xilinx, Inc. **
-- ** All rights reserved. **
-- ****************************
--
-------------------------------------------------------------------------------
-- Filename: interrupt_control.vhd
--
-- Description: This VHDL design file is the parameterized interrupt control
-- module for the ipif which permits parameterizing 1 or 2 levels
-- of interrupt registers.
--
--
--
-------------------------------------------------------------------------------
-- Structure:
--
-- interrupt_control.vhd
--
--
-------------------------------------------------------------------------------
-- Author: Doug Thorpe
--
-- History:
-- Doug Thorpe Aug 16, 2001 -- V1.00a (initial release)
-- Mike Lovejoy Oct 9, 2001 -- V1.01a
-- Added parameter C_INCLUDE_DEV_ISC to remove Device ISC.
-- When one source of interrupts Device ISC is redundant and
-- can be eliminated to reduce LUT count. When 7 interrupts
-- are included, the LUT count is reduced from 49 to 17.
-- Also removed the "wrapper" which required redefining
-- ports and generics herein.
--
-- det Feb-19-02
-- - Added additional selections of input processing on the IP
-- interrupt inputs. This was done by replacing the
-- C_IP_IRPT_NUM Generic with an unconstrained input array
-- of integers selecting the type of input processing for each
-- bit.
--
-- det Mar-22-02
-- - Corrected a reset problem with pos edge detect interrupt
-- input processing (a high on the input when recovering from
-- reset caused an eroneous interrupt to be latched in the IP_
-- ISR reg.
--
-- blt Nov-18-02 -- V1.01b
-- - Updated library and use statements to use ipif_common_v1_00_b
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
--
--
-------------------------------------------------------------------------------
-- Special information
--
-- The input Generic C_IP_INTR_MODE_ARRAY is an unconstrained array
-- of integers. The number of entries specifies how many IP interrupts
-- are to be processed. Each entry in the array specifies the type of input
-- processing for each IP interrupt input. The following table
-- lists the defined values for entries in the array:
--
-- 1 = Level Pass through (non-inverted input)
-- 2 = Level Pass through (invert input)
-- 3 = Registered Level (non-inverted input)
-- 4 = Registered Level (inverted input)
-- 5 = Rising Edge Detect (non-inverted input)
-- 6 = Falling Edge Detect (non-inverted input)
--
-------------------------------------------------------------------------------
-- Library definitions
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all; -- need 'conv_std_logic_vector' conversion function
library proc_common_v1_00_b;
Use proc_common_v1_00_b.proc_common_pkg.all;
library ipif_common_v1_00_d;
use ipif_common_v1_00_d.ipif_pkg.all;
use ipif_common_v1_00_d.all;
----------------------------------------------------------------------
entity interrupt_control is
Generic(
C_INTERRUPT_REG_NUM : INTEGER := 16;
-- Number of IPIF Interrupt sources (not including IP or the
-- two latched IPIF ISR inputs)
C_NUM_IPIF_IRPT_SRC : INTEGER := 4;
C_IP_INTR_MODE_ARRAY : INTEGER_ARRAY_TYPE :=
(
1, -- pass through (non-inverting)
2, -- pass through (inverting)
3, -- registered level (non-inverting)
4, -- registered level (inverting)
5, -- positive edge detect
6 -- negative edge detect
);
C_INCLUDE_DEV_PENCODER : BOOLEAN := true;-- Specifies device Priority Encoder function
C_INCLUDE_DEV_ISC : Boolean := true; -- Specifies device ISC hierarchy
--Exclusion of Device ISC requires exclusion of Priority encoder
C_IRPT_DBUS_WIDTH : INTEGER := 32
);
port(
-- Inputs From the IPIF Bus
Bus2IP_Clk_i : In std_logic; -- Master timing clock from the IPIF
Bus2IP_Data_sa : In std_logic_vector(0 to C_IRPT_DBUS_WIDTH-1);
Bus2IP_RdReq_sa : In std_logic;
Bus2IP_Reset_i : In std_logic; -- Master Reset from the IPIF reset block
Bus2IP_WrReq_sa : In std_logic;
Interrupt_RdCE : In std_logic_vector(0 to C_INTERRUPT_REG_NUM-1);
Interrupt_WrCE : In std_logic_vector(0 to C_INTERRUPT_REG_NUM-1);
IPIF_Reg_Interrupts : In std_logic_vector(0 to 1);
-- Interrupt inputs from the IPIF sources that will get registered in this design
IPIF_Lvl_Interrupts : In std_logic_vector(0 to C_NUM_IPIF_IRPT_SRC-1);
-- Level Interrupt inputs from the IPIF sources
-- Inputs from the IP Interface
IP2Bus_IntrEvent : In std_logic_vector(0 to C_IP_INTR_MODE_ARRAY'length-1);
-- Interrupt inputs from the IP
-- Final Device Interrupt Output
Intr2Bus_DevIntr : Out std_logic;
-- Device interrupt output to the Master Interrupt Controller
-- Status Reply Outputs to the Bus
Intr2Bus_DBus : Out std_logic_vector(0 to C_IRPT_DBUS_WIDTH-1);
Intr2Bus_WrAck : Out std_logic;
Intr2Bus_RdAck : Out std_logic;
Intr2Bus_Error : Out std_logic;
Intr2Bus_Retry : Out std_logic;
Intr2Bus_ToutSup : Out std_logic
);
end interrupt_control ;
-------------------------------------------------------------------------------
architecture implementation of interrupt_control is
--TYPES
-- no Types
-- CONSTANTS
-- general use constants
Constant LOGIC_LOW : std_logic := '0';
Constant LOGIC_HIGH : std_logic := '1';
-- Chip Enable Selection mapping (applies to RdCE and WrCE inputs)
Constant DEVICE_ISR : integer range 0 to 15 := 0;
Constant DEVICE_IPR : integer range 0 to 15 := 1;
Constant DEVICE_IER : integer range 0 to 15 := 2;
Constant DEVICE_IAR : integer range 0 to 15 := 3;
Constant DEVICE_SIE : integer range 0 to 15 := 4;
Constant DEVICE_CIE : integer range 0 to 15 := 5;
Constant DEVICE_IIR : integer range 0 to 15 := 6;
Constant DEVICE_GIE : integer range 0 to 15 := 7;
Constant IP_ISR : integer range 0 to 15 := 8;
Constant IP_IPR : integer range 0 to 15 := 9;
Constant IP_IER : integer range 0 to 15 := 10;
Constant IP_IAR : integer range 0 to 15 := 11;
Constant IP_SIE : integer range 0 to 15 := 12;
Constant IP_CIE : integer range 0 to 15 := 13;
Constant IP_IIR : integer range 0 to 15 := 14;
Constant IP_GIE : integer range 0 to 15 := 15;
-- Generic to constant mapping
Constant IRPT_DBUS_WIDTH : Integer := C_IRPT_DBUS_WIDTH - 1;
Constant IP_IRPT_HIGH_INDEX : Integer := C_IP_INTR_MODE_ARRAY'length - 1;
Constant IPIF_IRPT_HIGH_INDEX : Integer := C_NUM_IPIF_IRPT_SRC + 2;
-- (2 level + 1 IP + Number of latched inputs) - 1
Constant IPIF_LVL_IRPT_HIGH_INDEX : Integer := C_NUM_IPIF_IRPT_SRC - 1;
-- Priority encoder support constants
Constant PRIORITY_ENC_WIDTH : Integer := 8; -- bits
Constant NO_INTR_VALUE : Integer := 128;
-- no interrupt pending code = "10000000"
--INTERNAL SIGNALS
Signal trans_reg_irpts : std_logic_vector(1 downto 0);
Signal trans_lvl_irpts : std_logic_vector(IPIF_LVL_IRPT_HIGH_INDEX downto 0);
Signal trans_ip_irpts : std_logic_vector(IP_IRPT_HIGH_INDEX downto 0);
Signal edgedtct_ip_irpts : std_logic_vector(0 to IP_IRPT_HIGH_INDEX);
signal irpt_read_data : std_logic_vector(IRPT_DBUS_WIDTH downto 0);
Signal irpt_rdack : std_logic;
Signal irpt_wrack : std_logic;
signal ip_irpt_status_reg : std_logic_vector(IP_IRPT_HIGH_INDEX downto 0);
signal ip_irpt_enable_reg : std_logic_vector(IP_IRPT_HIGH_INDEX downto 0);
signal ip_irpt_pending_value : std_logic_vector(IP_IRPT_HIGH_INDEX downto 0);
Signal ip_interrupt_or : std_logic;
signal ipif_irpt_status_reg : std_logic_vector(1 downto 0);
signal ipif_irpt_status_value : std_logic_vector(IPIF_IRPT_HIGH_INDEX downto 0);
signal ipif_irpt_enable_reg : std_logic_vector(IPIF_IRPT_HIGH_INDEX downto 0);
signal ipif_irpt_pending_value : std_logic_vector(IPIF_IRPT_HIGH_INDEX downto 0);
Signal ipif_glbl_irpt_enable_reg : std_logic;
Signal ipif_interrupt : std_logic;
Signal ipif_interrupt_or : std_logic;
Signal ipif_pri_encode_present : std_logic;
Signal ipif_priority_encode_value : std_logic_vector(PRIORITY_ENC_WIDTH-1 downto 0);
--------------------------------------------------------------------------------------------------------------
-------------------------------------- start architecture logic -------------------------------------------------
begin
-- Misc I/O and Signal assignments
Intr2Bus_DevIntr <= ipif_interrupt;
Intr2Bus_RdAck <= irpt_rdack;
Intr2Bus_WrAck <= irpt_wrack;
Intr2Bus_Error <= LOGIC_LOW;
Intr2Bus_Retry <= LOGIC_LOW;
Intr2Bus_ToutSup <= LOGIC_LOW;
----------------------------------------------------------------------------------------------------------------
--- IP Interrupt processing start
------------------------------------------------------------------------------------------
-- Convert Little endian register to big endian data bus
------------------------------------------------------------------------------------------
LITTLE_TO_BIG : process (irpt_read_data)
Begin
for k in 0 to IRPT_DBUS_WIDTH loop
Intr2Bus_DBus(IRPT_DBUS_WIDTH-k) <= irpt_read_data(k); -- Convert to Big-Endian Data Bus
End loop;
End process; -- LITTLE_TO_BIG
------------------------------------------------------------------------------------------
-- Convert big endian interrupt inputs to Little endian registers
------------------------------------------------------------------------------------------
BIG_TO_LITTLE : process (IPIF_Reg_Interrupts, IPIF_Lvl_Interrupts, edgedtct_ip_irpts)
Begin
for i in 0 to 1 loop
trans_reg_irpts(i) <= IPIF_Reg_Interrupts(i); -- Convert to Little-Endian format
End loop;
for j in 0 to IPIF_LVL_IRPT_HIGH_INDEX loop
trans_lvl_irpts(j) <= IPIF_Lvl_Interrupts(j); -- Convert to Little-Endian format
End loop;
for k in 0 to IP_IRPT_HIGH_INDEX loop
trans_ip_irpts(k) <= edgedtct_ip_irpts(k); -- Convert to Little-Endian format
End loop;
End process; -- BIG_TO_LITTLE
------------------------------------------------------------------------------------------
-- Implement the IP Interrupt Input Processing
------------------------------------------------------------------------------------------
DO_IRPT_INPUT: for irpt_index in 0 to IP_IRPT_HIGH_INDEX generate
GEN_NON_INVERT_PASS_THROUGH : if (C_IP_INTR_MODE_ARRAY(irpt_index) = 1 or
C_IP_INTR_MODE_ARRAY(irpt_index) = 3) generate
edgedtct_ip_irpts(irpt_index) <= IP2Bus_IntrEvent(irpt_index);
end generate GEN_NON_INVERT_PASS_THROUGH;
GEN_INVERT_PASS_THROUGH : if (C_IP_INTR_MODE_ARRAY(irpt_index) = 2 or
C_IP_INTR_MODE_ARRAY(irpt_index) = 4) generate
edgedtct_ip_irpts(irpt_index) <= not(IP2Bus_IntrEvent(irpt_index));
end generate GEN_INVERT_PASS_THROUGH;
GEN_POS_EDGE_DETECT : if (C_IP_INTR_MODE_ARRAY(irpt_index) = 5) generate
Signal irpt_dly1 : std_logic;
Signal irpt_dly2 : std_logic;
begin
REG_THE_IRPTS : process (Bus2IP_Clk_i)
begin
If (Bus2IP_Clk_i'EVENT and Bus2IP_Clk_i = '1') Then
If (Bus2IP_Reset_i = '1') Then
irpt_dly1 <= '1'; -- setting to '1' protects reset transition
irpt_dly2 <= '1'; -- where interrupt inputs are preset high
Else
irpt_dly1 <= IP2Bus_IntrEvent(irpt_index);
irpt_dly2 <= irpt_dly1;
End if;
else
null;
End if;
End process; -- REG_THE_IRPTS
-- now detect rising edge
edgedtct_ip_irpts(irpt_index) <= irpt_dly1 and not(irpt_dly2);
end generate GEN_POS_EDGE_DETECT;
GEN_NEG_EDGE_DETECT : if (C_IP_INTR_MODE_ARRAY(irpt_index) = 6) generate
Signal irpt_dly1 : std_logic;
Signal irpt_dly2 : std_logic;
begin
REG_THE_IRPTS : process (Bus2IP_Clk_i)
begin
If (Bus2IP_Clk_i'EVENT and Bus2IP_Clk_i = '1') Then
If (Bus2IP_Reset_i = '1') Then
irpt_dly1 <= '0';
irpt_dly2 <= '0';
Else
irpt_dly1 <= IP2Bus_IntrEvent(irpt_index);
irpt_dly2 <= irpt_dly1;
End if;
else
null;
End if;
End process; -- REG_THE_IRPTS
edgedtct_ip_irpts(irpt_index) <= not(irpt_dly1) and irpt_dly2;
end generate GEN_NEG_EDGE_DETECT;
GEN_INVALID_TYPE : if (C_IP_INTR_MODE_ARRAY(irpt_index) > 6 ) generate
edgedtct_ip_irpts(irpt_index) <= '0'; -- Don't use input
end generate GEN_INVALID_TYPE;
End generate DO_IRPT_INPUT;
-- Generate the IP Interrupt Status register
GEN_IP_IRPT_STATUS_REG : for irpt_index in 0 to IP_IRPT_HIGH_INDEX generate
GEN_REG_STATUS : if (C_IP_INTR_MODE_ARRAY(irpt_index) > 2) generate
DO_STATUS_BIT : process (Bus2IP_Clk_i)
Begin
if (Bus2IP_Clk_i'event and Bus2IP_Clk_i = '1') Then
If (Bus2IP_Reset_i = '1') Then
ip_irpt_status_reg(irpt_index) <= '0';
elsif (Interrupt_WrCE(IP_ISR) = '1') Then -- toggle selected ISR bits from the DBus inputs
ip_irpt_status_reg(irpt_index) <=
(Bus2IP_Data_sa(IRPT_DBUS_WIDTH-irpt_index) xor -- toggle bits on write of '1'
ip_irpt_status_reg(irpt_index)) or -- but don't miss interrupts coming
trans_ip_irpts(irpt_index); -- in on non-cleared interrupt bits
else
ip_irpt_status_reg(irpt_index) <=
ip_irpt_status_reg(irpt_index) or
trans_ip_irpts(irpt_index); -- latch and hold input interrupt bits
End if;
Else
null;
End if;
End process; -- DO_STATUS_BIT
End generate GEN_REG_STATUS;
GEN_PASS_THROUGH_STATUS : if (C_IP_INTR_MODE_ARRAY(irpt_index) = 1 or
C_IP_INTR_MODE_ARRAY(irpt_index) = 2) generate
ip_irpt_status_reg(irpt_index) <= trans_ip_irpts(irpt_index);
End generate GEN_PASS_THROUGH_STATUS;
End generate GEN_IP_IRPT_STATUS_REG;
------------------------------------------------------------------------------------------
-- Implement the IP Interrupt Enable Register Write and Clear Functions
------------------------------------------------------------------------------------------
DO_IP_IRPT_ENABLE_REG : process (Bus2IP_Clk_i)
Begin
if (Bus2IP_Clk_i'event and Bus2IP_Clk_i = '1') Then
If (Bus2IP_Reset_i = '1') Then
ip_irpt_enable_reg <= (others => '0');
elsif (Interrupt_WrCE(IP_IER) = '1') Then -- load input data from the DBus inputs
ip_irpt_enable_reg <= Bus2IP_Data_sa(IRPT_DBUS_WIDTH-IP_IRPT_HIGH_INDEX
to IRPT_DBUS_WIDTH);
else
null; -- no change
End if;
Else
null;
End if;
End process; -- DO_IP_IRPT_ENABLE_REG
------------------------------------------------------------------------------------------
-- Implement the IP Interrupt Enable/Masking function
------------------------------------------------------------------------------------------
DO_IP_INTR_ENABLE : process (ip_irpt_status_reg, ip_irpt_enable_reg)
Begin
for i in 0 to IP_IRPT_HIGH_INDEX loop
ip_irpt_pending_value(i) <= ip_irpt_status_reg(i) and
ip_irpt_enable_reg(i); -- enable/mask interrupt bits
End loop;
End process; -- DO_IP_INTR_ENABLE
------------------------------------------------------------------------------------------
-- Implement the IP Interrupt 'OR' Functions
------------------------------------------------------------------------------------------
DO_IP_INTR_OR : process (ip_irpt_pending_value)
Variable ip_loop_or : std_logic;
Begin
ip_loop_or := '0';
for i in 0 to IP_IRPT_HIGH_INDEX loop
ip_loop_or := ip_loop_or or ip_irpt_pending_value(i);
End loop;
ip_interrupt_or <= ip_loop_or;
End process; -- DO_IP_INTR_OR
--------------------------------------------------------------------------------------------
--- IP Interrupt processing end
--------------------------------------------------------------------------------------------
--==========================================================================================
Include_Device_ISC_generate: if(C_INCLUDE_DEV_ISC) generate
begin
--------------------------------------------------------------------------------------------
--- IPIF Interrupt processing Start
--------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------
-- Implement the IPIF Interrupt Status Register Write and Clear Functions
-- This is only 2 bits wide (the only inputs latched at this level...the others just flow
-- through)
------------------------------------------------------------------------------------------
DO_IPIF_IRPT_STATUS_REG : process (Bus2IP_Clk_i)
Begin
if (Bus2IP_Clk_i'event and Bus2IP_Clk_i = '1') Then
If (Bus2IP_Reset_i = '1') Then
ipif_irpt_status_reg <= (others => '0');
elsif (Interrupt_WrCE(DEVICE_ISR) = '1') Then -- load input data from the DBus inputs
for i in 0 to 1 loop
ipif_irpt_status_reg(i) <= (Bus2IP_Data_sa(IRPT_DBUS_WIDTH-i) xor -- toggle bits on write of '1'
ipif_irpt_status_reg(i)) or -- but don't miss interrupts coming
trans_reg_irpts(i); -- in on non-cleared interrupt bits
End loop;
else
for i in 0 to 1 loop
ipif_irpt_status_reg(i) <= ipif_irpt_status_reg(i) or trans_reg_irpts(i);
-- latch and hold asserted interrupts
End loop;
End if;
Else
null;
End if;
End process; -- DO_IPIF_IRPT_STATUS_REG
DO_IPIF_IRPT_STATUS_VALUE : process (ipif_irpt_status_reg, trans_lvl_irpts, ip_interrupt_or)
Begin
ipif_irpt_status_value(1 downto 0) <= ipif_irpt_status_reg;
ipif_irpt_status_value(2) <= ip_interrupt_or;
for i in 3 to IPIF_IRPT_HIGH_INDEX loop
ipif_irpt_status_value(i) <= trans_lvl_irpts(i-3);
End loop;
End process; -- DO_IPIF_IRPT_STATUS_VALUE
------------------------------------------------------------------------------------------
-- Implement the IPIF Interrupt Enable Register Write and Clear Functions
------------------------------------------------------------------------------------------
DO_IPIF_IRPT_ENABLE_REG : process (Bus2IP_Clk_i)
Begin
if (Bus2IP_Clk_i'event and Bus2IP_Clk_i = '1') Then
If (Bus2IP_Reset_i = '1') Then
ipif_irpt_enable_reg <= (others => '0');
elsif (Interrupt_WrCE(DEVICE_IER) = '1') Then -- load input data from the DBus inputs
ipif_irpt_enable_reg <= Bus2IP_Data_sa(IRPT_DBUS_WIDTH-IPIF_IRPT_HIGH_INDEX to IRPT_DBUS_WIDTH);
else
null; -- no change
End if;
Else
null;
End if;
End process; -- DO_IPIF_IRPT_ENABLE_REG
------------------------------------------------------------------------------------------
-- Implement the IPIF Interrupt Enable/Masking function
------------------------------------------------------------------------------------------
DO_IPIF_INTR_ENABLE : process (ipif_irpt_status_value, ipif_irpt_enable_reg)
Begin
for i in 0 to IPIF_IRPT_HIGH_INDEX loop
ipif_irpt_pending_value(i) <= ipif_irpt_status_value(i) and ipif_irpt_enable_reg(i); -- enable/mask interrupt bits
End loop;
End process; -- DO_IPIF_INTR_ENABLE
end generate Include_Device_ISC_generate;
Initialize_when_not_include_Device_ISC_generate: if(not(C_INCLUDE_DEV_ISC)) generate
begin
ipif_irpt_status_reg <= (others => '0');
ipif_irpt_status_value <= (others => '0');
ipif_irpt_enable_reg <= (others => '0');
ipif_irpt_pending_value <= (others => '0');
end generate Initialize_when_not_include_Device_ISC_generate;
------------------------------------------------------------------------------------------
-- Implement the IPIF Interrupt Master Enable Register Write and Clear Functions
------------------------------------------------------------------------------------------
DO_IPIF_IRPT_MASTER_ENABLE : process (Bus2IP_Clk_i)
Begin
if (Bus2IP_Clk_i'event and Bus2IP_Clk_i = '1') Then
If (Bus2IP_Reset_i = '1') Then
ipif_glbl_irpt_enable_reg <= '0';
elsif (Interrupt_WrCE(DEVICE_GIE) = '1') Then -- load input data from the DBus inputs
ipif_glbl_irpt_enable_reg <= Bus2IP_Data_sa(0);
-- Enable bit is loaded from the DBus MSB
--Placed at bit-0 MSB by Glenn Baxter
else
null; -- no change
End if;
Else
null;
End if;
End process; -- DO_IPIF_IRPT_MASTER_ENABLE
INCLUDE_DEV_PRIORITY_ENCODER : if (C_INCLUDE_DEV_PENCODER = True) generate
------------------------------------------------------------------------------------------
-- Implement the IPIF Interrupt Priority Encoder Function on the Interrupt Pending Value
-- Loop from Interrupt LSB to MSB, retaining the position of the last interrupt detected.
-- This method implies a positional priority of MSB to LSB.
------------------------------------------------------------------------------------------
ipif_pri_encode_present <= '1';
DO_PRIORITY_ENCODER : process (ipif_irpt_pending_value)
Variable irpt_position : Integer;
Variable irpt_detected : Boolean;
Variable loop_count : integer;
Begin
loop_count := IPIF_IRPT_HIGH_INDEX + 1;
irpt_position := 0;
irpt_detected := FALSE;
-- Search through the pending interrupt values starting with the MSB
while (loop_count > 0) loop
If (ipif_irpt_pending_value(loop_count-1) = '1') Then
irpt_detected := TRUE;
irpt_position := loop_count-1;
else
null; -- do nothing
End if;
loop_count := loop_count - 1;
End loop;
-- now assign the encoder output value to the bit position of the last interrupt encountered
If (irpt_detected) Then
ipif_priority_encode_value <= conv_std_logic_vector(irpt_position, PRIORITY_ENC_WIDTH);
ipif_interrupt_or <= '1'; -- piggy-back off of this function for the "OR" function
else
ipif_priority_encode_value <= conv_std_logic_vector(NO_INTR_VALUE, PRIORITY_ENC_WIDTH);
ipif_interrupt_or <= '0';
End if;
End process; -- DO_PRIORITY_ENCODER
end generate INCLUDE_DEV_PRIORITY_ENCODER;
DELETE_DEV_PRIORITY_ENCODER : if (C_INCLUDE_DEV_PENCODER = False) generate
ipif_pri_encode_present <= '0';
ipif_priority_encode_value <= (others => '0');
------------------------------------------------------------------------------------------
-- Implement the IPIF Interrupt 'OR' Functions (used if priority encoder removed)
------------------------------------------------------------------------------------------
DO_IPIF_INTR_OR : process (ipif_irpt_pending_value)
Variable ipif_loop_or : std_logic;
Begin
ipif_loop_or := '0';
for i in 0 to IPIF_IRPT_HIGH_INDEX loop
ipif_loop_or := ipif_loop_or or ipif_irpt_pending_value(i);
End loop;
ipif_interrupt_or <= ipif_loop_or;
End process; -- DO_IPIF_INTR_OR
end generate DELETE_DEV_PRIORITY_ENCODER;
-------------------------------------------------------------------------------------------
-- Perform the final Master enable function on the 'ORed' interrupts
OR_operation_with_Dev_ISC_generate: if(C_INCLUDE_DEV_ISC) generate
begin
ipif_interrupt_PROCESS: process(ipif_interrupt_or, ipif_glbl_irpt_enable_reg)
begin
ipif_interrupt <= ipif_interrupt_or and ipif_glbl_irpt_enable_reg;
end process ipif_interrupt_PROCESS;
end generate OR_operation_with_Dev_ISC_generate;
OR_operation_withOUT_Dev_ISC_generate: if(not(C_INCLUDE_DEV_ISC)) generate
begin
ipif_interrupt_PROCESS: process(ip_interrupt_or, ipif_glbl_irpt_enable_reg)
begin
ipif_interrupt <= ip_interrupt_or and ipif_glbl_irpt_enable_reg;
end process ipif_interrupt_PROCESS;
end generate OR_operation_withOUT_Dev_ISC_generate;
-----------------------------------------------------------------------------------------------------------
--- IPIF Interrupt processing end
----------------------------------------------------------------------------------------------------------------
Include_Dev_ISC_WrAck_OR_generate: if(C_INCLUDE_DEV_ISC) generate
begin
GEN_WRITE_ACKNOWLEGDGE : process (Interrupt_WrCE)
Begin
irpt_wrack <= Interrupt_WrCE(DEVICE_ISR) or
Interrupt_WrCE(DEVICE_IER) or
Interrupt_WrCE(DEVICE_GIE) or
Interrupt_WrCE(IP_ISR) or
Interrupt_WrCE(IP_IER);
End process; -- GEN_WRITE_ACKNOWLEGDGE
end generate Include_Dev_ISC_WrAck_OR_generate;
Exclude_Dev_ISC_WrAck_OR_generate: if(not(C_INCLUDE_DEV_ISC)) generate
begin
GEN_WRITE_ACKNOWLEGDGE : process (Interrupt_WrCE)
Begin
irpt_wrack <= Interrupt_WrCE(DEVICE_GIE) or
Interrupt_WrCE(IP_ISR) or
Interrupt_WrCE(IP_IER);
End process; -- GEN_WRITE_ACKNOWLEGDGE
end generate Exclude_Dev_ISC_WrAck_OR_generate;
-----------------------------------------------------------------------------------------------------------
--- IPIF Bus Data Read Mux and Read Acknowledge generation
----------------------------------------------------------------------------------------------------------------
Include_Dev_ISC_RdAck_OR_generate: if(C_INCLUDE_DEV_ISC) generate
begin
GET_READ_DATA : process (Interrupt_RdCE, ip_irpt_status_reg, ip_irpt_enable_reg,
ipif_irpt_pending_value,
ipif_irpt_enable_reg,
ipif_pri_encode_present,
ipif_priority_encode_value,
ipif_irpt_status_value,
ipif_glbl_irpt_enable_reg)
Begin
irpt_read_data <= (others => '0'); -- default to driving zeroes
If (Interrupt_RdCE(IP_ISR) = '1') Then
for i in 0 to IP_IRPT_HIGH_INDEX loop
irpt_read_data(i) <= ip_irpt_status_reg(i); -- output IP interrupt status register values
End loop;
irpt_rdack <= '1'; -- set the acknowledge handshake
Elsif (Interrupt_RdCE(IP_IER) = '1') Then
for i in 0 to IP_IRPT_HIGH_INDEX loop
irpt_read_data(i) <= ip_irpt_enable_reg(i); -- output IP interrupt enable register values
End loop;
irpt_rdack <= '1'; -- set the acknowledge handshake
Elsif (Interrupt_RdCE(DEVICE_ISR) = '1') Then
for i in 0 to IPIF_IRPT_HIGH_INDEX loop
irpt_read_data(i) <= ipif_irpt_status_value(i); -- output IPIF status interrupt values
End loop;
irpt_rdack <= '1'; -- set the acknowledge handshake
Elsif (Interrupt_RdCE(DEVICE_IPR) = '1') Then
for i in 0 to IPIF_IRPT_HIGH_INDEX loop
irpt_read_data(i) <= ipif_irpt_pending_value(i); -- output IPIF pending interrupt values
End loop;
irpt_rdack <= '1'; -- set the acknowledge handshake
Elsif (Interrupt_RdCE(DEVICE_IER) = '1') Then
for i in 0 to IPIF_IRPT_HIGH_INDEX loop
irpt_read_data(i) <= ipif_irpt_enable_reg(i); -- output IPIF pending interrupt values
End loop;
irpt_rdack <= '1'; -- set the acknowledge handshake
Elsif (Interrupt_RdCE(DEVICE_IIR) = '1') Then
irpt_read_data(PRIORITY_ENC_WIDTH-1 downto 0) <= ipif_priority_encode_value; -- output IPIF pending interrupt values
irpt_rdack <= ipif_pri_encode_present; -- set the acknowledge handshake depending on
-- priority encoder presence
Elsif (Interrupt_RdCE(DEVICE_GIE) = '1') Then
irpt_read_data(IRPT_DBUS_WIDTH) <= ipif_glbl_irpt_enable_reg; -- output Global Enable Register value
irpt_rdack <= '1'; -- set the acknowledge handshake
else
irpt_rdack <= '0'; -- don't set the acknowledge handshake
End if;
End process; -- GET_READ_DATA
end generate Include_Dev_ISC_RdAck_OR_generate;
Exclude_Dev_ISC_RdAck_OR_generate: if(not(C_INCLUDE_DEV_ISC)) generate
begin
GET_READ_DATA : process (Interrupt_RdCE, ip_irpt_status_reg, ip_irpt_enable_reg,
ipif_glbl_irpt_enable_reg)
Begin
irpt_read_data <= (others => '0'); -- default to driving zeroes
If (Interrupt_RdCE(IP_ISR) = '1') Then
for i in 0 to IP_IRPT_HIGH_INDEX loop
irpt_read_data(i) <= ip_irpt_status_reg(i); -- output IP interrupt status register values
End loop;
irpt_rdack <= '1'; -- set the acknowledge handshake
Elsif (Interrupt_RdCE(IP_IER) = '1') Then
for i in 0 to IP_IRPT_HIGH_INDEX loop
irpt_read_data(i) <= ip_irpt_enable_reg(i); -- output IP interrupt enable register values
End loop;
irpt_rdack <= '1'; -- set the acknowledge handshake
Elsif (Interrupt_RdCE(DEVICE_GIE) = '1') Then
irpt_read_data(IRPT_DBUS_WIDTH) <= ipif_glbl_irpt_enable_reg; -- output Global Enable Register value
irpt_rdack <= '1'; -- set the acknowledge handshake
else
irpt_rdack <= '0'; -- don't set the acknowledge handshake
End if;
End process; -- GET_READ_DATA
end generate Exclude_Dev_ISC_RdAck_OR_generate;
end implementation;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/platforms/xilinx/smp3_opbhwti/design/pcores/plb_thread_manager_v1_00_a/hdl/vhdl/plb_thread_manager.vhd | 9 | 24206 | ------------------------------------------------------------------------------
-- plb_thread_manager.vhd - entity/architecture pair
------------------------------------------------------------------------------
-- IMPORTANT:
-- DO NOT MODIFY THIS FILE EXCEPT IN THE DESIGNATED SECTIONS.
--
-- SEARCH FOR --USER TO DETERMINE WHERE CHANGES ARE ALLOWED.
--
-- TYPICALLY, THE ONLY ACCEPTABLE CHANGES INVOLVE ADDING NEW
-- PORTS AND GENERICS THAT GET PASSED THROUGH TO THE INSTANTIATION
-- OF THE USER_LOGIC ENTITY.
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: plb_thread_manager.vhd
-- Version: 1.00.a
-- Description: Top level design, instantiates library components and user logic.
-- Date: Tue Apr 14 15:01:53 2009 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
use proc_common_v3_00_a.ipif_pkg.all;
library plbv46_slave_single_v1_01_a;
use plbv46_slave_single_v1_01_a.plbv46_slave_single;
library plb_thread_manager_v1_00_a;
use plb_thread_manager_v1_00_a.user_logic;
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_BASEADDR -- PLBv46 slave: base address
-- C_HIGHADDR -- PLBv46 slave: high address
-- C_SPLB_AWIDTH -- PLBv46 slave: address bus width
-- C_SPLB_DWIDTH -- PLBv46 slave: data bus width
-- C_SPLB_NUM_MASTERS -- PLBv46 slave: Number of masters
-- C_SPLB_MID_WIDTH -- PLBv46 slave: master ID bus width
-- C_SPLB_NATIVE_DWIDTH -- PLBv46 slave: internal native data bus width
-- C_SPLB_P2P -- PLBv46 slave: point to point interconnect scheme
-- C_SPLB_SUPPORT_BURSTS -- PLBv46 slave: support bursts
-- C_SPLB_SMALLEST_MASTER -- PLBv46 slave: width of the smallest master
-- C_SPLB_CLK_PERIOD_PS -- PLBv46 slave: bus clock in picoseconds
-- C_INCLUDE_DPHASE_TIMER -- PLBv46 slave: Data Phase Timer configuration; 0 = exclude timer, 1 = include timer
-- C_FAMILY -- Xilinx FPGA family
--
-- Definition of Ports:
-- SPLB_Clk -- PLB main bus clock
-- SPLB_Rst -- PLB main bus reset
-- PLB_ABus -- PLB address bus
-- PLB_UABus -- PLB upper address bus
-- PLB_PAValid -- PLB primary address valid indicator
-- PLB_SAValid -- PLB secondary address valid indicator
-- PLB_rdPrim -- PLB secondary to primary read request indicator
-- PLB_wrPrim -- PLB secondary to primary write request indicator
-- PLB_masterID -- PLB current master identifier
-- PLB_abort -- PLB abort request indicator
-- PLB_busLock -- PLB bus lock
-- PLB_RNW -- PLB read/not write
-- PLB_BE -- PLB byte enables
-- PLB_MSize -- PLB master data bus size
-- PLB_size -- PLB transfer size
-- PLB_type -- PLB transfer type
-- PLB_lockErr -- PLB lock error indicator
-- PLB_wrDBus -- PLB write data bus
-- PLB_wrBurst -- PLB burst write transfer indicator
-- PLB_rdBurst -- PLB burst read transfer indicator
-- PLB_wrPendReq -- PLB write pending bus request indicator
-- PLB_rdPendReq -- PLB read pending bus request indicator
-- PLB_wrPendPri -- PLB write pending request priority
-- PLB_rdPendPri -- PLB read pending request priority
-- PLB_reqPri -- PLB current request priority
-- PLB_TAttribute -- PLB transfer attribute
-- Sl_addrAck -- Slave address acknowledge
-- Sl_SSize -- Slave data bus size
-- Sl_wait -- Slave wait indicator
-- Sl_rearbitrate -- Slave re-arbitrate bus indicator
-- Sl_wrDAck -- Slave write data acknowledge
-- Sl_wrComp -- Slave write transfer complete indicator
-- Sl_wrBTerm -- Slave terminate write burst transfer
-- Sl_rdDBus -- Slave read data bus
-- Sl_rdWdAddr -- Slave read word address
-- Sl_rdDAck -- Slave read data acknowledge
-- Sl_rdComp -- Slave read transfer complete indicator
-- Sl_rdBTerm -- Slave terminate read burst transfer
-- Sl_MBusy -- Slave busy indicator
-- Sl_MWrErr -- Slave write error indicator
-- Sl_MRdErr -- Slave read error indicator
-- Sl_MIRQ -- Slave interrupt indicator
------------------------------------------------------------------------------
entity plb_thread_manager is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_BASEADDR : std_logic_vector := X"FFFFFFFF";
C_HIGHADDR : std_logic_vector := X"00000000";
C_SPLB_AWIDTH : integer := 32;
C_SPLB_DWIDTH : integer := 128;
C_SPLB_NUM_MASTERS : integer := 8;
C_SPLB_MID_WIDTH : integer := 3;
C_SPLB_NATIVE_DWIDTH : integer := 32;
C_SPLB_P2P : integer := 0;
C_SPLB_SUPPORT_BURSTS : integer := 0;
C_SPLB_SMALLEST_MASTER : integer := 32;
C_SPLB_CLK_PERIOD_PS : integer := 10000;
C_INCLUDE_DPHASE_TIMER : integer := 0;
C_FAMILY : string := "virtex5";
C_RESET_TIMEOUT : natural := 4096
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
SPLB_Clk : in std_logic;
SPLB_Rst : in std_logic;
PLB_ABus : in std_logic_vector(0 to 31);
PLB_UABus : in std_logic_vector(0 to 31);
PLB_PAValid : in std_logic;
PLB_SAValid : in std_logic;
PLB_rdPrim : in std_logic;
PLB_wrPrim : in std_logic;
PLB_masterID : in std_logic_vector(0 to C_SPLB_MID_WIDTH-1);
PLB_abort : in std_logic;
PLB_busLock : in std_logic;
PLB_RNW : in std_logic;
PLB_BE : in std_logic_vector(0 to C_SPLB_DWIDTH/8-1);
PLB_MSize : in std_logic_vector(0 to 1);
PLB_size : in std_logic_vector(0 to 3);
PLB_type : in std_logic_vector(0 to 2);
PLB_lockErr : in std_logic;
PLB_wrDBus : in std_logic_vector(0 to C_SPLB_DWIDTH-1);
PLB_wrBurst : in std_logic;
PLB_rdBurst : in std_logic;
PLB_wrPendReq : in std_logic;
PLB_rdPendReq : in std_logic;
PLB_wrPendPri : in std_logic_vector(0 to 1);
PLB_rdPendPri : in std_logic_vector(0 to 1);
PLB_reqPri : in std_logic_vector(0 to 1);
PLB_TAttribute : in std_logic_vector(0 to 15);
Sl_addrAck : out std_logic;
Sl_SSize : out std_logic_vector(0 to 1);
Sl_wait : out std_logic;
Sl_rearbitrate : out std_logic;
Sl_wrDAck : out std_logic;
Sl_wrComp : out std_logic;
Sl_wrBTerm : out std_logic;
Sl_rdDBus : out std_logic_vector(0 to C_SPLB_DWIDTH-1);
Sl_rdWdAddr : out std_logic_vector(0 to 3);
Sl_rdDAck : out std_logic;
Sl_rdComp : out std_logic;
Sl_rdBTerm : out std_logic;
Sl_MBusy : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MWrErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MRdErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MIRQ : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
-- DO NOT EDIT ABOVE THIS LINE ---------------------
Access_Intr : out std_logic;
Scheduler_Reset : out std_logic;
Scheduler_Reset_Done : in std_logic;
Semaphore_Reset : out std_logic;
Semaphore_Reset_Done : in std_logic;
SpinLock_Reset : out std_logic;
SpinLock_Reset_Done : in std_logic;
User_IP_Reset : out std_logic;
User_IP_Reset_Done : in std_logic;
Soft_Stop : out std_logic;
tm2sch_cpu_thread_id : out std_logic_vector(0 to 7);
tm2sch_opcode : out std_logic_vector(0 to 5);
tm2sch_data : out std_logic_vector(0 to 7);
tm2sch_request : out std_logic;
tm2sch_DOB : out std_logic_vector(0 to 31);
sch2tm_ADDRB : in std_logic_vector(0 to 8);
sch2tm_DIB : in std_logic_vector(0 to 31);
sch2tm_ENB : in std_logic;
sch2tm_WEB : in std_logic;
sch2tm_busy : in std_logic;
sch2tm_data : in std_logic_vector(0 to 7);
sch2tm_next_id : in std_logic_vector(0 to 7);
sch2tm_next_id_valid : in std_logic
);
attribute SIGIS : string;
attribute SIGIS of SPLB_Clk : signal is "CLK";
attribute SIGIS of SPLB_Rst : signal is "RST";
end entity plb_thread_manager;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of plb_thread_manager is
------------------------------------------
-- Array of base/high address pairs for each address range
------------------------------------------
constant ZERO_ADDR_PAD : std_logic_vector(0 to 31) := (others => '0');
constant USER_SLV_BASEADDR : std_logic_vector := C_BASEADDR;
constant USER_SLV_HIGHADDR : std_logic_vector := C_HIGHADDR;
constant IPIF_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE :=
(
ZERO_ADDR_PAD & USER_SLV_BASEADDR, -- user logic slave space base address
ZERO_ADDR_PAD & USER_SLV_HIGHADDR -- user logic slave space high address
);
------------------------------------------
-- Array of desired number of chip enables for each address range
------------------------------------------
constant USER_SLV_NUM_REG : integer := 1;
constant USER_NUM_REG : integer := USER_SLV_NUM_REG;
constant IPIF_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => pad_power2(USER_SLV_NUM_REG) -- number of ce for user logic slave space
);
------------------------------------------
-- Ratio of bus clock to core clock (for use in dual clock systems)
-- 1 = ratio is 1:1
-- 2 = ratio is 2:1
------------------------------------------
constant IPIF_BUS2CORE_CLK_RATIO : integer := 1;
------------------------------------------
-- Width of the slave data bus (32 only)
------------------------------------------
constant USER_SLV_DWIDTH : integer := C_SPLB_NATIVE_DWIDTH;
constant IPIF_SLV_DWIDTH : integer := C_SPLB_NATIVE_DWIDTH;
------------------------------------------
-- Index for CS/CE
------------------------------------------
constant USER_SLV_CS_INDEX : integer := 0;
constant USER_SLV_CE_INDEX : integer := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, USER_SLV_CS_INDEX);
constant USER_CE_INDEX : integer := USER_SLV_CE_INDEX;
------------------------------------------
-- IP Interconnect (IPIC) signal declarations
------------------------------------------
signal ipif_Bus2IP_Clk : std_logic;
signal ipif_Bus2IP_Reset : std_logic;
signal ipif_IP2Bus_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1);
signal ipif_IP2Bus_WrAck : std_logic;
signal ipif_IP2Bus_RdAck : std_logic;
signal ipif_IP2Bus_Error : std_logic;
signal ipif_Bus2IP_Addr : std_logic_vector(0 to C_SPLB_AWIDTH-1);
signal ipif_Bus2IP_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1);
signal ipif_Bus2IP_RNW : std_logic;
signal ipif_Bus2IP_BE : std_logic_vector(0 to IPIF_SLV_DWIDTH/8-1);
signal ipif_Bus2IP_CS : std_logic_vector(0 to ((IPIF_ARD_ADDR_RANGE_ARRAY'length)/2)-1);
signal ipif_Bus2IP_RdCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1);
signal ipif_Bus2IP_WrCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1);
signal user_Bus2IP_RdCE : std_logic_vector(0 to USER_NUM_REG-1);
signal user_Bus2IP_WrCE : std_logic_vector(0 to USER_NUM_REG-1);
signal user_IP2Bus_Data : std_logic_vector(0 to USER_SLV_DWIDTH-1);
signal user_IP2Bus_RdAck : std_logic;
signal user_IP2Bus_WrAck : std_logic;
signal user_IP2Bus_Error : std_logic;
begin
------------------------------------------
-- instantiate plbv46_slave_single
------------------------------------------
PLBV46_SLAVE_SINGLE_I : entity plbv46_slave_single_v1_01_a.plbv46_slave_single
generic map
(
C_ARD_ADDR_RANGE_ARRAY => IPIF_ARD_ADDR_RANGE_ARRAY,
C_ARD_NUM_CE_ARRAY => IPIF_ARD_NUM_CE_ARRAY,
C_SPLB_P2P => C_SPLB_P2P,
C_BUS2CORE_CLK_RATIO => IPIF_BUS2CORE_CLK_RATIO,
C_SPLB_MID_WIDTH => C_SPLB_MID_WIDTH,
C_SPLB_NUM_MASTERS => C_SPLB_NUM_MASTERS,
C_SPLB_AWIDTH => C_SPLB_AWIDTH,
C_SPLB_DWIDTH => C_SPLB_DWIDTH,
C_SIPIF_DWIDTH => IPIF_SLV_DWIDTH,
C_INCLUDE_DPHASE_TIMER => C_INCLUDE_DPHASE_TIMER,
C_FAMILY => C_FAMILY
)
port map
(
SPLB_Clk => SPLB_Clk,
SPLB_Rst => SPLB_Rst,
PLB_ABus => PLB_ABus,
PLB_UABus => PLB_UABus,
PLB_PAValid => PLB_PAValid,
PLB_SAValid => PLB_SAValid,
PLB_rdPrim => PLB_rdPrim,
PLB_wrPrim => PLB_wrPrim,
PLB_masterID => PLB_masterID,
PLB_abort => PLB_abort,
PLB_busLock => PLB_busLock,
PLB_RNW => PLB_RNW,
PLB_BE => PLB_BE,
PLB_MSize => PLB_MSize,
PLB_size => PLB_size,
PLB_type => PLB_type,
PLB_lockErr => PLB_lockErr,
PLB_wrDBus => PLB_wrDBus,
PLB_wrBurst => PLB_wrBurst,
PLB_rdBurst => PLB_rdBurst,
PLB_wrPendReq => PLB_wrPendReq,
PLB_rdPendReq => PLB_rdPendReq,
PLB_wrPendPri => PLB_wrPendPri,
PLB_rdPendPri => PLB_rdPendPri,
PLB_reqPri => PLB_reqPri,
PLB_TAttribute => PLB_TAttribute,
Sl_addrAck => Sl_addrAck,
Sl_SSize => Sl_SSize,
Sl_wait => Sl_wait,
Sl_rearbitrate => Sl_rearbitrate,
Sl_wrDAck => Sl_wrDAck,
Sl_wrComp => Sl_wrComp,
Sl_wrBTerm => Sl_wrBTerm,
Sl_rdDBus => Sl_rdDBus,
Sl_rdWdAddr => Sl_rdWdAddr,
Sl_rdDAck => Sl_rdDAck,
Sl_rdComp => Sl_rdComp,
Sl_rdBTerm => Sl_rdBTerm,
Sl_MBusy => Sl_MBusy,
Sl_MWrErr => Sl_MWrErr,
Sl_MRdErr => Sl_MRdErr,
Sl_MIRQ => Sl_MIRQ,
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Reset => ipif_Bus2IP_Reset,
IP2Bus_Data => ipif_IP2Bus_Data,
IP2Bus_WrAck => ipif_IP2Bus_WrAck,
IP2Bus_RdAck => ipif_IP2Bus_RdAck,
IP2Bus_Error => ipif_IP2Bus_Error,
Bus2IP_Addr => ipif_Bus2IP_Addr,
Bus2IP_Data => ipif_Bus2IP_Data,
Bus2IP_RNW => ipif_Bus2IP_RNW,
Bus2IP_BE => ipif_Bus2IP_BE,
Bus2IP_CS => ipif_Bus2IP_CS,
Bus2IP_RdCE => ipif_Bus2IP_RdCE,
Bus2IP_WrCE => ipif_Bus2IP_WrCE
);
------------------------------------------
-- instantiate User Logic
------------------------------------------
USER_LOGIC_I : entity plb_thread_manager_v1_00_a.user_logic
generic map
(
-- MAP USER GENERICS BELOW THIS LINE ---------------
--USER generics mapped here
-- MAP USER GENERICS ABOVE THIS LINE ---------------
C_SLV_DWIDTH => USER_SLV_DWIDTH,
C_NUM_REG => USER_NUM_REG,
C_RESET_TIMEOUT => C_RESET_TIMEOUT
)
port map
(
-- MAP USER PORTS BELOW THIS LINE ------------------
--USER ports mapped here
-- MAP USER PORTS ABOVE THIS LINE ------------------
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Reset => ipif_Bus2IP_Reset,
Bus2IP_Addr => ipif_Bus2IP_Addr,
Bus2IP_CS => ipif_Bus2IP_CS,
Bus2IP_RNW => ipif_Bus2IP_RNW,
Bus2IP_Data => ipif_Bus2IP_Data,
Bus2IP_BE => ipif_Bus2IP_BE,
Bus2IP_RdCE => user_Bus2IP_RdCE,
Bus2IP_WrCE => user_Bus2IP_WrCE,
IP2Bus_Data => user_IP2Bus_Data,
IP2Bus_RdAck => user_IP2Bus_RdAck,
IP2Bus_WrAck => user_IP2Bus_WrAck,
IP2Bus_Error => user_IP2Bus_Error,
Access_Intr => Access_Intr,
Scheduler_Reset => Scheduler_Reset,
Scheduler_Reset_Done => Scheduler_Reset_Done,
Semaphore_Reset => Semaphore_Reset,
Semaphore_Reset_Done => Semaphore_Reset_Done,
SpinLock_Reset => SpinLock_Reset,
SpinLock_Reset_Done => SpinLock_Reset_Done,
User_IP_Reset => User_IP_Reset,
User_IP_Reset_Done => User_IP_Reset_Done,
Soft_Stop => Soft_Stop,
tm2sch_cpu_thread_id => tm2sch_cpu_thread_id,
tm2sch_opcode => tm2sch_opcode,
tm2sch_data => tm2sch_data,
tm2sch_request => tm2sch_request,
tm2sch_DOB => tm2sch_DOB,
sch2tm_ADDRB => sch2tm_ADDRB,
sch2tm_DIB => sch2tm_DIB,
sch2tm_ENB => sch2tm_ENB,
sch2tm_WEB => sch2tm_WEB,
sch2tm_busy => sch2tm_busy,
sch2tm_data => sch2tm_data,
sch2tm_next_id => sch2tm_next_id,
sch2tm_next_id_valid => sch2tm_next_id_valid
);
------------------------------------------
-- connect internal signals
------------------------------------------
ipif_IP2Bus_Data <= user_IP2Bus_Data;
ipif_IP2Bus_WrAck <= user_IP2Bus_WrAck;
ipif_IP2Bus_RdAck <= user_IP2Bus_RdAck;
ipif_IP2Bus_Error <= user_IP2Bus_Error;
user_Bus2IP_RdCE <= ipif_Bus2IP_RdCE(USER_CE_INDEX to USER_CE_INDEX+USER_NUM_REG-1);
user_Bus2IP_WrCE <= ipif_Bus2IP_WrCE(USER_CE_INDEX to USER_CE_INDEX+USER_NUM_REG-1);
end IMP;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/hardware/XilinxProcessorIP/pcores/opb_ac97_v1_00_a/hdl/vhdl/opb_ac97.vhd | 4 | 10259 | -------------------------------------------------------------------------------
-- $Id: opb_ac97.vhd,v 1.1 2005/02/17 20:29:35 crh Exp $
-------------------------------------------------------------------------------
-- opb_ac97.vhd
-------------------------------------------------------------------------------
--
-- ****************************
-- ** Copyright Xilinx, Inc. **
-- ** All rights reserved. **
-- ****************************
--
-------------------------------------------------------------------------------
-- Filename: opb_ac97
--
-- Description: Provides an OPB interface to the ac97 fifo controller
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- ac97_fifo
-- ac97_core
-- ac97_timing
-- srl_fifo
--
-------------------------------------------------------------------------------
-- Author: Mike Wirthlin
-- Revision: $$
-- Date: $$
--
-- History:
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use ieee.numeric_std.all;
entity opb_ac97 is
generic (
C_OPB_AWIDTH : integer := 32;
C_OPB_DWIDTH : integer := 32;
C_BASEADDR : std_logic_vector(0 to 31) := X"FFFF_8000";
C_HIGHADDR : std_logic_vector := X"FFFF_80FF";
C_PLAYBACK : integer := 1;
C_RECORD : integer := 1;
-- C_GPOUT_DWIDTH : integer := 1;
-- value of 0,1,2,3,4
-- 0 = No Interrupt
-- 1 = empty
-- 2 = halfempty
-- 3 = halffull
-- 4 = full
C_INTR_LEVEL : integer := 1;
C_USE_BRAM : integer := 1
);
port (
OPB_ABus : in std_logic_vector(0 to C_OPB_AWIDTH-1);
OPB_BE : in std_logic_vector(0 to C_OPB_DWIDTH/8-1);
OPB_Clk : in std_logic;
OPB_DBus : in std_logic_vector(0 to C_OPB_DWIDTH-1);
OPB_RNW : in std_logic;
OPB_Rst : in std_logic;
OPB_select : in std_logic;
OPB_seqAddr : in std_logic;
Sln_DBus : out std_logic_vector(0 to C_OPB_DWIDTH-1);
Sln_errAck : out std_logic;
Sln_retry : out std_logic;
Sln_toutSup : out std_logic;
Sln_xferAck : out std_logic;
-- GPIO signals (Beep, reset, etc.)
-- AC97_GPOUT : out std_logic_vector(0 to C_GPOUT_DWIDTH-1);
-- Interrupt signals
Interrupt : out std_logic;
-- CODEC signals
Bit_Clk : in std_logic;
Sync : out std_logic;
SData_Out : out std_logic;
SData_In : in std_logic;
AC97Reset_n : out std_logic
);
attribute MIN_SIZE : string;
attribute MIN_SIZE of C_BASEADDR : constant is "0x100";
attribute SIGIS : string;
attribute SIGIS of OPB_Clk : signal is "Clk";
attribute SIGIS of OPB_Rst : signal is "Rst";
end entity opb_ac97;
-- library proc_common_v1_00_b;
-- use proc_common_v1_00_b.proc_common_pkg.all;
-- library ipif_common_v1_00_c;
-- use ipif_common_v1_00_c.ipif_pkg.all;
-- library opb_ipif_v3_00_a;
-- use opb_ipif_v3_00_a.all;
library Common_v1_00_a;
use Common_v1_00_a.pselect;
library opb_ac97_v2_00_a;
use opb_ac97_v2_00_a.all;
library unisim;
use unisim.all;
architecture IMP of opb_ac97 is
component ac97_fifo is
generic (
C_AWIDTH : integer := 32;
C_DWIDTH : integer := 32;
C_PLAYBACK : integer := 1;
C_RECORD : integer := 0;
C_INTR_LEVEL : integer := 1;
C_USE_BRAM : integer := 1
);
port (
-- IP Interface
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to C_AWIDTH-1);
Bus2IP_Data : in std_logic_vector(0 to C_AWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_DWIDTH/8-1);
Bus2IP_RdCE : in std_logic;
Bus2IP_WrCE : in std_logic;
IP2Bus_Data : out std_logic_vector(0 to C_DWIDTH-1);
Interrupt: out std_logic;
-- CODEC signals
Bit_Clk : in std_logic;
Sync : out std_logic;
SData_Out : out std_logic;
SData_In : in std_logic;
AC97Reset_n : out std_logic
);
end component ac97_fifo;
component FDR is
port (Q : out std_logic;
C : in std_logic;
D : in std_logic;
R : in std_logic);
end component FDR;
component FDRE is
port (
Q : out std_logic;
C : in std_logic;
CE : in std_logic;
D : in std_logic;
R : in std_logic);
end component FDRE;
component pselect is
generic (
C_AB : integer;
C_AW : integer;
C_BAR : std_logic_vector);
port (
A : in std_logic_vector(0 to C_AW-1);
AValid : in std_logic;
ps : out std_logic);
end component pselect;
function Addr_Bits (x, y : std_logic_vector(0 to C_OPB_AWIDTH-1)) return integer is
variable addr_nor : std_logic_vector(0 to C_OPB_AWIDTH-1);
begin
addr_nor := x xor y;
for i in 0 to C_OPB_AWIDTH-1 loop
if addr_nor(i) = '1' then return i;
end if;
end loop;
return(C_OPB_AWIDTH);
end function Addr_Bits;
constant C_AB : integer := Addr_Bits(C_HIGHADDR, C_BASEADDR);
signal ac97_CS : std_logic;
signal ac97_CS_1 : std_logic; -- Active as long as AC97_CS is active
signal ac97_CS_2 : std_logic; -- Active only 1 clock cycle during an
signal ac97_CS_3 : std_logic; -- Active only 1 clock cycle during an
signal xfer_Ack : std_logic;
signal opb_RNW_1 : std_logic;
signal opb_rdce : std_logic;
signal opb_wrce : std_logic;
signal iSln_DBus : std_logic_vector(0 to 31);
signal interrupt_i : std_logic;
begin
Interrupt <= interrupt_i;
-- Do the OPB address decoding
pselect_I : pselect
generic map (
C_AB => C_AB, -- [integer]
C_AW => C_OPB_AWIDTH, -- [integer]
C_BAR => C_BASEADDR) -- [std_logic_vector]
port map (
A => OPB_ABus, -- [in std_logic_vector(0 to C_AW-1)]
AValid => OPB_select, -- [in std_logic]
ps => ac97_CS); -- [out std_logic]
ac97_CS_1_DFF : FDR
port map (
Q => ac97_CS_1, -- [out std_logic]
C => OPB_Clk, -- [in std_logic]
D => ac97_CS, -- [in std_logic]
R => xfer_Ack); -- [in std_logic]
ac97_CS_2_DFF: process (OPB_Clk, OPB_Rst) is
begin -- process uart_CS_2_DFF
if OPB_Rst = '1' then -- asynchronous reset (active high)
ac97_CS_2 <= '0';
ac97_CS_3 <= '0';
opb_RNW_1 <= '0';
elsif OPB_Clk'event and OPB_Clk = '1' then -- rising clock edge
ac97_CS_2 <= ac97_CS_1 and not ac97_CS_2 and not ac97_CS_3;
ac97_CS_3 <= ac97_CS_2;
opb_RNW_1 <= OPB_RNW;
end if;
end process ac97_CS_2_DFF;
opb_rdce <= ac97_CS_2 and OPB_RNW_1;
opb_wrce <= ac97_CS_2 and (not OPB_RNW_1);
XFER_Control : process (OPB_Clk, OPB_Rst) is
begin -- process XFER_Control
if OPB_Rst = '1' then -- asynchronous reset (active high)
xfer_Ack <= '0';
elsif OPB_Clk'event and OPB_Clk = '1' then -- rising clock edge
xfer_Ack <= ac97_CS_2;
end if;
end process XFER_Control;
Sln_errAck <= '0';
Sln_retry <= '0';
Sln_toutSup <= '0';
sln_xferAck <= xfer_Ack;
OPB_rdDBus_DFF : for I in iSln_DBus'range generate
OPB_rdBus_FDRE : FDRE
port map (
Q => Sln_DBus(I), -- [out std_logic]
C => OPB_Clk, -- [in std_logic]
CE => ac97_CS_2, -- [in std_logic]
D => iSln_Dbus(I), -- [in std_logic]
R => xfer_Ack); -- [in std_logic]
end generate OPB_rdDBus_DFF;
AC97_FIFO_I : ac97_fifo
generic map (
C_PLAYBACK => C_PLAYBACK,
C_RECORD => C_RECORD,
C_INTR_LEVEL => C_INTR_LEVEL,
C_USE_BRAM => C_USE_BRAM
)
port map (
-- IP Interface
Bus2IP_Clk => OPB_Clk,
Bus2IP_Reset => OPB_Rst,
Bus2IP_Addr => OPB_ABus,
Bus2IP_Data => OPB_Dbus,
Bus2IP_BE => OPB_BE,
Bus2IP_RdCE => opb_rdce,
Bus2IP_WrCE => opb_wrce,
IP2Bus_Data => iSln_DBus,
Interrupt => interrupt_i,
-- CODEC signals
Bit_Clk => Bit_Clk,
Sync => Sync,
SData_Out => SData_Out,
SData_In => SData_In,
AC97Reset_n => AC97Reset_n
);
end architecture IMP;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/test/reconos/out.vhd | 2 | 82715 | library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_signed.all;
--use work.common.all;
ENTITY user_logic_hwtul IS
port (
clock : in std_logic;
intrfc2thrd_address : in std_logic_vector(0 to 31);
intrfc2thrd_value : in std_logic_vector(0 to 31);
intrfc2thrd_function : in std_logic_vector(0 to 15);
intrfc2thrd_goWait : in std_logic;
thrd2intrfc_address : out std_logic_vector(0 to 31);
thrd2intrfc_value : out std_logic_vector(0 to 31);
thrd2intrfc_function : out std_logic_vector(0 to 15);
thrd2intrfc_opcode : out std_logic_vector(0 to 5)
);
END ENTITY user_logic_hwtul;
ARCHITECTURE IMP OF user_logic_hwtul IS
-- HWTI Declarations.
-- Function definitions
constant U_FUNCTION_RESET : std_logic_vector(0 to 15) := x"0000";
constant U_FUNCTION_WAIT : std_logic_vector(0 to 15) := x"0001";
constant U_FUNCTION_USER_SELECT : std_logic_vector(0 to 15) := x"0002";
constant U_FUNCTION_START : std_logic_vector(0 to 15) := x"0003";
-- Range 0003 to 7999 reserved for user logic's state machine
-- Range 8000 to 9999 reserved for system calls
-- constant FUNCTION_HTHREAD_ATTR_INIT : std_logic_vector(0 to 15) := x"8000";
-- constant FUNCTION_HTHREAD_ATTR_DESTROY : std_logic_vector(0 to 15) := x"8001";
-- constant FUNCTION_HTHREAD_CREATE : std_logic_vector(0 to 15) := x"8010";
-- constant FUNCTION_HTHREAD_JOIN : std_logic_vector(0 to 15) := x"8011";
constant FUNCTION_HTHREAD_SELF : std_logic_vector(0 to 15) := x"8012";
constant FUNCTION_HTHREAD_YIELD : std_logic_vector(0 to 15) := x"8013";
constant FUNCTION_HTHREAD_EQUAL : std_logic_vector(0 to 15) := x"8014";
constant FUNCTION_HTHREAD_EXIT : std_logic_vector(0 to 15) := x"8015";
constant FUNCTION_HTHREAD_EXIT_ERROR : std_logic_vector(0 to 15) := x"8016";
constant FUNCTION_HTHREAD_MUTEXATTR_INIT : std_logic_vector(0 to 15) := x"8020";
constant FUNCTION_HTHREAD_MUTEXATTR_DESTROY : std_logic_vector(0 to 15) := x"8021";
constant FUNCTION_HTHREAD_MUTEXATTR_SETNUM : std_logic_vector(0 to 15) := x"8022";
constant FUNCTION_HTHREAD_MUTEXATTR_GETNUM : std_logic_vector(0 to 15) := x"8023";
constant FUNCTION_HTHREAD_MUTEX_INIT : std_logic_vector(0 to 15) := x"8030";
constant FUNCTION_HTHREAD_MUTEX_DESTROY : std_logic_vector(0 to 15) := x"8031";
constant FUNCTION_HTHREAD_MUTEX_LOCK : std_logic_vector(0 to 15) := x"8032";
constant FUNCTION_HTHREAD_MUTEX_UNLOCK : std_logic_vector(0 to 15) := x"8033";
constant FUNCTION_HTHREAD_MUTEX_TRYLOCK : std_logic_vector(0 to 15) := x"8034";
constant FUNCTION_HTHREAD_CONDATTR_INIT : std_logic_vector(0 to 15) := x"8040";
constant FUNCTION_HTHREAD_CONDATTR_DESTROY : std_logic_vector(0 to 15) := x"8041";
constant FUNCTION_HTHREAD_CONDATTR_SETNUM : std_logic_vector(0 to 15) := x"8042";
constant FUNCTION_HTHREAD_CONDATTR_GETNUM : std_logic_vector(0 to 15) := x"8043";
constant FUNCTION_HTHREAD_COND_INIT : std_logic_vector(0 to 15) := x"8050";
constant FUNCTION_HTHREAD_COND_DESTROY : std_logic_vector(0 to 15) := x"8051";
constant FUNCTION_HTHREAD_COND_SIGNAL : std_logic_vector(0 to 15) := x"8052";
constant FUNCTION_HTHREAD_COND_BROADCAST : std_logic_vector(0 to 15) := x"8053";
constant FUNCTION_HTHREAD_COND_WAIT : std_logic_vector(0 to 15) := x"8054";
-- Ranged A000 to FFFF reserved for supported library calls
constant FUNCTION_MALLOC : std_logic_vector(0 to 15) := x"A000";
constant FUNCTION_CALLOC : std_logic_vector(0 to 15) := x"A001";
constant FUNCTION_FREE : std_logic_vector(0 to 15) := x"A002";
-- user_opcode Constants
constant OPCODE_NOOP : std_logic_vector(0 to 5) := "000000";
-- Memory sub-interface specific opcodes
constant OPCODE_LOAD : std_logic_vector(0 to 5) := "000001";
constant OPCODE_STORE : std_logic_vector(0 to 5) := "000010";
constant OPCODE_DECLARE : std_logic_vector(0 to 5) := "000011";
constant OPCODE_READ : std_logic_vector(0 to 5) := "000100";
constant OPCODE_WRITE : std_logic_vector(0 to 5) := "000101";
constant OPCODE_ADDRESSOF : std_logic_vector(0 to 5) := "000110";
-- Function sub-interface specific opcodes
constant OPCODE_PUSH : std_logic_vector(0 to 5) := "010000";
constant OPCODE_POP : std_logic_vector(0 to 5) := "010001";
constant OPCODE_CALL : std_logic_vector(0 to 5) := "010010";
constant OPCODE_RETURN : std_logic_vector(0 to 5) := "010011";
-- STATE DECLARATIONS
constant START_STATE : std_logic_vector(0 to 15) := x"0004";
constant WAIT_STATE : std_logic_vector(0 to 15) := x"0005";
constant FUNCTCALL_STATE : std_logic_vector(0 to 15) := x"0006";
constant BOOTSTRAP0 : std_logic_vector(0 to 15) := x"0007";
constant BOOTSTRAP1 : std_logic_vector(0 to 15) := x"0008";
constant mailbox_write_start : std_logic_vector(0 to 15) := x"0009";
constant mailbox_write_save_0 : std_logic_vector(0 to 15) := x"000A";
constant mailbox_write_save_1 : std_logic_vector(0 to 15) := x"000B";
constant mailbox_write_save_2 : std_logic_vector(0 to 15) := x"000C";
constant mailbox_write_save_3 : std_logic_vector(0 to 15) := x"000D";
constant mailbox_write_save_4 : std_logic_vector(0 to 15) := x"000E";
constant mailbox_write_15_0_0 : std_logic_vector(0 to 15) := x"000F";
constant mailbox_write_15_0_1 : std_logic_vector(0 to 15) := x"0010";
constant mailbox_write_15_1_0 : std_logic_vector(0 to 15) := x"0011";
constant mailbox_write_15_1_1 : std_logic_vector(0 to 15) := x"0012";
constant mailbox_write_15_2_0 : std_logic_vector(0 to 15) := x"0013";
constant mailbox_write_15_3_0 : std_logic_vector(0 to 15) := x"0014";
constant mailbox_write_15_4_0 : std_logic_vector(0 to 15) := x"0015";
constant mailbox_write_15_4_1 : std_logic_vector(0 to 15) := x"0016";
constant mailbox_write_15_4_2 : std_logic_vector(0 to 15) := x"0017";
constant mailbox_write_15_5_0 : std_logic_vector(0 to 15) := x"0018";
constant mailbox_write_15_5_1 : std_logic_vector(0 to 15) := x"0019";
constant mailbox_write_15_6_0 : std_logic_vector(0 to 15) := x"001A";
constant mailbox_write_15_6_1 : std_logic_vector(0 to 15) := x"001B";
constant mailbox_write_15_7_0 : std_logic_vector(0 to 15) := x"001C";
constant mailbox_write_16_0_0 : std_logic_vector(0 to 15) := x"001D";
constant mailbox_write_17_0_0 : std_logic_vector(0 to 15) := x"001E";
constant mailbox_write_18_0_0 : std_logic_vector(0 to 15) := x"001F";
constant mailbox_write_18_1_0 : std_logic_vector(0 to 15) := x"0020";
constant mailbox_write_18_1_1 : std_logic_vector(0 to 15) := x"0021";
constant mailbox_write_18_1_2 : std_logic_vector(0 to 15) := x"0022";
constant mailbox_write_18_1_3 : std_logic_vector(0 to 15) := x"0023";
constant mailbox_write_18_2_0 : std_logic_vector(0 to 15) := x"0024";
constant mailbox_write_18_2_1 : std_logic_vector(0 to 15) := x"0025";
constant mailbox_write_18_3_0 : std_logic_vector(0 to 15) := x"0026";
constant mailbox_write_18_4_0 : std_logic_vector(0 to 15) := x"0027";
constant mailbox_write_18_4_1 : std_logic_vector(0 to 15) := x"0028";
constant mailbox_write_18_5_0 : std_logic_vector(0 to 15) := x"0029";
constant mailbox_write_19_0_0 : std_logic_vector(0 to 15) := x"002A";
constant mailbox_write_20_0_0 : std_logic_vector(0 to 15) := x"002B";
constant mailbox_write_21_0_0 : std_logic_vector(0 to 15) := x"002C";
constant mailbox_write_21_0_1 : std_logic_vector(0 to 15) := x"002D";
constant mailbox_write_21_1_0 : std_logic_vector(0 to 15) := x"002E";
constant mailbox_write_21_2_0 : std_logic_vector(0 to 15) := x"002F";
constant mailbox_write_21_2_1 : std_logic_vector(0 to 15) := x"0030";
constant mailbox_write_21_3_0 : std_logic_vector(0 to 15) := x"0031";
constant mailbox_write_21_3_1 : std_logic_vector(0 to 15) := x"0032";
constant mailbox_write_21_4_0 : std_logic_vector(0 to 15) := x"0033";
constant mailbox_write_21_5_0 : std_logic_vector(0 to 15) := x"0034";
constant mailbox_write_21_6_0 : std_logic_vector(0 to 15) := x"0035";
constant mailbox_write_21_7_0 : std_logic_vector(0 to 15) := x"0036";
constant mailbox_write_21_7_1 : std_logic_vector(0 to 15) := x"0037";
constant mailbox_write_21_8_0 : std_logic_vector(0 to 15) := x"0038";
constant mailbox_write_21_9_0 : std_logic_vector(0 to 15) := x"0039";
constant mailbox_write_21_9_1 : std_logic_vector(0 to 15) := x"003A";
constant mailbox_write_21_10_0 : std_logic_vector(0 to 15) := x"003B";
constant mailbox_write_21_10_1 : std_logic_vector(0 to 15) := x"003C";
constant mailbox_write_21_11_0 : std_logic_vector(0 to 15) := x"003D";
constant mailbox_write_21_12_0 : std_logic_vector(0 to 15) := x"003E";
constant mailbox_write_21_12_1 : std_logic_vector(0 to 15) := x"003F";
constant mailbox_write_21_13_0 : std_logic_vector(0 to 15) := x"0040";
constant mailbox_write_21_14_0 : std_logic_vector(0 to 15) := x"0041";
constant mailbox_write_21_15_0 : std_logic_vector(0 to 15) := x"0042";
constant mailbox_write_21_15_1 : std_logic_vector(0 to 15) := x"0043";
constant mailbox_write_21_15_2 : std_logic_vector(0 to 15) := x"0044";
constant mailbox_write_21_16_0 : std_logic_vector(0 to 15) := x"0045";
constant mailbox_write_21_17_0 : std_logic_vector(0 to 15) := x"0046";
constant mailbox_write_21_17_1 : std_logic_vector(0 to 15) := x"0047";
constant mailbox_write_21_17_2 : std_logic_vector(0 to 15) := x"0048";
constant mailbox_write_21_18_0 : std_logic_vector(0 to 15) := x"0049";
constant mailbox_write_restore_0 : std_logic_vector(0 to 15) := x"004A";
constant mailbox_write_restore_1 : std_logic_vector(0 to 15) := x"004B";
constant mailbox_write_restore_2 : std_logic_vector(0 to 15) := x"004C";
constant mailbox_write_restore_3 : std_logic_vector(0 to 15) := x"004D";
constant mailbox_write_restore_4 : std_logic_vector(0 to 15) := x"004E";
constant mailbox_write_end : std_logic_vector(0 to 15) := x"004F";
constant bubblesort_start : std_logic_vector(0 to 15) := x"0050";
constant bubblesort_save_0 : std_logic_vector(0 to 15) := x"0051";
constant bubblesort_save_1 : std_logic_vector(0 to 15) := x"0052";
constant bubblesort_save_2 : std_logic_vector(0 to 15) := x"0053";
constant bubblesort_save_3 : std_logic_vector(0 to 15) := x"0054";
constant bubblesort_save_4 : std_logic_vector(0 to 15) := x"0055";
constant bubblesort_save_5 : std_logic_vector(0 to 15) := x"0056";
constant bubblesort_save_6 : std_logic_vector(0 to 15) := x"0057";
constant bubblesort_save_7 : std_logic_vector(0 to 15) := x"0058";
constant bubblesort_save_8 : std_logic_vector(0 to 15) := x"0059";
constant bubblesort_0_0_0 : std_logic_vector(0 to 15) := x"005A";
constant bubblesort_0_0_1 : std_logic_vector(0 to 15) := x"005B";
constant bubblesort_0_1_0 : std_logic_vector(0 to 15) := x"005C";
constant bubblesort_0_1_1 : std_logic_vector(0 to 15) := x"005D";
constant bubblesort_0_2_0 : std_logic_vector(0 to 15) := x"005E";
constant bubblesort_0_3_0 : std_logic_vector(0 to 15) := x"005F";
constant bubblesort_0_4_0 : std_logic_vector(0 to 15) := x"0060";
constant bubblesort_0_5_0 : std_logic_vector(0 to 15) := x"0061";
constant bubblesort_0_6_0 : std_logic_vector(0 to 15) := x"0062";
constant bubblesort_1_0_0 : std_logic_vector(0 to 15) := x"0063";
constant bubblesort_1_0_1 : std_logic_vector(0 to 15) := x"0064";
constant bubblesort_1_1_0 : std_logic_vector(0 to 15) := x"0065";
constant bubblesort_1_2_0 : std_logic_vector(0 to 15) := x"0066";
constant bubblesort_1_3_0 : std_logic_vector(0 to 15) := x"0067";
constant bubblesort_1_3_1 : std_logic_vector(0 to 15) := x"0068";
constant bubblesort_1_4_0 : std_logic_vector(0 to 15) := x"0069";
constant bubblesort_1_5_0 : std_logic_vector(0 to 15) := x"006A";
constant bubblesort_1_6_0 : std_logic_vector(0 to 15) := x"006B";
constant bubblesort_1_7_0 : std_logic_vector(0 to 15) := x"006C";
constant bubblesort_1_8_0 : std_logic_vector(0 to 15) := x"006D";
constant bubblesort_1_8_1 : std_logic_vector(0 to 15) := x"006E";
constant bubblesort_1_9_0 : std_logic_vector(0 to 15) := x"006F";
constant bubblesort_2_0_0 : std_logic_vector(0 to 15) := x"0070";
constant bubblesort_3_0_0 : std_logic_vector(0 to 15) := x"0071";
constant bubblesort_4_0_0 : std_logic_vector(0 to 15) := x"0072";
constant bubblesort_4_1_0 : std_logic_vector(0 to 15) := x"0073";
constant bubblesort_4_2_0 : std_logic_vector(0 to 15) := x"0074";
constant bubblesort_4_3_0 : std_logic_vector(0 to 15) := x"0075";
constant bubblesort_4_4_0 : std_logic_vector(0 to 15) := x"0076";
constant bubblesort_5_0_0 : std_logic_vector(0 to 15) := x"0077";
constant bubblesort_6_0_0 : std_logic_vector(0 to 15) := x"0078";
constant bubblesort_7_0_0 : std_logic_vector(0 to 15) := x"0079";
constant bubblesort_8_0_0 : std_logic_vector(0 to 15) := x"007A";
constant bubblesort_9_0_0 : std_logic_vector(0 to 15) := x"007B";
constant bubblesort_10_0_0 : std_logic_vector(0 to 15) := x"007C";
constant bubblesort_11_0_0 : std_logic_vector(0 to 15) := x"007D";
constant bubblesort_12_0_0 : std_logic_vector(0 to 15) := x"007E";
constant bubblesort_12_1_0 : std_logic_vector(0 to 15) := x"007F";
constant bubblesort_12_2_0 : std_logic_vector(0 to 15) := x"0080";
constant bubblesort_12_3_0 : std_logic_vector(0 to 15) := x"0081";
constant bubblesort_13_0_0 : std_logic_vector(0 to 15) := x"0082";
constant bubblesort_restore_0 : std_logic_vector(0 to 15) := x"0083";
constant bubblesort_restore_1 : std_logic_vector(0 to 15) := x"0084";
constant bubblesort_restore_2 : std_logic_vector(0 to 15) := x"0085";
constant bubblesort_restore_3 : std_logic_vector(0 to 15) := x"0086";
constant bubblesort_restore_4 : std_logic_vector(0 to 15) := x"0087";
constant bubblesort_restore_5 : std_logic_vector(0 to 15) := x"0088";
constant bubblesort_restore_6 : std_logic_vector(0 to 15) := x"0089";
constant bubblesort_restore_7 : std_logic_vector(0 to 15) := x"008A";
constant bubblesort_restore_8 : std_logic_vector(0 to 15) := x"008B";
constant bubblesort_end : std_logic_vector(0 to 15) := x"008C";
constant mailbox_read_start : std_logic_vector(0 to 15) := x"008D";
constant mailbox_read_save_0 : std_logic_vector(0 to 15) := x"008E";
constant mailbox_read_save_1 : std_logic_vector(0 to 15) := x"008F";
constant mailbox_read_save_2 : std_logic_vector(0 to 15) := x"0090";
constant mailbox_read_save_3 : std_logic_vector(0 to 15) := x"0091";
constant mailbox_read_save_4 : std_logic_vector(0 to 15) := x"0092";
constant mailbox_read_23_0_0 : std_logic_vector(0 to 15) := x"0093";
constant mailbox_read_23_0_1 : std_logic_vector(0 to 15) := x"0094";
constant mailbox_read_23_1_0 : std_logic_vector(0 to 15) := x"0095";
constant mailbox_read_23_2_0 : std_logic_vector(0 to 15) := x"0096";
constant mailbox_read_23_3_0 : std_logic_vector(0 to 15) := x"0097";
constant mailbox_read_23_3_1 : std_logic_vector(0 to 15) := x"0098";
constant mailbox_read_23_3_2 : std_logic_vector(0 to 15) := x"0099";
constant mailbox_read_23_4_0 : std_logic_vector(0 to 15) := x"009A";
constant mailbox_read_23_4_1 : std_logic_vector(0 to 15) := x"009B";
constant mailbox_read_23_5_0 : std_logic_vector(0 to 15) := x"009C";
constant mailbox_read_24_0_0 : std_logic_vector(0 to 15) := x"009D";
constant mailbox_read_25_0_0 : std_logic_vector(0 to 15) := x"009E";
constant mailbox_read_26_0_0 : std_logic_vector(0 to 15) := x"009F";
constant mailbox_read_26_1_0 : std_logic_vector(0 to 15) := x"00A0";
constant mailbox_read_26_1_1 : std_logic_vector(0 to 15) := x"00A1";
constant mailbox_read_26_1_2 : std_logic_vector(0 to 15) := x"00A2";
constant mailbox_read_26_1_3 : std_logic_vector(0 to 15) := x"00A3";
constant mailbox_read_26_2_0 : std_logic_vector(0 to 15) := x"00A4";
constant mailbox_read_26_2_1 : std_logic_vector(0 to 15) := x"00A5";
constant mailbox_read_26_3_0 : std_logic_vector(0 to 15) := x"00A6";
constant mailbox_read_27_0_0 : std_logic_vector(0 to 15) := x"00A7";
constant mailbox_read_28_0_0 : std_logic_vector(0 to 15) := x"00A8";
constant mailbox_read_29_0_0 : std_logic_vector(0 to 15) := x"00A9";
constant mailbox_read_29_0_1 : std_logic_vector(0 to 15) := x"00AA";
constant mailbox_read_29_1_0 : std_logic_vector(0 to 15) := x"00AB";
constant mailbox_read_29_2_0 : std_logic_vector(0 to 15) := x"00AC";
constant mailbox_read_29_2_1 : std_logic_vector(0 to 15) := x"00AD";
constant mailbox_read_29_3_0 : std_logic_vector(0 to 15) := x"00AE";
constant mailbox_read_29_4_0 : std_logic_vector(0 to 15) := x"00AF";
constant mailbox_read_29_5_0 : std_logic_vector(0 to 15) := x"00B0";
constant mailbox_read_29_5_1 : std_logic_vector(0 to 15) := x"00B1";
constant mailbox_read_29_6_0 : std_logic_vector(0 to 15) := x"00B2";
constant mailbox_read_29_7_0 : std_logic_vector(0 to 15) := x"00B3";
constant mailbox_read_29_7_1 : std_logic_vector(0 to 15) := x"00B4";
constant mailbox_read_29_8_0 : std_logic_vector(0 to 15) := x"00B5";
constant mailbox_read_29_9_0 : std_logic_vector(0 to 15) := x"00B6";
constant mailbox_read_29_10_0 : std_logic_vector(0 to 15) := x"00B7";
constant mailbox_read_29_10_1 : std_logic_vector(0 to 15) := x"00B8";
constant mailbox_read_29_11_0 : std_logic_vector(0 to 15) := x"00B9";
constant mailbox_read_29_11_1 : std_logic_vector(0 to 15) := x"00BA";
constant mailbox_read_29_12_0 : std_logic_vector(0 to 15) := x"00BB";
constant mailbox_read_29_13_0 : std_logic_vector(0 to 15) := x"00BC";
constant mailbox_read_29_13_1 : std_logic_vector(0 to 15) := x"00BD";
constant mailbox_read_29_14_0 : std_logic_vector(0 to 15) := x"00BE";
constant mailbox_read_29_15_0 : std_logic_vector(0 to 15) := x"00BF";
constant mailbox_read_29_16_0 : std_logic_vector(0 to 15) := x"00C0";
constant mailbox_read_29_16_1 : std_logic_vector(0 to 15) := x"00C1";
constant mailbox_read_29_16_2 : std_logic_vector(0 to 15) := x"00C2";
constant mailbox_read_29_17_0 : std_logic_vector(0 to 15) := x"00C3";
constant mailbox_read_29_18_0 : std_logic_vector(0 to 15) := x"00C4";
constant mailbox_read_29_18_1 : std_logic_vector(0 to 15) := x"00C5";
constant mailbox_read_29_18_2 : std_logic_vector(0 to 15) := x"00C6";
constant mailbox_read_29_19_0 : std_logic_vector(0 to 15) := x"00C7";
constant mailbox_read_restore_0 : std_logic_vector(0 to 15) := x"00C8";
constant mailbox_read_restore_1 : std_logic_vector(0 to 15) := x"00C9";
constant mailbox_read_restore_2 : std_logic_vector(0 to 15) := x"00CA";
constant mailbox_read_restore_3 : std_logic_vector(0 to 15) := x"00CB";
constant mailbox_read_restore_4 : std_logic_vector(0 to 15) := x"00CC";
constant mailbox_read_end : std_logic_vector(0 to 15) := x"00CD";
constant sort8k_entry_start : std_logic_vector(0 to 15) := x"00CE";
constant sort8k_entry_save_0 : std_logic_vector(0 to 15) := x"00CF";
constant sort8k_entry_save_1 : std_logic_vector(0 to 15) := x"00D0";
constant sort8k_entry_31_0_0 : std_logic_vector(0 to 15) := x"00D1";
constant sort8k_entry_31_0_1 : std_logic_vector(0 to 15) := x"00D2";
constant sort8k_entry_31_1_0 : std_logic_vector(0 to 15) := x"00D3";
constant sort8k_entry_32_0_0 : std_logic_vector(0 to 15) := x"00D4";
constant sort8k_entry_32_1_0 : std_logic_vector(0 to 15) := x"00D5";
constant sort8k_entry_32_1_1 : std_logic_vector(0 to 15) := x"00D6";
constant sort8k_entry_32_1_2 : std_logic_vector(0 to 15) := x"00D7";
constant sort8k_entry_32_2_0 : std_logic_vector(0 to 15) := x"00D8";
constant sort8k_entry_32_2_1 : std_logic_vector(0 to 15) := x"00D9";
constant sort8k_entry_32_2_2 : std_logic_vector(0 to 15) := x"00DA";
constant sort8k_entry_32_2_3 : std_logic_vector(0 to 15) := x"00DB";
constant sort8k_entry_32_3_0 : std_logic_vector(0 to 15) := x"00DC";
constant sort8k_entry_32_4_0 : std_logic_vector(0 to 15) := x"00DD";
constant sort8k_entry_32_4_1 : std_logic_vector(0 to 15) := x"00DE";
constant sort8k_entry_32_4_2 : std_logic_vector(0 to 15) := x"00DF";
constant sort8k_entry_32_4_3 : std_logic_vector(0 to 15) := x"00E0";
constant sort8k_entry_32_5_0 : std_logic_vector(0 to 15) := x"00E1";
constant sort8k_entry_33_0_0 : std_logic_vector(0 to 15) := x"00E2";
constant sort8k_entry_restore_0 : std_logic_vector(0 to 15) := x"00E3";
constant sort8k_entry_restore_1 : std_logic_vector(0 to 15) := x"00E4";
constant sort8k_entry_end : std_logic_vector(0 to 15) := x"00E5";
constant MULT00 : std_logic_vector(0 to 15) := x"00E6";
constant MULT01 : std_logic_vector(0 to 15) := x"00E7";
constant MULT02 : std_logic_vector(0 to 15) := x"00E8";
constant DIVIDE00 : std_logic_vector(0 to 15) := x"00E9";
constant DIVIDE01 : std_logic_vector(0 to 15) := x"00EA";
constant DIVIDE02 : std_logic_vector(0 to 15) := x"00EB";
constant DIVIDE03 : std_logic_vector(0 to 15) := x"00EC";
constant DIVIDE04 : std_logic_vector(0 to 15) := x"00ED";
constant DIVIDE05 : std_logic_vector(0 to 15) := x"00EE";
-- REGISTER DECLARATIONS
-- Special purpose registers
signal curstate : std_logic_vector(0 to 15) := START_STATE;
signal returnstate : std_logic_vector(0 to 15) := WAIT_STATE;
signal returnVal : std_logic_vector(0 to 31) := x"00000000";
signal stack_mem : std_logic_vector(0 to 31) := x"00000000";
signal params_mem : std_logic_vector(0 to 31) := x"00000000";
-- Caller save registers
signal T1 : std_logic_vector(0 to 31) := x"00000000";
signal T2 : std_logic_vector(0 to 31) := x"00000000";
signal T3 : std_logic_vector(0 to 31) := x"00000000";
signal T4 : std_logic_vector(0 to 31) := x"00000000";
signal T5 : std_logic_vector(0 to 31) := x"00000000";
signal T6 : std_logic_vector(0 to 31) := x"00000000";
-- Callee save registers
signal R4 : std_logic_vector(0 to 31) := x"00000000";
signal R5 : std_logic_vector(0 to 31) := x"00000000";
signal R6 : std_logic_vector(0 to 31) := x"00000000";
signal R7 : std_logic_vector(0 to 31) := x"00000000";
signal R0 : std_logic_vector(0 to 31) := x"00000000";
signal R1 : std_logic_vector(0 to 31) := x"00000000";
signal R2 : std_logic_vector(0 to 31) := x"00000000";
signal R3 : std_logic_vector(0 to 31) := x"00000000";
signal R8 : std_logic_vector(0 to 31) := x"00000000";
BEGIN
state_mach : PROCESS
BEGIN
WAIT UNTIL rising_edge(clock);
IF (intrfc2thrd_goWait = '1') and (intrfc2thrd_function = U_FUNCTION_RESET) THEN
-- Reset event
thrd2intrfc_address <= x"00000000";
thrd2intrfc_value <= x"00000000";
thrd2intrfc_function <= x"0000";
thrd2intrfc_opcode <= "000000";
curstate <= START_STATE;
returnstate <= WAIT_STATE;
returnVal <= x"00000000";
stack_mem <= x"00000000";
params_mem <= x"00000000";
T1 <= x"00000000";
T2 <= x"00000000";
T3 <= x"00000000";
T4 <= x"00000000";
T5 <= x"00000000";
T6 <= x"00000000";
R4 <= x"00000000";
R5 <= x"00000000";
R6 <= x"00000000";
R7 <= x"00000000";
R0 <= x"00000000";
R1 <= x"00000000";
R2 <= x"00000000";
R3 <= x"00000000";
R8 <= x"00000000";
ELSE
IF (intrfc2thrd_goWait = '1') THEN
thrd2intrfc_opcode <= OPCODE_NOOP; --OPCODE IS NOOP BY DEFAULT
CASE curstate IS
-- Start loops while function code is "start"
WHEN START_STATE =>
IF intrfc2thrd_function = U_FUNCTION_START THEN
curstate <= START_STATE;
ELSE
curstate <= BOOTSTRAP0;
END IF;
WHEN BOOTSTRAP0 =>
-- Call addressof to get the stack_mem pointer value.
thrd2intrfc_value <= x"00000000";
thrd2intrfc_opcode <= OPCODE_ADDRESSOF;
curstate <= WAIT_STATE;
returnstate <= BOOTSTRAP1;
WHEN BOOTSTRAP1 =>
-- Use the result of the addressof to set stack_mem and params_mem.
-- Also call the declare opcode to initialize the stack for the thread main function.
stack_mem <= intrfc2thrd_value;
params_mem <= intrfc2thrd_value - x"00000010";
thrd2intrfc_value <= x"00000002";
thrd2intrfc_opcode <= OPCODE_DECLARE;
curstate <= WAIT_STATE;
returnstate <= sort8k_entry_31_0_0;
-- Begin of function mailbox_write (from mailbox_no_globals.c.hif)
WHEN mailbox_write_start =>
-- Declare the number of stack memory words needed for this function.
thrd2intrfc_value <= x"00000005";
thrd2intrfc_opcode <= OPCODE_DECLARE;
curstate <= WAIT_STATE;
returnstate <= mailbox_write_save_0;
WHEN mailbox_write_save_0 =>
-- Save register R0 on the stack.
thrd2intrfc_address <= stack_mem + x"00000000";
thrd2intrfc_value <= R0;
thrd2intrfc_opcode <= OPCODE_STORE;
curstate <= WAIT_STATE;
returnstate <= mailbox_write_save_1;
WHEN mailbox_write_save_1 =>
-- Save register R1 on the stack.
thrd2intrfc_address <= stack_mem + x"00000004";
thrd2intrfc_value <= R1;
thrd2intrfc_opcode <= OPCODE_STORE;
curstate <= WAIT_STATE;
returnstate <= mailbox_write_save_2;
WHEN mailbox_write_save_2 =>
-- Save register R2 on the stack.
thrd2intrfc_address <= stack_mem + x"00000008";
thrd2intrfc_value <= R2;
thrd2intrfc_opcode <= OPCODE_STORE;
curstate <= WAIT_STATE;
returnstate <= mailbox_write_save_3;
WHEN mailbox_write_save_3 =>
-- Save register R3 on the stack.
thrd2intrfc_address <= stack_mem + x"0000000C";
thrd2intrfc_value <= R3;
thrd2intrfc_opcode <= OPCODE_STORE;
curstate <= WAIT_STATE;
returnstate <= mailbox_write_save_4;
WHEN mailbox_write_save_4 =>
-- Save register R4 on the stack.
thrd2intrfc_address <= stack_mem + x"00000010";
thrd2intrfc_value <= R4;
thrd2intrfc_opcode <= OPCODE_STORE;
curstate <= WAIT_STATE;
returnstate <= mailbox_write_15_0_0;
WHEN mailbox_write_15_0_0 =>
-- arith2: @readarg R2 0 (296)
thrd2intrfc_value <= x"00000001";
thrd2intrfc_opcode <= OPCODE_POP;
curstate <= WAIT_STATE;
returnstate <= mailbox_write_15_0_1;
WHEN mailbox_write_15_0_1 =>
-- Capture result of readarg.
R2 <= intrfc2thrd_value;
curstate <= mailbox_write_15_1_0;
WHEN mailbox_write_15_1_0 =>
-- arith2: @readarg R4 1 (297)
thrd2intrfc_value <= x"00000000";
thrd2intrfc_opcode <= OPCODE_POP;
curstate <= WAIT_STATE;
returnstate <= mailbox_write_15_1_1;
WHEN mailbox_write_15_1_1 =>
-- Capture result of readarg.
R4 <= intrfc2thrd_value;
curstate <= mailbox_write_15_2_0;
WHEN mailbox_write_15_2_0 =>
-- arith3: @add R0 R2 20 (0)
R0 <= R2 + x"00000014";
curstate <= mailbox_write_15_3_0;
WHEN mailbox_write_15_3_0 =>
-- arith2: @mov R3 R0 (320)
R3 <= R0;
curstate <= mailbox_write_15_4_0;
WHEN mailbox_write_15_4_0 =>
-- call hthread_mutex_lock R3 @returnVal @none (321)
-- Push argument 0
thrd2intrfc_value <= R3;
thrd2intrfc_opcode <= OPCODE_PUSH;
curstate <= WAIT_STATE;
returnstate <= mailbox_write_15_4_1;
WHEN mailbox_write_15_4_1 =>
-- Set the stack_mem/params_mem pointers for callee and
-- use the call opcode to actually jump to the callee.
stack_mem <= stack_mem + x"00000024";
params_mem <= stack_mem + x"00000014";
thrd2intrfc_function <= FUNCTION_HTHREAD_MUTEX_LOCK;
thrd2intrfc_value <= x"0000"&mailbox_write_15_4_2;
thrd2intrfc_opcode <= OPCODE_CALL;
curstate <= WAIT_STATE;
returnstate <= FUNCTCALL_STATE;
WHEN mailbox_write_15_4_2 =>
-- Reset the stack/param pointers for caller and capture the return value.
stack_mem <= stack_mem - x"00000024";
params_mem <= stack_mem - x"00000014";
T1 <= intrfc2thrd_value;
curstate <= mailbox_write_15_5_0;
WHEN mailbox_write_15_5_0 =>
-- read R0 R2 12 (322)
thrd2intrfc_address <= R2 + x"0000000C";
thrd2intrfc_opcode <= OPCODE_LOAD;
curstate <= WAIT_STATE;
returnstate <= mailbox_write_15_5_1;
WHEN mailbox_write_15_5_1 =>
-- Capture result of read.
R0 <= intrfc2thrd_value;
curstate <= mailbox_write_15_6_0;
WHEN mailbox_write_15_6_0 =>
-- read R1 R2 0 (323)
thrd2intrfc_address <= R2 + x"00000000";
thrd2intrfc_opcode <= OPCODE_LOAD;
curstate <= WAIT_STATE;
returnstate <= mailbox_write_15_6_1;
WHEN mailbox_write_15_6_1 =>
-- Capture result of read.
R1 <= intrfc2thrd_value;
curstate <= mailbox_write_15_7_0;
WHEN mailbox_write_15_7_0 =>
-- if R1 S> R0 goto hif_label0(17) (324)
if (R1 > R0) then
curstate <= mailbox_write_17_0_0;
else
curstate <= mailbox_write_16_0_0;
end if;
WHEN mailbox_write_16_0_0 =>
-- goto HIFL0(18) (325)
curstate <= mailbox_write_18_0_0;
WHEN mailbox_write_17_0_0 =>
-- goto HIFL2(21) (328)
curstate <= mailbox_write_21_0_0;
WHEN mailbox_write_18_0_0 =>
-- arith3: @add R0 R2 32 (0)
R0 <= R2 + x"00000020";
curstate <= mailbox_write_18_1_0;
WHEN mailbox_write_18_1_0 =>
-- call hthread_cond_wait R0 R3 @returnVal @none (333)
-- Push argument 0
thrd2intrfc_value <= R0;
thrd2intrfc_opcode <= OPCODE_PUSH;
curstate <= WAIT_STATE;
returnstate <= mailbox_write_18_1_1;
WHEN mailbox_write_18_1_1 =>
-- Push argument 1
thrd2intrfc_value <= R3;
thrd2intrfc_opcode <= OPCODE_PUSH;
curstate <= WAIT_STATE;
returnstate <= mailbox_write_18_1_2;
WHEN mailbox_write_18_1_2 =>
-- Set the stack_mem/params_mem pointers for callee and
-- use the call opcode to actually jump to the callee.
stack_mem <= stack_mem + x"00000028";
params_mem <= stack_mem + x"00000014";
thrd2intrfc_function <= FUNCTION_HTHREAD_COND_WAIT;
thrd2intrfc_value <= x"0000"&mailbox_write_18_1_3;
thrd2intrfc_opcode <= OPCODE_CALL;
curstate <= WAIT_STATE;
returnstate <= FUNCTCALL_STATE;
WHEN mailbox_write_18_1_3 =>
-- Reset the stack/param pointers for caller and capture the return value.
stack_mem <= stack_mem - x"00000028";
params_mem <= stack_mem - x"00000014";
T1 <= intrfc2thrd_value;
curstate <= mailbox_write_18_2_0;
WHEN mailbox_write_18_2_0 =>
-- read R0 R2 12 (334)
thrd2intrfc_address <= R2 + x"0000000C";
thrd2intrfc_opcode <= OPCODE_LOAD;
curstate <= WAIT_STATE;
returnstate <= mailbox_write_18_2_1;
WHEN mailbox_write_18_2_1 =>
-- Capture result of read.
R0 <= intrfc2thrd_value;
curstate <= mailbox_write_18_3_0;
WHEN mailbox_write_18_3_0 =>
-- arith2: @mov R1 R0 (334)
R1 <= R0;
curstate <= mailbox_write_18_4_0;
WHEN mailbox_write_18_4_0 =>
-- read R0 R2 0 (335)
thrd2intrfc_address <= R2 + x"00000000";
thrd2intrfc_opcode <= OPCODE_LOAD;
curstate <= WAIT_STATE;
returnstate <= mailbox_write_18_4_1;
WHEN mailbox_write_18_4_1 =>
-- Capture result of read.
R0 <= intrfc2thrd_value;
curstate <= mailbox_write_18_5_0;
WHEN mailbox_write_18_5_0 =>
-- if R1 S< R0 goto hif_label2(20) (336)
if (R1 < R0) then
curstate <= mailbox_write_20_0_0;
else
curstate <= mailbox_write_19_0_0;
end if;
WHEN mailbox_write_19_0_0 =>
-- goto HIFL0(18) (337)
curstate <= mailbox_write_18_0_0;
WHEN mailbox_write_20_0_0 =>
-- goto HIFL2(21) (340)
curstate <= mailbox_write_21_0_0;
WHEN mailbox_write_21_0_0 =>
-- read R0 R2 16 (344)
thrd2intrfc_address <= R2 + x"00000010";
thrd2intrfc_opcode <= OPCODE_LOAD;
curstate <= WAIT_STATE;
returnstate <= mailbox_write_21_0_1;
WHEN mailbox_write_21_0_1 =>
-- Capture result of read.
R0 <= intrfc2thrd_value;
curstate <= mailbox_write_21_1_0;
WHEN mailbox_write_21_1_0 =>
-- arith2: @mov R1 R0 (344)
R1 <= R0;
curstate <= mailbox_write_21_2_0;
WHEN mailbox_write_21_2_0 =>
-- read R0 R2 8 (345)
thrd2intrfc_address <= R2 + x"00000008";
thrd2intrfc_opcode <= OPCODE_LOAD;
curstate <= WAIT_STATE;
returnstate <= mailbox_write_21_2_1;
WHEN mailbox_write_21_2_1 =>
-- Capture result of read.
R0 <= intrfc2thrd_value;
curstate <= mailbox_write_21_3_0;
WHEN mailbox_write_21_3_0 =>
-- arith3: @mul R0 R0 4 (347)
--call quick function (will set returnVal)
T1 <= x"0000"&mailbox_write_21_3_1; --return address
T2 <= R0; --operand 1
T3 <= x"00000004"; --operand 2
T4 <= T4;
curstate <= MULT00;
WHEN mailbox_write_21_3_1 =>
R0 <= returnVal;
curstate <= mailbox_write_21_4_0;
WHEN mailbox_write_21_4_0 =>
-- arith3: @add R0 R1 R0 (349)
R0 <= R1 + R0;
curstate <= mailbox_write_21_5_0;
WHEN mailbox_write_21_5_0 =>
-- arith2: @mov R1 R4 (350)
R1 <= R4;
curstate <= mailbox_write_21_6_0;
WHEN mailbox_write_21_6_0 =>
-- write R0 0 R1 (350)
thrd2intrfc_address <= R0 + x"00000000";
thrd2intrfc_value <= R1;
thrd2intrfc_opcode <= OPCODE_STORE;
curstate <= WAIT_STATE;
returnstate <= mailbox_write_21_7_0;
WHEN mailbox_write_21_7_0 =>
-- read R0 R2 8 (351)
thrd2intrfc_address <= R2 + x"00000008";
thrd2intrfc_opcode <= OPCODE_LOAD;
curstate <= WAIT_STATE;
returnstate <= mailbox_write_21_7_1;
WHEN mailbox_write_21_7_1 =>
-- Capture result of read.
R0 <= intrfc2thrd_value;
curstate <= mailbox_write_21_8_0;
WHEN mailbox_write_21_8_0 =>
-- arith3: @add R1 R0 1 (352)
R1 <= R0 + x"00000001";
curstate <= mailbox_write_21_9_0;
WHEN mailbox_write_21_9_0 =>
-- read R0 R2 0 (353)
thrd2intrfc_address <= R2 + x"00000000";
thrd2intrfc_opcode <= OPCODE_LOAD;
curstate <= WAIT_STATE;
returnstate <= mailbox_write_21_9_1;
WHEN mailbox_write_21_9_1 =>
-- Capture result of read.
R0 <= intrfc2thrd_value;
curstate <= mailbox_write_21_10_0;
WHEN mailbox_write_21_10_0 =>
-- arith3: @mod R0 R1 R0 (354)
--call quick function (will set returnVal)
T1 <= x"0000"&mailbox_write_21_10_1; --return address
T2 <= R1; --operand 1
T3 <= R0; --operand 2
T4 <= T4;
curstate <= DIVIDE00;
WHEN mailbox_write_21_10_1 =>
R0 <= T4;
curstate <= mailbox_write_21_11_0;
WHEN mailbox_write_21_11_0 =>
-- write R2 8 R0 (355)
thrd2intrfc_address <= R2 + x"00000008";
thrd2intrfc_value <= R0;
thrd2intrfc_opcode <= OPCODE_STORE;
curstate <= WAIT_STATE;
returnstate <= mailbox_write_21_12_0;
WHEN mailbox_write_21_12_0 =>
-- read R0 R2 12 (356)
thrd2intrfc_address <= R2 + x"0000000C";
thrd2intrfc_opcode <= OPCODE_LOAD;
curstate <= WAIT_STATE;
returnstate <= mailbox_write_21_12_1;
WHEN mailbox_write_21_12_1 =>
-- Capture result of read.
R0 <= intrfc2thrd_value;
curstate <= mailbox_write_21_13_0;
WHEN mailbox_write_21_13_0 =>
-- arith3: @add R0 R0 1 (357)
R0 <= R0 + x"00000001";
curstate <= mailbox_write_21_14_0;
WHEN mailbox_write_21_14_0 =>
-- write R2 12 R0 (358)
thrd2intrfc_address <= R2 + x"0000000C";
thrd2intrfc_value <= R0;
thrd2intrfc_opcode <= OPCODE_STORE;
curstate <= WAIT_STATE;
returnstate <= mailbox_write_21_15_0;
WHEN mailbox_write_21_15_0 =>
-- call hthread_mutex_unlock R3 @returnVal @none (359)
-- Push argument 0
thrd2intrfc_value <= R3;
thrd2intrfc_opcode <= OPCODE_PUSH;
curstate <= WAIT_STATE;
returnstate <= mailbox_write_21_15_1;
WHEN mailbox_write_21_15_1 =>
-- Set the stack_mem/params_mem pointers for callee and
-- use the call opcode to actually jump to the callee.
stack_mem <= stack_mem + x"00000024";
params_mem <= stack_mem + x"00000014";
thrd2intrfc_function <= FUNCTION_HTHREAD_MUTEX_UNLOCK;
thrd2intrfc_value <= x"0000"&mailbox_write_21_15_2;
thrd2intrfc_opcode <= OPCODE_CALL;
curstate <= WAIT_STATE;
returnstate <= FUNCTCALL_STATE;
WHEN mailbox_write_21_15_2 =>
-- Reset the stack/param pointers for caller and capture the return value.
stack_mem <= stack_mem - x"00000024";
params_mem <= stack_mem - x"00000014";
T1 <= intrfc2thrd_value;
curstate <= mailbox_write_21_16_0;
WHEN mailbox_write_21_16_0 =>
-- arith3: @add R0 R2 28 (0)
R0 <= R2 + x"0000001C";
curstate <= mailbox_write_21_17_0;
WHEN mailbox_write_21_17_0 =>
-- call hthread_cond_signal R0 @returnVal @none (361)
-- Push argument 0
thrd2intrfc_value <= R0;
thrd2intrfc_opcode <= OPCODE_PUSH;
curstate <= WAIT_STATE;
returnstate <= mailbox_write_21_17_1;
WHEN mailbox_write_21_17_1 =>
-- Set the stack_mem/params_mem pointers for callee and
-- use the call opcode to actually jump to the callee.
stack_mem <= stack_mem + x"00000024";
params_mem <= stack_mem + x"00000014";
thrd2intrfc_function <= FUNCTION_HTHREAD_COND_SIGNAL;
thrd2intrfc_value <= x"0000"&mailbox_write_21_17_2;
thrd2intrfc_opcode <= OPCODE_CALL;
curstate <= WAIT_STATE;
returnstate <= FUNCTCALL_STATE;
WHEN mailbox_write_21_17_2 =>
-- Reset the stack/param pointers for caller and capture the return value.
stack_mem <= stack_mem - x"00000024";
params_mem <= stack_mem - x"00000014";
T1 <= intrfc2thrd_value;
curstate <= mailbox_write_21_18_0;
WHEN mailbox_write_21_18_0 =>
-- return 0 (362)
T1 <= x"00000000";
curstate <= mailbox_write_restore_0;
WHEN mailbox_write_restore_0 =>
-- Restore register R0 from the stack.
thrd2intrfc_address <= stack_mem + x"00000000";
thrd2intrfc_opcode <= OPCODE_LOAD;
curstate <= WAIT_STATE;
returnstate <= mailbox_write_restore_1;
WHEN mailbox_write_restore_1 =>
-- Restore register R1 from the stack.
R0 <= intrfc2thrd_value;
thrd2intrfc_address <= stack_mem + x"00000004";
thrd2intrfc_opcode <= OPCODE_LOAD;
curstate <= WAIT_STATE;
returnstate <= mailbox_write_restore_2;
WHEN mailbox_write_restore_2 =>
-- Restore register R2 from the stack.
R1 <= intrfc2thrd_value;
thrd2intrfc_address <= stack_mem + x"00000008";
thrd2intrfc_opcode <= OPCODE_LOAD;
curstate <= WAIT_STATE;
returnstate <= mailbox_write_restore_3;
WHEN mailbox_write_restore_3 =>
-- Restore register R3 from the stack.
R2 <= intrfc2thrd_value;
thrd2intrfc_address <= stack_mem + x"0000000C";
thrd2intrfc_opcode <= OPCODE_LOAD;
curstate <= WAIT_STATE;
returnstate <= mailbox_write_restore_4;
WHEN mailbox_write_restore_4 =>
-- Restore register R4 from the stack.
R3 <= intrfc2thrd_value;
thrd2intrfc_address <= stack_mem + x"00000010";
thrd2intrfc_opcode <= OPCODE_LOAD;
curstate <= WAIT_STATE;
returnstate <= mailbox_write_end;
WHEN mailbox_write_end =>
-- Use the return opcode to jump back to the caller.
R4 <= intrfc2thrd_value;
thrd2intrfc_value <= T1;
thrd2intrfc_opcode <= OPCODE_RETURN;
curstate <= WAIT_STATE;
returnstate <= FUNCTCALL_STATE;
-- Begin of function bubblesort (from bubblesort.c.hif)
WHEN bubblesort_start =>
-- Declare the number of stack memory words needed for this function.
thrd2intrfc_value <= x"00000009";
thrd2intrfc_opcode <= OPCODE_DECLARE;
curstate <= WAIT_STATE;
returnstate <= bubblesort_save_0;
WHEN bubblesort_save_0 =>
-- Save register R0 on the stack.
thrd2intrfc_address <= stack_mem + x"00000000";
thrd2intrfc_value <= R0;
thrd2intrfc_opcode <= OPCODE_STORE;
curstate <= WAIT_STATE;
returnstate <= bubblesort_save_1;
WHEN bubblesort_save_1 =>
-- Save register R1 on the stack.
thrd2intrfc_address <= stack_mem + x"00000004";
thrd2intrfc_value <= R1;
thrd2intrfc_opcode <= OPCODE_STORE;
curstate <= WAIT_STATE;
returnstate <= bubblesort_save_2;
WHEN bubblesort_save_2 =>
-- Save register R2 on the stack.
thrd2intrfc_address <= stack_mem + x"00000008";
thrd2intrfc_value <= R2;
thrd2intrfc_opcode <= OPCODE_STORE;
curstate <= WAIT_STATE;
returnstate <= bubblesort_save_3;
WHEN bubblesort_save_3 =>
-- Save register R3 on the stack.
thrd2intrfc_address <= stack_mem + x"0000000C";
thrd2intrfc_value <= R3;
thrd2intrfc_opcode <= OPCODE_STORE;
curstate <= WAIT_STATE;
returnstate <= bubblesort_save_4;
WHEN bubblesort_save_4 =>
-- Save register R4 on the stack.
thrd2intrfc_address <= stack_mem + x"00000010";
thrd2intrfc_value <= R4;
thrd2intrfc_opcode <= OPCODE_STORE;
curstate <= WAIT_STATE;
returnstate <= bubblesort_save_5;
WHEN bubblesort_save_5 =>
-- Save register R5 on the stack.
thrd2intrfc_address <= stack_mem + x"00000014";
thrd2intrfc_value <= R5;
thrd2intrfc_opcode <= OPCODE_STORE;
curstate <= WAIT_STATE;
returnstate <= bubblesort_save_6;
WHEN bubblesort_save_6 =>
-- Save register R6 on the stack.
thrd2intrfc_address <= stack_mem + x"00000018";
thrd2intrfc_value <= R6;
thrd2intrfc_opcode <= OPCODE_STORE;
curstate <= WAIT_STATE;
returnstate <= bubblesort_save_7;
WHEN bubblesort_save_7 =>
-- Save register R7 on the stack.
thrd2intrfc_address <= stack_mem + x"0000001C";
thrd2intrfc_value <= R7;
thrd2intrfc_opcode <= OPCODE_STORE;
curstate <= WAIT_STATE;
returnstate <= bubblesort_save_8;
WHEN bubblesort_save_8 =>
-- Save register R8 on the stack.
thrd2intrfc_address <= stack_mem + x"00000020";
thrd2intrfc_value <= R8;
thrd2intrfc_opcode <= OPCODE_STORE;
curstate <= WAIT_STATE;
returnstate <= bubblesort_0_0_0;
WHEN bubblesort_0_0_0 =>
-- arith2: @readarg R1 0 (7)
thrd2intrfc_value <= x"00000001";
thrd2intrfc_opcode <= OPCODE_POP;
curstate <= WAIT_STATE;
returnstate <= bubblesort_0_0_1;
WHEN bubblesort_0_0_1 =>
-- Capture result of readarg.
R1 <= intrfc2thrd_value;
curstate <= bubblesort_0_1_0;
WHEN bubblesort_0_1_0 =>
-- arith2: @readarg R0 1 (8)
thrd2intrfc_value <= x"00000000";
thrd2intrfc_opcode <= OPCODE_POP;
curstate <= WAIT_STATE;
returnstate <= bubblesort_0_1_1;
WHEN bubblesort_0_1_1 =>
-- Capture result of readarg.
R0 <= intrfc2thrd_value;
curstate <= bubblesort_0_2_0;
WHEN bubblesort_0_2_0 =>
-- arith3: @sub R4 R0 1 (24)
R4 <= R0 - x"00000001";
curstate <= bubblesort_0_3_0;
WHEN bubblesort_0_3_0 =>
-- arith2: @mov R2 R4 (25)
R2 <= R4;
curstate <= bubblesort_0_4_0;
WHEN bubblesort_0_4_0 =>
-- arith2: @mov R8 0 (26)
R8 <= x"00000000";
curstate <= bubblesort_0_5_0;
WHEN bubblesort_0_5_0 =>
-- arith2: @mov R3 0 (27)
R3 <= x"00000000";
curstate <= bubblesort_0_6_0;
WHEN bubblesort_0_6_0 =>
-- goto HIFL9(6) (28)
curstate <= bubblesort_6_0_0;
WHEN bubblesort_1_0_0 =>
-- arith3: @mul R7 R3 4 (30)
--call quick function (will set returnVal)
T1 <= x"0000"&bubblesort_1_0_1; --return address
T2 <= R3; --operand 1
T3 <= x"00000004"; --operand 2
T4 <= T4;
curstate <= MULT00;
WHEN bubblesort_1_0_1 =>
R7 <= returnVal;
curstate <= bubblesort_1_1_0;
WHEN bubblesort_1_1_0 =>
-- arith2: @mov R0 R7 (31)
R0 <= R7;
curstate <= bubblesort_1_2_0;
WHEN bubblesort_1_2_0 =>
-- arith3: @add R6 R0 R1 (32)
R6 <= R0 + R1;
curstate <= bubblesort_1_3_0;
WHEN bubblesort_1_3_0 =>
-- read R0 R6 0 (33)
thrd2intrfc_address <= R6 + x"00000000";
thrd2intrfc_opcode <= OPCODE_LOAD;
curstate <= WAIT_STATE;
returnstate <= bubblesort_1_3_1;
WHEN bubblesort_1_3_1 =>
-- Capture result of read.
R0 <= intrfc2thrd_value;
curstate <= bubblesort_1_4_0;
WHEN bubblesort_1_4_0 =>
-- arith2: @mov R5 R0 (33)
R5 <= R0;
curstate <= bubblesort_1_5_0;
WHEN bubblesort_1_5_0 =>
-- arith2: @mov R0 R7 (34)
R0 <= R7;
curstate <= bubblesort_1_6_0;
WHEN bubblesort_1_6_0 =>
-- arith3: @add R0 R1 R0 (35)
R0 <= R1 + R0;
curstate <= bubblesort_1_7_0;
WHEN bubblesort_1_7_0 =>
-- arith3: @add R7 R0 4 (36)
R7 <= R0 + x"00000004";
curstate <= bubblesort_1_8_0;
WHEN bubblesort_1_8_0 =>
-- read R0 R7 0 (37)
thrd2intrfc_address <= R7 + x"00000000";
thrd2intrfc_opcode <= OPCODE_LOAD;
curstate <= WAIT_STATE;
returnstate <= bubblesort_1_8_1;
WHEN bubblesort_1_8_1 =>
-- Capture result of read.
R0 <= intrfc2thrd_value;
curstate <= bubblesort_1_9_0;
WHEN bubblesort_1_9_0 =>
-- if R5 U<= R0 goto hif_label0(3) (38)
if (R5 <= R0) then
curstate <= bubblesort_3_0_0;
else
curstate <= bubblesort_2_0_0;
end if;
WHEN bubblesort_2_0_0 =>
-- goto HIFL2(4) (39)
curstate <= bubblesort_4_0_0;
WHEN bubblesort_3_0_0 =>
-- goto HIFL3(5) (42)
curstate <= bubblesort_5_0_0;
WHEN bubblesort_4_0_0 =>
-- write R6 0 R0 (46)
thrd2intrfc_address <= R6 + x"00000000";
thrd2intrfc_value <= R0;
thrd2intrfc_opcode <= OPCODE_STORE;
curstate <= WAIT_STATE;
returnstate <= bubblesort_4_1_0;
WHEN bubblesort_4_1_0 =>
-- arith2: @mov R0 R5 (47)
R0 <= R5;
curstate <= bubblesort_4_2_0;
WHEN bubblesort_4_2_0 =>
-- write R7 0 R0 (47)
thrd2intrfc_address <= R7 + x"00000000";
thrd2intrfc_value <= R0;
thrd2intrfc_opcode <= OPCODE_STORE;
curstate <= WAIT_STATE;
returnstate <= bubblesort_4_3_0;
WHEN bubblesort_4_3_0 =>
-- arith2: @mov R2 R3 (48)
R2 <= R3;
curstate <= bubblesort_4_4_0;
WHEN bubblesort_4_4_0 =>
-- arith2: @mov R8 1 (49)
R8 <= x"00000001";
curstate <= bubblesort_5_0_0;
WHEN bubblesort_5_0_0 =>
-- arith3: @add R3 R3 1 (51)
R3 <= R3 + x"00000001";
curstate <= bubblesort_6_0_0;
WHEN bubblesort_6_0_0 =>
-- if R3 U>= R4 goto hif_label2(8) (53)
if (R3 >= R4) then
curstate <= bubblesort_8_0_0;
else
curstate <= bubblesort_7_0_0;
end if;
WHEN bubblesort_7_0_0 =>
-- goto HIFL1(1) (54)
curstate <= bubblesort_1_0_0;
WHEN bubblesort_8_0_0 =>
-- goto HIFL6(9) (57)
curstate <= bubblesort_9_0_0;
WHEN bubblesort_9_0_0 =>
-- if R8 S== 0 goto hif_label4(11) (61)
if (R8 = x"00000000") then
curstate <= bubblesort_11_0_0;
else
curstate <= bubblesort_10_0_0;
end if;
WHEN bubblesort_10_0_0 =>
-- goto HIFL12(12) (62)
curstate <= bubblesort_12_0_0;
WHEN bubblesort_11_0_0 =>
-- goto HIFL7(13) (65)
curstate <= bubblesort_13_0_0;
WHEN bubblesort_12_0_0 =>
-- arith2: @mov R4 R2 (69)
R4 <= R2;
curstate <= bubblesort_12_1_0;
WHEN bubblesort_12_1_0 =>
-- arith2: @mov R8 0 (70)
R8 <= x"00000000";
curstate <= bubblesort_12_2_0;
WHEN bubblesort_12_2_0 =>
-- arith2: @mov R3 0 (71)
R3 <= x"00000000";
curstate <= bubblesort_12_3_0;
WHEN bubblesort_12_3_0 =>
-- goto HIFL9(6) (72)
curstate <= bubblesort_6_0_0;
WHEN bubblesort_13_0_0 =>
-- return @none (74)
T1 <= x"00000000";
curstate <= bubblesort_restore_0;
WHEN bubblesort_restore_0 =>
-- Restore register R0 from the stack.
thrd2intrfc_address <= stack_mem + x"00000000";
thrd2intrfc_opcode <= OPCODE_LOAD;
curstate <= WAIT_STATE;
returnstate <= bubblesort_restore_1;
WHEN bubblesort_restore_1 =>
-- Restore register R1 from the stack.
R0 <= intrfc2thrd_value;
thrd2intrfc_address <= stack_mem + x"00000004";
thrd2intrfc_opcode <= OPCODE_LOAD;
curstate <= WAIT_STATE;
returnstate <= bubblesort_restore_2;
WHEN bubblesort_restore_2 =>
-- Restore register R2 from the stack.
R1 <= intrfc2thrd_value;
thrd2intrfc_address <= stack_mem + x"00000008";
thrd2intrfc_opcode <= OPCODE_LOAD;
curstate <= WAIT_STATE;
returnstate <= bubblesort_restore_3;
WHEN bubblesort_restore_3 =>
-- Restore register R3 from the stack.
R2 <= intrfc2thrd_value;
thrd2intrfc_address <= stack_mem + x"0000000C";
thrd2intrfc_opcode <= OPCODE_LOAD;
curstate <= WAIT_STATE;
returnstate <= bubblesort_restore_4;
WHEN bubblesort_restore_4 =>
-- Restore register R4 from the stack.
R3 <= intrfc2thrd_value;
thrd2intrfc_address <= stack_mem + x"00000010";
thrd2intrfc_opcode <= OPCODE_LOAD;
curstate <= WAIT_STATE;
returnstate <= bubblesort_restore_5;
WHEN bubblesort_restore_5 =>
-- Restore register R5 from the stack.
R4 <= intrfc2thrd_value;
thrd2intrfc_address <= stack_mem + x"00000014";
thrd2intrfc_opcode <= OPCODE_LOAD;
curstate <= WAIT_STATE;
returnstate <= bubblesort_restore_6;
WHEN bubblesort_restore_6 =>
-- Restore register R6 from the stack.
R5 <= intrfc2thrd_value;
thrd2intrfc_address <= stack_mem + x"00000018";
thrd2intrfc_opcode <= OPCODE_LOAD;
curstate <= WAIT_STATE;
returnstate <= bubblesort_restore_7;
WHEN bubblesort_restore_7 =>
-- Restore register R7 from the stack.
R6 <= intrfc2thrd_value;
thrd2intrfc_address <= stack_mem + x"0000001C";
thrd2intrfc_opcode <= OPCODE_LOAD;
curstate <= WAIT_STATE;
returnstate <= bubblesort_restore_8;
WHEN bubblesort_restore_8 =>
-- Restore register R8 from the stack.
R7 <= intrfc2thrd_value;
thrd2intrfc_address <= stack_mem + x"00000020";
thrd2intrfc_opcode <= OPCODE_LOAD;
curstate <= WAIT_STATE;
returnstate <= bubblesort_end;
WHEN bubblesort_end =>
-- Use the return opcode to jump back to the caller.
R8 <= intrfc2thrd_value;
thrd2intrfc_value <= T1;
thrd2intrfc_opcode <= OPCODE_RETURN;
curstate <= WAIT_STATE;
returnstate <= FUNCTCALL_STATE;
-- Begin of function mailbox_read (from mailbox_no_globals.c.hif)
WHEN mailbox_read_start =>
-- Declare the number of stack memory words needed for this function.
thrd2intrfc_value <= x"00000005";
thrd2intrfc_opcode <= OPCODE_DECLARE;
curstate <= WAIT_STATE;
returnstate <= mailbox_read_save_0;
WHEN mailbox_read_save_0 =>
-- Save register R0 on the stack.
thrd2intrfc_address <= stack_mem + x"00000000";
thrd2intrfc_value <= R0;
thrd2intrfc_opcode <= OPCODE_STORE;
curstate <= WAIT_STATE;
returnstate <= mailbox_read_save_1;
WHEN mailbox_read_save_1 =>
-- Save register R1 on the stack.
thrd2intrfc_address <= stack_mem + x"00000004";
thrd2intrfc_value <= R1;
thrd2intrfc_opcode <= OPCODE_STORE;
curstate <= WAIT_STATE;
returnstate <= mailbox_read_save_2;
WHEN mailbox_read_save_2 =>
-- Save register R2 on the stack.
thrd2intrfc_address <= stack_mem + x"00000008";
thrd2intrfc_value <= R2;
thrd2intrfc_opcode <= OPCODE_STORE;
curstate <= WAIT_STATE;
returnstate <= mailbox_read_save_3;
WHEN mailbox_read_save_3 =>
-- Save register R3 on the stack.
thrd2intrfc_address <= stack_mem + x"0000000C";
thrd2intrfc_value <= R3;
thrd2intrfc_opcode <= OPCODE_STORE;
curstate <= WAIT_STATE;
returnstate <= mailbox_read_save_4;
WHEN mailbox_read_save_4 =>
-- Save register R4 on the stack.
thrd2intrfc_address <= stack_mem + x"00000010";
thrd2intrfc_value <= R4;
thrd2intrfc_opcode <= OPCODE_STORE;
curstate <= WAIT_STATE;
returnstate <= mailbox_read_23_0_0;
WHEN mailbox_read_23_0_0 =>
-- arith2: @readarg R1 0 (57)
thrd2intrfc_value <= x"00000000";
thrd2intrfc_opcode <= OPCODE_POP;
curstate <= WAIT_STATE;
returnstate <= mailbox_read_23_0_1;
WHEN mailbox_read_23_0_1 =>
-- Capture result of readarg.
R1 <= intrfc2thrd_value;
curstate <= mailbox_read_23_1_0;
WHEN mailbox_read_23_1_0 =>
-- arith3: @add R0 R1 20 (0)
R0 <= R1 + x"00000014";
curstate <= mailbox_read_23_2_0;
WHEN mailbox_read_23_2_0 =>
-- arith2: @mov R2 R0 (78)
R2 <= R0;
curstate <= mailbox_read_23_3_0;
WHEN mailbox_read_23_3_0 =>
-- call hthread_mutex_lock R2 @returnVal @none (79)
-- Push argument 0
thrd2intrfc_value <= R2;
thrd2intrfc_opcode <= OPCODE_PUSH;
curstate <= WAIT_STATE;
returnstate <= mailbox_read_23_3_1;
WHEN mailbox_read_23_3_1 =>
-- Set the stack_mem/params_mem pointers for callee and
-- use the call opcode to actually jump to the callee.
stack_mem <= stack_mem + x"00000024";
params_mem <= stack_mem + x"00000014";
thrd2intrfc_function <= FUNCTION_HTHREAD_MUTEX_LOCK;
thrd2intrfc_value <= x"0000"&mailbox_read_23_3_2;
thrd2intrfc_opcode <= OPCODE_CALL;
curstate <= WAIT_STATE;
returnstate <= FUNCTCALL_STATE;
WHEN mailbox_read_23_3_2 =>
-- Reset the stack/param pointers for caller and capture the return value.
stack_mem <= stack_mem - x"00000024";
params_mem <= stack_mem - x"00000014";
T1 <= intrfc2thrd_value;
curstate <= mailbox_read_23_4_0;
WHEN mailbox_read_23_4_0 =>
-- read R0 R1 12 (80)
thrd2intrfc_address <= R1 + x"0000000C";
thrd2intrfc_opcode <= OPCODE_LOAD;
curstate <= WAIT_STATE;
returnstate <= mailbox_read_23_4_1;
WHEN mailbox_read_23_4_1 =>
-- Capture result of read.
R0 <= intrfc2thrd_value;
curstate <= mailbox_read_23_5_0;
WHEN mailbox_read_23_5_0 =>
-- if R0 S> 0 goto hif_label0(25) (81)
if (R0 > x"00000000") then
curstate <= mailbox_read_25_0_0;
else
curstate <= mailbox_read_24_0_0;
end if;
WHEN mailbox_read_24_0_0 =>
-- goto HIFL0(26) (82)
curstate <= mailbox_read_26_0_0;
WHEN mailbox_read_25_0_0 =>
-- goto HIFL2(29) (85)
curstate <= mailbox_read_29_0_0;
WHEN mailbox_read_26_0_0 =>
-- arith3: @add R0 R1 28 (0)
R0 <= R1 + x"0000001C";
curstate <= mailbox_read_26_1_0;
WHEN mailbox_read_26_1_0 =>
-- call hthread_cond_wait R0 R2 @returnVal @none (90)
-- Push argument 0
thrd2intrfc_value <= R0;
thrd2intrfc_opcode <= OPCODE_PUSH;
curstate <= WAIT_STATE;
returnstate <= mailbox_read_26_1_1;
WHEN mailbox_read_26_1_1 =>
-- Push argument 1
thrd2intrfc_value <= R2;
thrd2intrfc_opcode <= OPCODE_PUSH;
curstate <= WAIT_STATE;
returnstate <= mailbox_read_26_1_2;
WHEN mailbox_read_26_1_2 =>
-- Set the stack_mem/params_mem pointers for callee and
-- use the call opcode to actually jump to the callee.
stack_mem <= stack_mem + x"00000028";
params_mem <= stack_mem + x"00000014";
thrd2intrfc_function <= FUNCTION_HTHREAD_COND_WAIT;
thrd2intrfc_value <= x"0000"&mailbox_read_26_1_3;
thrd2intrfc_opcode <= OPCODE_CALL;
curstate <= WAIT_STATE;
returnstate <= FUNCTCALL_STATE;
WHEN mailbox_read_26_1_3 =>
-- Reset the stack/param pointers for caller and capture the return value.
stack_mem <= stack_mem - x"00000028";
params_mem <= stack_mem - x"00000014";
T1 <= intrfc2thrd_value;
curstate <= mailbox_read_26_2_0;
WHEN mailbox_read_26_2_0 =>
-- read R0 R1 12 (91)
thrd2intrfc_address <= R1 + x"0000000C";
thrd2intrfc_opcode <= OPCODE_LOAD;
curstate <= WAIT_STATE;
returnstate <= mailbox_read_26_2_1;
WHEN mailbox_read_26_2_1 =>
-- Capture result of read.
R0 <= intrfc2thrd_value;
curstate <= mailbox_read_26_3_0;
WHEN mailbox_read_26_3_0 =>
-- if R0 S> 0 goto hif_label2(28) (92)
if (R0 > x"00000000") then
curstate <= mailbox_read_28_0_0;
else
curstate <= mailbox_read_27_0_0;
end if;
WHEN mailbox_read_27_0_0 =>
-- goto HIFL0(26) (93)
curstate <= mailbox_read_26_0_0;
WHEN mailbox_read_28_0_0 =>
-- goto HIFL2(29) (96)
curstate <= mailbox_read_29_0_0;
WHEN mailbox_read_29_0_0 =>
-- read R0 R1 16 (100)
thrd2intrfc_address <= R1 + x"00000010";
thrd2intrfc_opcode <= OPCODE_LOAD;
curstate <= WAIT_STATE;
returnstate <= mailbox_read_29_0_1;
WHEN mailbox_read_29_0_1 =>
-- Capture result of read.
R0 <= intrfc2thrd_value;
curstate <= mailbox_read_29_1_0;
WHEN mailbox_read_29_1_0 =>
-- arith2: @mov R4 R0 (100)
R4 <= R0;
curstate <= mailbox_read_29_2_0;
WHEN mailbox_read_29_2_0 =>
-- read R0 R1 4 (101)
thrd2intrfc_address <= R1 + x"00000004";
thrd2intrfc_opcode <= OPCODE_LOAD;
curstate <= WAIT_STATE;
returnstate <= mailbox_read_29_2_1;
WHEN mailbox_read_29_2_1 =>
-- Capture result of read.
R0 <= intrfc2thrd_value;
curstate <= mailbox_read_29_3_0;
WHEN mailbox_read_29_3_0 =>
-- arith2: @mov R3 R0 (101)
R3 <= R0;
curstate <= mailbox_read_29_4_0;
WHEN mailbox_read_29_4_0 =>
-- arith2: @mov R0 R3 (102)
R0 <= R3;
curstate <= mailbox_read_29_5_0;
WHEN mailbox_read_29_5_0 =>
-- arith3: @mul R0 R0 4 (103)
--call quick function (will set returnVal)
T1 <= x"0000"&mailbox_read_29_5_1; --return address
T2 <= R0; --operand 1
T3 <= x"00000004"; --operand 2
T4 <= T4;
curstate <= MULT00;
WHEN mailbox_read_29_5_1 =>
R0 <= returnVal;
curstate <= mailbox_read_29_6_0;
WHEN mailbox_read_29_6_0 =>
-- arith3: @add R0 R4 R0 (105)
R0 <= R4 + R0;
curstate <= mailbox_read_29_7_0;
WHEN mailbox_read_29_7_0 =>
-- read R0 R0 0 (106)
thrd2intrfc_address <= R0 + x"00000000";
thrd2intrfc_opcode <= OPCODE_LOAD;
curstate <= WAIT_STATE;
returnstate <= mailbox_read_29_7_1;
WHEN mailbox_read_29_7_1 =>
-- Capture result of read.
R0 <= intrfc2thrd_value;
curstate <= mailbox_read_29_8_0;
WHEN mailbox_read_29_8_0 =>
-- arith2: @mov R4 R0 (106)
R4 <= R0;
curstate <= mailbox_read_29_9_0;
WHEN mailbox_read_29_9_0 =>
-- arith3: @add R3 R3 1 (107)
R3 <= R3 + x"00000001";
curstate <= mailbox_read_29_10_0;
WHEN mailbox_read_29_10_0 =>
-- read R0 R1 0 (108)
thrd2intrfc_address <= R1 + x"00000000";
thrd2intrfc_opcode <= OPCODE_LOAD;
curstate <= WAIT_STATE;
returnstate <= mailbox_read_29_10_1;
WHEN mailbox_read_29_10_1 =>
-- Capture result of read.
R0 <= intrfc2thrd_value;
curstate <= mailbox_read_29_11_0;
WHEN mailbox_read_29_11_0 =>
-- arith3: @mod R0 R3 R0 (109)
--call quick function (will set returnVal)
T1 <= x"0000"&mailbox_read_29_11_1; --return address
T2 <= R3; --operand 1
T3 <= R0; --operand 2
T4 <= T4;
curstate <= DIVIDE00;
WHEN mailbox_read_29_11_1 =>
R0 <= T4;
curstate <= mailbox_read_29_12_0;
WHEN mailbox_read_29_12_0 =>
-- write R1 4 R0 (110)
thrd2intrfc_address <= R1 + x"00000004";
thrd2intrfc_value <= R0;
thrd2intrfc_opcode <= OPCODE_STORE;
curstate <= WAIT_STATE;
returnstate <= mailbox_read_29_13_0;
WHEN mailbox_read_29_13_0 =>
-- read R0 R1 12 (111)
thrd2intrfc_address <= R1 + x"0000000C";
thrd2intrfc_opcode <= OPCODE_LOAD;
curstate <= WAIT_STATE;
returnstate <= mailbox_read_29_13_1;
WHEN mailbox_read_29_13_1 =>
-- Capture result of read.
R0 <= intrfc2thrd_value;
curstate <= mailbox_read_29_14_0;
WHEN mailbox_read_29_14_0 =>
-- arith3: @sub R0 R0 1 (112)
R0 <= R0 - x"00000001";
curstate <= mailbox_read_29_15_0;
WHEN mailbox_read_29_15_0 =>
-- write R1 12 R0 (113)
thrd2intrfc_address <= R1 + x"0000000C";
thrd2intrfc_value <= R0;
thrd2intrfc_opcode <= OPCODE_STORE;
curstate <= WAIT_STATE;
returnstate <= mailbox_read_29_16_0;
WHEN mailbox_read_29_16_0 =>
-- call hthread_mutex_unlock R2 @returnVal @none (114)
-- Push argument 0
thrd2intrfc_value <= R2;
thrd2intrfc_opcode <= OPCODE_PUSH;
curstate <= WAIT_STATE;
returnstate <= mailbox_read_29_16_1;
WHEN mailbox_read_29_16_1 =>
-- Set the stack_mem/params_mem pointers for callee and
-- use the call opcode to actually jump to the callee.
stack_mem <= stack_mem + x"00000024";
params_mem <= stack_mem + x"00000014";
thrd2intrfc_function <= FUNCTION_HTHREAD_MUTEX_UNLOCK;
thrd2intrfc_value <= x"0000"&mailbox_read_29_16_2;
thrd2intrfc_opcode <= OPCODE_CALL;
curstate <= WAIT_STATE;
returnstate <= FUNCTCALL_STATE;
WHEN mailbox_read_29_16_2 =>
-- Reset the stack/param pointers for caller and capture the return value.
stack_mem <= stack_mem - x"00000024";
params_mem <= stack_mem - x"00000014";
T1 <= intrfc2thrd_value;
curstate <= mailbox_read_29_17_0;
WHEN mailbox_read_29_17_0 =>
-- arith3: @add R0 R1 32 (0)
R0 <= R1 + x"00000020";
curstate <= mailbox_read_29_18_0;
WHEN mailbox_read_29_18_0 =>
-- call hthread_cond_signal R0 @returnVal @none (116)
-- Push argument 0
thrd2intrfc_value <= R0;
thrd2intrfc_opcode <= OPCODE_PUSH;
curstate <= WAIT_STATE;
returnstate <= mailbox_read_29_18_1;
WHEN mailbox_read_29_18_1 =>
-- Set the stack_mem/params_mem pointers for callee and
-- use the call opcode to actually jump to the callee.
stack_mem <= stack_mem + x"00000024";
params_mem <= stack_mem + x"00000014";
thrd2intrfc_function <= FUNCTION_HTHREAD_COND_SIGNAL;
thrd2intrfc_value <= x"0000"&mailbox_read_29_18_2;
thrd2intrfc_opcode <= OPCODE_CALL;
curstate <= WAIT_STATE;
returnstate <= FUNCTCALL_STATE;
WHEN mailbox_read_29_18_2 =>
-- Reset the stack/param pointers for caller and capture the return value.
stack_mem <= stack_mem - x"00000024";
params_mem <= stack_mem - x"00000014";
T1 <= intrfc2thrd_value;
curstate <= mailbox_read_29_19_0;
WHEN mailbox_read_29_19_0 =>
-- return R4 (117)
T1 <= R4;
curstate <= mailbox_read_restore_0;
WHEN mailbox_read_restore_0 =>
-- Restore register R0 from the stack.
thrd2intrfc_address <= stack_mem + x"00000000";
thrd2intrfc_opcode <= OPCODE_LOAD;
curstate <= WAIT_STATE;
returnstate <= mailbox_read_restore_1;
WHEN mailbox_read_restore_1 =>
-- Restore register R1 from the stack.
R0 <= intrfc2thrd_value;
thrd2intrfc_address <= stack_mem + x"00000004";
thrd2intrfc_opcode <= OPCODE_LOAD;
curstate <= WAIT_STATE;
returnstate <= mailbox_read_restore_2;
WHEN mailbox_read_restore_2 =>
-- Restore register R2 from the stack.
R1 <= intrfc2thrd_value;
thrd2intrfc_address <= stack_mem + x"00000008";
thrd2intrfc_opcode <= OPCODE_LOAD;
curstate <= WAIT_STATE;
returnstate <= mailbox_read_restore_3;
WHEN mailbox_read_restore_3 =>
-- Restore register R3 from the stack.
R2 <= intrfc2thrd_value;
thrd2intrfc_address <= stack_mem + x"0000000C";
thrd2intrfc_opcode <= OPCODE_LOAD;
curstate <= WAIT_STATE;
returnstate <= mailbox_read_restore_4;
WHEN mailbox_read_restore_4 =>
-- Restore register R4 from the stack.
R3 <= intrfc2thrd_value;
thrd2intrfc_address <= stack_mem + x"00000010";
thrd2intrfc_opcode <= OPCODE_LOAD;
curstate <= WAIT_STATE;
returnstate <= mailbox_read_end;
WHEN mailbox_read_end =>
-- Use the return opcode to jump back to the caller.
R4 <= intrfc2thrd_value;
thrd2intrfc_value <= T1;
thrd2intrfc_opcode <= OPCODE_RETURN;
curstate <= WAIT_STATE;
returnstate <= FUNCTCALL_STATE;
-- Begin of function sort8k_entry (from sort8k_no_globals.c.hif)
WHEN sort8k_entry_start =>
-- Declare the number of stack memory words needed for this function.
thrd2intrfc_value <= x"00000002";
thrd2intrfc_opcode <= OPCODE_DECLARE;
curstate <= WAIT_STATE;
returnstate <= sort8k_entry_save_0;
WHEN sort8k_entry_save_0 =>
-- Save register R0 on the stack.
thrd2intrfc_address <= stack_mem + x"00000000";
thrd2intrfc_value <= R0;
thrd2intrfc_opcode <= OPCODE_STORE;
curstate <= WAIT_STATE;
returnstate <= sort8k_entry_save_1;
WHEN sort8k_entry_save_1 =>
-- Save register R1 on the stack.
thrd2intrfc_address <= stack_mem + x"00000004";
thrd2intrfc_value <= R1;
thrd2intrfc_opcode <= OPCODE_STORE;
curstate <= WAIT_STATE;
returnstate <= sort8k_entry_31_0_0;
WHEN sort8k_entry_31_0_0 =>
-- arith2: @readarg R0 0 (7)
thrd2intrfc_value <= x"00000000";
thrd2intrfc_opcode <= OPCODE_POP;
curstate <= WAIT_STATE;
returnstate <= sort8k_entry_31_0_1;
WHEN sort8k_entry_31_0_1 =>
-- Capture result of readarg.
R0 <= intrfc2thrd_value;
curstate <= sort8k_entry_31_1_0;
WHEN sort8k_entry_31_1_0 =>
-- arith2: @mov R1 R0 (37)
R1 <= R0;
curstate <= sort8k_entry_32_0_0;
WHEN sort8k_entry_32_0_0 =>
-- arith2: @mov R0 R1 (0)
R0 <= R1;
curstate <= sort8k_entry_32_1_0;
WHEN sort8k_entry_32_1_0 =>
-- call mailbox_read R0 @returnVal R0 (40)
-- Push argument 0
thrd2intrfc_value <= R0;
thrd2intrfc_opcode <= OPCODE_PUSH;
curstate <= WAIT_STATE;
returnstate <= sort8k_entry_32_1_1;
WHEN sort8k_entry_32_1_1 =>
-- Set the stack_mem/params_mem pointers for callee and
-- use the call opcode to actually jump to the callee.
stack_mem <= stack_mem + x"00000018";
params_mem <= stack_mem + x"00000008";
thrd2intrfc_function <= mailbox_read_start;
thrd2intrfc_value <= x"0000"&sort8k_entry_32_1_2;
thrd2intrfc_opcode <= OPCODE_CALL;
curstate <= WAIT_STATE;
returnstate <= FUNCTCALL_STATE;
WHEN sort8k_entry_32_1_2 =>
-- Reset the stack/param pointers for caller and capture the return value.
stack_mem <= stack_mem - x"00000018";
params_mem <= stack_mem - x"00000008";
R0 <= intrfc2thrd_value;
curstate <= sort8k_entry_32_2_0;
WHEN sort8k_entry_32_2_0 =>
-- call bubblesort R0 2048 @returnVal @none (43)
-- Push argument 0
thrd2intrfc_value <= R0;
thrd2intrfc_opcode <= OPCODE_PUSH;
curstate <= WAIT_STATE;
returnstate <= sort8k_entry_32_2_1;
WHEN sort8k_entry_32_2_1 =>
-- Push argument 1
thrd2intrfc_value <= x"00000800";
thrd2intrfc_opcode <= OPCODE_PUSH;
curstate <= WAIT_STATE;
returnstate <= sort8k_entry_32_2_2;
WHEN sort8k_entry_32_2_2 =>
-- Set the stack_mem/params_mem pointers for callee and
-- use the call opcode to actually jump to the callee.
stack_mem <= stack_mem + x"0000001C";
params_mem <= stack_mem + x"00000008";
thrd2intrfc_function <= bubblesort_start;
thrd2intrfc_value <= x"0000"&sort8k_entry_32_2_3;
thrd2intrfc_opcode <= OPCODE_CALL;
curstate <= WAIT_STATE;
returnstate <= FUNCTCALL_STATE;
WHEN sort8k_entry_32_2_3 =>
-- Reset the stack/param pointers for caller and capture the return value.
stack_mem <= stack_mem - x"0000001C";
params_mem <= stack_mem - x"00000008";
T1 <= intrfc2thrd_value;
curstate <= sort8k_entry_32_3_0;
WHEN sort8k_entry_32_3_0 =>
-- arith3: @add R0 R1 36 (0)
R0 <= R1 + x"00000024";
curstate <= sort8k_entry_32_4_0;
WHEN sort8k_entry_32_4_0 =>
-- call mailbox_write R0 23 @returnVal @none (45)
-- Push argument 0
thrd2intrfc_value <= R0;
thrd2intrfc_opcode <= OPCODE_PUSH;
curstate <= WAIT_STATE;
returnstate <= sort8k_entry_32_4_1;
WHEN sort8k_entry_32_4_1 =>
-- Push argument 1
thrd2intrfc_value <= x"00000017";
thrd2intrfc_opcode <= OPCODE_PUSH;
curstate <= WAIT_STATE;
returnstate <= sort8k_entry_32_4_2;
WHEN sort8k_entry_32_4_2 =>
-- Set the stack_mem/params_mem pointers for callee and
-- use the call opcode to actually jump to the callee.
stack_mem <= stack_mem + x"0000001C";
params_mem <= stack_mem + x"00000008";
thrd2intrfc_function <= mailbox_write_start;
thrd2intrfc_value <= x"0000"&sort8k_entry_32_4_3;
thrd2intrfc_opcode <= OPCODE_CALL;
curstate <= WAIT_STATE;
returnstate <= FUNCTCALL_STATE;
WHEN sort8k_entry_32_4_3 =>
-- Reset the stack/param pointers for caller and capture the return value.
stack_mem <= stack_mem - x"0000001C";
params_mem <= stack_mem - x"00000008";
T1 <= intrfc2thrd_value;
curstate <= sort8k_entry_32_5_0;
WHEN sort8k_entry_32_5_0 =>
-- goto HIFL0(32) (46)
curstate <= sort8k_entry_32_0_0;
WHEN sort8k_entry_33_0_0 =>
-- return @none (0)
T1 <= x"00000000";
curstate <= sort8k_entry_restore_0;
WHEN sort8k_entry_restore_0 =>
-- Restore register R0 from the stack.
thrd2intrfc_address <= stack_mem + x"00000000";
thrd2intrfc_opcode <= OPCODE_LOAD;
curstate <= WAIT_STATE;
returnstate <= sort8k_entry_restore_1;
WHEN sort8k_entry_restore_1 =>
-- Restore register R1 from the stack.
R0 <= intrfc2thrd_value;
thrd2intrfc_address <= stack_mem + x"00000004";
thrd2intrfc_opcode <= OPCODE_LOAD;
curstate <= WAIT_STATE;
returnstate <= sort8k_entry_end;
WHEN sort8k_entry_end =>
-- Use the return opcode to jump back to the caller.
R1 <= intrfc2thrd_value;
thrd2intrfc_value <= T1;
thrd2intrfc_opcode <= OPCODE_RETURN;
curstate <= WAIT_STATE;
returnstate <= FUNCTCALL_STATE;
--multiply quick function
--implements 32 bit multiply
--assumes return address in T1, operands in T2 and T3
WHEN MULT00 =>
T4 <= std_logic_vector(conv_signed(signed(b"00"&T2(16 to 31)) * signed(b"00"&T3(16 to 31)), 32));
returnVal <= std_logic_vector(conv_signed(signed(b"00"&T2(0 to 15)) * signed(b"00"&T3(16 to 31)), 32));
curstate <= MULT01;
WHEN MULT01 =>
T4 <= std_logic_vector(conv_signed(signed(b"00"&T2(16 to 31)) * signed(b"00"&T3(0 to 15)), 32));
returnVal <= T4 + (returnVal(16 to 31)&x"0000");
curstate <= MULT02;
WHEN MULT02 =>
returnVal <= (T4(16 to 31)&x"0000") + returnVal;
curstate <= T1(16 to 31);
-- DIVIDE QUICK FUNCTION
-- inputs
-- T2 - dividend
-- T3 - divisor
-- outputs
-- returnVal - quotient
-- T4 - remainder
-- tmps
-- T5 - tmp_divisor
-- T6 - counter
WHEN DIVIDE00 =>
if (T2 < x"00000000") then -- init quotient
returnVal <= -T2;
else
returnVal <= T2;
end if;
T4 <= x"00000000"; -- init remainder
if (T3 < x"00000000") then -- init tmp_divisor
T5 <= -T3;
else
T5 <= T3;
end if;
T6 <= x"00000000"; -- init counter
curstate <= DIVIDE01;
WHEN DIVIDE01 =>
-- BEGIN LOOP
T6 <= T6 + x"00000001"; -- increment counter
T4 <= T4(1 to 31)&returnVal(0); -- remainder = remainder(1 to 31)"ient(0)
returnVal <= returnVal(1 to 31)&'0'; -- shift quotient left
curstate <= DIVIDE02;
WHEN DIVIDE02 =>
-- if the remainder is greater than tmp_divisor
if (T4 >= T5) then
returnVal(31) <= '1'; -- set lsb of quotient
T4 <= T4 - T5; -- subtract tmp_divisor from remainder
end if;
if (T6 < x"00000020") then -- check loop bound
curstate <= DIVIDE01; -- GO TO NEXT ITERATION
else
curstate <= DIVIDE03; -- LOOP IS DONE
end if;
WHEN DIVIDE03 =>
-- LOOP IS DONE
-- THE FOLLOWING STATES HANDLE THE SIGNED ASPECT
-- if dividend < 0
if (T2 < x"00000000") then
T4 <= -T4; -- remainder = -remainder
curstate <= DIVIDE04;
else
curstate <= DIVIDE05;
end if;
WHEN DIVIDE04 =>
if (T3 > x"00000000") then
returnVal <= -returnVal;
end if;
curstate <= T1(16 to 31); -- return to caller
WHEN DIVIDE05 =>
if (T3 < x"00000000") then
returnVal <= -returnVal; -- negate the quotient
end if;
curstate <= T1(16 to 31); -- return to caller
-- Other states
WHEN WAIT_STATE =>
curstate <= returnstate;
WHEN FUNCTCALL_STATE => -- give the HWTI control over the next state
curstate <= intrfc2thrd_function;
WHEN others => --this case should never be reached
curstate <= START_STATE;
END CASE;
END IF;
END IF;
END PROCESS;
END IMP;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/hardware/MyRepository/pcores/hw_acc_quicksort_v1_00_a/hdl/vhdl/hw_acc_quicksort.vhd | 2 | 4197 |
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
-------------------------------------------------------------------------------------
--
--
-- Definition of Ports
-- FSL_Clk : Synchronous clock
-- FSL_Rst : System reset, should always come from FSL bus
-- FSL_S_Clk : Slave asynchronous clock
-- FSL_S_Read : Read signal, requiring next available input to be read
-- FSL_S_Data : Input data
-- FSL_S_CONTROL : Control Bit, indicating the input data are control word
-- FSL_S_Exists : Data Exist Bit, indicating data exist in the input FSL bus
-- FSL_M_Clk : Master asynchronous clock
-- FSL_M_Write : Write signal, enabling writing to output FSL bus
-- FSL_M_Data : Output data
-- FSL_M_Control : Control Bit, indicating the output data are contol word
-- FSL_M_Full : Full Bit, indicating output FSL bus is full
--
-------------------------------------------------------------------------------
------------------------------------------------------------------------------
-- Entity Section
------------------------------------------------------------------------------
entity hw_acc_quicksort is
port
(
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add or delete.
Clk : in std_logic;
RST : in std_logic;
BRAM_A_addr : out std_logic_vector(0 to (32 - 1));
BRAM_A_dIN : in std_logic_vector(0 to (32 - 1));
BRAM_A_dOUT : out std_logic_vector(0 to (32 - 1));
BRAM_A_en : out std_logic;
BRAM_A_wEN : out std_logic_vector(0 to (32/8) -1);
------------------------------------------------------
BRAM_B_dIN : in std_logic_vector(0 to (32 - 1)) ;
BRAM_B_addr : out std_logic_vector(0 to (32 - 1)) ;
BRAM_B_dOUT : out std_logic_vector(0 to (32 - 1)) ;
BRAM_B_en : out std_logic ;
BRAM_B_wEN : out std_logic_vector(0 to (32/8) -1);
BRAM_C_dIN : in std_logic_vector(0 to (32 - 1)) ;
BRAM_C_addr : out std_logic_vector(0 to (32 - 1)) ;
BRAM_C_dOUT : out std_logic_vector(0 to (32 - 1)) ;
BRAM_C_en : out std_logic ;
BRAM_C_wEN : out std_logic_vector(0 to (32/8) -1);
------------------------------------------------------
FSL0_S_Read : out std_logic;
FSL0_S_Data : in std_logic_vector(0 to 31);
FSL0_S_Exists : in std_logic;
------------------------------------------------------
FSL0_M_Write : out std_logic;
FSL0_M_Data : out std_logic_vector(0 to 31);
FSL0_M_Full : in std_logic;
--This is just used for reseting
FSL1_S_Read : out std_logic;
FSL1_S_Data : in std_logic_vector(0 to 31);
FSL1_S_Exists : in std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
end hw_acc_quicksort;
-- *************************
-- Architecture Definition
-- *************************
architecture IMPLEMENTATION of hw_acc_quicksort is
component quicksort is
port
(
array_addr0 : out std_logic_vector(0 to (32 - 1));
array_dIN0 : out std_logic_vector(0 to (32- 1));
array_dOUT0 : in std_logic_vector(0 to (32 - 1));
array_rENA0 : out std_logic;
array_wENA0 : out std_logic_vector(0 to (32/8) -1);
chan1_channelDataIn : out std_logic_vector(0 to (32 - 1));
chan1_channelDataOut : in std_logic_vector(0 to (32 - 1));
chan1_exists : in std_logic;
chan1_full : in std_logic;
chan1_channelRead : out std_logic;
chan1_channelWrite : out std_logic;
clock_sig : in std_logic;
reset_sig : in std_logic
);
end component;
signal reset_sig : std_logic;
-- Architecture Section
begin
reset_sig <= rst or FSL1_S_Exists;
FSL1_S_read <= FSL1_S_Exists ;
uut : quicksort
port map (
array_addr0 => BRAM_A_addr,
array_dIN0 => BRAM_A_dout,
array_dOUT0 => BRAM_A_din,
array_rENA0 => BRAM_A_en,
array_wENA0 => BRAM_A_wen,
chan1_channelDataIn => FSL0_M_Data,
chan1_channelDataOut => FSL0_S_Data,
chan1_exists => FSL0_S_Exists,
chan1_full => FSL0_M_Full,
chan1_channelRead => FSL0_S_Read,
chan1_channelWrite => FSL0_M_Write,
clock_sig => clk,
reset_sig => reset_sig
);
end architecture implementation;
| bsd-3-clause |
jevinskie/aes-over-pcie | source/pcie_top.vhd | 1 | 2351 | -- File name: pcie_top.vhd
-- Created: 2009-04-13
-- Author: Jevin Sweval
-- Lab Section: 337-02
-- Version: 1.0 Initial Design Entry
-- Description: PCIe top level
use work.pcie.all;
use work.aes.all;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity pcie_top is
port (
clk : in std_logic;
nrst : in std_logic;
rx_data : in byte; --
rx_data_k : in std_logic; --
rx_status : in std_logic_vector(2 downto 0); --
rx_elec_idle : in std_logic;
phy_status : in std_logic;
rx_valid : in std_logic; --
tx_data_aes : in byte;
aes_done : in std_logic; --
tx_detect_rx : out std_logic;
tx_elec_idle : out std_logic;
tx_comp : out std_logic;
rx_pol : out std_logic;
power_down : out std_logic_vector(1 downto 0);
tx_data : out byte; --
tx_data_k : out std_logic; --
got_key : out std_logic; --
got_pt : out std_logic;--
send_ct : out std_logic--
);
end entity pcie_top;
architecture structural of pcie_top is
signal state_d, state_q : state_type;
signal subblock : subblock_type;
signal i : g_index;
signal num_shifts : index;
signal filtered : slice;
signal round_num : round_type;
signal round_key : key_type;
signal enc_key : key_type;
signal sub_bytes_out : byte;
signal shift_rows_out : row;
signal mix_columns_out : col;
signal add_round_key_out : byte;
signal load_out : byte;
signal filtered_key : byte;
signal start_key : std_logic;
signal key_done : std_logic;
signal sbox_lookup : byte;
begin
tx_elec_idle <= '0';
tx_detect_rx <= '0';
tx_comp <= '0';
rx_pol <= '0';
power_down <= "00";
bridge_b : entity work.bridge(behavioral) port map (
clk => clk, nrst => nrst, rx_data => rx_data,
tx_data_aes => tx_data_aes, rx_data_k => rx_data_k,
tx_data => tx_data, tx_data_k => tx_data_k,
got_key => got_key, got_pt => got_pt, send_ct => send_ct,
aes_done => aes_done
);
end architecture structural;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/platforms/xilinx/hwti_mblaze_6smp/design/pcores/plb_sync_manager_v1_00_a/hdl/vhdl/count_fsm.vhd | 11 | 5764 | -------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
use work.common.all;
entity count_fsm is
generic
(
C_AWIDTH : integer := 32;
C_DWIDTH : integer := 32;
C_TWIDTH : integer := 8;
C_MWIDTH : integer := 6;
C_CWIDTH : integer := 8
);
port
(
clk : in std_logic;
rst : in std_logic;
start : in std_logic;
finish : out std_logic;
data : out std_logic_vector(0 to C_DWIDTH-1);
mutex : in std_logic_vector(0 to C_MWIDTH-1);
thread : in std_logic_vector(0 to C_TWIDTH-1);
miowner : in std_logic_vector(0 to C_TWIDTH-1);
minext : in std_logic_vector(0 to C_TWIDTH-1);
milast : in std_logic_vector(0 to C_TWIDTH-1);
micount : in std_logic_vector(0 to C_CWIDTH-1);
mikind : in std_logic_vector(0 to 1);
tinext : in std_logic_vector(0 to C_TWIDTH-1);
moaddr : out std_logic_vector(0 to C_MWIDTH-1);
moena : out std_logic;
mowea : out std_logic;
moowner : out std_logic_vector(0 to C_TWIDTH-1);
monext : out std_logic_vector(0 to C_TWIDTH-1);
molast : out std_logic_vector(0 to C_TWIDTH-1);
mocount : out std_logic_vector(0 to C_CWIDTH-1);
mokind : out std_logic_vector(0 to 1);
sysrst : in std_logic;
rstdone : out std_logic;
toaddr : out std_logic_vector(0 to C_TWIDTH-1);
toena : out std_logic;
towea : out std_logic;
tonext : out std_logic_vector(0 to C_TWIDTH-1)
);
end count_fsm;
architecture behavioral of count_fsm is
-- A type for the states in the count fsm
type count_state is
(
IDLE,
READ,
DONE
);
-- Declare signals for the count fsm
signal count_cs : count_state;
signal count_ns : count_state;
-- Alias the location to store the count information
alias cdata : std_logic_vector(0 to C_CWIDTH-1) is data(C_DWIDTH-C_CWIDTH to C_DWIDTH-1);
begin
-- This core resets in one clock cycle so it is always "done"
rstdone <= '1';
count_update : process(clk,rst,sysrst,count_ns) is
begin
if( rising_edge(clk) ) then
if( rst = '1' or sysrst = '1' ) then
count_cs <= IDLE;
else
count_cs <= count_ns;
end if;
end if;
end process count_update;
count_controller : process(count_cs,start,mutex,micount) is
begin
count_ns <= count_cs;
finish <= '0';
data <= (others => '0');
moaddr <= (others => '0');
moena <= '0';
mowea <= '0';
moowner <= (others => '0');
monext <= (others => '0');
molast <= (others => '0');
mokind <= (others => '0');
mocount <= (others => '0');
toaddr <= (others => '0');
toena <= '0';
towea <= '0';
tonext <= (others => '0');
case count_cs is
when IDLE =>
if( start = '1' ) then
moaddr <= mutex;
moena <= '1';
mowea <= '0';
count_ns <= READ;
end if;
when READ =>
count_ns <= DONE;
when DONE =>
finish <= '1';
cdata <= micount;
count_ns <= IDLE;
end case;
end process count_controller;
end behavioral;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/hardware/MyRepository/pcores/opb_SynchManager_v1_00_c/hdl/vhdl/count_fsm.vhd | 11 | 5764 | -------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
use work.common.all;
entity count_fsm is
generic
(
C_AWIDTH : integer := 32;
C_DWIDTH : integer := 32;
C_TWIDTH : integer := 8;
C_MWIDTH : integer := 6;
C_CWIDTH : integer := 8
);
port
(
clk : in std_logic;
rst : in std_logic;
start : in std_logic;
finish : out std_logic;
data : out std_logic_vector(0 to C_DWIDTH-1);
mutex : in std_logic_vector(0 to C_MWIDTH-1);
thread : in std_logic_vector(0 to C_TWIDTH-1);
miowner : in std_logic_vector(0 to C_TWIDTH-1);
minext : in std_logic_vector(0 to C_TWIDTH-1);
milast : in std_logic_vector(0 to C_TWIDTH-1);
micount : in std_logic_vector(0 to C_CWIDTH-1);
mikind : in std_logic_vector(0 to 1);
tinext : in std_logic_vector(0 to C_TWIDTH-1);
moaddr : out std_logic_vector(0 to C_MWIDTH-1);
moena : out std_logic;
mowea : out std_logic;
moowner : out std_logic_vector(0 to C_TWIDTH-1);
monext : out std_logic_vector(0 to C_TWIDTH-1);
molast : out std_logic_vector(0 to C_TWIDTH-1);
mocount : out std_logic_vector(0 to C_CWIDTH-1);
mokind : out std_logic_vector(0 to 1);
sysrst : in std_logic;
rstdone : out std_logic;
toaddr : out std_logic_vector(0 to C_TWIDTH-1);
toena : out std_logic;
towea : out std_logic;
tonext : out std_logic_vector(0 to C_TWIDTH-1)
);
end count_fsm;
architecture behavioral of count_fsm is
-- A type for the states in the count fsm
type count_state is
(
IDLE,
READ,
DONE
);
-- Declare signals for the count fsm
signal count_cs : count_state;
signal count_ns : count_state;
-- Alias the location to store the count information
alias cdata : std_logic_vector(0 to C_CWIDTH-1) is data(C_DWIDTH-C_CWIDTH to C_DWIDTH-1);
begin
-- This core resets in one clock cycle so it is always "done"
rstdone <= '1';
count_update : process(clk,rst,sysrst,count_ns) is
begin
if( rising_edge(clk) ) then
if( rst = '1' or sysrst = '1' ) then
count_cs <= IDLE;
else
count_cs <= count_ns;
end if;
end if;
end process count_update;
count_controller : process(count_cs,start,mutex,micount) is
begin
count_ns <= count_cs;
finish <= '0';
data <= (others => '0');
moaddr <= (others => '0');
moena <= '0';
mowea <= '0';
moowner <= (others => '0');
monext <= (others => '0');
molast <= (others => '0');
mokind <= (others => '0');
mocount <= (others => '0');
toaddr <= (others => '0');
toena <= '0';
towea <= '0';
tonext <= (others => '0');
case count_cs is
when IDLE =>
if( start = '1' ) then
moaddr <= mutex;
moena <= '1';
mowea <= '0';
count_ns <= READ;
end if;
when READ =>
count_ns <= DONE;
when DONE =>
finish <= '1';
cdata <= micount;
count_ns <= IDLE;
end case;
end process count_controller;
end behavioral;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/hardware/MyRepository/pcores/axi_hthread_cores/proc_common_v3_00_a/hdl/vhdl/inferred_lut4.vhd | 2 | 7217 | -------------------------------------------------------------------------------
-- $Id: inferred_lut4.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $
-------------------------------------------------------------------------------
-- inferred_lut4.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: inferred_lut4.vhd
--
-- Description: This module is used to infer a LUT4 instantiation in
-- structural VHDL. It is compatable with Synplicity and xst
-- synthesis tools.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- inferred_lut4.vhd
--
-------------------------------------------------------------------------------
-- Author: D.Thorpe
--
-- History:
-- DET 2001-10-11 LUT4 implementation to work around xst lut4 problem with
-- INIT generic. Adapted from XST France work-around
-- solution sent to Bert Tise.
--
-- DET 1/17/2008 v3_00_a
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "Bus_clk", "Bus_clk_div#", "Bus_clk_#x"
-- Bus_rst signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.std_logic_arith.all;
library ieee;
use ieee.std_logic_unsigned.all;
-------------------------------------------------------------------------------
entity inferred_lut4 is
generic (INIT : bit_vector(15 downto 0));
port (
O : out std_logic;
I0 : in std_logic;
I1 : in std_logic;
I2 : in std_logic;
I3 : in std_logic
);
end entity inferred_lut4;
-------------------------------------------------------------------------------
architecture implementation of inferred_lut4 is
signal b : std_logic_vector(3 downto 0);
signal tmp : integer range 0 to 15;
begin
b <= (I3, I2, I1, I0);
tmp <= conv_integer(b);
O <= To_StdUlogic(INIT(tmp));
end architecture implementation;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/platforms/xilinx/numa3_hwti/design/pcores/plb_sync_manager_v1_00_a/hdl/vhdl/kind_fsm.vhd | 11 | 6373 | -------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
use work.common.all;
entity kind_fsm is
generic
(
C_AWIDTH : integer := 32;
C_DWIDTH : integer := 32;
C_TWIDTH : integer := 8;
C_MWIDTH : integer := 6;
C_CWIDTH : integer := 8
);
port
(
clk : in std_logic;
rst : in std_logic;
start : in std_logic;
finish : out std_logic;
rnw : in std_logic;
datain : in std_logic_vector(0 to C_DWIDTH-1);
data : out std_logic_vector(0 to C_DWIDTH-1);
mutex : in std_logic_vector(0 to C_MWIDTH-1);
thread : in std_logic_vector(0 to C_TWIDTH-1);
miowner : in std_logic_vector(0 to C_TWIDTH-1);
minext : in std_logic_vector(0 to C_TWIDTH-1);
milast : in std_logic_vector(0 to C_TWIDTH-1);
micount : in std_logic_vector(0 to C_CWIDTH-1);
mikind : in std_logic_vector(0 to 1);
tinext : in std_logic_vector(0 to C_TWIDTH-1);
moaddr : out std_logic_vector(0 to C_MWIDTH-1);
moena : out std_logic;
mowea : out std_logic;
moowner : out std_logic_vector(0 to C_TWIDTH-1);
monext : out std_logic_vector(0 to C_TWIDTH-1);
molast : out std_logic_vector(0 to C_TWIDTH-1);
mocount : out std_logic_vector(0 to C_CWIDTH-1);
mokind : out std_logic_vector(0 to 1);
sysrst : in std_logic;
rstdone : out std_logic;
toaddr : out std_logic_vector(0 to C_TWIDTH-1);
toena : out std_logic;
towea : out std_logic;
tonext : out std_logic_vector(0 to C_TWIDTH-1)
);
end kind_fsm;
architecture behavioral of kind_fsm is
-- A type for the states in the kind fsm
type kind_state is
(
IDLE,
READ,
DONE
);
-- Declare signals for the kind register fsm
signal kind_cs : kind_state;
signal kind_ns : kind_state;
-- Alias the kind input and output bits
alias kidata : std_logic_vector(0 to 1) is datain(C_DWIDTH-2 to C_DWIDTH-1);
alias kodata : std_logic_vector(0 to 1) is data(C_DWIDTH-2 to C_DWIDTH-1);
begin
-- This core resets in one clock cycle so it is always "done"
rstdone <= '1';
kind_update : process (clk,rst,sysrst,kind_ns) is
begin
if( rising_edge(clk) ) then
if( rst = '1' or sysrst = '1' ) then
kind_cs <= IDLE;
else
kind_cs <= kind_ns;
end if;
end if;
end process kind_update;
kind_controller : process (kind_cs,start,mutex,miowner,micount,mikind,milast,minext,rnw,datain) is
begin
kind_ns <= kind_cs;
finish <= '0';
data <= (others => '0');
moaddr <= (others => '0');
moena <= '0';
mowea <= '0';
moowner <= (others => '0');
monext <= (others => '0');
molast <= (others => '0');
mokind <= (others => '0');
mocount <= (others => '0');
toaddr <= (others => '0');
toena <= '0';
towea <= '0';
tonext <= (others => '0');
case kind_cs is
when IDLE =>
if( start = '1' ) then
moaddr <= mutex;
mowea <= '0';
moena <= '1';
kind_ns <= READ;
end if;
when READ =>
kind_ns <= DONE;
when DONE =>
if( rnw = '0' ) then
moaddr <= mutex;
moena <= '1';
mowea <= '1';
moowner <= miowner;
mokind <= kidata;
mocount <= micount;
monext <= minext;
molast <= milast;
finish <= '1';
kind_ns <= IDLE;
else
finish <= '1';
kodata <= mikind;
kind_ns <= IDLE;
end if;
end case;
end process kind_controller;
end behavioral;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/platforms/xilinx/hwti_mblaze_6smp/design/pcores/plb_sync_manager_v1_00_a/hdl/vhdl/owner_fsm.vhd | 11 | 5733 | -------------------------------------------------------------------------------------
-- Copyright (c) 2006, University of Kansas - Hybridthreads Group
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- * Neither the name of the University of Kansas nor the name of the
-- Hybridthreads Group nor the names of its contributors may be used to
-- endorse or promote products derived from this software without specific
-- prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
use work.common.all;
entity owner_fsm is
generic
(
C_AWIDTH : integer := 32;
C_DWIDTH : integer := 32;
C_TWIDTH : integer := 8;
C_MWIDTH : integer := 6;
C_CWIDTH : integer := 8
);
port
(
clk : in std_logic;
rst : in std_logic;
start : in std_logic;
finish : out std_logic;
data : out std_logic_vector(0 to C_DWIDTH-1);
mutex : in std_logic_vector(0 to C_MWIDTH-1);
thread : in std_logic_vector(0 to C_TWIDTH-1);
miowner : in std_logic_vector(0 to C_TWIDTH-1);
minext : in std_logic_vector(0 to C_TWIDTH-1);
milast : in std_logic_vector(0 to C_TWIDTH-1);
micount : in std_logic_vector(0 to C_CWIDTH-1);
mikind : in std_logic_vector(0 to 1);
tinext : in std_logic_vector(0 to C_TWIDTH-1);
moaddr : out std_logic_vector(0 to C_MWIDTH-1);
moena : out std_logic;
mowea : out std_logic;
moowner : out std_logic_vector(0 to C_TWIDTH-1);
monext : out std_logic_vector(0 to C_TWIDTH-1);
molast : out std_logic_vector(0 to C_TWIDTH-1);
mocount : out std_logic_vector(0 to C_CWIDTH-1);
mokind : out std_logic_vector(0 to 1);
sysrst : in std_logic;
rstdone : out std_logic;
toaddr : out std_logic_vector(0 to C_TWIDTH-1);
toena : out std_logic;
towea : out std_logic;
tonext : out std_logic_vector(0 to C_TWIDTH-1)
);
end owner_fsm;
architecture behavioral of owner_fsm is
-- A type for the states in the owner fsm
type owner_state is
(
IDLE,
READ,
DONE
);
-- Declare signals for the owner fsm
signal owner_cs : owner_state;
signal owner_ns : owner_state;
-- Alias owner output
alias odata : std_logic_vector(0 to C_TWIDTH-1) is data(C_DWIDTH-C_TWIDTH to C_DWIDTH-1);
begin
-- This core resets in one clock cycle so it is always "done"
rstdone <= '1';
owner_update : process(clk,rst,sysrst,owner_ns) is
begin
if( rising_edge(clk) ) then
if( rst = '1' or sysrst = '1' ) then
owner_cs <= IDLE;
else
owner_cs <= owner_ns;
end if;
end if;
end process owner_update;
owner_controller : process(owner_cs,start,mutex,miowner) is
begin
owner_ns <= owner_cs;
finish <= '0';
data <= (others => '0');
moaddr <= (others => '0');
moena <= '0';
mowea <= '0';
moowner <= (others => '0');
monext <= (others => '0');
molast <= (others => '0');
mokind <= (others => '0');
mocount <= (others => '0');
toaddr <= (others => '0');
toena <= '0';
towea <= '0';
tonext <= (others => '0');
case owner_cs is
when IDLE =>
if( start = '1' ) then
moaddr <= mutex;
moena <= '1';
mowea <= '0';
owner_ns <= READ;
end if;
when READ =>
owner_ns <= DONE;
when DONE =>
finish <= '1';
odata <= miowner;
owner_ns <= IDLE;
end case;
end process owner_controller;
end behavioral;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/hardware/MyRepository/pcores/hw_threads/hw_acc_v1_00_a/hdl/vhdl/user_logics/functional/create_6.vhd | 2 | 20507 | ---------------------------------------------------------------------------
--
-- Title: Hardware Thread User Logic Exit Thread
-- To be used as a place holder, and size estimate for HWTI
--
---------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_misc.all;
library Unisim;
use Unisim.all;
---------------------------------------------------------------------------
-- Port declarations
---------------------------------------------------------------------------
-- Definition of Ports:
--
-- Misc. Signals
-- clock
--
-- HWTI to HWTUL interconnect
-- intrfc2thrd_address 32 bits memory
-- intrfc2thrd_value 32 bits memory function
-- intrfc2thrd_function 16 bits control
-- intrfc2thrd_goWait 1 bits control
--
-- HWTUL to HWTI interconnect
-- thrd2intrfc_address 32 bits memory
-- thrd2intrfc_value 32 bits memory function
-- thrd2intrfc_function 16 bits function
-- thrd2intrfc_opcode 6 bits memory function
--
---------------------------------------------------------------------------
-- Thread Manager Entity section
---------------------------------------------------------------------------
entity user_logic_hwtul is
port (
clock : in std_logic;
intrfc2thrd_address : in std_logic_vector(0 to 31);
intrfc2thrd_value : in std_logic_vector(0 to 31);
intrfc2thrd_function : in std_logic_vector(0 to 15);
intrfc2thrd_goWait : in std_logic;
thrd2intrfc_address : out std_logic_vector(0 to 31);
thrd2intrfc_value : out std_logic_vector(0 to 31);
thrd2intrfc_function : out std_logic_vector(0 to 15);
thrd2intrfc_opcode : out std_logic_vector(0 to 5)
);
end entity user_logic_hwtul;
---------------------------------------------------------------------------
-- Architecture section
---------------------------------------------------------------------------
architecture IMP of user_logic_hwtul is
---------------------------------------------------------------------------
-- Signal declarations
---------------------------------------------------------------------------
type state_machine is (
FUNCTION_RESET,
FUNCTION_USER_SELECT,
FUNCTION_START,
FUNCTION_EXIT,
STATE_1,
STATE_2,
STATE_3,
STATE_4,
STATE_5,
STATE_6,
STATE_7,
STATE_8,
STATE_9,
STATE_10,
STATE_11,
STATE_12,
STATE_13,
STATE_14,
STATE_15,
STATE_16,
STATE_17,
STATE_18,
STATE_19,
STATE_20,
STATE_21,
STATE_22,
STATE_23,
STATE_24,
WAIT_STATE,
ERROR_STATE);
-- Function definitions
constant U_FUNCTION_RESET : std_logic_vector(0 to 15) := x"0000";
constant U_FUNCTION_WAIT : std_logic_vector(0 to 15) := x"0001";
constant U_FUNCTION_USER_SELECT : std_logic_vector(0 to 15) := x"0002";
constant U_FUNCTION_START : std_logic_vector(0 to 15) := x"0003";
constant U_STATE_1 : std_logic_vector(0 to 15) := x"0101";
constant U_STATE_2 : std_logic_vector(0 to 15) := x"0102";
constant U_STATE_3 : std_logic_vector(0 to 15) := x"0103";
constant U_STATE_4 : std_logic_vector(0 to 15) := x"0104";
constant U_STATE_5 : std_logic_vector(0 to 15) := x"0105";
constant U_STATE_6 : std_logic_vector(0 to 15) := x"0106";
constant U_STATE_7 : std_logic_vector(0 to 15) := x"0107";
constant U_STATE_8 : std_logic_vector(0 to 15) := x"0108";
constant U_STATE_9 : std_logic_vector(0 to 15) := x"0109";
constant U_STATE_10 : std_logic_vector(0 to 15) := x"0110";
constant U_STATE_11 : std_logic_vector(0 to 15) := x"0111";
constant U_STATE_12 : std_logic_vector(0 to 15) := x"0112";
constant U_STATE_13 : std_logic_vector(0 to 15) := x"0113";
constant U_STATE_14 : std_logic_vector(0 to 15) := x"0114";
constant U_STATE_15 : std_logic_vector(0 to 15) := x"0115";
constant U_STATE_16 : std_logic_vector(0 to 15) := x"0116";
constant U_STATE_17 : std_logic_vector(0 to 15) := x"0117";
constant U_STATE_18 : std_logic_vector(0 to 15) := x"0118";
constant U_STATE_19 : std_logic_vector(0 to 15) := x"0119";
constant U_STATE_20 : std_logic_vector(0 to 15) := x"0120";
constant U_STATE_21 : std_logic_vector(0 to 15) := x"0121";
constant U_STATE_22 : std_logic_vector(0 to 15) := x"0122";
constant U_STATE_23 : std_logic_vector(0 to 15) := x"0123";
constant U_STATE_24 : std_logic_vector(0 to 15) := x"0124";
-- Range 0003 to 7999 reserved for user logic's state machine
-- Range 8000 to 9999 reserved for system calls
constant FUNCTION_HTHREAD_ATTR_INIT : std_logic_vector(0 to 15) := x"8000";
constant FUNCTION_HTHREAD_ATTR_DESTROY : std_logic_vector(0 to 15) := x"8001";
constant FUNCTION_HTHREAD_CREATE : std_logic_vector(0 to 15) := x"8010";
constant FUNCTION_HTHREAD_JOIN : std_logic_vector(0 to 15) := x"8011";
constant FUNCTION_HTHREAD_SELF : std_logic_vector(0 to 15) := x"8012";
constant FUNCTION_HTHREAD_YIELD : std_logic_vector(0 to 15) := x"8013";
constant FUNCTION_HTHREAD_EQUAL : std_logic_vector(0 to 15) := x"8014";
constant FUNCTION_HTHREAD_EXIT : std_logic_vector(0 to 15) := x"8015";
constant FUNCTION_HTHREAD_EXIT_ERROR : std_logic_vector(0 to 15) := x"8016";
constant FUNCTION_HTHREAD_MUTEXATTR_INIT : std_logic_vector(0 to 15) := x"8020";
constant FUNCTION_HTHREAD_MUTEXATTR_DESTROY : std_logic_vector(0 to 15) := x"8021";
constant FUNCTION_HTHREAD_MUTEXATTR_SETNUM : std_logic_vector(0 to 15) := x"8022";
constant FUNCTION_HTHREAD_MUTEXATTR_GETNUM : std_logic_vector(0 to 15) := x"8023";
constant FUNCTION_HTHREAD_MUTEX_INIT : std_logic_vector(0 to 15) := x"8030";
constant FUNCTION_HTHREAD_MUTEX_DESTROY : std_logic_vector(0 to 15) := x"8031";
constant FUNCTION_HTHREAD_MUTEX_LOCK : std_logic_vector(0 to 15) := x"8032";
constant FUNCTION_HTHREAD_MUTEX_UNLOCK : std_logic_vector(0 to 15) := x"8033";
constant FUNCTION_HTHREAD_MUTEX_TRYLOCK : std_logic_vector(0 to 15) := x"8034";
constant FUNCTION_HTHREAD_CONDATTR_INIT : std_logic_vector(0 to 15) := x"8040";
constant FUNCTION_HTHREAD_CONDATTR_DESTROY : std_logic_vector(0 to 15) := x"8041";
constant FUNCTION_HTHREAD_CONDATTR_SETNUM : std_logic_vector(0 to 15) := x"8042";
constant FUNCTION_HTHREAD_CONDATTR_GETNUM : std_logic_vector(0 to 15) := x"8043";
constant FUNCTION_HTHREAD_COND_INIT : std_logic_vector(0 to 15) := x"8050";
constant FUNCTION_HTHREAD_COND_DESTROY : std_logic_vector(0 to 15) := x"8051";
constant FUNCTION_HTHREAD_COND_SIGNAL : std_logic_vector(0 to 15) := x"8052";
constant FUNCTION_HTHREAD_COND_BROADCAST : std_logic_vector(0 to 15) := x"8053";
constant FUNCTION_HTHREAD_COND_WAIT : std_logic_vector(0 to 15) := x"8054";
-- Ranged A000 to FFFF reserved for supported library calls
constant FUNCTION_MALLOC : std_logic_vector(0 to 15) := x"A000";
constant FUNCTION_CALLOC : std_logic_vector(0 to 15) := x"A001";
constant FUNCTION_FREE : std_logic_vector(0 to 15) := x"A002";
-- user_opcode Constants
constant OPCODE_NOOP : std_logic_vector(0 to 5) := "000000";
-- Memory sub-interface specific opcodes
constant OPCODE_LOAD : std_logic_vector(0 to 5) := "000001";
constant OPCODE_STORE : std_logic_vector(0 to 5) := "000010";
constant OPCODE_DECLARE : std_logic_vector(0 to 5) := "000011";
constant OPCODE_READ : std_logic_vector(0 to 5) := "000100";
constant OPCODE_WRITE : std_logic_vector(0 to 5) := "000101";
constant OPCODE_ADDRESS : std_logic_vector(0 to 5) := "000110";
-- Function sub-interface specific opcodes
constant OPCODE_PUSH : std_logic_vector(0 to 5) := "010000";
constant OPCODE_POP : std_logic_vector(0 to 5) := "010001";
constant OPCODE_CALL : std_logic_vector(0 to 5) := "010010";
constant OPCODE_RETURN : std_logic_vector(0 to 5) := "010011";
constant Z32 : std_logic_vector(0 to 31) := (others => '0');
signal current_state, next_state : state_machine := FUNCTION_RESET;
signal return_state, return_state_next: state_machine := FUNCTION_RESET;
signal toUser_address : std_logic_vector(0 to 31);
signal toUser_value : std_logic_vector(0 to 31);
signal toUser_function : std_logic_vector(0 to 15);
signal toUser_goWait : std_logic;
signal retVal, retVal_next : std_logic_vector(0 to 31);
signal arg, arg_next : std_logic_vector(0 to 31);
signal reg1, reg1_next : std_logic_vector(0 to 31);
signal reg2, reg2_next : std_logic_vector(0 to 31);
signal reg3, reg3_next : std_logic_vector(0 to 31);
signal reg4, reg4_next : std_logic_vector(0 to 31);
signal reg5, reg5_next : std_logic_vector(0 to 31);
signal reg6, reg6_next : std_logic_vector(0 to 31);
signal reg7, reg7_next : std_logic_vector(0 to 31);
signal reg8, reg8_next : std_logic_vector(0 to 31);
---------------------------------------------------------------------------
-- Begin architecture
---------------------------------------------------------------------------
begin -- architecture IMP
HWTUL_STATE_PROCESS : process (clock, intrfc2thrd_goWait) is
begin
if (clock'event and (clock = '1')) then
toUser_address <= intrfc2thrd_address;
toUser_value <= intrfc2thrd_value;
toUser_function <= intrfc2thrd_function;
toUser_goWait <= intrfc2thrd_goWait;
return_state <= return_state_next;
retVal <= retVal_next;
arg <= arg_next;
reg1 <= reg1_next;
reg2 <= reg2_next;
reg3 <= reg3_next;
reg4 <= reg4_next;
reg5 <= reg5_next;
reg6 <= reg6_next;
reg7 <= reg7_next;
reg8 <= reg8_next;
-- Find out if the HWTI is tell us what to do
if (intrfc2thrd_goWait = '1') then
case intrfc2thrd_function is
-- Typically the HWTI will tell us to control our own destiny
when U_FUNCTION_USER_SELECT =>
current_state <= next_state;
-- List all the functions the HWTI could tell us to run
when U_FUNCTION_RESET =>
current_state <= FUNCTION_RESET;
when U_FUNCTION_START =>
current_state <= FUNCTION_START;
when U_STATE_1 =>
current_state <= STATE_1;
when U_STATE_2 =>
current_state <= STATE_2;
when U_STATE_3 =>
current_state <= STATE_3;
when U_STATE_4 =>
current_state <= STATE_4;
when U_STATE_5 =>
current_state <= STATE_5;
when U_STATE_6 =>
current_state <= STATE_6;
when U_STATE_7 =>
current_state <= STATE_7;
when U_STATE_8 =>
current_state <= STATE_8;
when U_STATE_9 =>
current_state <= STATE_9;
when U_STATE_10 =>
current_state <= STATE_10;
when U_STATE_11 =>
current_state <= STATE_11;
when U_STATE_12 =>
current_state <= STATE_12;
when U_STATE_13 =>
current_state <= STATE_13;
when U_STATE_14 =>
current_state <= STATE_14;
when U_STATE_15 =>
current_state <= STATE_15;
when U_STATE_16 =>
current_state <= STATE_16;
when U_STATE_17 =>
current_state <= STATE_17;
when U_STATE_18 =>
current_state <= STATE_18;
when U_STATE_19 =>
current_state <= STATE_19;
when U_STATE_20 =>
current_state <= STATE_20;
when U_STATE_21 =>
current_state <= STATE_21;
when U_STATE_22 =>
current_state <= STATE_22;
when U_STATE_23 =>
current_state <= STATE_23;
when U_STATE_24 =>
current_state <= STATE_24;
-- If the HWTI tells us to do something we don't know, error
when OTHERS =>
current_state <= ERROR_STATE;
end case;
else
current_state <= WAIT_STATE;
end if;
end if;
end process HWTUL_STATE_PROCESS;
HWTUL_STATE_MACHINE : process (clock) is
begin
-- Default register assignments
thrd2intrfc_opcode <= OPCODE_NOOP; -- When issuing an OPCODE, must be a pulse
thrd2intrfc_address <= Z32;
thrd2intrfc_value <= Z32;
thrd2intrfc_function <= U_FUNCTION_USER_SELECT;
return_state_next <= return_state;
next_state <= current_state;
retVal_next <= retVal;
arg_next <= arg;
reg1_next <= reg1;
reg2_next <= reg2;
reg3_next <= reg3;
reg4_next <= reg4;
reg5_next <= reg5;
reg6_next <= reg6;
reg7_next <= reg7;
reg8_next <= reg8;
-----------------------------------------------------------------------
-- Testcase: create_6.c
-- ARGUMENT_VALUE = 31
-- reg3 = childVal1
-- reg4 = childVal2
-- reg5 = * function1
-- reg6 = * function2
-- reg7 = thread1
-- reg8 = thread2
-----------------------------------------------------------------------
-- The state machine
case current_state is
when FUNCTION_RESET =>
--Set default values
thrd2intrfc_opcode <= OPCODE_NOOP;
thrd2intrfc_address <= Z32;
thrd2intrfc_value <= Z32;
thrd2intrfc_function <= U_FUNCTION_START;
-- struct test_data * data = (struct test_data *) arg;
when FUNCTION_START =>
-- Pop the argument
thrd2intrfc_value <= Z32;
thrd2intrfc_opcode <= OPCODE_POP;
next_state <= WAIT_STATE;
return_state_next <= STATE_1;
when STATE_1 =>
arg_next <= intrfc2thrd_value;
-- Read the address of function1
thrd2intrfc_opcode <= OPCODE_LOAD;
thrd2intrfc_address <= intrfc2thrd_value;
next_state <= WAIT_STATE;
return_state_next <= STATE_2;
when STATE_2 =>
reg5_next <= intrfc2thrd_value;
-- Read the address of function2
thrd2intrfc_opcode <= OPCODE_LOAD;
thrd2intrfc_address <= arg + 4;
next_state <= WAIT_STATE;
return_state_next <= STATE_3;
-- hthread_create( &data->thread1, NULL, data->function1, ARGUMENT_VALUE );
when STATE_3 =>
reg6_next <= intrfc2thrd_value;
-- push ARGUMENT_VALUE
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= x"0000001F";
next_state <= WAIT_STATE;
return_state_next <= STATE_4;
when STATE_4 =>
-- push data->function1
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= reg5;
next_state <= WAIT_STATE;
return_state_next <= STATE_5;
when STATE_5 =>
-- push NULL
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= Z32;
next_state <= WAIT_STATE;
return_state_next <= STATE_6;
when STATE_6 =>
-- push &data->thread1
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= arg + x"00000008";
next_state <= WAIT_STATE;
return_state_next <= STATE_7;
when STATE_7 =>
-- call hthread_create
thrd2intrfc_opcode <= OPCODE_CALL;
thrd2intrfc_function <= FUNCTION_HTHREAD_CREATE;
thrd2intrfc_value <= Z32(0 to 15) & U_STATE_8;
next_state <= WAIT_STATE;
-- hthread_create( &data->thread2, NULL, data->function2, ARGUMENT_VALUE );
when STATE_8 =>
-- push ARGUMENT_VALUE
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= x"0000001F";
next_state <= WAIT_STATE;
return_state_next <= STATE_9;
when STATE_9 =>
-- push data->function2
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= reg6;
next_state <= WAIT_STATE;
return_state_next <= STATE_10;
when STATE_10 =>
-- push NULL
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= Z32;
next_state <= WAIT_STATE;
return_state_next <= STATE_11;
when STATE_11 =>
-- push &data->thread2
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= arg + x"0000000C";
next_state <= WAIT_STATE;
return_state_next <= STATE_12;
when STATE_12 =>
-- call hthread_create
thrd2intrfc_opcode <= OPCODE_CALL;
thrd2intrfc_function <= FUNCTION_HTHREAD_CREATE;
thrd2intrfc_value <= Z32(0 to 15) & U_STATE_13;
next_state <= WAIT_STATE;
-- hthread_join( data->thread1, (void *) &data->childVal1 );
when STATE_13 =>
-- Load the value of data->thread1
thrd2intrfc_opcode <= OPCODE_LOAD;
thrd2intrfc_address <= arg + x"00000008";
next_state <= WAIT_STATE;
return_state_next <= STATE_14;
when STATE_14 =>
reg7_next <= intrfc2thrd_value;
-- push &data->childVal1
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= arg + x"00000010";
next_state <= WAIT_STATE;
return_state_next <= STATE_15;
when STATE_15 =>
-- push data->thread1
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= reg7;
next_state <= WAIT_STATE;
return_state_next <= STATE_16;
when STATE_16 =>
-- call hthread_join
thrd2intrfc_opcode <= OPCODE_CALL;
thrd2intrfc_function <= FUNCTION_HTHREAD_JOIN;
thrd2intrfc_value <= Z32(0 to 15) & U_STATE_17;
next_state <= WAIT_STATE;
-- hthread_join( data->thread2, (void *) &data->childVal2 );
when STATE_17 =>
-- Load the value of data->thread2
thrd2intrfc_opcode <= OPCODE_LOAD;
thrd2intrfc_address <= arg + x"0000000C";
next_state <= WAIT_STATE;
return_state_next <= STATE_18;
when STATE_18 =>
reg8_next <= intrfc2thrd_value;
-- push &data->childVal2
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= arg + x"00000014";
next_state <= WAIT_STATE;
return_state_next <= STATE_19;
when STATE_19 =>
-- push data->thread2
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= reg8;
next_state <= WAIT_STATE;
return_state_next <= STATE_20;
when STATE_20 =>
-- call hthread_join
thrd2intrfc_opcode <= OPCODE_CALL;
thrd2intrfc_function <= FUNCTION_HTHREAD_JOIN;
thrd2intrfc_value <= Z32(0 to 15) & U_STATE_21;
next_state <= WAIT_STATE;
when STATE_21 =>
-- Load the value of childVal1
thrd2intrfc_opcode <= OPCODE_LOAD;
thrd2intrfc_address <= arg + x"00000010";
next_state <= WAIT_STATE;
return_state_next <= STATE_22;
when STATE_22 =>
reg3_next <= intrfc2thrd_value;
-- Load the value of childVal2
thrd2intrfc_opcode <= OPCODE_LOAD;
thrd2intrfc_address <= arg + x"00000014";
next_state <= WAIT_STATE;
return_state_next <= STATE_23;
when STATE_23 =>
reg4_next <= intrfc2thrd_value;
next_state <= STATE_24;
when STATE_24 =>
if ( reg3 = reg4 ) then
retVal_next <= Z32;
else
retVal_next <= x"00000001";
end if;
next_state <= FUNCTION_EXIT;
when FUNCTION_EXIT =>
--Same as hthread_exit( (void *) retVal );
thrd2intrfc_value <= retVal;
thrd2intrfc_opcode <= OPCODE_RETURN;
next_state <= WAIT_STATE;
when WAIT_STATE =>
next_state <= return_state;
when ERROR_STATE =>
next_state <= ERROR_STATE;
when others =>
next_state <= ERROR_STATE;
end case;
end process HWTUL_STATE_MACHINE;
end architecture IMP;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/platforms/xilinx/smp3_opbhwti/design/pcores/opb_v20_v1_10_d/hdl/vhdl/priority_register_logic.vhd | 3 | 24319 | -------------------------------------------------------------------------------
-- $Id: priority_register_logic.vhd,v 1.1.2.1 2009/10/06 21:15:02 gburch Exp $
-------------------------------------------------------------------------------
-- OPB Arbiter - Priority Register Logic
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2003,2009 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: priority_register_logic.vhd
-- Version: v1.02e
-- Description:
-- This file contains the priority registers and the logic to
-- update the registers for a LRU algorithm if the design is
-- parameterized for dynamic priority and dynamic priority
-- has been enabled in the control register. The number of
-- priority levels is determined by the number of masters.
-- There is a priority register for each priority level
-- containing the id of the master at that priority level. The
-- master id's are right justified in each register. Each
-- register is padded with leading zeros.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
--
-- opb_arbiter.vhd
-- --opb_arbiter_core.vhd
-- -- ipif_regonly_slave.vhd
-- -- priority_register_logic.vhd
-- -- priority_reg.vhd
-- -- onehot2encoded.vhd
-- -- or_bits.vhd
-- -- control_register.vhd
-- -- arb2bus_data_mux.vhd
-- -- mux_onehot.vhd
-- -- or_bits.vhd
-- -- watchdog_timer.vhd
-- -- arbitration_logic.vhd
-- -- or_bits.vhd
-- -- park_lock_logic.vhd
-- -- or_bits.vhd
-- -- or_gate.vhd
-- -- or_muxcy.vhd
-------------------------------------------------------------------------------
-- Author: ALS
-- History:
-- ALS 08/28/01 -- Version 1.01a creation to include IPIF v1.22a
-- ALS 10/04/01 -- Version 1.02a creation to include IPIF v1.23a
-- ALS 11/27/01
-- ^^^^^^
-- Version 1.02b created to fix registered grant problem.
-- ~~~~~~
-- ALS 01/26/02
-- ^^^^^^
-- Created version 1.02c to fix problem with registered grants, and buslock when
-- the buslock master is holding request high and performing conversion cycles.
-- ~~~~~~
-- ALS 01/09/03
-- ^^^^^^
-- Created version 1.02d to register OPB_timeout to improve timing
-- ~~~~~~
-- bsbrao 09/27/04
-- ^^^^^^
-- Created version 1.02e to upgrade IPIF from opb_ipif_v1_23_a to
-- opb_ipif_v3_01_a
-- ~~~~~~
-- chandan 05/25/06
-- ^^^^^^
-- Modified the process MASTER_LOOP to remove the latch it was creating.
-- ~~~~~~
-- GAB 10/05/09
-- ^^^^^^
-- Moved all helper libraries proc_common_v2_00_a, opb_ipif_v3_01_a, and
-- opb_arbiter_v1_02_e locally into opb_v20_v1_10_d
--
-- Updated legal header
-- ~~~~~~
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
--
library ieee;
use ieee.STD_LOGIC_1164.all;
use ieee.std_logic_arith.conv_std_logic_vector;
--
-- library unsigned is used for overloading of '=' which allows integer to
-- be compared to std_logic_vector
use ieee.std_logic_unsigned.all;
--
-- Library OPB_ARBITER contains the package OPB_ARB_PKG with contant definitions
library opb_v20_v1_10_d;
use opb_v20_v1_10_d.all;
use opb_v20_v1_10_d.opb_arb_pkg.all;
-- Library UNISIM contains Xilinx primitives
library unisim;
use unisim.vcomponents.all;
------------------------------------------------------------------------------
-- Port Declaration
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Definition of Generics:
-- C_NUM_MASTERS -- number of masters
-- C_OPBDATA_WIDTH -- width of OPB data bus
-- C_NUM_MID_BITS -- number of bits required to encode masterIDs
-- C_DYNAM_PRIORITY -- dynamic priority is supported
--
-- Definition of Ports:
--
-- -- Master Grant signals
-- input MGrant -- Active high Master grant signals
-- input MGrant_n -- Active low Master grant signals
--
-- -- IPIF interface
-- input Bus2ip_data -- Data from OPB bus
-- input Bus2Ip_Reg_WrCE -- Clock enables for priority regs
--
-- -- Control register interface
-- input Dpen -- Dynamic priority enable
-- input Prv -- Priority registers valid
--
-- -- Priority register output
-- output Priority_register -- Priority register with leading zeros
-- output Priority_IDs -- Master IDs for each priority level
--
-- input Clk -- Clock
-- input Rst -- Reset
-------------------------------------------------------------------------------
-----------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
entity priority_register_logic is
generic ( C_NUM_MASTERS : integer := 16;
C_OPBDATA_WIDTH : integer := 32;
C_NUM_MID_BITS : integer := 4;
C_DYNAM_PRIORITY : boolean := true
);
port (
MGrant : in std_logic_vector(0 to C_NUM_MASTERS-1);
MGrant_n : in std_logic_vector(0 to C_NUM_MASTERS-1);
Bus2IP_Data : in std_logic_vector(0 to C_OPBDATA_WIDTH-1 );
Bus2IP_Reg_WrCE : in std_logic_vector(0 to C_NUM_MASTERS-1);
Dpen : in std_logic;
Prv : in std_logic;
Priority_register : out std_logic_vector(0 to C_NUM_MASTERS*C_OPBDATA_WIDTH-1);
Priority_IDs : out std_logic_vector(0 to C_NUM_MASTERS*C_NUM_MID_BITS-1);
Clk : in std_logic;
Rst : in std_logic
);
end priority_register_logic;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture implementation of priority_register_logic is
-------------------------------------------------------------------------------
-- Constant Declarations
-------------------------------------------------------------------------------
-- pad number of masters to nearest power of 2
constant NUM_MSTRS_PAD : integer := pad_power2(C_NUM_MASTERS);
-------------------------------------------------------------------------------
-- Signal and Type Declarations
-------------------------------------------------------------------------------
-- Internal priority register
signal priority_register_i : std_logic_vector(0 to C_NUM_MASTERS*C_OPBDATA_WIDTH-1);
-- Internal master ids at each priority level
signal priority_ids_i : std_logic_vector(0 to C_NUM_MASTERS*C_NUM_MID_BITS-1);
-- create default set of priority IDs for use when the Prv bit is negated
-- indicating that the priority registers are being updated by the processor
signal default_ids : std_logic_vector(0 to C_NUM_MASTERS*C_NUM_MID_BITS-1);
-- Register shift controls
-- Set default to zeros, if dynamic priority is not supported, this bus will
-- stay zero.
signal shift : std_logic_vector(0 to C_NUM_MASTERS-1) := (others => '0');
-- ID of master granted the bus, defaults to zero if dynamic priority is not
-- supported.
signal granted_mid : std_logic_vector(0 to C_NUM_MID_BITS-1) := (others => '0');
-- Need active low grant signals to properly drive select lines of muxes
-- this bus will use NUM_MSTRS_PAD so that bus is sized to nearest power of 2.
-- Bus defaults to '0', only the bits up to C_NUM_MASTERS
-- will get assigned a real value.
signal mgrant_n_pad : std_logic_vector(0 to NUM_MSTRS_PAD-1) := (others => '0');
-------------------------------------------------------------------------------
-- Component Declarations
-------------------------------------------------------------------------------
begin
-------------------------------------------------------------------------------
-- Assign internal priority register to output ports
-- Use default priority IDs when the priority registers are being updated, i.e.
-- PRV=0.
-------------------------------------------------------------------------------
Priority_register <= priority_register_i;
Priority_IDs <= priority_ids_i when Prv = '1'
else default_ids;
-- Create the default master IDs for each priority level
-- The default is that LVL0 = Master 0, LVL1 = Master 1, LVLn = Mastern
DEF_IDS_GEN: for i in 0 to C_NUM_MASTERS-1 generate
-- create default set of priority IDs for use when the Prv bit is negated
-- indicating that the priority registers are being updated by the processor
begin
default_ids(i*C_NUM_MID_BITS to i*C_NUM_MID_BITS+C_NUM_MID_BITS-1) <=
conv_std_logic_vector(i, C_NUM_MID_BITS);
end generate DEF_IDS_GEN;
-- assign the padded mgrant_n bus the MGrant_n signals
mgrant_n_pad(0 to C_NUM_MASTERS-1) <= MGrant_n;
-------------------------------------------------------------------------------
-- Dynamic Priority Support
-------------------------------------------------------------------------------
-- If the design has been parameterized for each master, have to first decode
-- the grant signals with the current priority register values to determine
-- which priority level was granted the bus. This is then used to determine
-- which priority registers need to shift in the master ID from the lower
-- priority registers. If dynamic priority is not supported, the shift controls
-- are left at their default value of 0.
-------------------------------------------------------------------------------
DYNAM_PRIORITY_GEN: if C_DYNAM_PRIORITY generate
-- one-hot active-low indicator of which priority level was granted the bus
signal grant_lvl_n : std_logic_vector(0 to C_NUM_MASTERS-1);
begin
MASTER_LOOP: for i in 0 to C_NUM_MASTERS-1 generate
-- decode each master ID in the priority registers to determine
-- which priority level was granted the bus
MASTER_4 : if C_NUM_MID_BITS = 4 generate
signal priority_ids_int : std_logic_vector(0 to 3);
begin
priority_ids_int <= priority_ids_i(i*C_NUM_MID_BITS to (i*C_NUM_MID_BITS)+C_NUM_MID_BITS-1);
DECODE_REQ_PROCESS: process(mgrant_n_pad, priority_ids_int)
begin
case priority_ids_int is
when "0000" => grant_lvl_n(i) <= mgrant_n_pad(0);
when "0001" => grant_lvl_n(i) <= mgrant_n_pad(1);
when "0010" => grant_lvl_n(i) <= mgrant_n_pad(2);
when "0011" => grant_lvl_n(i) <= mgrant_n_pad(3);
when "0100" => grant_lvl_n(i) <= mgrant_n_pad(4);
when "0101" => grant_lvl_n(i) <= mgrant_n_pad(5);
when "0110" => grant_lvl_n(i) <= mgrant_n_pad(6);
when "0111" => grant_lvl_n(i) <= mgrant_n_pad(7);
when "1000" => grant_lvl_n(i) <= mgrant_n_pad(8);
when "1001" => grant_lvl_n(i) <= mgrant_n_pad(9);
when "1010" => grant_lvl_n(i) <= mgrant_n_pad(10);
when "1011" => grant_lvl_n(i) <= mgrant_n_pad(11);
when "1100" => grant_lvl_n(i) <= mgrant_n_pad(12);
when "1101" => grant_lvl_n(i) <= mgrant_n_pad(13);
when "1110" => grant_lvl_n(i) <= mgrant_n_pad(14);
when others => grant_lvl_n(i) <= mgrant_n_pad(15);
end case;
end process DECODE_REQ_PROCESS;
end generate MASTER_4;
MASTER_3 : if C_NUM_MID_BITS = 3 generate
signal priority_ids_int : std_logic_vector(0 to 2);
begin
priority_ids_int <= priority_ids_i(i*C_NUM_MID_BITS to (i*C_NUM_MID_BITS)+C_NUM_MID_BITS-1);
DECODE_REQ_PROCESS: process(mgrant_n_pad, priority_ids_int)
begin
case priority_ids_int is
when "000" => grant_lvl_n(i) <= mgrant_n_pad(0);
when "001" => grant_lvl_n(i) <= mgrant_n_pad(1);
when "010" => grant_lvl_n(i) <= mgrant_n_pad(2);
when "011" => grant_lvl_n(i) <= mgrant_n_pad(3);
when "100" => grant_lvl_n(i) <= mgrant_n_pad(4);
when "101" => grant_lvl_n(i) <= mgrant_n_pad(5);
when "110" => grant_lvl_n(i) <= mgrant_n_pad(6);
when others => grant_lvl_n(i) <= mgrant_n_pad(7);
end case;
end process DECODE_REQ_PROCESS;
end generate MASTER_3;
MASTER_2 : if C_NUM_MID_BITS = 2 generate
signal priority_ids_int : std_logic_vector(0 to 1);
begin
priority_ids_int <= priority_ids_i(i*C_NUM_MID_BITS to (i*C_NUM_MID_BITS)+C_NUM_MID_BITS-1);
DECODE_REQ_PROCESS: process(mgrant_n_pad, priority_ids_int)
begin
case priority_ids_int is
when "00" => grant_lvl_n(i) <= mgrant_n_pad(0);
when "01" => grant_lvl_n(i) <= mgrant_n_pad(1);
when "10" => grant_lvl_n(i) <= mgrant_n_pad(2);
when others => grant_lvl_n(i) <= mgrant_n_pad(3);
end case;
end process DECODE_REQ_PROCESS;
end generate MASTER_2;
MASTER_1 : if C_NUM_MID_BITS = 1 generate
signal priority_ids_int : std_logic_vector (0 to 0);
begin
priority_ids_int <= priority_ids_i(i*C_NUM_MID_BITS to (i*C_NUM_MID_BITS)+C_NUM_MID_BITS-1);
DECODE_REQ_PROCESS: process(mgrant_n_pad, priority_ids_int)
begin
case priority_ids_int is
when "0" => grant_lvl_n(i) <= mgrant_n_pad(0);
when others => grant_lvl_n(i) <= mgrant_n_pad(1);
end case;
end process DECODE_REQ_PROCESS;
end generate MASTER_1;
-- generate the OR chain which determines the shift signals for the
-- priority registers. LVL0 shifts if GRANT_LVL0 was asserted, LVL1
-- shifts if GRANT_LVL0 or GRANT_LVL1 was asserted, LVLn shifts if
-- any grants LVL0 - LVLn were asserted
MUX0_GEN: if i = 0 generate
MUX_LVL0: MUXCY
port map (
O => shift(i), --[out]
CI => '0', --[in]
DI => '1', --[in]
S => grant_lvl_n(i) --[in]
);
end generate MUX0_GEN;
OTHER_MUXES: if i /= 0 generate
MUX_LVLS: MUXCY
port map (
O => shift(i), --[out]
CI => shift(i-1), --[in]
DI => '1', --[in]
S => grant_lvl_n(i) --[in]
);
end generate OTHER_MUXES;
end generate MASTER_LOOP;
-- have to encode the grant signals to the proper Master ID for shifting
-- into the lowest priority register
GRANT_MID_ENC: entity opb_v20_v1_10_d.onehot2encoded
generic map( C_1HOT_BUS_SIZE => C_NUM_MASTERS)
port map (
Bus_1hot => MGrant,
Bus_enc => granted_mid
);
end generate DYNAM_PRIORITY_GEN;
-------------------------------------------------------------------------------
-- Priority Registers
-------------------------------------------------------------------------------
-- The following instantiations of PRIORITY_REG provide registers for each
-- priority level which hold the id of the master at that priority level. There
-- is a priority register for each master. The default reset condition is that
-- Master 0 is at level 0 priority, Master 1 is at level 1 priority, etc.
-- Note that if dynamic priority is not supported, DPEN is set to zero and the
-- SHIFT control is set to zero.
--
-- Also note that if dynamic priority is supported, the lowest level priority
-- register shifts in the granted master id. If dynamic priority is not
-- supported, this ID is set to zeros since it will be unused.
-------------------------------------------------------------------------------
PRIOR_REG_GEN: for i in 0 to C_NUM_MASTERS-1 generate
LAST_REG: if i = C_NUM_MASTERS-1 generate
LOW_PRIOR_REG: entity opb_v20_v1_10_d.priority_reg
generic map (
C_RESET_VALUE => conv_std_logic_vector(i, C_NUM_MID_BITS),
C_NUM_MID_BITS => C_NUM_MID_BITS,
C_OPBDATA_WIDTH => C_OPBDATA_WIDTH
)
port map (
Priorreg_wrce => Bus2IP_Reg_WrCE(i),
Bus2Ip_Data => Bus2IP_Data,
Dpen => Dpen,
Shift => shift(i),
Master_id_in => granted_mid,
Master_id_out => priority_ids_i(i*C_NUM_MID_BITS to
i*C_NUM_MID_BITS + C_NUM_MID_BITS-1),
Priority => priority_register_i(i*C_OPBDATA_WIDTH to
i*C_OPBDATA_WIDTH + C_OPBDATA_WIDTH-1),
Clk => Clk,
Rst => Rst
);
end generate LAST_REG;
OTHER_REGS: if i /= C_NUM_MASTERS-1 generate
PRIOR_REG: entity opb_v20_v1_10_d.priority_reg
generic map (
C_RESET_VALUE => conv_std_logic_vector(i, C_NUM_MID_BITS),
C_NUM_MID_BITS => C_NUM_MID_BITS,
C_OPBDATA_WIDTH => C_OPBDATA_WIDTH
)
port map (
Priorreg_wrce => Bus2IP_Reg_WrCE(i),
Bus2Ip_Data => Bus2IP_Data,
Dpen => Dpen,
Shift => shift(i),
Master_id_in => priority_ids_i((i+1)*C_NUM_MID_BITS to
(i+1)*C_NUM_MID_BITS + C_NUM_MID_BITS-1),
Master_id_out => priority_ids_i(i*C_NUM_MID_BITS to
i*C_NUM_MID_BITS + C_NUM_MID_BITS-1),
Priority => priority_register_i(i*C_OPBDATA_WIDTH to
i*C_OPBDATA_WIDTH + C_OPBDATA_WIDTH-1),
Clk => Clk,
Rst => Rst
);
end generate OTHER_REGS;
end generate PRIOR_REG_GEN;
end implementation;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/hardware/MyRepository/pcores/axi_hthread_cores/proc_common_v3_00_a/hdl/vhdl/pselect_mask.vhd | 2 | 13366 | -------------------------------------------------------------------------------
-- $Id: pselect_mask.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $
-------------------------------------------------------------------------------
-- pselect_mask.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2002-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: pselect_mask.vhd
--
-- Description:
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- pselect_mask.vhd
--
-------------------------------------------------------------------------------
-- Author: goran
-- Revision: $Revision: 1.1.4.1 $
-- Date: $Date: 2010/09/14 22:35:47 $
--
-- History:
-- goran 2002-02-06 First Version
--
--
-- DET 1/17/2008 v3_00_a
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library Unisim;
use Unisim.all;
-----------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Definition of Generics:
-- C_AB -- number of address bits to decode
-- C_AW -- width of address bus
-- C_BAR -- base address of peripheral (peripheral select
-- is asserted when the C_AB most significant
-- address bits match the C_AB most significant
-- C_BAR bits
-- Definition of Ports:
-- A -- address input
-- AValid -- address qualifier
-- PS -- peripheral select
-------------------------------------------------------------------------------
entity pselect_mask is
generic (
C_AW : integer := 32;
C_BAR : std_logic_vector(0 to 31) := "00000000000000100000000000000000";
C_MASK : std_logic_vector(0 to 31) := "00000000000001111100000000000000"
);
port (
A : in std_logic_vector(0 to C_AW-1);
Valid : in std_logic;
CS : out std_logic
);
end entity pselect_mask;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
library unisim;
use unisim.all;
architecture imp of pselect_mask is
-- component LUT4
-- generic(
-- INIT : bit_vector := X"0000"
-- );
-- port (
-- O : out std_logic;
-- I0 : in std_logic := '0';
-- I1 : in std_logic := '0';
-- I2 : in std_logic := '0';
-- I3 : in std_logic := '0');
-- end component;
-- component MUXCY is
-- port (
-- O : out std_logic;
-- CI : in std_logic;
-- DI : in std_logic;
-- S : in std_logic
-- );
-- end component MUXCY;
function Nr_Of_Ones (S : std_logic_vector) return natural is
variable tmp : natural := 0;
begin -- function Nr_Of_Ones
for I in S'range loop
if (S(I) = '1') then
tmp := tmp + 1;
end if;
end loop; -- I
return tmp;
end function Nr_Of_Ones;
function fix_AB (B : boolean; I : integer) return integer is
begin -- function fix_AB
if (not B) then
return I + 1;
else
return I;
end if;
end function fix_AB;
constant Nr : integer := Nr_Of_Ones(C_MASK);
constant Use_CIN : boolean := ((Nr mod 4) = 0);
constant AB : integer := fix_AB(Use_CIN, Nr);
attribute INIT : string;
constant NUM_LUTS : integer := (AB-1)/4+1;
-- signal lut_out : std_logic_vector(0 to NUM_LUTS-1);
-- signal carry_chain : std_logic_vector(0 to NUM_LUTS);
-- function to initialize LUT within pselect
type int4 is array (3 downto 0) of integer;
function pselect_init_lut(i : integer;
AB : integer;
NUM_LUTS : integer;
C_AW : integer;
C_BAR : std_logic_vector(0 to 31))
return bit_vector is
variable init_vector : bit_vector(15 downto 0) := X"0001";
variable j : integer := 0;
variable val_in : int4;
begin
for j in 0 to 3 loop
if i < NUM_LUTS-1 or j <= ((AB-1) mod 4) then
val_in(j) := conv_integer(C_BAR(i*4+j));
else val_in(j) := 0;
end if;
end loop;
init_vector := To_bitvector(conv_std_logic_vector(2**(val_in(3)*8+
val_in(2)*4+val_in(1)*2+val_in(0)*1),16));
return init_vector;
end pselect_init_lut;
signal A_Bus : std_logic_vector(0 to AB);
signal BAR : std_logic_vector(0 to AB);
-------------------------------------------------------------------------------
-- Begin architecture section
-------------------------------------------------------------------------------
begin -- VHDL_RTL
Make_Busses : process (A,Valid) is
variable tmp : natural;
begin -- process Make_Busses
tmp := 0;
A_Bus <= (others => '0');
BAR <= (others => '0');
for I in C_MASK'range loop
if (C_MASK(I) = '1') then
A_Bus(tmp) <= A(I);
BAR(tmp) <= C_BAR(I);
tmp := tmp + 1;
end if;
end loop; -- I
if (not Use_CIN) then
BAR(tmp) <= '1';
A_Bus(tmp) <= Valid;
end if;
end process Make_Busses;
-- More_Than_3_Bits : if (AB > 3) generate
-- Using_CIn: if (Use_CIN) generate
-- carry_chain(0) <= Valid;
-- end generate Using_CIn;
-- No_CIn: if (not Use_CIN) generate
-- carry_chain(0) <= '1';
-- end generate No_CIn;
-- GEN_DECODE : for i in 0 to NUM_LUTS-1 generate
-- signal lut_in : std_logic_vector(3 downto 0);
-- begin
-- GEN_LUT_INPUTS : for j in 0 to 3 generate
-- -- Generate to assign address bits to LUT4 inputs
-- GEN_INPUT : if i < NUM_LUTS-1 or j <= ((AB-1) mod 4) generate
-- lut_in(j) <= A_Bus(i*4+j);
-- end generate;
-- -- Generate to assign zeros to remaining LUT4 inputs
-- GEN_ZEROS : if not(i < NUM_LUTS-1 or j <= ((AB-1) mod 4)) generate
-- lut_in(j) <= '0';
-- end generate;
-- end generate;
---------------------------------------------------------------------------------
---- RTL version without LUT instantiation for XST
---------------------------------------------------------------------------------
-- lut_out(i) <= (lut_in(0) xnor BAR(i*4+0)) and
-- (lut_in(1) xnor BAR(i*4+1)) and
-- (lut_in(2) xnor BAR(i*4+2)) and
-- (lut_in(3) xnor BAR(i*4+3));
---------------------------------------------------------------------------------
---- Structural version with LUT instantiation for Synplicity (when RLOC is
---- desired for placing LUT
---------------------------------------------------------------------------------
---- LUT4_I : LUT4
---- generic map(
---- -- Function init_lut is used to generate INIT value for LUT4
---- INIT => pselect_init_lut(i,C_AB,NUM_LUTS,C_AW,C_BAR)
---- )
---- port map (
---- O => lut_out(i), -- [out]
---- I0 => lut_in(0), -- [in]
---- I1 => lut_in(1), -- [in]
---- I2 => lut_in(2), -- [in]
---- I3 => lut_in(3)); -- [in]
---------------------------------------------------------------------------------
-- MUXCY_I : MUXCY
-- port map (
-- O => carry_chain(i+1), --[out]
-- CI => carry_chain(i), --[in]
-- DI => '0', --[in]
-- S => lut_out(i) --[in]
-- );
-- end generate;
-- CS <= carry_chain(NUM_LUTS); -- assign end of carry chain to output
-- end generate More_Than_3_Bits;
-- Less_than_4_bits: if (AB < 4) generate
CS <= Valid when A_Bus=BAR else '0';
-- end generate Less_than_4_bits;
end imp;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/hardware/MyRepository/pcores/hw_threads/hw_acc_v1_00_a/hdl/vhdl/user_logics/stress/mutex_lock_1.vhd | 2 | 17362 | ---------------------------------------------------------------------------
--
-- Title: Hardware Thread User Logic Exit Thread
-- To be used as a place holder, and size estimate for HWTI
--
---------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_misc.all;
library Unisim;
use Unisim.all;
---------------------------------------------------------------------------
-- Port declarations
---------------------------------------------------------------------------
-- Definition of Ports:
--
-- Misc. Signals
-- clock
--
-- HWTI to HWTUL interconnect
-- intrfc2thrd_address 32 bits memory
-- intrfc2thrd_value 32 bits memory function
-- intrfc2thrd_function 16 bits control
-- intrfc2thrd_goWait 1 bits control
--
-- HWTUL to HWTI interconnect
-- thrd2intrfc_address 32 bits memory
-- thrd2intrfc_value 32 bits memory function
-- thrd2intrfc_function 16 bits function
-- thrd2intrfc_opcode 6 bits memory function
--
---------------------------------------------------------------------------
-- Thread Manager Entity section
---------------------------------------------------------------------------
entity user_logic_hwtul is
port (
clock : in std_logic;
intrfc2thrd_address : in std_logic_vector(0 to 31);
intrfc2thrd_value : in std_logic_vector(0 to 31);
intrfc2thrd_function : in std_logic_vector(0 to 15);
intrfc2thrd_goWait : in std_logic;
thrd2intrfc_address : out std_logic_vector(0 to 31);
thrd2intrfc_value : out std_logic_vector(0 to 31);
thrd2intrfc_function : out std_logic_vector(0 to 15);
thrd2intrfc_opcode : out std_logic_vector(0 to 5)
);
end entity user_logic_hwtul;
---------------------------------------------------------------------------
-- Architecture section
---------------------------------------------------------------------------
architecture IMP of user_logic_hwtul is
---------------------------------------------------------------------------
-- Signal declarations
---------------------------------------------------------------------------
type state_machine is (
FUNCTION_RESET,
FUNCTION_USER_SELECT,
FUNCTION_START,
FUNCTION_EXIT,
STATE_1,
STATE_2,
STATE_3,
STATE_4,
STATE_5,
STATE_6,
STATE_7,
STATE_8,
STATE_9,
STATE_10,
STATE_11,
STATE_12,
STATE_13,
STATE_14,
STATE_15,
STATE_16,
STATE_17,
STATE_18,
STATE_19,
STATE_20,
STATE_21,
STATE_22,
STATE_23,
STATE_24,
STATE_25,
STATE_26,
STATE_27,
STATE_28,
STATE_29,
STATE_30,
WAIT_STATE,
ERROR_STATE);
-- Function definitions
constant U_FUNCTION_RESET : std_logic_vector(0 to 15) := x"0000";
constant U_FUNCTION_WAIT : std_logic_vector(0 to 15) := x"0001";
constant U_FUNCTION_USER_SELECT : std_logic_vector(0 to 15) := x"0002";
constant U_FUNCTION_START : std_logic_vector(0 to 15) := x"0003";
constant U_STATE_1 : std_logic_vector(0 to 15) := x"0101";
constant U_STATE_2 : std_logic_vector(0 to 15) := x"0102";
constant U_STATE_3 : std_logic_vector(0 to 15) := x"0103";
constant U_STATE_4 : std_logic_vector(0 to 15) := x"0104";
constant U_STATE_5 : std_logic_vector(0 to 15) := x"0105";
constant U_STATE_6 : std_logic_vector(0 to 15) := x"0106";
constant U_STATE_7 : std_logic_vector(0 to 15) := x"0107";
constant U_STATE_8 : std_logic_vector(0 to 15) := x"0108";
constant U_STATE_9 : std_logic_vector(0 to 15) := x"0109";
constant U_STATE_10 : std_logic_vector(0 to 15) := x"0110";
constant U_STATE_11 : std_logic_vector(0 to 15) := x"0111";
constant U_STATE_12 : std_logic_vector(0 to 15) := x"0112";
constant U_STATE_13 : std_logic_vector(0 to 15) := x"0113";
constant U_STATE_14 : std_logic_vector(0 to 15) := x"0114";
constant U_STATE_15 : std_logic_vector(0 to 15) := x"0115";
constant U_STATE_16 : std_logic_vector(0 to 15) := x"0116";
constant U_STATE_17 : std_logic_vector(0 to 15) := x"0117";
constant U_STATE_18 : std_logic_vector(0 to 15) := x"0118";
constant U_STATE_19 : std_logic_vector(0 to 15) := x"0119";
constant U_STATE_20 : std_logic_vector(0 to 15) := x"0120";
constant U_STATE_21 : std_logic_vector(0 to 15) := x"0121";
constant U_STATE_22 : std_logic_vector(0 to 15) := x"0122";
constant U_STATE_23 : std_logic_vector(0 to 15) := x"0123";
constant U_STATE_24 : std_logic_vector(0 to 15) := x"0124";
constant U_STATE_25 : std_logic_vector(0 to 15) := x"0125";
constant U_STATE_26 : std_logic_vector(0 to 15) := x"0126";
constant U_STATE_27 : std_logic_vector(0 to 15) := x"0127";
constant U_STATE_28 : std_logic_vector(0 to 15) := x"0128";
constant U_STATE_29 : std_logic_vector(0 to 15) := x"0129";
constant U_STATE_30 : std_logic_vector(0 to 15) := x"0130";
-- Range 0003 to 7999 reserved for user logic's state machine
-- Range 8000 to 9999 reserved for system calls
constant FUNCTION_HTHREAD_ATTR_INIT : std_logic_vector(0 to 15) := x"8000";
constant FUNCTION_HTHREAD_ATTR_DESTROY : std_logic_vector(0 to 15) := x"8001";
constant FUNCTION_HTHREAD_CREATE : std_logic_vector(0 to 15) := x"8010";
constant FUNCTION_HTHREAD_JOIN : std_logic_vector(0 to 15) := x"8011";
constant FUNCTION_HTHREAD_SELF : std_logic_vector(0 to 15) := x"8012";
constant FUNCTION_HTHREAD_YIELD : std_logic_vector(0 to 15) := x"8013";
constant FUNCTION_HTHREAD_EQUAL : std_logic_vector(0 to 15) := x"8014";
constant FUNCTION_HTHREAD_EXIT : std_logic_vector(0 to 15) := x"8015";
constant FUNCTION_HTHREAD_EXIT_ERROR : std_logic_vector(0 to 15) := x"8016";
constant FUNCTION_HTHREAD_MUTEXATTR_INIT : std_logic_vector(0 to 15) := x"8020";
constant FUNCTION_HTHREAD_MUTEXATTR_DESTROY : std_logic_vector(0 to 15) := x"8021";
constant FUNCTION_HTHREAD_MUTEXATTR_SETNUM : std_logic_vector(0 to 15) := x"8022";
constant FUNCTION_HTHREAD_MUTEXATTR_GETNUM : std_logic_vector(0 to 15) := x"8023";
constant FUNCTION_HTHREAD_MUTEX_INIT : std_logic_vector(0 to 15) := x"8030";
constant FUNCTION_HTHREAD_MUTEX_DESTROY : std_logic_vector(0 to 15) := x"8031";
constant FUNCTION_HTHREAD_MUTEX_LOCK : std_logic_vector(0 to 15) := x"8032";
constant FUNCTION_HTHREAD_MUTEX_UNLOCK : std_logic_vector(0 to 15) := x"8033";
constant FUNCTION_HTHREAD_MUTEX_TRYLOCK : std_logic_vector(0 to 15) := x"8034";
constant FUNCTION_HTHREAD_CONDATTR_INIT : std_logic_vector(0 to 15) := x"8040";
constant FUNCTION_HTHREAD_CONDATTR_DESTROY : std_logic_vector(0 to 15) := x"8041";
constant FUNCTION_HTHREAD_CONDATTR_SETNUM : std_logic_vector(0 to 15) := x"8042";
constant FUNCTION_HTHREAD_CONDATTR_GETNUM : std_logic_vector(0 to 15) := x"8043";
constant FUNCTION_HTHREAD_COND_INIT : std_logic_vector(0 to 15) := x"8050";
constant FUNCTION_HTHREAD_COND_DESTROY : std_logic_vector(0 to 15) := x"8051";
constant FUNCTION_HTHREAD_COND_SIGNAL : std_logic_vector(0 to 15) := x"8052";
constant FUNCTION_HTHREAD_COND_BROADCAST : std_logic_vector(0 to 15) := x"8053";
constant FUNCTION_HTHREAD_COND_WAIT : std_logic_vector(0 to 15) := x"8054";
-- Ranged A000 to FFFF reserved for supported library calls
constant FUNCTION_MALLOC : std_logic_vector(0 to 15) := x"A000";
constant FUNCTION_CALLOC : std_logic_vector(0 to 15) := x"A001";
constant FUNCTION_FREE : std_logic_vector(0 to 15) := x"A002";
-- user_opcode Constants
constant OPCODE_NOOP : std_logic_vector(0 to 5) := "000000";
-- Memory sub-interface specific opcodes
constant OPCODE_LOAD : std_logic_vector(0 to 5) := "000001";
constant OPCODE_STORE : std_logic_vector(0 to 5) := "000010";
constant OPCODE_DECLARE : std_logic_vector(0 to 5) := "000011";
constant OPCODE_READ : std_logic_vector(0 to 5) := "000100";
constant OPCODE_WRITE : std_logic_vector(0 to 5) := "000101";
constant OPCODE_ADDRESS : std_logic_vector(0 to 5) := "000110";
-- Function sub-interface specific opcodes
constant OPCODE_PUSH : std_logic_vector(0 to 5) := "010000";
constant OPCODE_POP : std_logic_vector(0 to 5) := "010001";
constant OPCODE_CALL : std_logic_vector(0 to 5) := "010010";
constant OPCODE_RETURN : std_logic_vector(0 to 5) := "010011";
constant Z32 : std_logic_vector(0 to 31) := (others => '0');
signal current_state, next_state : state_machine := FUNCTION_RESET;
signal return_state, return_state_next: state_machine := FUNCTION_RESET;
signal toUser_address : std_logic_vector(0 to 31);
signal toUser_value : std_logic_vector(0 to 31);
signal toUser_function : std_logic_vector(0 to 15);
signal toUser_goWait : std_logic;
--signal retVal, retVal_next : std_logic_vector(0 to 31);
signal arg, arg_next : std_logic_vector(0 to 31);
signal reg1, reg1_next : std_logic_vector(0 to 31);
signal reg2, reg2_next : std_logic_vector(0 to 31);
signal reg3, reg3_next : std_logic_vector(0 to 31);
signal reg4, reg4_next : std_logic_vector(0 to 31);
--signal reg5, reg5_next : std_logic_vector(0 to 31);
--signal reg6, reg6_next : std_logic_vector(0 to 31);
--signal reg7, reg7_next : std_logic_vector(0 to 31);
--signal reg8, reg8_next : std_logic_vector(0 to 31);
---------------------------------------------------------------------------
-- Begin architecture
---------------------------------------------------------------------------
begin -- architecture IMP
HWTUL_STATE_PROCESS : process (clock, intrfc2thrd_goWait) is
begin
if (clock'event and (clock = '1')) then
toUser_address <= intrfc2thrd_address;
toUser_value <= intrfc2thrd_value;
toUser_function <= intrfc2thrd_function;
toUser_goWait <= intrfc2thrd_goWait;
return_state <= return_state_next;
--retVal <= retVal_next;
arg <= arg_next;
reg1 <= reg1_next;
reg2 <= reg2_next;
reg3 <= reg3_next;
reg4 <= reg4_next;
--reg5 <= reg5_next;
--reg6 <= reg6_next;
--reg7 <= reg7_next;
--reg8 <= reg8_next;
-- Find out if the HWTI is tell us what to do
if (intrfc2thrd_goWait = '1') then
case intrfc2thrd_function is
-- Typically the HWTI will tell us to control our own destiny
when U_FUNCTION_USER_SELECT =>
current_state <= next_state;
-- List all the functions the HWTI could tell us to run
when U_FUNCTION_RESET =>
current_state <= FUNCTION_RESET;
when U_FUNCTION_START =>
current_state <= FUNCTION_START;
when U_STATE_1 =>
current_state <= STATE_1;
when U_STATE_2 =>
current_state <= STATE_2;
when U_STATE_3 =>
current_state <= STATE_3;
when U_STATE_4 =>
current_state <= STATE_4;
when U_STATE_5 =>
current_state <= STATE_5;
when U_STATE_6 =>
current_state <= STATE_6;
when U_STATE_7 =>
current_state <= STATE_7;
when U_STATE_8 =>
current_state <= STATE_8;
when U_STATE_9 =>
current_state <= STATE_9;
when U_STATE_10 =>
current_state <= STATE_10;
when U_STATE_11 =>
current_state <= STATE_11;
when U_STATE_12 =>
current_state <= STATE_12;
when U_STATE_13 =>
current_state <= STATE_13;
when U_STATE_14 =>
current_state <= STATE_14;
when U_STATE_15 =>
current_state <= STATE_15;
when U_STATE_16 =>
current_state <= STATE_16;
when U_STATE_17 =>
current_state <= STATE_17;
when U_STATE_18 =>
current_state <= STATE_18;
when U_STATE_19 =>
current_state <= STATE_19;
when U_STATE_20 =>
current_state <= STATE_20;
when U_STATE_21 =>
current_state <= STATE_21;
when U_STATE_22 =>
current_state <= STATE_22;
when U_STATE_23 =>
current_state <= STATE_23;
when U_STATE_24 =>
current_state <= STATE_24;
when U_STATE_25 =>
current_state <= STATE_25;
when U_STATE_26 =>
current_state <= STATE_26;
when U_STATE_27 =>
current_state <= STATE_27;
when U_STATE_28 =>
current_state <= STATE_28;
when U_STATE_29 =>
current_state <= STATE_29;
when U_STATE_30 =>
current_state <= STATE_30;
-- If the HWTI tells us to do something we don't know, error
when OTHERS =>
current_state <= ERROR_STATE;
end case;
else
current_state <= WAIT_STATE;
end if;
end if;
end process HWTUL_STATE_PROCESS;
HWTUL_STATE_MACHINE : process (clock) is
begin
-- Default register assignments
thrd2intrfc_opcode <= OPCODE_NOOP; -- When issuing an OPCODE, must be a pulse
thrd2intrfc_address <= Z32;
thrd2intrfc_value <= Z32;
thrd2intrfc_function <= U_FUNCTION_USER_SELECT;
return_state_next <= return_state;
next_state <= current_state;
--retVal_next <= retVal;
arg_next <= arg;
reg1_next <= reg1;
reg2_next <= reg2;
reg3_next <= reg3;
reg4_next <= reg4;
--reg5_next <= reg5;
--reg6_next <= reg6;
--reg7_next <= reg7;
--reg8_next <= reg8;
-----------------------------------------------------------------------
-- Testcase: mutex_lock_stress_1
-----------------------------------------------------------------------
-- The state machine
case current_state is
when FUNCTION_RESET =>
--Set default values
thrd2intrfc_opcode <= OPCODE_NOOP;
thrd2intrfc_address <= Z32;
thrd2intrfc_value <= Z32;
thrd2intrfc_function <= U_FUNCTION_START;
-- hthread_mutex_t * mutex = (hthread_mutex_t*) arg;
when FUNCTION_START =>
-- Pop the argument
thrd2intrfc_value <= Z32;
thrd2intrfc_opcode <= OPCODE_POP;
next_state <= WAIT_STATE;
return_state_next <= STATE_1;
when STATE_1 =>
arg_next <= intrfc2thrd_value;
next_state <= STATE_2;
-- hthread_mutex_lock( mutex );
when STATE_2 =>
-- push condvar
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= arg;
next_state <= WAIT_STATE;
return_state_next <= STATE_3;
when STATE_3 =>
-- call mutex lock
thrd2intrfc_opcode <= OPCODE_CALL;
thrd2intrfc_function <= FUNCTION_HTHREAD_MUTEX_LOCK;
thrd2intrfc_value <= Z32(0 to 15) & U_STATE_4;
next_state <= WAIT_STATE;
-- hthread_yield();
when STATE_4 =>
-- call mutex lock
thrd2intrfc_opcode <= OPCODE_CALL;
thrd2intrfc_function <= FUNCTION_HTHREAD_YIELD;
thrd2intrfc_value <= Z32(0 to 15) & U_STATE_5;
next_state <= WAIT_STATE;
-- hthread_mutex_unlock( mutex );
when STATE_5 =>
-- push condvar
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= arg;
next_state <= WAIT_STATE;
return_state_next <= STATE_6;
when STATE_6 =>
-- call mutex lock
thrd2intrfc_opcode <= OPCODE_CALL;
thrd2intrfc_function <= FUNCTION_HTHREAD_MUTEX_UNLOCK;
thrd2intrfc_value <= Z32(0 to 15) & U_STATE_7;
next_state <= WAIT_STATE;
when STATE_7 =>
next_state <= FUNCTION_EXIT;
when FUNCTION_EXIT =>
--Same as hthread_exit( (void *) retVal );
thrd2intrfc_value <= Z32;
thrd2intrfc_opcode <= OPCODE_RETURN;
next_state <= WAIT_STATE;
when WAIT_STATE =>
next_state <= return_state;
when ERROR_STATE =>
next_state <= ERROR_STATE;
when others =>
next_state <= ERROR_STATE;
end case;
end process HWTUL_STATE_MACHINE;
end architecture IMP;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/hardware/MyRepository/pcores/axi_hthread_cores/proc_common_v3_00_a/hdl/vhdl/ipif_steer.vhd | 2 | 22674 | --SINGLE_FILE_TAG
-------------------------------------------------------------------------------
-- $Id: ipif_steer.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $
-------------------------------------------------------------------------------
-- IPIF_Steer - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2002-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: ipif_steer.vhd
-- Version: v1.00b
-- Description: Read and Write Steering logic for IPIF
--
-- For writes, this logic steers data from the correct byte
-- lane to IPIF devices which may be smaller than the bus
-- width. The BE signals are also steered if the BE_Steer
-- signal is asserted, which indicates that the address space
-- being accessed has a smaller maximum data transfer size
-- than the bus size.
--
-- For writes, the Decode_size signal determines how read
-- data is steered onto the byte lanes. To simplify the
-- logic, the read data is mirrored onto the entire data
-- bus, insuring that the lanes corrsponding to the BE's
-- have correct data.
--
--
--
-------------------------------------------------------------------------------
-- Structure:
--
-- ipif_steer.vhd
--
-------------------------------------------------------------------------------
-- Author: BLT
-- History:
-- BLT 2-5-2002 -- First version
-- ^^^^^^
-- First version of IPIF steering logic.
-- ~~~~~~
-- BLT 2-12-2002 -- Removed BE_Steer, now generated internally
--
-- DET 2-24-2002 -- Added 'When others' to size case statement
-- in BE_STEER_PROC process.
--
-- BLT 10-10-2002 -- Rewrote to get around some XST synthesis
-- issues.
--
-- BLT 11-18-2002 -- Added addr_bits to sensitivity lists to
-- fix simulation bug
--
--
-- DET 1/17/2008 v3_00_a
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-------------------------------------------------------------------------------
-- Port declarations
-- generic definitions:
-- C_DWIDTH : integer := width of host databus attached to the IPIF
-- C_SMALLEST : integer := width of smallest device (not access size)
-- attached to the IPIF
-- C_AWIDTH : integer := width of the host address bus attached to
-- the IPIF
-- port definitions:
-- Wr_Data_In : in Write Data In (from host data bus)
-- Rd_Data_In : in Read Data In (from IPIC data bus)
-- Addr : in Address bus from host address bus
-- BE_In : in Byte Enables In from host side
-- Decode_size : in Size of MAXIMUM data access allowed to
-- a particular address map decode.
--
-- Size indication (Decode_size)
-- 001 - byte
-- 010 - halfword
-- 011 - word
-- 100 - doubleword
-- 101 - 128-b
-- 110 - 256-b
-- 111 - 512-b
-- num_bytes = 2^(n-1)
--
-- Wr_Data_Out : out Write Data Out (to IPIF data bus)
-- Rd_Data_Out : out Read Data Out (to host data bus)
-- BE_Out : out Byte Enables Out to IPIF side
--
-------------------------------------------------------------------------------
entity IPIF_Steer is
generic (
C_DWIDTH : integer := 32; -- 8, 16, 32, 64
C_SMALLEST : integer := 32; -- 8, 16, 32, 64
C_AWIDTH : integer := 32
);
port (
Wr_Data_In : in std_logic_vector(0 to C_DWIDTH-1);
Rd_Data_In : in std_logic_vector(0 to C_DWIDTH-1);
Addr : in std_logic_vector(0 to C_AWIDTH-1);
BE_In : in std_logic_vector(0 to C_DWIDTH/8-1);
Decode_size : in std_logic_vector(0 to 2);
Wr_Data_Out : out std_logic_vector(0 to C_DWIDTH-1);
Rd_Data_Out : out std_logic_vector(0 to C_DWIDTH-1);
BE_Out : out std_logic_vector(0 to C_DWIDTH/8-1)
);
end entity IPIF_Steer;
-------------------------------------------------------------------------------
-- Architecture section
-------------------------------------------------------------------------------
architecture IMP of IPIF_Steer is
-------------------------------------------------------------------------------
-- Begin architecture
-------------------------------------------------------------------------------
begin -- architecture IMP
-----------------------------------------------------------------------------
-- OPB Data Muxing and Steering
-----------------------------------------------------------------------------
-- GEN_DWIDTH_SMALLEST
GEN_SAME: if C_DWIDTH = C_SMALLEST generate
Wr_Data_Out <= Wr_Data_In;
BE_Out <= BE_In;
Rd_Data_Out <= Rd_Data_In;
end generate GEN_SAME;
GEN_16_8: if C_DWIDTH = 16 and C_SMALLEST = 8 generate
signal addr_bits : std_logic;
begin
CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In,Decode_size)
begin
Wr_Data_Out <= Wr_Data_In;
BE_Out <= BE_In;
Rd_Data_Out <= Rd_Data_In;
addr_bits <= Addr(C_AWIDTH-1);
case addr_bits is
when '1' =>
Wr_Data_Out(0 to 7) <= Wr_Data_In(8 to 15);
case Decode_size is
when "001" => --B
BE_Out(0) <= BE_In(1);
BE_Out(1) <= '0';
Rd_Data_Out(8 to 15) <= Rd_Data_In(0 to 7);
when others => null;
end case;
when others => null;
end case;
end process CONNECT_PROC;
end generate GEN_16_8;
GEN_32_8: if C_DWIDTH = 32 and C_SMALLEST = 8 generate
signal addr_bits : std_logic_vector(0 to 1);
begin
CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In,Decode_size)
begin
Wr_Data_Out <= Wr_Data_In;
BE_Out <= BE_In;
Rd_Data_Out <= Rd_Data_In;
addr_bits <= Addr(C_AWIDTH-2 to C_AWIDTH-1); --a30 to a31
case addr_bits is
when "01" =>
Wr_Data_Out(0 to 7) <= Wr_Data_In(8 to 15);
case Decode_size is
when "001" => --B
BE_Out(0) <= BE_In(1);
BE_Out(1 to 3) <= (others => '0');
Rd_Data_Out(8 to 15) <= Rd_Data_In(0 to 7);
when "010" => --HW
Rd_Data_Out(8 to 15) <= Rd_Data_In(8 to 15);
when others => null;
end case;
when "10" =>
Wr_Data_Out(0 to 15) <= Wr_Data_In(16 to 31);
case Decode_size is
when "001" => -- B
BE_Out(0) <= BE_In(2);
BE_Out(1 to 3) <= (others => '0');
Rd_Data_Out(16 to 23) <= Rd_Data_In(0 to 7);
when "010" => -- HW
BE_Out(0 to 1) <= BE_In(2 to 3);
BE_Out(2 to 3) <= (others => '0');
Rd_Data_Out(16 to 31) <= Rd_Data_In(0 to 15);
when others => null;
end case;
when "11" =>
Wr_Data_Out(0 to 7) <= Wr_Data_In(24 to 31);
Wr_Data_Out(8 to 15) <= Wr_Data_In(24 to 31);
case Decode_size is
when "001" => -- B
BE_Out(0) <= BE_In(3);
BE_Out(1 to 3) <= (others => '0');
Rd_Data_Out(24 to 31) <= Rd_Data_In(0 to 7);
when "010" => -- HW
BE_Out(1) <= BE_In(3);
BE_Out(2 to 3) <= (others => '0');
Rd_Data_Out(16 to 31) <= Rd_Data_In(0 to 15);
when others => null;
end case;
when others => null;
end case;
end process CONNECT_PROC;
end generate GEN_32_8;
GEN_32_16: if C_DWIDTH = 32 and C_SMALLEST = 16 generate
signal addr_bits : std_logic;
begin
CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In,Decode_size)
begin
Wr_Data_Out <= Wr_Data_In;
BE_Out <= BE_In;
Rd_Data_Out <= Rd_Data_In;
addr_bits <= Addr(C_AWIDTH-2); --a30
case addr_bits is
when '1' =>
Wr_Data_Out(0 to 15) <= Wr_Data_In(16 to 31);
case Decode_size is
when "010" => --HW
BE_Out(0 to 1) <= BE_In(2 to 3);
BE_Out(2 to 3) <= (others => '0');
Rd_Data_Out(16 to 31) <= Rd_Data_In(0 to 15);
when others => null;
end case;
when others => null;
end case;
end process CONNECT_PROC;
end generate GEN_32_16;
GEN_64_8: if C_DWIDTH = 64 and C_SMALLEST = 8 generate
signal addr_bits : std_logic_vector(0 to 2);
begin
CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In,Decode_size)
begin
Wr_Data_Out <= Wr_Data_In;
BE_Out <= BE_In;
Rd_Data_Out <= Rd_Data_In;
addr_bits <= Addr(C_AWIDTH-3 to C_AWIDTH-1); --a29 to a31
case addr_bits is
when "001" =>
Wr_Data_Out(0 to 7) <= Wr_Data_In(8 to 15);
case Decode_size is
when "001" => --B
BE_Out(0) <= BE_In(1);
BE_Out(1 to 7) <= (others => '0');
Rd_Data_Out(8 to 15) <= Rd_Data_In(0 to 7);
when others => null;
end case;
when "010" =>
Wr_Data_Out(0 to 15) <= Wr_Data_In(16 to 31);
case Decode_size is
when "001" => -- B
BE_Out(0) <= BE_In(2);
BE_Out(1 to 7) <= (others => '0');
Rd_Data_Out(16 to 23) <= Rd_Data_In(0 to 7);
when "010" => -- HW
BE_Out(0 to 1) <= BE_In(2 to 3);
BE_Out(2 to 7) <= (others => '0');
Rd_Data_Out(16 to 31) <= Rd_Data_In(0 to 15);
when others => null;
end case;
when "011" =>
Wr_Data_Out(0 to 7) <= Wr_Data_In(24 to 31);
Wr_Data_Out(8 to 15) <= Wr_Data_In(24 to 31);
case Decode_size is
when "001" => -- B
BE_Out(0) <= BE_In(3);
BE_Out(1 to 7) <= (others => '0');
Rd_Data_Out(24 to 31) <= Rd_Data_In(0 to 7);
when "010" => -- HW
BE_Out(0 to 1) <= BE_In(2 to 3);
BE_Out(2 to 7) <= (others => '0');
Rd_Data_Out(24 to 31) <= Rd_Data_In(8 to 15);
when others => null;
end case;
when "100" =>
Wr_Data_Out(0 to 31) <= Wr_Data_In(32 to 63);
case Decode_size is
when "001" => -- B
BE_Out(0) <= BE_In(4);
BE_Out(1 to 7) <= (others => '0');
Rd_Data_Out(32 to 39) <= Rd_Data_In(0 to 7);
when "010" => -- HW
BE_Out(0 to 1) <= BE_In(4 to 5);
BE_Out(2 to 7) <= (others => '0');
Rd_Data_Out(32 to 47) <= Rd_Data_In(0 to 15);
when "011" => -- FW
BE_Out(0 to 3) <= BE_In(4 to 7);
BE_Out(4 to 7) <= (others => '0');
Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31);
when others => null;
end case;
when "101" =>
Wr_Data_Out(0 to 7) <= Wr_Data_In(40 to 47);
Wr_Data_Out(8 to 15) <= Wr_Data_In(40 to 47);
case Decode_size is
when "001" => -- B
BE_Out(0) <= BE_In(5);
BE_Out(1 to 7) <= (others => '0');
Rd_Data_Out(40 to 47) <= Rd_Data_In(0 to 7);
when "010" => -- HW
BE_Out(0 to 1) <= BE_In(4 to 5);
BE_Out(2 to 7) <= (others => '0');
Rd_Data_Out(32 to 47) <= Rd_Data_In(0 to 15);
when "011" => -- FW
BE_Out(0 to 3) <= BE_In(4 to 7);
BE_Out(4 to 7) <= (others => '0');
Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31);
when others => null;
end case;
when "110" =>
Wr_Data_Out(0 to 15) <= Wr_Data_In(48 to 63);
Wr_Data_Out(16 to 31) <= Wr_Data_In(48 to 63);
case Decode_size is
when "001" => -- B
BE_Out(0) <= BE_In(6);
BE_Out(1 to 7) <= (others => '0');
Rd_Data_Out(48 to 55) <= Rd_Data_In(0 to 7);
when "010" => -- HW
BE_Out(0 to 1) <= BE_In(6 to 7);
BE_Out(2 to 7) <= (others => '0');
Rd_Data_Out(48 to 63) <= Rd_Data_In(0 to 15);
when "011" => -- FW
BE_Out(0 to 3) <= BE_In(4 to 7);
BE_Out(4 to 7) <= (others => '0');
Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31);
when others => null;
end case;
when "111" =>
Wr_Data_Out(0 to 7) <= Wr_Data_In(56 to 63);
Wr_Data_Out(8 to 15) <= Wr_Data_In(56 to 63);
Wr_Data_Out(24 to 31) <= Wr_Data_In(56 to 63);
case Decode_size is
when "001" => -- B
BE_Out(0) <= BE_In(7);
BE_Out(1 to 7) <= (others => '0');
Rd_Data_Out(56 to 63) <= Rd_Data_In(0 to 7);
when "010" => -- HW
BE_Out(0 to 1) <= BE_In(6 to 7);
BE_Out(2 to 7) <= (others => '0');
Rd_Data_Out(48 to 63) <= Rd_Data_In(0 to 15);
when "011" => -- FW
BE_Out(0 to 3) <= BE_In(4 to 7);
BE_Out(4 to 7) <= (others => '0');
Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31);
when others => null;
end case;
when others => null;
end case;
end process CONNECT_PROC;
end generate GEN_64_8;
GEN_64_16: if C_DWIDTH = 64 and C_SMALLEST = 16 generate
signal addr_bits : std_logic_vector(0 to 1);
begin
CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In,Decode_size)
begin
Wr_Data_Out <= Wr_Data_In;
BE_Out <= BE_In;
Rd_Data_Out <= Rd_Data_In;
addr_bits <= Addr(C_AWIDTH-3 to C_AWIDTH-2); --a29 to a30
case addr_bits is
when "01" =>
Wr_Data_Out(0 to 15) <= Wr_Data_In(16 to 31);
case Decode_size is
when "010" => --HW
BE_Out(0 to 1) <= BE_In(2 to 3);
BE_Out(2 to 7) <= (others => '0');
Rd_Data_Out(16 to 31) <= Rd_Data_In(0 to 15);
when others => null;
end case;
when "10" =>
Wr_Data_Out(0 to 31) <= Wr_Data_In(32 to 63);
case Decode_size is
when "010" => -- HW
BE_Out(0 to 1) <= BE_In(4 to 5);
BE_Out(2 to 7) <= (others => '0');
Rd_Data_Out(32 to 47) <= Rd_Data_In(0 to 15);
when "011" => -- FW
BE_Out(0 to 3) <= BE_In(4 to 7);
BE_Out(4 to 7) <= (others => '0');
Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31);
when others => null;
end case;
when "11" =>
Wr_Data_Out(0 to 15) <= Wr_Data_In(48 to 63);
Wr_Data_Out(16 to 31) <= Wr_Data_In(48 to 63);
case Decode_size is
when "010" => -- HW
BE_Out(0 to 1) <= BE_In(6 to 7);
BE_Out(2 to 7) <= (others => '0');
Rd_Data_Out(48 to 63) <= Rd_Data_In(0 to 15);
when "011" => -- FW
BE_Out(0 to 3) <= BE_In(4 to 7);
BE_Out(4 to 7) <= (others => '0');
Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31);
when others => null;
end case;
when others => null;
end case;
end process CONNECT_PROC;
end generate GEN_64_16;
GEN_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate
signal addr_bits : std_logic;
begin
CONNECT_PROC: process (addr_bits,Addr,Wr_Data_In,BE_In,Rd_Data_In,Decode_size)
begin
Wr_Data_Out <= Wr_Data_In;
BE_Out <= BE_In;
Rd_Data_Out <= Rd_Data_In;
addr_bits <= Addr(C_AWIDTH-3); --a29
case addr_bits is
when '1' =>
Wr_Data_Out(0 to 31) <= Wr_Data_In(32 to 63);
case Decode_size is
when "011" =>
BE_Out(0 to 3) <= BE_In(4 to 7);
BE_Out(4 to 7) <= (others => '0');
Rd_Data_Out(32 to 63) <= Rd_Data_In(0 to 31);
when others => null;
end case;
when others => null;
end case;
end process CONNECT_PROC;
end generate GEN_64_32;
-- Size indication (Decode_size)
-- n = 001 byte 2^0
-- n = 010 halfword 2^1
-- n = 011 word 2^2
-- n = 100 doubleword 2^3
-- n = 101 128-b
-- n = 110 256-b
-- n = 111 512-b
-- num_bytes = 2^(n-1)
end architecture IMP;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/hardware/MyRepository/pcores/hw_threads/hw_acc_v1_00_a/hdl/vhdl/user_logics/functional/condattr_destroy_2.vhd | 2 | 15952 | ---------------------------------------------------------------------------
--
-- Title: Hardware Thread User Logic Exit Thread
-- To be used as a place holder, and size estimate for HWTI
--
---------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_misc.all;
library Unisim;
use Unisim.all;
---------------------------------------------------------------------------
-- Port declarations
---------------------------------------------------------------------------
-- Definition of Ports:
--
-- Misc. Signals
-- clock
--
-- HWTI to HWTUL interconnect
-- intrfc2thrd_address 32 bits memory
-- intrfc2thrd_value 32 bits memory function
-- intrfc2thrd_function 16 bits control
-- intrfc2thrd_goWait 1 bits control
--
-- HWTUL to HWTI interconnect
-- thrd2intrfc_address 32 bits memory
-- thrd2intrfc_value 32 bits memory function
-- thrd2intrfc_function 16 bits function
-- thrd2intrfc_opcode 6 bits memory function
--
---------------------------------------------------------------------------
-- Thread Manager Entity section
---------------------------------------------------------------------------
entity user_logic_hwtul is
port (
clock : in std_logic;
intrfc2thrd_address : in std_logic_vector(0 to 31);
intrfc2thrd_value : in std_logic_vector(0 to 31);
intrfc2thrd_function : in std_logic_vector(0 to 15);
intrfc2thrd_goWait : in std_logic;
thrd2intrfc_address : out std_logic_vector(0 to 31);
thrd2intrfc_value : out std_logic_vector(0 to 31);
thrd2intrfc_function : out std_logic_vector(0 to 15);
thrd2intrfc_opcode : out std_logic_vector(0 to 5)
);
end entity user_logic_hwtul;
---------------------------------------------------------------------------
-- Architecture section
---------------------------------------------------------------------------
architecture IMP of user_logic_hwtul is
---------------------------------------------------------------------------
-- Signal declarations
---------------------------------------------------------------------------
type state_machine is (
FUNCTION_RESET,
FUNCTION_USER_SELECT,
FUNCTION_START,
FUNCTION_EXIT,
STATE_1,
STATE_2,
STATE_3,
STATE_4,
STATE_5,
STATE_6,
STATE_7,
STATE_8,
STATE_9,
STATE_10,
STATE_11,
STATE_12,
STATE_13,
STATE_14,
STATE_15,
STATE_16,
STATE_17,
STATE_18,
STATE_19,
STATE_20,
WAIT_STATE,
ERROR_STATE);
-- Function definitions
constant U_FUNCTION_RESET : std_logic_vector(0 to 15) := x"0000";
constant U_FUNCTION_WAIT : std_logic_vector(0 to 15) := x"0001";
constant U_FUNCTION_USER_SELECT : std_logic_vector(0 to 15) := x"0002";
constant U_FUNCTION_START : std_logic_vector(0 to 15) := x"0003";
constant U_STATE_1 : std_logic_vector(0 to 15) := x"0101";
constant U_STATE_2 : std_logic_vector(0 to 15) := x"0102";
constant U_STATE_3 : std_logic_vector(0 to 15) := x"0103";
constant U_STATE_4 : std_logic_vector(0 to 15) := x"0104";
constant U_STATE_5 : std_logic_vector(0 to 15) := x"0105";
constant U_STATE_6 : std_logic_vector(0 to 15) := x"0106";
constant U_STATE_7 : std_logic_vector(0 to 15) := x"0107";
constant U_STATE_8 : std_logic_vector(0 to 15) := x"0108";
constant U_STATE_9 : std_logic_vector(0 to 15) := x"0109";
constant U_STATE_10 : std_logic_vector(0 to 15) := x"0110";
constant U_STATE_11 : std_logic_vector(0 to 15) := x"0111";
constant U_STATE_12 : std_logic_vector(0 to 15) := x"0112";
constant U_STATE_13 : std_logic_vector(0 to 15) := x"0113";
constant U_STATE_14 : std_logic_vector(0 to 15) := x"0114";
constant U_STATE_15 : std_logic_vector(0 to 15) := x"0115";
constant U_STATE_16 : std_logic_vector(0 to 15) := x"0116";
constant U_STATE_17 : std_logic_vector(0 to 15) := x"0117";
constant U_STATE_18 : std_logic_vector(0 to 15) := x"0118";
constant U_STATE_19 : std_logic_vector(0 to 15) := x"0119";
constant U_STATE_20 : std_logic_vector(0 to 15) := x"0120";
-- Range 0003 to 7999 reserved for user logic's state machine
-- Range 8000 to 9999 reserved for system calls
constant FUNCTION_HTHREAD_ATTR_INIT : std_logic_vector(0 to 15) := x"8000";
constant FUNCTION_HTHREAD_ATTR_DESTROY : std_logic_vector(0 to 15) := x"8001";
constant FUNCTION_HTHREAD_CREATE : std_logic_vector(0 to 15) := x"8010";
constant FUNCTION_HTHREAD_JOIN : std_logic_vector(0 to 15) := x"8011";
constant FUNCTION_HTHREAD_SELF : std_logic_vector(0 to 15) := x"8012";
constant FUNCTION_HTHREAD_YIELD : std_logic_vector(0 to 15) := x"8013";
constant FUNCTION_HTHREAD_EQUAL : std_logic_vector(0 to 15) := x"8014";
constant FUNCTION_HTHREAD_EXIT : std_logic_vector(0 to 15) := x"8015";
constant FUNCTION_HTHREAD_EXIT_ERROR : std_logic_vector(0 to 15) := x"8016";
constant FUNCTION_HTHREAD_MUTEXATTR_INIT : std_logic_vector(0 to 15) := x"8020";
constant FUNCTION_HTHREAD_MUTEXATTR_DESTROY : std_logic_vector(0 to 15) := x"8021";
constant FUNCTION_HTHREAD_MUTEXATTR_SETNUM : std_logic_vector(0 to 15) := x"8022";
constant FUNCTION_HTHREAD_MUTEXATTR_GETNUM : std_logic_vector(0 to 15) := x"8023";
constant FUNCTION_HTHREAD_MUTEX_INIT : std_logic_vector(0 to 15) := x"8030";
constant FUNCTION_HTHREAD_MUTEX_DESTROY : std_logic_vector(0 to 15) := x"8031";
constant FUNCTION_HTHREAD_MUTEX_LOCK : std_logic_vector(0 to 15) := x"8032";
constant FUNCTION_HTHREAD_MUTEX_UNLOCK : std_logic_vector(0 to 15) := x"8033";
constant FUNCTION_HTHREAD_MUTEX_TRYLOCK : std_logic_vector(0 to 15) := x"8034";
constant FUNCTION_HTHREAD_CONDATTR_INIT : std_logic_vector(0 to 15) := x"8040";
constant FUNCTION_HTHREAD_CONDATTR_DESTROY : std_logic_vector(0 to 15) := x"8041";
constant FUNCTION_HTHREAD_CONDATTR_SETNUM : std_logic_vector(0 to 15) := x"8042";
constant FUNCTION_HTHREAD_CONDATTR_GETNUM : std_logic_vector(0 to 15) := x"8043";
constant FUNCTION_HTHREAD_COND_INIT : std_logic_vector(0 to 15) := x"8050";
constant FUNCTION_HTHREAD_COND_DESTROY : std_logic_vector(0 to 15) := x"8051";
constant FUNCTION_HTHREAD_COND_SIGNAL : std_logic_vector(0 to 15) := x"8052";
constant FUNCTION_HTHREAD_COND_BROADCAST : std_logic_vector(0 to 15) := x"8053";
constant FUNCTION_HTHREAD_COND_WAIT : std_logic_vector(0 to 15) := x"8054";
-- Ranged A000 to FFFF reserved for supported library calls
constant FUNCTION_MALLOC : std_logic_vector(0 to 15) := x"A000";
constant FUNCTION_CALLOC : std_logic_vector(0 to 15) := x"A001";
constant FUNCTION_FREE : std_logic_vector(0 to 15) := x"A002";
-- user_opcode Constants
constant OPCODE_NOOP : std_logic_vector(0 to 5) := "000000";
-- Memory sub-interface specific opcodes
constant OPCODE_LOAD : std_logic_vector(0 to 5) := "000001";
constant OPCODE_STORE : std_logic_vector(0 to 5) := "000010";
constant OPCODE_DECLARE : std_logic_vector(0 to 5) := "000011";
constant OPCODE_READ : std_logic_vector(0 to 5) := "000100";
constant OPCODE_WRITE : std_logic_vector(0 to 5) := "000101";
constant OPCODE_ADDRESS : std_logic_vector(0 to 5) := "000110";
-- Function sub-interface specific opcodes
constant OPCODE_PUSH : std_logic_vector(0 to 5) := "010000";
constant OPCODE_POP : std_logic_vector(0 to 5) := "010001";
constant OPCODE_CALL : std_logic_vector(0 to 5) := "010010";
constant OPCODE_RETURN : std_logic_vector(0 to 5) := "010011";
constant Z32 : std_logic_vector(0 to 31) := (others => '0');
signal current_state, next_state : state_machine := FUNCTION_RESET;
signal return_state, return_state_next: state_machine := FUNCTION_RESET;
signal toUser_address : std_logic_vector(0 to 31);
signal toUser_value : std_logic_vector(0 to 31);
signal toUser_function : std_logic_vector(0 to 15);
signal toUser_goWait : std_logic;
signal retVal, retVal_next : std_logic_vector(0 to 31);
signal arg, arg_next : std_logic_vector(0 to 31);
signal reg1, reg1_next : std_logic_vector(0 to 31);
signal reg2, reg2_next : std_logic_vector(0 to 31);
signal reg3, reg3_next : std_logic_vector(0 to 31);
signal reg4, reg4_next : std_logic_vector(0 to 31);
signal reg5, reg5_next : std_logic_vector(0 to 31);
signal reg6, reg6_next : std_logic_vector(0 to 31);
signal reg7, reg7_next : std_logic_vector(0 to 31);
signal reg8, reg8_next : std_logic_vector(0 to 31);
---------------------------------------------------------------------------
-- Begin architecture
---------------------------------------------------------------------------
begin -- architecture IMP
HWTUL_STATE_PROCESS : process (clock, intrfc2thrd_goWait) is
begin
if (clock'event and (clock = '1')) then
toUser_address <= intrfc2thrd_address;
toUser_value <= intrfc2thrd_value;
toUser_function <= intrfc2thrd_function;
toUser_goWait <= intrfc2thrd_goWait;
return_state <= return_state_next;
retVal <= retVal_next;
arg <= arg_next;
reg1 <= reg1_next;
reg2 <= reg2_next;
reg3 <= reg3_next;
reg4 <= reg4_next;
reg5 <= reg5_next;
reg6 <= reg6_next;
reg7 <= reg7_next;
reg8 <= reg8_next;
-- Find out if the HWTI is tell us what to do
if (intrfc2thrd_goWait = '1') then
case intrfc2thrd_function is
-- Typically the HWTI will tell us to control our own destiny
when U_FUNCTION_USER_SELECT =>
current_state <= next_state;
-- List all the functions the HWTI could tell us to run
when U_FUNCTION_RESET =>
current_state <= FUNCTION_RESET;
when U_FUNCTION_START =>
current_state <= FUNCTION_START;
when U_STATE_1 =>
current_state <= STATE_1;
when U_STATE_2 =>
current_state <= STATE_2;
when U_STATE_3 =>
current_state <= STATE_3;
when U_STATE_4 =>
current_state <= STATE_4;
when U_STATE_5 =>
current_state <= STATE_5;
when U_STATE_6 =>
current_state <= STATE_6;
when U_STATE_7 =>
current_state <= STATE_7;
when U_STATE_8 =>
current_state <= STATE_8;
when U_STATE_9 =>
current_state <= STATE_9;
when U_STATE_10 =>
current_state <= STATE_10;
when U_STATE_11 =>
current_state <= STATE_11;
when U_STATE_12 =>
current_state <= STATE_12;
when U_STATE_13 =>
current_state <= STATE_13;
when U_STATE_14 =>
current_state <= STATE_14;
when U_STATE_15 =>
current_state <= STATE_15;
when U_STATE_16 =>
current_state <= STATE_16;
when U_STATE_17 =>
current_state <= STATE_17;
when U_STATE_18 =>
current_state <= STATE_18;
when U_STATE_19 =>
current_state <= STATE_19;
when U_STATE_20 =>
current_state <= STATE_20;
-- If the HWTI tells us to do something we don't know, error
when OTHERS =>
current_state <= ERROR_STATE;
end case;
else
current_state <= WAIT_STATE;
end if;
end if;
end process HWTUL_STATE_PROCESS;
HWTUL_STATE_MACHINE : process (clock) is
begin
-- Default register assignments
thrd2intrfc_opcode <= OPCODE_NOOP; -- When issuing an OPCODE, must be a pulse
thrd2intrfc_address <= Z32;
thrd2intrfc_value <= Z32;
thrd2intrfc_function <= U_FUNCTION_USER_SELECT;
return_state_next <= return_state;
next_state <= current_state;
retVal_next <= retVal;
arg_next <= arg;
reg1_next <= reg1;
reg2_next <= reg2;
reg3_next <= reg3;
reg4_next <= reg4;
reg5_next <= reg5;
reg6_next <= reg6;
reg7_next <= reg7;
reg8_next <= reg8;
-----------------------------------------------------------------------
-- condattr_destroy_2.c
-----------------------------------------------------------------------
-- The state machine
case current_state is
when FUNCTION_RESET =>
--Set default values
thrd2intrfc_opcode <= OPCODE_NOOP;
thrd2intrfc_address <= Z32;
thrd2intrfc_value <= Z32;
thrd2intrfc_function <= U_FUNCTION_START;
-- hthread_condattr_t * cond = (hthread_condattr_t *) arg
when FUNCTION_START =>
-- Pop the argument
thrd2intrfc_value <= Z32;
thrd2intrfc_opcode <= OPCODE_POP;
next_state <= WAIT_STATE;
return_state_next <= STATE_1;
when STATE_1 =>
-- Push NULL
arg_next <= intrfc2thrd_value;
next_state <= STATE_2;
-- hthread_condattr_init( condattr );
when STATE_2 =>
-- Push condattr
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= arg;
next_state <= WAIT_STATE;
return_state_next <= STATE_3;
when STATE_3 =>
-- Call hthread_cond_init
thrd2intrfc_opcode <= OPCODE_CALL;
thrd2intrfc_function <= FUNCTION_HTHREAD_CONDATTR_INIT;
thrd2intrfc_value <= Z32(0 to 15) & U_STATE_4;
next_state <= WAIT_STATE;
-- hthread_condattr_destroy( condattr );
when STATE_4 =>
-- Push the argument to hthread_condattr_destroy
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= arg;
next_state <= WAIT_STATE;
return_state_next <= STATE_5;
when STATE_5 =>
-- Call hthread_condattr_destroy
thrd2intrfc_opcode <= OPCODE_CALL;
thrd2intrfc_function <= FUNCTION_HTHREAD_CONDATTR_DESTROY;
thrd2intrfc_value <= Z32(0 to 15) & U_STATE_6;
next_state <= WAIT_STATE;
-- retVal = hthread_condattr_init( condattr );
when STATE_6 =>
-- Push cond
thrd2intrfc_opcode <= OPCODE_PUSH;
thrd2intrfc_value <= arg;
next_state <= WAIT_STATE;
return_state_next <= STATE_7;
when STATE_7 =>
-- Call hthread_condattr_init
thrd2intrfc_opcode <= OPCODE_CALL;
thrd2intrfc_function <= FUNCTION_HTHREAD_CONDATTR_INIT;
thrd2intrfc_value <= Z32(0 to 15) & U_STATE_8;
next_state <= WAIT_STATE;
when STATE_8 =>
retVal_next <= intrfc2thrd_value;
next_state <= FUNCTION_EXIT;
when FUNCTION_EXIT =>
--Same as hthread_exit( (void *) retVal );
thrd2intrfc_value <= retVal;
thrd2intrfc_opcode <= OPCODE_RETURN;
next_state <= WAIT_STATE;
when WAIT_STATE =>
next_state <= return_state;
when ERROR_STATE =>
next_state <= ERROR_STATE;
when others =>
next_state <= ERROR_STATE;
end case;
end process HWTUL_STATE_MACHINE;
end architecture IMP;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/hardware/MyRepository/pcores/axi_hthread_cores/axi_sync_manager_v1_00_a/hdl/vhdl/axi_sync_manager.vhd | 2 | 38758 | ------------------------------------------------------------------------------
-- axi_sync_manager.vhd - entity/architecture pair
------------------------------------------------------------------------------
-- IMPORTANT:
-- DO NOT MODIFY THIS FILE EXCEPT IN THE DESIGNATED SECTIONS.
--
-- SEARCH FOR --USER TO DETERMINE WHERE CHANGES ARE ALLOWED.
--
-- TYPICALLY, THE ONLY ACCEPTABLE CHANGES INVOLVE ADDING NEW
-- PORTS AND GENERICS THAT GET PASSED THROUGH TO THE INSTANTIATION
-- OF THE USER_LOGIC ENTITY.
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: axi_sync_manager.vhd
-- Version: 1.00.a
-- Description: Top level design, instantiates library components and user logic.
-- Date: Thu Jun 26 14:24:54 2014 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
use proc_common_v3_00_a.ipif_pkg.all;
library axi_lite_ipif_v1_01_a;
use axi_lite_ipif_v1_01_a.axi_lite_ipif;
library axi_master_lite_v1_00_a;
use axi_master_lite_v1_00_a.axi_master_lite;
library axi_sync_manager_v1_00_a;
use axi_sync_manager_v1_00_a.user_logic;
use work.common.SYNCH_LOCK;
use work.common.SYNCH_UNLOCK;
use work.common.SYNCH_TRY;
use work.common.SYNCH_OWNER;
use work.common.SYNCH_KIND;
use work.common.SYNCH_COUNT;
use work.common.SYNCH_RESULT;
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_S_AXI_DATA_WIDTH -- AXI4LITE slave: Data width
-- C_S_AXI_ADDR_WIDTH -- AXI4LITE slave: Address Width
-- C_S_AXI_MIN_SIZE -- AXI4LITE slave: Min Size
-- C_USE_WSTRB -- AXI4LITE slave: Write Strobe
-- C_DPHASE_TIMEOUT -- AXI4LITE slave: Data Phase Timeout
-- C_BASEADDR -- AXI4LITE slave: base address
-- C_HIGHADDR -- AXI4LITE slave: high address
-- C_FAMILY -- FPGA Family
-- C_NUM_REG -- Number of software accessible registers
-- C_NUM_MEM -- Number of address-ranges
-- C_SLV_AWIDTH -- Slave interface address bus width
-- C_SLV_DWIDTH -- Slave interface data bus width
-- C_M_AXI_LITE_ADDR_WIDTH -- Master-Intf address bus width
-- C_M_AXI_LITE_DATA_WIDTH -- Master-Intf data bus width
--
-- Definition of Ports:
-- S_AXI_ACLK -- AXI4LITE slave: Clock
-- S_AXI_ARESETN -- AXI4LITE slave: Reset
-- S_AXI_AWADDR -- AXI4LITE slave: Write address
-- S_AXI_AWVALID -- AXI4LITE slave: Write address valid
-- S_AXI_WDATA -- AXI4LITE slave: Write data
-- S_AXI_WSTRB -- AXI4LITE slave: Write strobe
-- S_AXI_WVALID -- AXI4LITE slave: Write data valid
-- S_AXI_BREADY -- AXI4LITE slave: Response ready
-- S_AXI_ARADDR -- AXI4LITE slave: Read address
-- S_AXI_ARVALID -- AXI4LITE slave: Read address valid
-- S_AXI_RREADY -- AXI4LITE slave: Read data ready
-- S_AXI_ARREADY -- AXI4LITE slave: read addres ready
-- S_AXI_RDATA -- AXI4LITE slave: Read data
-- S_AXI_RRESP -- AXI4LITE slave: Read data response
-- S_AXI_RVALID -- AXI4LITE slave: Read data valid
-- S_AXI_WREADY -- AXI4LITE slave: Write data ready
-- S_AXI_BRESP -- AXI4LITE slave: Response
-- S_AXI_BVALID -- AXI4LITE slave: Resonse valid
-- S_AXI_AWREADY -- AXI4LITE slave: Wrte address ready
-- m_axi_lite_aclk -- AXI4LITE master: Clock
-- m_axi_lite_aresetn -- AXI4LITE master: Reset
-- md_error -- AXI4LITE master: Error
-- m_axi_lite_arready -- AXI4LITE master: Read address ready
-- m_axi_lite_arvalid -- AXI4LITE master: read address valid
-- m_axi_lite_araddr -- AXI4LITE master: read address protection
-- m_axi_lite_arprot -- AXI4LITE master: Read address protection
-- m_axi_lite_rready -- AXI4LITE master: Read data ready
-- m_axi_lite_rvalid -- AXI4LITE master: Read data valid
-- m_axi_lite_rdata -- AXI4LITE master: Read data
-- m_axi_lite_rresp -- AXI4LITE master: read data response
-- m_axi_lite_awready -- AXI4LITE master: write address ready
-- m_axi_lite_awvalid -- AXI4LITE master: write address valid
-- m_axi_lite_awaddr -- AXI4LITE master: write address valid
-- m_axi_lite_awprot -- AXI4LITE master: write address protection
-- m_axi_lite_wready -- AXI4LITE master: write data ready
-- m_axi_lite_wvalid -- AXI4LITE master: write data valid
-- m_axi_lite_wdata -- AXI4LITE master: write data
-- m_axi_lite_wstrb -- AXI4LITE master: write data strobe
-- m_axi_lite_bready -- AXI4LITE master: read response ready
-- m_axi_lite_bvalid -- AXI4LITE master: read response valid
-- m_axi_lite_bresp -- AXI4LITE master: read response
------------------------------------------------------------------------------
entity axi_sync_manager is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
C_NUM_THREADS : integer := 256;
C_NUM_MUTEXES : integer := 64;
C_SCHED_BADDR : std_logic_vector := X"00000000";
C_SCHED_HADDR : std_logic_vector := X"00000000";
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_S_AXI_DATA_WIDTH : integer := 32;
C_S_AXI_ADDR_WIDTH : integer := 32;
C_S_AXI_MIN_SIZE : std_logic_vector := X"00FFFFFF";
C_USE_WSTRB : integer := 0;
C_DPHASE_TIMEOUT : integer := 0;
C_BASEADDR : std_logic_vector := X"FFFFFFFF";
C_HIGHADDR : std_logic_vector := X"00000000";
C_FAMILY : string := "virtex6";
C_NUM_REG : integer := 1;
C_NUM_MEM : integer := 1;
C_SLV_AWIDTH : integer := 32;
C_SLV_DWIDTH : integer := 32;
C_M_AXI_LITE_ADDR_WIDTH : integer := 32;
C_M_AXI_LITE_DATA_WIDTH : integer := 32
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
system_reset : in std_logic;
system_resetdone : out std_logic;
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
S_AXI_ACLK : in std_logic;
S_AXI_ARESETN : in std_logic;
S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
S_AXI_AWVALID : in std_logic;
S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0);
S_AXI_WVALID : in std_logic;
S_AXI_BREADY : in std_logic;
S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
S_AXI_ARVALID : in std_logic;
S_AXI_RREADY : in std_logic;
S_AXI_ARREADY : out std_logic;
S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
S_AXI_RRESP : out std_logic_vector(1 downto 0);
S_AXI_RVALID : out std_logic;
S_AXI_WREADY : out std_logic;
S_AXI_BRESP : out std_logic_vector(1 downto 0);
S_AXI_BVALID : out std_logic;
S_AXI_AWREADY : out std_logic;
m_axi_lite_aclk : in std_logic;
m_axi_lite_aresetn : in std_logic;
md_error : out std_logic;
m_axi_lite_arready : in std_logic;
m_axi_lite_arvalid : out std_logic;
m_axi_lite_araddr : out std_logic_vector(C_M_AXI_LITE_ADDR_WIDTH-1 downto 0);
m_axi_lite_arprot : out std_logic_vector(2 downto 0);
m_axi_lite_rready : out std_logic;
m_axi_lite_rvalid : in std_logic;
m_axi_lite_rdata : in std_logic_vector(C_M_AXI_LITE_DATA_WIDTH-1 downto 0);
m_axi_lite_rresp : in std_logic_vector(1 downto 0);
m_axi_lite_awready : in std_logic;
m_axi_lite_awvalid : out std_logic;
m_axi_lite_awaddr : out std_logic_vector(C_M_AXI_LITE_ADDR_WIDTH-1 downto 0);
m_axi_lite_awprot : out std_logic_vector(2 downto 0);
m_axi_lite_wready : in std_logic;
m_axi_lite_wvalid : out std_logic;
m_axi_lite_wdata : out std_logic_vector(C_M_AXI_LITE_DATA_WIDTH-1 downto 0);
m_axi_lite_wstrb : out std_logic_vector((C_M_AXI_LITE_DATA_WIDTH/8)-1 downto 0);
m_axi_lite_bready : out std_logic;
m_axi_lite_bvalid : in std_logic;
m_axi_lite_bresp : in std_logic_vector(1 downto 0)
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute MAX_FANOUT : string;
attribute SIGIS : string;
attribute MAX_FANOUT of S_AXI_ACLK : signal is "10000";
attribute MAX_FANOUT of S_AXI_ARESETN : signal is "10000";
attribute SIGIS of S_AXI_ACLK : signal is "Clk";
attribute SIGIS of S_AXI_ARESETN : signal is "Rst";
attribute MAX_FANOUT of m_axi_lite_aclk : signal is "10000";
attribute MAX_FANOUT of m_axi_lite_aresetn : signal is "10000";
attribute SIGIS of m_axi_lite_aclk : signal is "Clk";
attribute SIGIS of m_axi_lite_aresetn : signal is "Rst";
end entity axi_sync_manager;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of axi_sync_manager is
-------------------------------------------------------------------
-- BEGIN CODE COPIED FROM OPB SYNCH MANAGER
-------------------------------------------------------------------
-- Constants for the number of bits needed to represent certain data
constant MUTEX_BITS : integer := log2(C_NUM_MUTEXES);
constant THREAD_BITS : integer := log2(C_NUM_THREADS);
constant KIND_BITS : integer := 2;
constant COUNT_BITS : integer := 8;
constant COMMAND_BITS : integer := 3;
function calc_base( cmd : in std_logic_vector(0 to COMMAND_BITS-1) )
return std_logic_vector is
variable addr : std_logic_vector(0 to C_S_AXI_ADDR_WIDTH - 1);
begin
addr := C_BASEADDR;
addr(C_S_AXI_ADDR_WIDTH - MUTEX_BITS - THREAD_BITS - COMMAND_BITS - 2 to
C_S_AXI_ADDR_WIDTH - MUTEX_BITS - THREAD_BITS - 3) := cmd;
return addr;
end function calc_base;
function calc_high( cmd : in std_logic_vector(0 to COMMAND_BITS-1) )
return std_logic_vector is
variable addr : std_logic_vector(0 to C_S_AXI_ADDR_WIDTH - 1);
begin
addr := C_BASEADDR;
addr(C_S_AXI_ADDR_WIDTH - MUTEX_BITS - 2 to
C_S_AXI_ADDR_WIDTH - 3) := (others => '1');
addr(C_S_AXI_ADDR_WIDTH - MUTEX_BITS - THREAD_BITS - 2 to
C_S_AXI_ADDR_WIDTH - MUTEX_BITS - 3) := (others => '1');
addr(C_S_AXI_ADDR_WIDTH - MUTEX_BITS - THREAD_BITS - COMMAND_BITS - 2 to
C_S_AXI_ADDR_WIDTH - MUTEX_BITS - THREAD_BITS - 3) := cmd;
return addr;
end function calc_high;
------------------------------------------
-- constants: figure out addresses of address ranges
------------------------------------------
constant LOCK_BASE:std_logic_vector(0 to C_S_AXI_ADDR_WIDTH-1)
:= calc_base(SYNCH_LOCK);
constant LOCK_HIGH : std_logic_vector(0 to C_S_AXI_ADDR_WIDTH-1)
:= calc_high(SYNCH_LOCK);
constant UNLOCK_BASE : std_logic_vector(0 to C_S_AXI_ADDR_WIDTH-1)
:= calc_base(SYNCH_UNLOCK);
constant UNLOCK_HIGH : std_logic_vector(0 to C_S_AXI_ADDR_WIDTH-1)
:= calc_high(SYNCH_UNLOCK);
constant TRY_BASE : std_logic_vector(0 to C_S_AXI_ADDR_WIDTH-1)
:= calc_base(SYNCH_TRY);
constant TRY_HIGH : std_logic_vector(0 to C_S_AXI_ADDR_WIDTH-1)
:= calc_high(SYNCH_TRY);
constant OWNER_BASE : std_logic_vector(0 to C_S_AXI_ADDR_WIDTH-1)
:= calc_base(SYNCH_OWNER);
constant OWNER_HIGH : std_logic_vector(0 to C_S_AXI_ADDR_WIDTH-1)
:= calc_high(SYNCH_OWNER);
constant KIND_BASE : std_logic_vector(0 to C_S_AXI_ADDR_WIDTH-1)
:= calc_base(SYNCH_KIND);
constant KIND_HIGH : std_logic_vector(0 to C_S_AXI_ADDR_WIDTH-1)
:= calc_high(SYNCH_KIND);
constant COUNT_BASE : std_logic_vector(0 to C_S_AXI_ADDR_WIDTH-1)
:= calc_base(SYNCH_COUNT);
constant COUNT_HIGH : std_logic_vector(0 to C_S_AXI_ADDR_WIDTH-1)
:= calc_high(SYNCH_COUNT);
constant RESULT_BASE : std_logic_vector(0 to C_S_AXI_ADDR_WIDTH-1)
:= calc_base(SYNCH_RESULT);
constant RESULT_HIGH : std_logic_vector(0 to C_S_AXI_ADDR_WIDTH-1)
:= calc_high(SYNCH_RESULT);
constant C_AR0_BASEADDR : std_logic_vector := LOCK_BASE;
constant C_AR0_HIGHADDR : std_logic_vector := LOCK_HIGH;
constant C_AR1_BASEADDR : std_logic_vector := UNLOCK_BASE;
constant C_AR1_HIGHADDR : std_logic_vector := UNLOCK_HIGH;
constant C_AR2_BASEADDR : std_logic_vector := TRY_BASE;
constant C_AR2_HIGHADDR : std_logic_vector := TRY_HIGH;
constant C_AR3_BASEADDR : std_logic_vector := OWNER_BASE;
constant C_AR3_HIGHADDR : std_logic_vector := OWNER_HIGH;
constant C_AR4_BASEADDR : std_logic_vector := KIND_BASE;
constant C_AR4_HIGHADDR : std_logic_vector := KIND_HIGH;
constant C_AR5_BASEADDR : std_logic_vector := COUNT_BASE;
constant C_AR5_HIGHADDR : std_logic_vector := COUNT_HIGH;
constant C_AR6_BASEADDR : std_logic_vector := RESULT_BASE;
constant C_AR6_HIGHADDR : std_logic_vector := RESULT_HIGH;
-- specify user logic address bus width, must be same as the target bus.
constant USER_AWIDTH : integer := C_S_AXI_ADDR_WIDTH;
-- specify maximum data bus width among all user logic address ranges.
constant USER_DWIDTH : integer := C_S_AXI_DATA_WIDTH ;
-- specify number of user logic address ranges.
constant USER_NUM_ADDR_RNG : integer := 7;
-- specify number of user logic chip enables
constant USER_NUM_CE : integer := 1;
-- Signals for the system reset
signal master_resetdone : std_logic;
signal slave_resetdone : std_logic;
-- Signals for the master and slave interaction
signal send_ena : std_logic;
signal send_id : std_logic_vector(0 to log2(C_NUM_THREADS)-1);
signal send_ack : std_logic;
-- Signals for the send thread id store
signal siaddr : std_logic_vector(0 to log2(C_NUM_THREADS)-1);
signal siena : std_logic;
signal siwea : std_logic;
signal sinext : std_logic_vector(0 to log2(C_NUM_THREADS)-1);
signal sonext : std_logic_vector(0 to log2(C_NUM_THREADS)-1);
-------------------------------------------------------------------
-- END CODE COPIED FROM OPB SYNCH MANAGER
-------------------------------------------------------------------
------------------------------------------
-- Array of base/high address pairs for each address range
------------------------------------------
constant ZERO_ADDR_PAD : std_logic_vector(0 to 31) := (others => '0');
-- constant USER_SLV_BASEADDR : std_logic_vector := C_BASEADDR or X"00000000";
-- constant USER_SLV_HIGHADDR : std_logic_vector := C_BASEADDR or X"000000FF";
-- constant USER_MST_BASEADDR : std_logic_vector := C_BASEADDR or X"00000100";
-- constant USER_MST_HIGHADDR : std_logic_vector := C_BASEADDR or X"000001FF";
--
-- constant IPIF_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE :=
-- (
-- ZERO_ADDR_PAD & USER_SLV_BASEADDR, -- user logic slave space base address
-- ZERO_ADDR_PAD & USER_SLV_HIGHADDR, -- user logic slave space high address
-- ZERO_ADDR_PAD & USER_MST_BASEADDR, -- user logic master space base address
-- ZERO_ADDR_PAD & USER_MST_HIGHADDR -- user logic master space high address
-- );
-- ------------------------------------------
-- -- Array of desired number of chip enables for each address range
-- ------------------------------------------
constant USER_SLV_NUM_REG : integer := 1;
constant USER_MST_NUM_REG : integer := 4;
constant USER_NUM_REG : integer := USER_SLV_NUM_REG+USER_MST_NUM_REG;
constant TOTAL_IPIF_CE : integer := USER_NUM_REG;
--
-- constant IPIF_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE :=
-- (
-- 0 => pad_power2(USER_SLV_NUM_REG), -- number of ce for user logic slave space
-- 1 => pad_power2(USER_MST_NUM_REG) -- number of ce for user logic master space
-- );
constant IPIF_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE :=
(
ZERO_ADDR_PAD & C_AR0_BASEADDR, -- user logic address range 0 base address
ZERO_ADDR_PAD & C_AR0_HIGHADDR, -- user logic address range 0 high address
ZERO_ADDR_PAD & C_AR1_BASEADDR, -- user logic address range 1 base address
ZERO_ADDR_PAD & C_AR1_HIGHADDR, -- user logic address range 1 high address
ZERO_ADDR_PAD & C_AR2_BASEADDR, -- user logic address range 2 base address
ZERO_ADDR_PAD & C_AR2_HIGHADDR, -- user logic address range 2 high address
ZERO_ADDR_PAD & C_AR3_BASEADDR, -- user logic address range 3 base address
ZERO_ADDR_PAD & C_AR3_HIGHADDR, -- user logic address range 3 high address
ZERO_ADDR_PAD & C_AR4_BASEADDR, -- user logic address range 4 base address
ZERO_ADDR_PAD & C_AR4_HIGHADDR, -- user logic address range 4 high address
ZERO_ADDR_PAD & C_AR5_BASEADDR, -- user logic address range 5 base address
ZERO_ADDR_PAD & C_AR5_HIGHADDR, -- user logic address range 5 high address
ZERO_ADDR_PAD & C_AR6_BASEADDR, -- user logic address range 6 base address
ZERO_ADDR_PAD & C_AR6_HIGHADDR -- user logic address range 6 high address
);
-- specify desired number of chip enables for each address range,
-- typically one ce per register and each ipif service has its
-- predefined value.
constant IPIF_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => 1, -- user logic address range 0 bank (always 1 chip enable)
1 => 1, -- user logic address range 1 bank (always 1 chip enable)
2 => 1, -- user logic address range 2 bank (always 1 chip enable)
3 => 1, -- user logic address range 3 bank (always 1 chip enable)
4 => 1, -- user logic address range 4 bank (always 1 chip enable)
5 => 1, -- user logic address range 5 bank (always 1 chip enable)
6 => 1 -- user logic address range 6 bank (always 1 chip enable)
);
------------------------------------------
-- Ratio of bus clock to core clock (for use in dual clock systems)
-- 1 = ratio is 1:1
-- 2 = ratio is 2:1
------------------------------------------
constant IPIF_BUS2CORE_CLK_RATIO : integer := 1;
constant USER_SLV_DWIDTH : integer := C_S_AXI_DATA_WIDTH;
constant IPIF_SLV_DWIDTH : integer := C_S_AXI_DATA_WIDTH;
------------------------------------------
-- Width of the master address bus (32 only)
------------------------------------------
constant USER_MST_AWIDTH : integer := C_M_AXI_LITE_ADDR_WIDTH;
------------------------------------------
-- Width of the master data bus (32 only)
------------------------------------------
constant USER_MST_DWIDTH : integer := C_M_AXI_LITE_DATA_WIDTH;
------------------------------------------
-- Index for CS/CE
------------------------------------------
constant USER_SLV_CS_INDEX : integer := 0;
constant USER_SLV_CE_INDEX : integer := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, USER_SLV_CS_INDEX);
constant USER_MST_CS_INDEX : integer := 1;
constant USER_MST_CE_INDEX : integer := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, USER_MST_CS_INDEX);
constant USER_CE_INDEX : integer := USER_SLV_CE_INDEX;
------------------------------------------
-- IP Interconnect (IPIC) signal declarations
------------------------------------------
signal ipif_Bus2IP_Clk : std_logic;
signal ipif_Bus2IP_Resetn : std_logic;
signal ipif_Bus2IP_Reset : std_logic;
signal ipif_Bus2IP_Addr : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
signal ipif_Bus2IP_RNW : std_logic;
signal ipif_Bus2IP_BE : std_logic_vector(IPIF_SLV_DWIDTH/8-1 downto 0);
signal ipif_Bus2IP_CS : std_logic_vector((IPIF_ARD_ADDR_RANGE_ARRAY'LENGTH)/2-1 downto 0);
signal ipif_Bus2IP_RdCE : std_logic_vector(calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1 downto 0);
signal ipif_Bus2IP_WrCE : std_logic_vector(calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1 downto 0);
signal ipif_Bus2IP_Data : std_logic_vector(IPIF_SLV_DWIDTH-1 downto 0);
signal ipif_IP2Bus_WrAck : std_logic;
signal ipif_IP2Bus_RdAck : std_logic;
signal ipif_IP2Bus_Error : std_logic;
signal ipif_IP2Bus_Data : std_logic_vector(IPIF_SLV_DWIDTH-1 downto 0);
signal ipif_ip2bus_mstrd_req : std_logic;
signal ipif_ip2bus_mstwr_req : std_logic;
signal ipif_ip2bus_mst_addr : std_logic_vector(0 to C_M_AXI_LITE_ADDR_WIDTH-1);
signal ipif_ip2bus_mst_be : std_logic_vector(0 to (C_M_AXI_LITE_DATA_WIDTH/8)-1);
signal ipif_ip2bus_mst_lock : std_logic;
signal ipif_ip2bus_mst_reset : std_logic;
signal ipif_bus2ip_mst_cmdack : std_logic;
signal ipif_bus2ip_mst_cmplt : std_logic;
signal ipif_bus2ip_mst_error : std_logic;
signal ipif_bus2ip_mst_rearbitrate : std_logic;
signal ipif_bus2ip_mst_cmd_timeout : std_logic;
signal ipif_bus2ip_mstrd_d : std_logic_vector(0 to C_M_AXI_LITE_DATA_WIDTH-1);
signal ipif_bus2ip_mstrd_src_rdy_n : std_logic;
signal ipif_ip2bus_mstwr_d : std_logic_vector(0 to C_M_AXI_LITE_DATA_WIDTH-1);
signal ipif_bus2ip_mstwr_dst_rdy_n : std_logic;
signal user_Bus2IP_RdCE : std_logic_vector(USER_NUM_REG-1 downto 0);
signal user_Bus2IP_WrCE : std_logic_vector(USER_NUM_REG-1 downto 0);
signal user_IP2Bus_Data : std_logic_vector(USER_SLV_DWIDTH-1 downto 0);
signal user_IP2Bus_RdAck : std_logic;
signal user_IP2Bus_WrAck : std_logic;
signal user_IP2Bus_Error : std_logic;
begin
------------------------------------------
-- instantiate axi_lite_ipif
------------------------------------------
AXI_LITE_IPIF_I : entity axi_lite_ipif_v1_01_a.axi_lite_ipif
generic map
(
C_S_AXI_DATA_WIDTH => IPIF_SLV_DWIDTH,
C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH,
C_S_AXI_MIN_SIZE => C_S_AXI_MIN_SIZE,
C_USE_WSTRB => C_USE_WSTRB,
C_DPHASE_TIMEOUT => C_DPHASE_TIMEOUT,
C_ARD_ADDR_RANGE_ARRAY => IPIF_ARD_ADDR_RANGE_ARRAY,
C_ARD_NUM_CE_ARRAY => IPIF_ARD_NUM_CE_ARRAY,
C_FAMILY => C_FAMILY
)
port map
(
S_AXI_ACLK => S_AXI_ACLK,
S_AXI_ARESETN => S_AXI_ARESETN,
S_AXI_AWADDR => S_AXI_AWADDR,
S_AXI_AWVALID => S_AXI_AWVALID,
S_AXI_WDATA => S_AXI_WDATA,
S_AXI_WSTRB => S_AXI_WSTRB,
S_AXI_WVALID => S_AXI_WVALID,
S_AXI_BREADY => S_AXI_BREADY,
S_AXI_ARADDR => S_AXI_ARADDR,
S_AXI_ARVALID => S_AXI_ARVALID,
S_AXI_RREADY => S_AXI_RREADY,
S_AXI_ARREADY => S_AXI_ARREADY,
S_AXI_RDATA => S_AXI_RDATA,
S_AXI_RRESP => S_AXI_RRESP,
S_AXI_RVALID => S_AXI_RVALID,
S_AXI_WREADY => S_AXI_WREADY,
S_AXI_BRESP => S_AXI_BRESP,
S_AXI_BVALID => S_AXI_BVALID,
S_AXI_AWREADY => S_AXI_AWREADY,
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Resetn => ipif_Bus2IP_Resetn,
Bus2IP_Addr => ipif_Bus2IP_Addr,
Bus2IP_RNW => ipif_Bus2IP_RNW,
Bus2IP_BE => ipif_Bus2IP_BE,
Bus2IP_CS => ipif_Bus2IP_CS,
Bus2IP_RdCE => ipif_Bus2IP_RdCE,
Bus2IP_WrCE => ipif_Bus2IP_WrCE,
Bus2IP_Data => ipif_Bus2IP_Data,
IP2Bus_WrAck => ipif_IP2Bus_WrAck,
IP2Bus_RdAck => ipif_IP2Bus_RdAck,
IP2Bus_Error => ipif_IP2Bus_Error,
IP2Bus_Data => ipif_IP2Bus_Data
);
------------------------------------------
-- instantiate axi_master_lite
------------------------------------------
AXI_MASTER_LITE_I : entity axi_master_lite_v1_00_a.axi_master_lite
generic map
(
C_M_AXI_LITE_ADDR_WIDTH => C_M_AXI_LITE_ADDR_WIDTH,
C_M_AXI_LITE_DATA_WIDTH => C_M_AXI_LITE_DATA_WIDTH,
C_FAMILY => C_FAMILY
)
port map
(
m_axi_lite_aclk => m_axi_lite_aclk,
m_axi_lite_aresetn => m_axi_lite_aresetn,
md_error => md_error,
m_axi_lite_arready => m_axi_lite_arready,
m_axi_lite_arvalid => m_axi_lite_arvalid,
m_axi_lite_araddr => m_axi_lite_araddr,
m_axi_lite_arprot => m_axi_lite_arprot,
m_axi_lite_rready => m_axi_lite_rready,
m_axi_lite_rvalid => m_axi_lite_rvalid,
m_axi_lite_rdata => m_axi_lite_rdata,
m_axi_lite_rresp => m_axi_lite_rresp,
m_axi_lite_awready => m_axi_lite_awready,
m_axi_lite_awvalid => m_axi_lite_awvalid,
m_axi_lite_awaddr => m_axi_lite_awaddr,
m_axi_lite_awprot => m_axi_lite_awprot,
m_axi_lite_wready => m_axi_lite_wready,
m_axi_lite_wvalid => m_axi_lite_wvalid,
m_axi_lite_wdata => m_axi_lite_wdata,
m_axi_lite_wstrb => m_axi_lite_wstrb,
m_axi_lite_bready => m_axi_lite_bready,
m_axi_lite_bvalid => m_axi_lite_bvalid,
m_axi_lite_bresp => m_axi_lite_bresp,
ip2bus_mstrd_req => ipif_ip2bus_mstrd_req,
ip2bus_mstwr_req => ipif_ip2bus_mstwr_req,
ip2bus_mst_addr => ipif_ip2bus_mst_addr,
ip2bus_mst_be => ipif_ip2bus_mst_be,
ip2bus_mst_lock => ipif_ip2bus_mst_lock,
ip2bus_mst_reset => ipif_ip2bus_mst_reset,
bus2ip_mst_cmdack => ipif_bus2ip_mst_cmdack,
bus2ip_mst_cmplt => ipif_bus2ip_mst_cmplt,
bus2ip_mst_error => ipif_bus2ip_mst_error,
bus2ip_mst_rearbitrate => ipif_bus2ip_mst_rearbitrate,
bus2ip_mst_cmd_timeout => ipif_bus2ip_mst_cmd_timeout,
bus2ip_mstrd_d => ipif_bus2ip_mstrd_d,
bus2ip_mstrd_src_rdy_n => ipif_bus2ip_mstrd_src_rdy_n,
ip2bus_mstwr_d => ipif_ip2bus_mstwr_d,
bus2ip_mstwr_dst_rdy_n => ipif_bus2ip_mstwr_dst_rdy_n
);
--------------------------------------------------------------------------
-- Instantiate the Slave Logic
--------------------------------------------------------------------------
slave_logic_i : entity work.slave
generic map
(
C_NUM_THREADS => C_NUM_THREADS,
C_NUM_MUTEXES => C_NUM_MUTEXES,
C_AWIDTH => USER_AWIDTH,
C_DWIDTH => USER_DWIDTH,
C_MAX_AR_DWIDTH => USER_DWIDTH,
C_NUM_ADDR_RNG => USER_NUM_ADDR_RNG,
C_NUM_CE => USER_NUM_CE
)
port map
(
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Reset => ipif_Bus2IP_Reset,
Bus2IP_Addr => ipif_Bus2IP_Addr,
Bus2IP_Data => ipif_Bus2IP_Data,
Bus2IP_BE => ipif_Bus2IP_BE,
Bus2IP_CS => ipif_Bus2IP_CS,
Bus2IP_RNW => ipif_Bus2IP_RNW,
IP2Bus_Data => user_IP2Bus_Data,
IP2Bus_Error => user_IP2Bus_Error,
IP2Bus_RdAck => user_IP2Bus_RdAck,
IP2Bus_WrAck => user_IP2Bus_WrAck,
system_reset => system_reset,
system_resetdone => slave_resetdone,
send_ena => send_ena,
send_id => send_id,
send_ack => send_ack,
siaddr => siaddr,
siena => siena,
siwea => siwea,
sinext => sinext,
sonext => sonext
);
--------------------------------------------------------------------------
-- Instantiate the Master Logic
--------------------------------------------------------------------------
master_logic_i : entity work.master
generic map
(
C_BASEADDR => C_BASEADDR,
C_HIGHADDR => C_HIGHADDR,
C_SCHED_BASEADDR => C_SCHED_BADDR,
C_RESULT_BASEADDR => RESULT_BASE,
C_NUM_THREADS => C_NUM_THREADS,
C_NUM_MUTEXES => C_NUM_MUTEXES,
C_AWIDTH => USER_AWIDTH,
C_DWIDTH => USER_DWIDTH,
C_MAX_AR_DWIDTH => USER_DWIDTH,
C_NUM_ADDR_RNG => USER_NUM_ADDR_RNG,
C_NUM_CE => USER_NUM_CE
)
port map
(
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Reset => ipif_Bus2IP_Reset,
-- Bus2IP_Addr => iBus2IP_Addr,
-- Bus2IP_Data => uBus2IP_Data,
-- Bus2IP_BE => uBus2IP_BE,
-- Bus2IP_RNW => iBus2IP_RNW,
-- Bus2IP_RdCE => uBus2IP_RdCE,
-- Bus2IP_WrCE => uBus2IP_WrCE,
-- Bus2IP_RdReq => iBus2IP_RdReq,
-- Bus2IP_WrReq => iBus2IP_WrReq,
-- Bus2IP_MstError => iBus2IP_MstError,
-- Bus2IP_MstLastAck => iBus2IP_MstLastAck,
-- Bus2IP_MstRdAck => iBus2IP_MstRdAck,
-- Bus2IP_MstWrAck => iBus2IP_MstWrAck,
-- Bus2IP_MstRetry => iBus2IP_MstRetry,
-- Bus2IP_MstTimeOut => iBus2IP_MstTimeOut,
-- IP2Bus_Addr => iIP2Bus_Addr,
-- IP2Bus_MstBE => uIP2Bus_MstBE,
-- IP2Bus_MstBurst => iIP2Bus_MstBurst,
-- IP2Bus_MstBusLock => iIP2Bus_MstBusLock,
-- IP2Bus_MstRdReq => iIP2Bus_MstRdReq,
-- IP2Bus_MstWrReq => iIP2Bus_MstWrReq,
-- IP2IP_Addr => iIP2IP_Addr,
IP2Bus_MstRd_Req => ipif_IP2Bus_MstRd_Req,
IP2Bus_MstWr_Req => ipif_IP2Bus_MstWr_Req,
IP2Bus_Mst_Addr => ipif_IP2Bus_Mst_Addr,
IP2Bus_Mst_BE => ipif_IP2Bus_Mst_BE,
IP2Bus_Mst_Lock => ipif_IP2Bus_Mst_Lock,
IP2Bus_Mst_Reset => ipif_IP2Bus_Mst_Reset,
Bus2IP_Mst_CmdAck => ipif_Bus2IP_Mst_CmdAck,
Bus2IP_Mst_Cmplt => ipif_Bus2IP_Mst_Cmplt,
Bus2IP_Mst_Error => ipif_Bus2IP_Mst_Error,
Bus2IP_Mst_Rearbitrate => ipif_Bus2IP_Mst_Rearbitrate,
Bus2IP_Mst_Cmd_Timeout => ipif_Bus2IP_Mst_Cmd_Timeout,
Bus2IP_MstRd_d => ipif_Bus2IP_MstRd_d,
Bus2IP_MstRd_src_rdy_n => ipif_Bus2IP_MstRd_src_rdy_n,
IP2Bus_MstWr_d => ipif_IP2Bus_MstWr_d,
Bus2IP_MstWr_dst_rdy_n => ipif_Bus2IP_MstWr_dst_rdy_n,
system_reset => system_reset,
system_resetdone => master_resetdone,
send_ena => send_ena,
send_id => send_id,
send_ack => send_ack,
saddr => siaddr,
sena => siena,
swea => siwea,
sonext => sinext,
sinext => sonext
);
------------------------------------------
-- connect internal signals
------------------------------------------
ipif_IP2Bus_Data <= user_IP2Bus_Data;
ipif_IP2Bus_WrAck <= user_IP2Bus_WrAck;
ipif_IP2Bus_RdAck <= user_IP2Bus_RdAck;
ipif_IP2Bus_Error <= user_IP2Bus_Error;
user_Bus2IP_RdCE(USER_SLV_NUM_REG-1 downto 0) <= ipif_Bus2IP_RdCE(TOTAL_IPIF_CE -USER_SLV_CE_INDEX -1 downto TOTAL_IPIF_CE - USER_SLV_CE_INDEX -USER_SLV_NUM_REG);
user_Bus2IP_WrCE(USER_SLV_NUM_REG-1 downto 0) <= ipif_Bus2IP_WrCE(TOTAL_IPIF_CE -USER_SLV_CE_INDEX -1 downto TOTAL_IPIF_CE - USER_SLV_CE_INDEX -USER_SLV_NUM_REG);
user_Bus2IP_RdCE(USER_NUM_REG-1 downto USER_NUM_REG-USER_MST_NUM_REG) <= ipif_Bus2IP_RdCE(TOTAL_IPIF_CE - USER_MST_CE_INDEX -1 downto TOTAL_IPIF_CE - USER_MST_CE_INDEX -USER_MST_NUM_REG);
user_Bus2IP_WrCE(USER_NUM_REG-1 downto USER_NUM_REG- USER_MST_NUM_REG) <= ipif_Bus2IP_WrCE(TOTAL_IPIF_CE - USER_MST_CE_INDEX -1 downto TOTAL_IPIF_CE - USER_MST_CE_INDEX -USER_MST_NUM_REG);
ipif_Bus2IP_Reset <= not ipif_Bus2IP_Resetn;
------------------------------------------
-- hooking reset done signals
------------------------------------------
system_resetdone <= master_resetdone and slave_resetdone;
end IMP;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/hardware/MyRepository/pcores/vivado_cores/hw_acc_vector_v1_00_a/hdl/vhdl/hw_acc_vector.vhd | 2 | 4996 |
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
-------------------------------------------------------------------------------------
--
--
-- Definition of Ports
-- FSL_Clk : Synchronous clock
-- FSL_Rst : System reset, should always come from FSL bus
-- FSL_S_Clk : Slave asynchronous clock
-- FSL_S_Read : Read signal, requiring next available input to be read
-- FSL_S_Data : Input data
-- FSL_S_CONTROL : Control Bit, indicating the input data are control word
-- FSL_S_Exists : Data Exist Bit, indicating data exist in the input FSL bus
-- FSL_M_Clk : Master asynchronous clock
-- FSL_M_Write : Write signal, enabling writing to output FSL bus
-- FSL_M_Data : Output data
-- FSL_M_Control : Control Bit, indicating the output data are contol word
-- FSL_M_Full : Full Bit, indicating output FSL bus is full
--
-------------------------------------------------------------------------------
------------------------------------------------------------------------------
-- Entity Section
------------------------------------------------------------------------------
entity hw_acc_vector is
port
(
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add or delete.
ap_clk : IN STD_LOGIC;
ap_rst_n : IN STD_LOGIC;
S_AXIS_TDATA : IN STD_LOGIC_VECTOR (31 downto 0);
S_AXIS_TVALID : IN STD_LOGIC;
S_AXIS_TREADY : OUT STD_LOGIC;
M_AXIS_TDATA : OUT STD_LOGIC_VECTOR (31 downto 0);
M_AXIS_TVALID : OUT STD_LOGIC;
M_AXIS_TREADY : IN STD_LOGIC;
BRAM_A_addr : out std_logic_vector(0 to (32 - 1));
BRAM_A_dIN : out std_logic_vector(0 to (32 - 1));
BRAM_A_dOUT : in std_logic_vector(0 to (32 - 1));
BRAM_A_en : out std_logic;
BRAM_A_wEN : out std_logic_vector(0 to (32/8) -1);
------------------------------------------------------
BRAM_B_dIN : out std_logic_vector(0 to (32 - 1)) ;
BRAM_B_addr : out std_logic_vector(0 to (32 - 1)) ;
BRAM_B_dOUT : in std_logic_vector(0 to (32 - 1)) ;
BRAM_B_en : out std_logic ;
BRAM_B_wEN : out std_logic_vector(0 to (32/8) -1);
BRAM_C_dIN : out std_logic_vector(0 to (32 - 1)) ;
BRAM_C_addr : out std_logic_vector(0 to (32 - 1)) ;
BRAM_C_dOUT : in std_logic_vector(0 to (32 - 1)) ;
BRAM_C_en : out std_logic ;
BRAM_C_wEN : out std_logic_vector(0 to (32/8) -1)
-- DO NOT EDIT ABOVE THIS LINE ---------------------
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
end hw_acc_vector;
-- *************************
-- Architecture Definition
-- *************************
architecture IMPLEMENTATION of hw_acc_vector is
component vector_chan is
generic(
G_ADDR_WIDTH : integer := 32;
G_DATA_WIDTH : integer := 32
);
port
(
Vector_A_addr0 : out std_logic_vector(0 to (G_ADDR_WIDTH - 1));
Vector_A_dIN0 : out std_logic_vector(0 to (G_DATA_WIDTH - 1));
Vector_A_dOUT0 : in std_logic_vector(0 to (G_DATA_WIDTH - 1));
Vector_A_rENA0 : out std_logic;
Vector_A_wENA0 : out std_logic_vector(0 to (G_DATA_WIDTH/8) -1);
Vector_B_addr0 : out std_logic_vector(0 to (G_ADDR_WIDTH - 1));
Vector_B_dIN0 : out std_logic_vector(0 to (G_DATA_WIDTH - 1));
Vector_B_dOUT0 : in std_logic_vector(0 to (G_DATA_WIDTH - 1));
Vector_B_rENA0 : out std_logic;
Vector_B_wENA0 : out std_logic_vector(0 to (G_DATA_WIDTH/8) -1);
Vector_C_addr0 : out std_logic_vector(0 to (G_ADDR_WIDTH - 1));
Vector_C_dIN0 : out std_logic_vector(0 to (G_DATA_WIDTH - 1));
Vector_C_dOUT0 : in std_logic_vector(0 to (G_DATA_WIDTH - 1));
Vector_C_rENA0 : out std_logic;
Vector_C_wENA0 : out std_logic_vector(0 to (G_DATA_WIDTH/8) -1);
chan1_channelDataIn : out std_logic_vector(0 to (32 - 1));
chan1_channelDataOut : in std_logic_vector(0 to (32 - 1));
chan1_exists : in std_logic;
chan1_full : in std_logic;
chan1_channelRead : out std_logic;
chan1_channelWrite : out std_logic;
clock_sig : in std_logic;
reset_sig : in std_logic
);
end component;
signal ap_rst : STD_LOGIC;
-- Architecture Section
begin
ap_rst <= not ap_rst_n;
uut : vector_chan
port map (
Vector_A_addr0 => BRAM_A_addr,
Vector_A_dIN0 => BRAM_A_din,
Vector_A_dOUT0 => BRAM_A_dout,
Vector_A_rENA0 => BRAM_A_en,
Vector_A_wENA0 => BRAM_A_wen,
Vector_B_addr0 => BRAM_B_addr,
Vector_B_dIN0 => BRAM_B_din,
Vector_B_dOUT0 => BRAM_B_dout,
Vector_B_rENA0 => BRAM_B_en,
Vector_B_wENA0 => BRAM_B_wen,
Vector_C_addr0 => BRAM_C_addr,
Vector_C_dIN0 => BRAM_C_din,
Vector_C_dOUT0 => BRAM_C_dout,
Vector_C_rENA0 => BRAM_C_en,
Vector_C_wENA0 => BRAM_C_wen,
chan1_channelDataIn => M_AXIS_TDATA,
chan1_channelDataOut => S_AXIS_TDATA,
chan1_exists => S_AXIS_Tvalid,
chan1_full => not M_AXIS_Tready,
chan1_channelRead => S_AXIS_Tready,
chan1_channelWrite => M_AXIS_tvalid,
clock_sig => ap_clk,
reset_sig => ap_rst
);
end architecture implementation;
| bsd-3-clause |
masson2013/heterogeneous_hthreads | src/hardware/MyRepository/pcores/plb_hwt_exit_v1_00_a/hdl/vhdl/plb_hwt_exit.vhd | 2 | 10401 | library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library plb_hwti_v1_00_a;
library plb_hwt_exit_v1_00_a;
use plb_hwti_v1_00_a.all;
entity plb_hwt_exit is
generic
(
C_MANAG_BASE : std_logic_vector := x"60000000";
C_SCHED_BASE : std_logic_vector := x"61000000";
C_MUTEX_BASE : std_logic_vector := x"75000000";
C_CONDV_BASE : std_logic_vector := x"74000000";
C_BASEADDR : std_logic_vector := X"FFFFFFFF";
C_HIGHADDR : std_logic_vector := X"00000000";
C_PLB_AWIDTH : integer := 32;
C_PLB_DWIDTH : integer := 64;
C_PLB_NUM_MASTERS : integer := 8;
C_PLB_MID_WIDTH : integer := 3;
C_FAMILY : string := "virtex2p"
);
port
(
PLB_Clk : in std_logic;
PLB_Rst : in std_logic;
Sl_addrAck : out std_logic;
Sl_MBusy : out std_logic_vector(0 to C_PLB_NUM_MASTERS-1);
Sl_MErr : out std_logic_vector(0 to C_PLB_NUM_MASTERS-1);
Sl_rdBTerm : out std_logic;
Sl_rdComp : out std_logic;
Sl_rdDAck : out std_logic;
Sl_rdDBus : out std_logic_vector(0 to C_PLB_DWIDTH-1);
Sl_rdWdAddr : out std_logic_vector(0 to 3);
Sl_rearbitrate : out std_logic;
Sl_SSize : out std_logic_vector(0 to 1);
Sl_wait : out std_logic;
Sl_wrBTerm : out std_logic;
Sl_wrComp : out std_logic;
Sl_wrDAck : out std_logic;
PLB_abort : in std_logic;
PLB_ABus : in std_logic_vector(0 to C_PLB_AWIDTH-1);
PLB_BE : in std_logic_vector(0 to C_PLB_DWIDTH/8-1);
PLB_busLock : in std_logic;
PLB_compress : in std_logic;
PLB_guarded : in std_logic;
PLB_lockErr : in std_logic;
PLB_masterID : in std_logic_vector(0 to C_PLB_MID_WIDTH-1);
PLB_MSize : in std_logic_vector(0 to 1);
PLB_ordered : in std_logic;
PLB_PAValid : in std_logic;
PLB_pendPri : in std_logic_vector(0 to 1);
PLB_pendReq : in std_logic;
PLB_rdBurst : in std_logic;
PLB_rdPrim : in std_logic;
PLB_reqPri : in std_logic_vector(0 to 1);
PLB_RNW : in std_logic;
PLB_SAValid : in std_logic;
PLB_size : in std_logic_vector(0 to 3);
PLB_type : in std_logic_vector(0 to 2);
PLB_wrBurst : in std_logic;
PLB_wrDBus : in std_logic_vector(0 to C_PLB_DWIDTH-1);
PLB_wrPrim : in std_logic;
M_abort : out std_logic;
M_ABus : out std_logic_vector(0 to C_PLB_AWIDTH-1);
M_BE : out std_logic_vector(0 to C_PLB_DWIDTH/8-1);
M_busLock : out std_logic;
M_compress : out std_logic;
M_guarded : out std_logic;
M_lockErr : out std_logic;
M_MSize : out std_logic_vector(0 to 1);
M_ordered : out std_logic;
M_priority : out std_logic_vector(0 to 1);
M_rdBurst : out std_logic;
M_request : out std_logic;
M_RNW : out std_logic;
M_size : out std_logic_vector(0 to 3);
M_type : out std_logic_vector(0 to 2);
M_wrBurst : out std_logic;
M_wrDBus : out std_logic_vector(0 to C_PLB_DWIDTH-1);
PLB_MBusy : in std_logic;
PLB_MErr : in std_logic;
PLB_MWrBTerm : in std_logic;
PLB_MWrDAck : in std_logic;
PLB_MAddrAck : in std_logic;
PLB_MRdBTerm : in std_logic;
PLB_MRdDAck : in std_logic;
PLB_MRdDBus : in std_logic_vector(0 to (C_PLB_DWIDTH-1));
PLB_MRdWdAddr : in std_logic_vector(0 to 3);
PLB_MRearbitrate : in std_logic;
PLB_MSSize : in std_logic_vector(0 to 1)
);
attribute SIGIS : string;
attribute SIGIS of PLB_Clk : signal is "Clk";
attribute SIGIS of PLB_Rst : signal is "Rst";
end entity plb_hwt_exit;
architecture imp of plb_hwt_exit is
signal HWTI2USER_READ : std_logic;
signal HWTI2USER_DATA : std_logic_vector(0 to 63);
signal HWTI2USER_CONTROL : std_logic;
signal HWTI2USER_EXISTS : std_logic;
signal USER2HWTI_WRITE : std_logic;
signal USER2HWTI_DATA : std_logic_vector(0 to 63);
signal USER2HWTI_CONTROL : std_logic;
signal USER2HWTI_FULL : std_logic;
signal U2HLOW_M_WRITE : std_logic;
signal U2HLOW_M_DATA : std_logic_vector(0 to 31);
signal U2HLOW_M_CONTROL : std_logic;
signal U2HLOW_M_FULL : std_logic;
signal U2HHIGH_M_WRITE : std_logic;
signal U2HHIGH_M_DATA : std_logic_vector(0 to 31);
signal U2HHIGH_M_CONTROL : std_logic;
signal U2HHIGH_M_FULL : std_logic;
signal H2ULOW_S_READ : std_logic;
signal H2ULOW_S_DATA : std_logic_vector(0 to 31);
signal H2ULOW_S_CONTROL : std_logic;
signal H2ULOW_S_EXISTS : std_logic;
signal H2UHIGH_S_READ : std_logic;
signal H2UHIGH_S_DATA : std_logic_vector(0 to 31);
signal H2UHIGH_S_CONTROL : std_logic;
signal H2UHIGH_S_EXISTS : std_logic;
begin
ihwti : entity plb_hwti_v1_00_a.plb_hwti
generic map
(
C_MANAG_BASE => C_MANAG_BASE,
C_SCHED_BASE => C_SCHED_BASE,
C_MUTEX_BASE => C_MUTEX_BASE,
C_CONDV_BASE => C_CONDV_BASE,
C_BASEADDR => C_BASEADDR,
C_HIGHADDR => C_HIGHADDR,
C_PLB_AWIDTH => C_PLB_AWIDTH,
C_PLB_DWIDTH => C_PLB_DWIDTH,
C_PLB_NUM_MASTERS => C_PLB_NUM_MASTERS,
C_PLB_MID_WIDTH => C_PLB_MID_WIDTH,
C_FAMILY => C_FAMILY
)
port map
(
U2HLOW_M_WRITE => U2HLOW_M_WRITE,
U2HLOW_M_DATA => U2HLOW_M_DATA,
U2HLOW_M_CONTROL => U2HLOW_M_CONTROL,
U2HLOW_M_FULL => U2HLOW_M_FULL,
U2HHIGH_M_WRITE => U2HHIGH_M_WRITE,
U2HHIGH_M_DATA => U2HHIGH_M_DATA,
U2HHIGH_M_CONTROL => U2HHIGH_M_CONTROL,
U2HHIGH_M_FULL => U2HHIGH_M_FULL,
H2ULOW_S_READ => H2ULOW_S_READ,
H2ULOW_S_DATA => H2ULOW_S_DATA,
H2ULOW_S_CONTROL => H2ULOW_S_CONTROL,
H2ULOW_S_EXISTS => H2ULOW_S_EXISTS,
H2UHIGH_S_READ => H2UHIGH_S_READ,
H2UHIGH_S_DATA => H2UHIGH_S_DATA,
H2UHIGH_S_CONTROL => H2UHIGH_S_CONTROL,
H2UHIGH_S_EXISTS => H2UHIGH_S_EXISTS,
PLB_Clk => PLB_Clk,
PLB_Rst => PLB_Rst,
Sl_addrAck => Sl_addrAck,
Sl_MBusy => Sl_MBusy,
Sl_MErr => Sl_MErr,
Sl_rdBTerm => Sl_rdBTerm,
Sl_rdComp => Sl_rdComp,
Sl_rdDAck => Sl_rdDAck,
Sl_rdDBus => Sl_rdDBus,
Sl_rdWdAddr => Sl_rdWdAddr,
Sl_rearbitrate => Sl_rearbitrate,
Sl_SSize => Sl_SSize,
Sl_wait => Sl_wait,
Sl_wrBTerm => Sl_wrBTerm,
Sl_wrComp => Sl_wrComp,
Sl_wrDAck => Sl_wrDAck,
PLB_abort => PLB_abort,
PLB_ABus => PLB_ABus,
PLB_BE => PLB_BE,
PLB_busLock => PLB_busLock,
PLB_compress => PLB_compress,
PLB_guarded => PLB_guarded,
PLB_lockErr => PLB_lockErr,
PLB_masterID => PLB_masterID,
PLB_MSize => PLB_MSize,
PLB_ordered => PLB_ordered,
PLB_PAValid => PLB_PAValid,
PLB_pendPri => PLB_pendPri,
PLB_pendReq => PLB_pendReq,
PLB_rdBurst => PLB_rdBurst,
PLB_rdPrim => PLB_rdPrim,
PLB_reqPri => PLB_reqPri,
PLB_RNW => PLB_RNW,
PLB_SAValid => PLB_SAValid,
PLB_size => PLB_size,
PLB_type => PLB_type,
PLB_wrBurst => PLB_wrBurst,
PLB_wrDBus => PLB_wrDBus,
PLB_wrPrim => PLB_wrPrim,
M_abort => M_abort,
M_ABus => M_ABus,
M_BE => M_BE,
M_busLock => M_busLock,
M_compress => M_compress,
M_guarded => M_guarded,
M_lockErr => M_lockErr,
M_MSize => M_MSize,
M_ordered => M_ordered,
M_priority => M_priority,
M_rdBurst => M_rdBurst,
M_request => M_request,
M_RNW => M_RNW,
M_size => M_size,
M_type => M_type,
M_wrBurst => M_wrBurst,
M_wrDBus => M_wrDBus,
PLB_MBusy => PLB_MBusy,
PLB_MErr => PLB_MErr,
PLB_MWrBTerm => PLB_MWrBTerm,
PLB_MWrDAck => PLB_MWrDAck,
PLB_MAddrAck => PLB_MAddrAck,
PLB_MRdBTerm => PLB_MRdBTerm,
PLB_MRdDAck => PLB_MRdDAck,
PLB_MRdDBus => PLB_MRdDBus,
PLB_MRdWdAddr => PLB_MRdWdAddr,
PLB_MRearbitrate => PLB_MRearbitrate,
PLB_MSSize => PLB_MSSize
);
ihwt : entity plb_hwt_exit_v1_00_a.hwtexit
port map
(
clk => PLB_Clk,
rst => PLB_Rst,
HWTI2USER_READ => HWTI2USER_READ,
HWTI2USER_DATA => HWTI2USER_DATA,
HWTI2USER_CONTROL => HWTI2USER_CONTROL,
HWTI2USER_EXISTS => HWTI2USER_EXISTS,
USER2HWTI_WRITE => USER2HWTI_WRITE,
USER2HWTI_DATA => USER2HWTI_DATA,
USER2HWTI_CONTROL => USER2HWTI_CONTROL,
USER2HWTI_FULL => USER2HWTI_FULL
);
H2ULOW_S_READ <= HWTI2USER_READ;
H2UHIGH_S_READ <= HWTI2USER_READ;
HWTI2USER_DATA <= H2UHIGH_S_DATA & H2ULOW_S_DATA;
HWTI2USER_CONTROL <= H2UHIGH_S_CONTROL or H2ULOW_S_CONTROL;
HWTI2USER_EXISTS <= H2UHIGH_S_EXISTS and H2ULOW_S_EXISTS;
U2HLOW_M_WRITE <= USER2HWTI_WRITE;
U2HHIGH_M_WRITE <= USER2HWTI_WRITE;
U2HLOW_M_DATA <= USER2HWTI_DATA(32 to 63);
U2HHIGH_M_DATA <= USER2HWTI_DATA(0 to 31);
U2HLOW_M_CONTROL <= USER2HWTI_CONTROL;
U2HHIGH_M_CONTROL <= USER2HWTI_CONTROL;
USER2HWTI_FULL <= U2HLOW_M_FULL or U2HHIGH_M_FULL;
end imp;
| bsd-3-clause |
jevinskie/aes-over-pcie | source/add_round_key_p.vhd | 1 | 763 | -- File name: add_round_key_p.vhd
-- Created: 2009-04-26
-- Author: Jevin Sweval
-- Lab Section: 337-02
-- Version: 1.0 Initial Design Entry
-- Description: parallel add round key stage
use work.aes.all;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity add_round_key_p is
port (
data_in : in state_type;
key_in : in state_type;
data_out : out state_type
);
end entity add_round_key_p;
architecture dataflow of add_round_key_p is
begin
process(data_in, key_in)
begin
for i in index loop
for j in index loop
data_out(i, j) <= data_in(i, j) xor key_in(i, j);
end loop;
end loop;
end process;
end architecture dataflow;
| bsd-3-clause |
l3dlp/ace | demo/kitchen-sink/docs/vhdl.vhd | 472 | 830 | library IEEE
user IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity COUNT16 is
port (
cOut :out std_logic_vector(15 downto 0); -- counter output
clkEn :in std_logic; -- count enable
clk :in std_logic; -- clock input
rst :in std_logic -- reset input
);
end entity;
architecture count_rtl of COUNT16 is
signal count :std_logic_vector (15 downto 0);
begin
process (clk, rst) begin
if(rst = '1') then
count <= (others=>'0');
elsif(rising_edge(clk)) then
if(clkEn = '1') then
count <= count + 1;
end if;
end if;
end process;
cOut <= count;
end architecture;
| bsd-3-clause |
JorisBolsens/PYNQ | Pynq-Z1/vivado/ip/dvi2rgb_v1_6/src/TMDS_Decoder.vhd | 14 | 10863 | -------------------------------------------------------------------------------
--
-- File: TMDS_Decoder.vhd
-- Author: Elod Gyorgy
-- Original Project: HDMI input on 7-series Xilinx FPGA
-- Date: 8 October 2014
--
-------------------------------------------------------------------------------
-- (c) 2014 Copyright Digilent Incorporated
-- All Rights Reserved
--
-- This program is free software; distributed under the terms of BSD 3-clause
-- license ("Revised BSD License", "New BSD License", or "Modified BSD License")
--
-- Redistribution and use in source and binary forms, with or without modification,
-- are permitted provided that the following conditions are met:
--
-- 1. Redistributions of source code must retain the above copyright notice, this
-- list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names
-- of its contributors may be used to endorse or promote products derived
-- from this software without specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
-- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
-- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE
-- FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
-- SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
-- OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
-- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
--
-- Purpose:
-- This module connects to one TMDS data channel and decodes TMDS data
-- according to DVI specifications. It phase aligns the data channel,
-- deserializes the stream, eliminates skew between data channels and decodes
-- data in the end.
-- sDataIn_p/n -> buffer -> de-serialize -> channel de-skew -> decode -> pData
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use work.DVI_Constants.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity TMDS_Decoder is
Generic (
kCtlTknCount : natural := 128; --how many subsequent control tokens make a valid blank detection
kTimeoutMs : natural := 50; --what is the maximum time interval for a blank to be detected
kRefClkFrqMHz : natural := 200; --what is the RefClk frequency
kIDLY_TapValuePs : natural := 78; --delay in ps per tap
kIDLY_TapWidth : natural := 5); --number of bits for IDELAYE2 tap counter
Port (
PixelClk : in std_logic; --Recovered TMDS clock x1 (CLKDIV)
SerialClk : in std_logic; --Recovered TMDS clock x5 (CLK)
RefClk : std_logic; --200 MHz reference clock
aRst : in std_logic; --asynchronous reset; must be reset when PixelClk/SerialClk is not within spec
--Encoded serial data
sDataIn_p : in std_logic; --TMDS data channel positive
sDataIn_n : in std_logic; --TMDS data channel negative
--Decoded parallel data
pDataIn : out std_logic_vector(7 downto 0);
pC0 : out std_logic;
pC1 : out std_logic;
pVde : out std_logic;
-- Channel bonding (three data channels in total)
pOtherChVld : in std_logic_vector(1 downto 0);
pOtherChRdy : in std_logic_vector(1 downto 0);
pMeVld : out std_logic;
pMeRdy : out std_logic;
--Status and debug
pRst : in std_logic; -- Synchronous reset to restart lock procedure
pAlignErr : out std_logic;
pEyeSize : out STD_LOGIC_VECTOR(kIDLY_TapWidth-1 downto 0));
end TMDS_Decoder;
architecture Behavioral of TMDS_Decoder is
constant kBitslipDelay : natural := 3; --three-period delay after bitslip
signal pAlignRst, pLockLostRst_n : std_logic;
signal pBitslipCnt : natural range 0 to kBitslipDelay - 1 := kBitslipDelay - 1;
signal pDataIn8b : std_logic_vector(7 downto 0);
signal pDataInBnd : std_logic_vector(9 downto 0);
signal pDataInRaw : std_logic_vector(9 downto 0);
signal pMeRdy_int, pAligned, pAlignErr_int, pAlignErr_q, pBitslip : std_logic;
signal pIDLY_LD, pIDLY_CE, pIDLY_INC : std_logic;
signal pIDLY_CNT : std_logic_vector(kIDLY_TapWidth-1 downto 0);
-- Timeout Counter End
constant kTimeoutEnd : natural := kTimeoutMs * 1000 * kRefClkFrqMHz;
signal rTimeoutCnt : natural range 0 to kTimeoutEnd-1;
signal pTimeoutRst, pTimeoutOvf, rTimeoutRst, rTimeoutOvf : std_logic;
begin
-- Deserialization block
InputSERDES_X: entity work.InputSERDES
generic map (
kIDLY_TapWidth => kIDLY_TapWidth,
kParallelWidth => 10 -- TMDS uses 1:10 serialization
)
port map (
PixelClk => PixelClk,
SerialClk => SerialClk,
sDataIn_p => sDataIn_p,
sDataIn_n => sDataIn_n,
--Encoded parallel data (raw)
pDataIn => pDataInRaw,
--Control for phase alignment
pBitslip => pBitslip,
pIDLY_LD => pIDLY_LD,
pIDLY_CE => pIDLY_CE,
pIDLY_INC => pIDLY_INC,
pIDLY_CNT => pIDLY_CNT,
aRst => aRst
);
-- reset min two period (ISERDESE2 requirement)
-- de-assert synchronously with CLKDIV, min two period (ISERDESE2 requirement)
--The timeout counter runs on RefClk, because it's a fixed frequency we can measure timeout
--independently of the TMDS Clk
--The xTimeoutRst and xTimeoutOvf signals need to be synchronized back-and-forth
TimeoutCounter: process(RefClk)
begin
if Rising_Edge(RefClk) then
if (rTimeoutRst = '1') then
rTimeoutCnt <= 0;
elsif (rTimeoutOvf = '0') then
rTimeoutCnt <= rTimeoutCnt + 1;
end if;
end if;
end process TimeoutCounter;
rTimeoutOvf <= '0' when rTimeoutCnt /= kTimeoutEnd - 1 else
'1';
SyncBaseOvf: entity work.SyncBase
generic map (
kResetTo => '0',
kStages => 2) --use double FF synchronizer
port map (
aReset => aRst,
InClk => RefClk,
iIn => rTimeoutOvf,
OutClk => PixelClk,
oOut => pTimeoutOvf);
SyncBaseRst: entity work.SyncBase
generic map (
kResetTo => '1',
kStages => 2) --use double FF synchronizer
port map (
aReset => aRst,
InClk => PixelClk,
iIn => pTimeoutRst,
OutClk => RefClk,
oOut => rTimeoutRst);
-- Phase alignment controller to lock onto data stream
PhaseAlignX: entity work.PhaseAlign
generic map (
kUseFastAlgorithm => false,
kCtlTknCount => kCtlTknCount,
kIDLY_TapValuePs => kIDLY_TapValuePs,
kIDLY_TapWidth => kIDLY_TapWidth
)
port map (
pRst => pAlignRst,
PixelClk => PixelClk,
pTimeoutOvf => pTimeoutOvf,
pTimeoutRst => pTimeoutRst,
pData => pDataInRaw,
pIDLY_CE => pIDLY_CE,
pIDLY_INC => pIDLY_INC,
pIDLY_CNT => pIDLY_CNT,
pIDLY_LD => pIDLY_LD,
pAligned => pAligned,
pError => pAlignErr_int,
pEyeSize => pEyeSize);
pAlignErr <= pAlignErr_int;
pMeVld <= pAligned;
-- Bitslip when phase alignment exhausted the whole tap range and still no lock
Bitslip: process(PixelClk)
begin
if Rising_Edge(PixelClk) then
pAlignErr_q <= pAlignErr_int;
pBitslip <= not pAlignErr_q and pAlignErr_int; -- single pulse bitslip on failed alignment attempt
end if;
end process Bitslip;
ResetAlignment: process(PixelClk, aRst)
begin
if (aRst = '1') then
pAlignRst <= '1';
elsif Rising_Edge(PixelClk) then
if (pRst = '1' or pBitslip = '1') then
pAlignRst <= '1';
elsif (pBitslipCnt = 0) then
pAlignRst <= '0';
end if;
end if;
end process ResetAlignment;
-- Reset phase aligment module after bitslip + 3 CLKDIV cycles (ISERDESE2 requirement)
BitslipDelay: process(PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pBitslip = '1') then
pBitslipCnt <= kBitslipDelay - 1;
elsif (pBitslipCnt /= 0) then
pBitslipCnt <= pBitslipCnt - 1;
end if;
end if;
end process BitslipDelay;
-- Channel de-skew (bonding)
ChannelBondX: entity work.ChannelBond
port map (
PixelClk => PixelClk,
pDataInRaw => pDataInRaw,
pMeVld => pAligned,
pOtherChVld => pOtherChVld,
pOtherChRdy => pOtherChRdy,
pDataInBnd => pDataInBnd,
pMeRdy => pMeRdy_int);
pMeRdy <= pMeRdy_int;
-- Below performs the 10B-8B decoding function
-- DVI Specification: Section 3.3.3, Figure 3-6, page 31.
pDataIn8b <= pDataInBnd(7 downto 0) when pDataInBnd(9) = '0' else
not pDataInBnd(7 downto 0);
TMDS_Decode: process (PixelClk)
begin
if Rising_Edge(PixelClk) then
if (pMeRdy_int = '1' and pOtherChRdy = "11") then
pDataIn <= x"00"; --added for VGA-compatibility (blank pixel needed during blanking)
case (pDataInBnd) is
--Control tokens decode straight to C0, C1 values
when kCtlTkn0 =>
pC0 <= '0';
pC1 <= '0';
pVde <= '0';
when kCtlTkn1 =>
pC0 <= '1';
pC1 <= '0';
pVde <= '0';
when kCtlTkn2 =>
pC0 <= '0';
pC1 <= '1';
pVde <= '0';
when kCtlTkn3 =>
pC0 <= '1';
pC1 <= '1';
pVde <= '0';
--If not control token, it's encoded data
when others =>
pVde <= '1';
pDataIn(0) <= pDataIn8b(0);
for iBit in 1 to 7 loop
if (pDataInBnd(8) = '1') then
pDataIn(iBit) <= pDataIn8b(iBit) xor pDataIn8b(iBit-1);
else
pDataIn(iBit) <= pDataIn8b(iBit) xnor pDataIn8b(iBit-1);
end if;
end loop;
end case;
else --if we are not aligned on all channels, gate outputs
pC0 <= '0';
pC1 <= '0';
pVde <= '0';
pDataIn <= x"00";
end if;
end if;
end process;
end Behavioral; | bsd-3-clause |
natsutan/NPU | fpga_implement/npu8/npu8.srcs/sources_1/ip/mult16_16/hdl/xbip_bram18k_v3_0_vh_rfs.vhd | 12 | 103154 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
pM5kbFKfO3wtGOXulGoIYDHxT/fNVMImb6qtomjOjHJTBKmaspVOVrLRvtERhMiVBq054LqIbCxm
omKrNl5YFg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
U1nwoEVdavnV5XVUh1tYM89A8G7MJXy2rMRUKIK7FuJgaL4t5rCIdYkN1kpAUTpZsvG8VhYnoITf
gPx+ZnpNIDq89cJuuhnbNRexElQ35WHZNk3b6Ovt1Ac8FuCqk8LwCF1khosIaYp7BoOiCymgrmD+
jO8RHibk460SEDaEPgQ=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NeD7mL9dCdh6jQqPQe2Cm8mOVRJ7WUTNI60h+MOarPJlSGIW3YyeTFxAlCXG3Dbm5AZqyq/7D6Um
0dS3Sz44LEfv8kzilqYBNJj687fhrDd6nKWTLbhkImuyn5pqhBbzJ385okbxTHcuGgO/U2MuNghF
/4hyyRmJT69e8g8FPic=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
q5RFAyJ3EnWo/Sxj9AJNS/u/nJ1a+topTW1E806I1FKJ5rh0sAqwjYvhfUB9DJ+MlgWSdovhM98k
M+7/SZuvkwd1k36lpXZY6i/uA1H8sfli1FI/2GH+4p4nE0BCNR4yF5NyLA4ni3SAAWDe+9UndYtb
WQ8eYNjF760IHQOpzF/U1O4FBcQr858C+AHKRTQB5MrLFEiZh0igcP08K0fyLcO6ksLh1OG/Tg5A
5LkjvMAndMWosRl1q7kbUtFhn9VB+3uHP/LaHYhxdIuz9zhl4DQszY2TxfAbm62SNrnuhtIHavzl
BZa0VmJLpxgeeo8oVCm7UnkA5ETNgVvzyvFgIA==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
CwwODyIxPJaOqhXk3C0LWzZuCnht+KQbdmaYIssVWSWWhiBF07SeDxq6+8BOr7/WUBLpmVlX3NtH
C00zRUWg3fv9+q551nW3Njbt7SBWFLR7OyHIoKABDgjBeBCamlQ9LGEF7UoB7iOBYKuSk8CJ5Udm
OlrI8xSrsS0TcuIGuxA48YK0xDfcZwjmgil2FD6Fy6tQ7WVikG8CrdbvV0lyfGl8jL2kEh7RtrHe
yqNYxXs2/yw4uWcKr/Sc2VNpCsKbLpxTGFpGsQo6x3y+Wjl/pOM5+YQ6Y7r73Tg8z1AUMiPNHjbu
BN9r3R4QnDeKCwqPV6cAMGb1yVk1rwQ2l9RTRQ==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bF3xMUaKQqhrxLNzJRa5Xkh+JjnAh7OKs1IKf7OJVEuWA+FAG7Pd9bdwjXk9SCFBt9wbhJwHypex
SmUI0F+QkOhBdUtcKiYRnLyHBON/t5sUzO4LgYA5oJSsAdgYJgwV52GzeJEq7PWjnv6o/r0kB3sZ
MFITpDKyLwateefaL3T4xlnTv+EJjBSdMAZDiSsaUwdBOF6F+v1TwQ/iFvvJtE727/b2gHvPCMWJ
SIvS/OTpuvIqDl5nL1w3hIWnI8CAMt+BNU6/+GH9mSyKZqAjRamfpR7JHsMaT/vDxA6WTG9+HvCO
klJ9KJ0Wm8Cjlce/XTiDMdmEqMYjADeB3kxoYA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 74224)
`protect data_block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`protect end_protected
| bsd-3-clause |
natsutan/NPU | fpga_implement/npu8/npu8.srcs/sources_1/ip/mul8_16/hdl/xbip_bram18k_v3_0_vh_rfs.vhd | 12 | 103154 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
pM5kbFKfO3wtGOXulGoIYDHxT/fNVMImb6qtomjOjHJTBKmaspVOVrLRvtERhMiVBq054LqIbCxm
omKrNl5YFg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
U1nwoEVdavnV5XVUh1tYM89A8G7MJXy2rMRUKIK7FuJgaL4t5rCIdYkN1kpAUTpZsvG8VhYnoITf
gPx+ZnpNIDq89cJuuhnbNRexElQ35WHZNk3b6Ovt1Ac8FuCqk8LwCF1khosIaYp7BoOiCymgrmD+
jO8RHibk460SEDaEPgQ=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NeD7mL9dCdh6jQqPQe2Cm8mOVRJ7WUTNI60h+MOarPJlSGIW3YyeTFxAlCXG3Dbm5AZqyq/7D6Um
0dS3Sz44LEfv8kzilqYBNJj687fhrDd6nKWTLbhkImuyn5pqhBbzJ385okbxTHcuGgO/U2MuNghF
/4hyyRmJT69e8g8FPic=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
q5RFAyJ3EnWo/Sxj9AJNS/u/nJ1a+topTW1E806I1FKJ5rh0sAqwjYvhfUB9DJ+MlgWSdovhM98k
M+7/SZuvkwd1k36lpXZY6i/uA1H8sfli1FI/2GH+4p4nE0BCNR4yF5NyLA4ni3SAAWDe+9UndYtb
WQ8eYNjF760IHQOpzF/U1O4FBcQr858C+AHKRTQB5MrLFEiZh0igcP08K0fyLcO6ksLh1OG/Tg5A
5LkjvMAndMWosRl1q7kbUtFhn9VB+3uHP/LaHYhxdIuz9zhl4DQszY2TxfAbm62SNrnuhtIHavzl
BZa0VmJLpxgeeo8oVCm7UnkA5ETNgVvzyvFgIA==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
CwwODyIxPJaOqhXk3C0LWzZuCnht+KQbdmaYIssVWSWWhiBF07SeDxq6+8BOr7/WUBLpmVlX3NtH
C00zRUWg3fv9+q551nW3Njbt7SBWFLR7OyHIoKABDgjBeBCamlQ9LGEF7UoB7iOBYKuSk8CJ5Udm
OlrI8xSrsS0TcuIGuxA48YK0xDfcZwjmgil2FD6Fy6tQ7WVikG8CrdbvV0lyfGl8jL2kEh7RtrHe
yqNYxXs2/yw4uWcKr/Sc2VNpCsKbLpxTGFpGsQo6x3y+Wjl/pOM5+YQ6Y7r73Tg8z1AUMiPNHjbu
BN9r3R4QnDeKCwqPV6cAMGb1yVk1rwQ2l9RTRQ==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bF3xMUaKQqhrxLNzJRa5Xkh+JjnAh7OKs1IKf7OJVEuWA+FAG7Pd9bdwjXk9SCFBt9wbhJwHypex
SmUI0F+QkOhBdUtcKiYRnLyHBON/t5sUzO4LgYA5oJSsAdgYJgwV52GzeJEq7PWjnv6o/r0kB3sZ
MFITpDKyLwateefaL3T4xlnTv+EJjBSdMAZDiSsaUwdBOF6F+v1TwQ/iFvvJtE727/b2gHvPCMWJ
SIvS/OTpuvIqDl5nL1w3hIWnI8CAMt+BNU6/+GH9mSyKZqAjRamfpR7JHsMaT/vDxA6WTG9+HvCO
klJ9KJ0Wm8Cjlce/XTiDMdmEqMYjADeB3kxoYA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 74224)
`protect data_block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`protect end_protected
| bsd-3-clause |
natsutan/NPU | fpga_implement/npu8/npu8.srcs/sources_1/ip/mul16_16/hdl/xbip_bram18k_v3_0_vh_rfs.vhd | 12 | 103154 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
pM5kbFKfO3wtGOXulGoIYDHxT/fNVMImb6qtomjOjHJTBKmaspVOVrLRvtERhMiVBq054LqIbCxm
omKrNl5YFg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
U1nwoEVdavnV5XVUh1tYM89A8G7MJXy2rMRUKIK7FuJgaL4t5rCIdYkN1kpAUTpZsvG8VhYnoITf
gPx+ZnpNIDq89cJuuhnbNRexElQ35WHZNk3b6Ovt1Ac8FuCqk8LwCF1khosIaYp7BoOiCymgrmD+
jO8RHibk460SEDaEPgQ=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NeD7mL9dCdh6jQqPQe2Cm8mOVRJ7WUTNI60h+MOarPJlSGIW3YyeTFxAlCXG3Dbm5AZqyq/7D6Um
0dS3Sz44LEfv8kzilqYBNJj687fhrDd6nKWTLbhkImuyn5pqhBbzJ385okbxTHcuGgO/U2MuNghF
/4hyyRmJT69e8g8FPic=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
q5RFAyJ3EnWo/Sxj9AJNS/u/nJ1a+topTW1E806I1FKJ5rh0sAqwjYvhfUB9DJ+MlgWSdovhM98k
M+7/SZuvkwd1k36lpXZY6i/uA1H8sfli1FI/2GH+4p4nE0BCNR4yF5NyLA4ni3SAAWDe+9UndYtb
WQ8eYNjF760IHQOpzF/U1O4FBcQr858C+AHKRTQB5MrLFEiZh0igcP08K0fyLcO6ksLh1OG/Tg5A
5LkjvMAndMWosRl1q7kbUtFhn9VB+3uHP/LaHYhxdIuz9zhl4DQszY2TxfAbm62SNrnuhtIHavzl
BZa0VmJLpxgeeo8oVCm7UnkA5ETNgVvzyvFgIA==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
CwwODyIxPJaOqhXk3C0LWzZuCnht+KQbdmaYIssVWSWWhiBF07SeDxq6+8BOr7/WUBLpmVlX3NtH
C00zRUWg3fv9+q551nW3Njbt7SBWFLR7OyHIoKABDgjBeBCamlQ9LGEF7UoB7iOBYKuSk8CJ5Udm
OlrI8xSrsS0TcuIGuxA48YK0xDfcZwjmgil2FD6Fy6tQ7WVikG8CrdbvV0lyfGl8jL2kEh7RtrHe
yqNYxXs2/yw4uWcKr/Sc2VNpCsKbLpxTGFpGsQo6x3y+Wjl/pOM5+YQ6Y7r73Tg8z1AUMiPNHjbu
BN9r3R4QnDeKCwqPV6cAMGb1yVk1rwQ2l9RTRQ==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bF3xMUaKQqhrxLNzJRa5Xkh+JjnAh7OKs1IKf7OJVEuWA+FAG7Pd9bdwjXk9SCFBt9wbhJwHypex
SmUI0F+QkOhBdUtcKiYRnLyHBON/t5sUzO4LgYA5oJSsAdgYJgwV52GzeJEq7PWjnv6o/r0kB3sZ
MFITpDKyLwateefaL3T4xlnTv+EJjBSdMAZDiSsaUwdBOF6F+v1TwQ/iFvvJtE727/b2gHvPCMWJ
SIvS/OTpuvIqDl5nL1w3hIWnI8CAMt+BNU6/+GH9mSyKZqAjRamfpR7JHsMaT/vDxA6WTG9+HvCO
klJ9KJ0Wm8Cjlce/XTiDMdmEqMYjADeB3kxoYA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 74224)
`protect data_block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`protect end_protected
| bsd-3-clause |
natsutan/NPU | fpga_implement/npu8/npu8.cache/ip/492adc01b6634d6a/mul8_8_sim_netlist.vhdl | 1 | 179563 | -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (lin64) Build 1733598 Wed Dec 14 22:35:42 MST 2016
-- Date : Sat Jan 21 17:57:15 2017
-- Host : natu-OMEN-by-HP-Laptop running 64-bit Ubuntu 16.04.1 LTS
-- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ mul8_8_sim_netlist.vhdl
-- Design : mul8_8
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xcku035-fbva676-3-e
-- --------------------------------------------------------------------------------
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner="Cadence Design Systems.", key_keyname="cds_rsa_key", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=64)
`protect key_block
fPF16TcpNgM9dNC6nyb4WjUK+7bY8P+I62AEEiiM/KOMhIKuPOHBoWeWL2UjxSNO68WLeYIZp8lA
I7rHN/CieA==
`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VERIF-SIM-RSA-1", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=128)
`protect key_block
E6OKJxjnDRUVVFwAhrQMAtoyRVVpuMKsXlca4m9CcIt6QI8vnYN0tf7gH3uVuxZ90322B7kUeFw5
Pu0UeqAoBaSyysHuDqXazxHy7oyk4BIWChvcrp7LULlVLcL76obtSwsXi1ORVmpdTi5b+AcD+WUo
OP1PSFj5jpodG+LwXm4=
`protect key_keyowner="Synopsys", key_keyname="SNPS-VCS-RSA-1", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=128)
`protect key_block
x+agogSsgbiI6PGyBpMY8RQCDzLctIr3EaG23mH5kJHlNmNKNolnP54yJ8Y7nIFi6yl6tlyOLMoF
/kxU0pyFmIj8QM0/MArMxPTiemXbDLS2VKtonyK9dDH7VbjFnRWwzK0Ngkas0+nbW3TqGPAY98x3
251QPjQoZCw3A7W9PDc=
`protect key_keyowner="Aldec", key_keyname="ALDEC15_001", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
KNs7hA49BKKrboRSEkqIGldOa3ndCnhjRkSn8lL1xFfKUn+p+Wbc09ogKV6YYnPU/RaF1LbzyoE4
udPSNea4bST+08IjO5GAxXqUugcig44J+hzpGKmh7oO0TuyNbYq1CnYcsZXaD9vsmNYz8fBDoW2S
VK/mYa21mBKTOuTdQ1yp3wi73aJ1G9N6Ngt7ovDUrjyd5oNxxNlvWU8JkJDinbEnci0qjZ3Wu9Wg
y44pHUXf6xqwFYJpZ1ZcGRKl83P8p74+pLzt19lw9TPlTfKI++IowVjb6wo36ztNDJS0QjQE5Riv
hwbPU/Bt3S82MVCY5NAA6bKC/8NnoWMbmX8Wiw==
`protect key_keyowner="ATRENTA", key_keyname="ATR-SG-2015-RSA-3", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
QaRubtGbYrmCghuFdQuTgTEtoVYYLcPnD5z0C7mo18fwCG17qy0y8mj8xWiwE6bo49IP1/JXSIw7
rTBwHFOVrmbm926sWNrF1r3IHB83C5cstprQ1om7vnkw9XX87SjkscphhkrHmi08jjzW4qX96m61
/ymclz5TlAocMQJGz/jwscvIMOrrbuH4SkWQOLQnRfx9GIOv5Y7PM+w/wuDSeFXsAXz7Ahq3/qmU
cylNfSufW7/zfN4RZB4u+d28AXsuFe03aSF1dpW+uBK1xtNZccvj9h9NMN0cuwxt8ZUlLJw8l6e2
hqRfTTZl1F4qnnrJu6w8h8uEGrmgnQG1AW0epg==
`protect key_keyowner="Xilinx", key_keyname="xilinx_2016_05", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
XXj6Nc59BeA5Kznlx14IKravf7ohERw7h0fbO7pT7/HsiPDCWh2DlTGpFUcnbNZslPN2RfE0nJNX
WMzLQtaHK4Bm6kxY71OsXEKm7MAIjEdLwOMtJTtlZrbm7chBbSxcW6sjWvI36jk5De3Yct9Ao1py
DpQ9NICUtRTwGG8SAiRkAXRh2Jv3rKvnookQrlVxIkNRSBMSgbwuTbq1ze/KMUZebBWwJNUVIC9r
RV/i9wjYXBOeCCUk+cGDC5uSpwdLXYV9ZxhQUU6C1ufAaK2m4OIUeBqPc2ski2O0qQYQ67c35k50
ynO8H9PTEROPEOn5c37S7feU+36OcOOAsVBTBA==
`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-PREC-RSA", key_method="rsa"
`protect encoding = (enctype="base64", line_length=76, bytes=256)
`protect key_block
IlkcB2vj+S59NGmvAhLuhfhOpb0w7qsABrGeBgEJWBzJuSHxqh8uFhxsIq8d4PUKJf/xeJ6AD2F6
OmX8D49bWdBC16iosHBzHcOqEOB+hroKr3c6L3dxnQ++dxRn752GPcuD5djPfSQEmprWHIr48lAH
9c6Sy6VCQwcFwGOcyUf3/cc2Z1UWsE2xr2x+KMDRlXRPnP+PSIyhLlbGjf3jEgVfYHiqdxVef1n2
pH15tXCWtf0PCRd0kKKzUnu8LvEYgFodl4kqXn7AHoSiU64exSn+k4fGo5Uutiutibr3VtU/x5Nn
khQsZA743xbBtpXeaJ3XPhb+16XoxLpIAUKaXA==
`protect key_keyowner="Synplicity", key_keyname="SYNP05_001", key_method="rsa"
`protect encoding = (enctype="base64", line_length=76, bytes=256)
`protect key_block
s66zKv0cTtr8J8zuaIRK0uyLu6EWxGbkEIlj2GfS/DIrKdc5OTdtaPxIt6GjMz0hJ3EPzfua7JRH
ex+r4C2frce0fgoGDkc9yJ91w5zEoJboDMNA4DydgDJ58zwcczV5mslurd8frRJMtCYyMDg7Gkp4
HqtCUZoQCzwUx2fHN8AZNNVuD0eDuFr4YWsW3/bzXh5ofEXqh44nP5mlP8PmGbYnX8X9pHtpiUIB
tg0YmKFe5QH8qkuX+Lw1jSDppr5gdnntTV50MsIWuPrXWXkYhteDkFpyHt3qrDdsy03u2aIxHsnr
lsz1A2+82zSusWQF5yPS46sW/1aiasPJmgB1Mw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 122032)
`protect data_block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==
`protect end_protected
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 is
port (
CLK : in STD_LOGIC;
A : in STD_LOGIC_VECTOR ( 7 downto 0 );
B : in STD_LOGIC_VECTOR ( 7 downto 0 );
CE : in STD_LOGIC;
SCLR : in STD_LOGIC;
ZERO_DETECT : out STD_LOGIC_VECTOR ( 1 downto 0 );
P : out STD_LOGIC_VECTOR ( 15 downto 0 );
PCASC : out STD_LOGIC_VECTOR ( 47 downto 0 )
);
attribute C_A_TYPE : integer;
attribute C_A_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 1;
attribute C_A_WIDTH : integer;
attribute C_A_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 8;
attribute C_B_TYPE : integer;
attribute C_B_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 1;
attribute C_B_VALUE : string;
attribute C_B_VALUE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is "10000001";
attribute C_B_WIDTH : integer;
attribute C_B_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 8;
attribute C_CCM_IMP : integer;
attribute C_CCM_IMP of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_CE_OVERRIDES_SCLR : integer;
attribute C_CE_OVERRIDES_SCLR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_HAS_CE : integer;
attribute C_HAS_CE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_HAS_SCLR : integer;
attribute C_HAS_SCLR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_HAS_ZERO_DETECT : integer;
attribute C_HAS_ZERO_DETECT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_LATENCY : integer;
attribute C_LATENCY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 3;
attribute C_MODEL_TYPE : integer;
attribute C_MODEL_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_MULT_TYPE : integer;
attribute C_MULT_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_OPTIMIZE_GOAL : integer;
attribute C_OPTIMIZE_GOAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 1;
attribute C_OUT_HIGH : integer;
attribute C_OUT_HIGH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 15;
attribute C_OUT_LOW : integer;
attribute C_OUT_LOW of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_ROUND_OUTPUT : integer;
attribute C_ROUND_OUTPUT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_ROUND_PT : integer;
attribute C_ROUND_PT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_VERBOSITY : integer;
attribute C_VERBOSITY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is 0;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is "kintexu";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 : entity is "yes";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 is
signal \<const0>\ : STD_LOGIC;
signal NLW_i_mult_PCASC_UNCONNECTED : STD_LOGIC_VECTOR ( 47 downto 0 );
signal NLW_i_mult_ZERO_DETECT_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute C_A_TYPE of i_mult : label is 1;
attribute C_A_WIDTH of i_mult : label is 8;
attribute C_B_TYPE of i_mult : label is 1;
attribute C_B_VALUE of i_mult : label is "10000001";
attribute C_B_WIDTH of i_mult : label is 8;
attribute C_CCM_IMP of i_mult : label is 0;
attribute C_CE_OVERRIDES_SCLR of i_mult : label is 0;
attribute C_HAS_CE of i_mult : label is 0;
attribute C_HAS_SCLR of i_mult : label is 0;
attribute C_HAS_ZERO_DETECT of i_mult : label is 0;
attribute C_LATENCY of i_mult : label is 3;
attribute C_MODEL_TYPE of i_mult : label is 0;
attribute C_MULT_TYPE of i_mult : label is 0;
attribute C_OUT_HIGH of i_mult : label is 15;
attribute C_OUT_LOW of i_mult : label is 0;
attribute C_ROUND_OUTPUT of i_mult : label is 0;
attribute C_ROUND_PT of i_mult : label is 0;
attribute C_VERBOSITY of i_mult : label is 0;
attribute C_XDEVICEFAMILY of i_mult : label is "kintexu";
attribute c_optimize_goal of i_mult : label is 1;
attribute downgradeipidentifiedwarnings of i_mult : label is "yes";
begin
PCASC(47) <= \<const0>\;
PCASC(46) <= \<const0>\;
PCASC(45) <= \<const0>\;
PCASC(44) <= \<const0>\;
PCASC(43) <= \<const0>\;
PCASC(42) <= \<const0>\;
PCASC(41) <= \<const0>\;
PCASC(40) <= \<const0>\;
PCASC(39) <= \<const0>\;
PCASC(38) <= \<const0>\;
PCASC(37) <= \<const0>\;
PCASC(36) <= \<const0>\;
PCASC(35) <= \<const0>\;
PCASC(34) <= \<const0>\;
PCASC(33) <= \<const0>\;
PCASC(32) <= \<const0>\;
PCASC(31) <= \<const0>\;
PCASC(30) <= \<const0>\;
PCASC(29) <= \<const0>\;
PCASC(28) <= \<const0>\;
PCASC(27) <= \<const0>\;
PCASC(26) <= \<const0>\;
PCASC(25) <= \<const0>\;
PCASC(24) <= \<const0>\;
PCASC(23) <= \<const0>\;
PCASC(22) <= \<const0>\;
PCASC(21) <= \<const0>\;
PCASC(20) <= \<const0>\;
PCASC(19) <= \<const0>\;
PCASC(18) <= \<const0>\;
PCASC(17) <= \<const0>\;
PCASC(16) <= \<const0>\;
PCASC(15) <= \<const0>\;
PCASC(14) <= \<const0>\;
PCASC(13) <= \<const0>\;
PCASC(12) <= \<const0>\;
PCASC(11) <= \<const0>\;
PCASC(10) <= \<const0>\;
PCASC(9) <= \<const0>\;
PCASC(8) <= \<const0>\;
PCASC(7) <= \<const0>\;
PCASC(6) <= \<const0>\;
PCASC(5) <= \<const0>\;
PCASC(4) <= \<const0>\;
PCASC(3) <= \<const0>\;
PCASC(2) <= \<const0>\;
PCASC(1) <= \<const0>\;
PCASC(0) <= \<const0>\;
ZERO_DETECT(1) <= \<const0>\;
ZERO_DETECT(0) <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
i_mult: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12_viv
port map (
A(7 downto 0) => A(7 downto 0),
B(7 downto 0) => B(7 downto 0),
CE => '0',
CLK => CLK,
P(15 downto 0) => P(15 downto 0),
PCASC(47 downto 0) => NLW_i_mult_PCASC_UNCONNECTED(47 downto 0),
SCLR => '0',
ZERO_DETECT(1 downto 0) => NLW_i_mult_ZERO_DETECT_UNCONNECTED(1 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
port (
CLK : in STD_LOGIC;
A : in STD_LOGIC_VECTOR ( 7 downto 0 );
B : in STD_LOGIC_VECTOR ( 7 downto 0 );
P : out STD_LOGIC_VECTOR ( 15 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "mul8_8,mult_gen_v12_0_12,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "mult_gen_v12_0_12,Vivado 2016.4";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
signal NLW_U0_PCASC_UNCONNECTED : STD_LOGIC_VECTOR ( 47 downto 0 );
signal NLW_U0_ZERO_DETECT_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute C_A_TYPE : integer;
attribute C_A_TYPE of U0 : label is 1;
attribute C_A_WIDTH : integer;
attribute C_A_WIDTH of U0 : label is 8;
attribute C_B_TYPE : integer;
attribute C_B_TYPE of U0 : label is 1;
attribute C_B_VALUE : string;
attribute C_B_VALUE of U0 : label is "10000001";
attribute C_B_WIDTH : integer;
attribute C_B_WIDTH of U0 : label is 8;
attribute C_CCM_IMP : integer;
attribute C_CCM_IMP of U0 : label is 0;
attribute C_CE_OVERRIDES_SCLR : integer;
attribute C_CE_OVERRIDES_SCLR of U0 : label is 0;
attribute C_HAS_CE : integer;
attribute C_HAS_CE of U0 : label is 0;
attribute C_HAS_SCLR : integer;
attribute C_HAS_SCLR of U0 : label is 0;
attribute C_HAS_ZERO_DETECT : integer;
attribute C_HAS_ZERO_DETECT of U0 : label is 0;
attribute C_LATENCY : integer;
attribute C_LATENCY of U0 : label is 3;
attribute C_MODEL_TYPE : integer;
attribute C_MODEL_TYPE of U0 : label is 0;
attribute C_MULT_TYPE : integer;
attribute C_MULT_TYPE of U0 : label is 0;
attribute C_OUT_HIGH : integer;
attribute C_OUT_HIGH of U0 : label is 15;
attribute C_OUT_LOW : integer;
attribute C_OUT_LOW of U0 : label is 0;
attribute C_ROUND_OUTPUT : integer;
attribute C_ROUND_OUTPUT of U0 : label is 0;
attribute C_ROUND_PT : integer;
attribute C_ROUND_PT of U0 : label is 0;
attribute C_VERBOSITY : integer;
attribute C_VERBOSITY of U0 : label is 0;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of U0 : label is "kintexu";
attribute c_optimize_goal : integer;
attribute c_optimize_goal of U0 : label is 1;
attribute downgradeipidentifiedwarnings of U0 : label is "yes";
begin
U0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12
port map (
A(7 downto 0) => A(7 downto 0),
B(7 downto 0) => B(7 downto 0),
CE => '1',
CLK => CLK,
P(15 downto 0) => P(15 downto 0),
PCASC(47 downto 0) => NLW_U0_PCASC_UNCONNECTED(47 downto 0),
SCLR => '0',
ZERO_DETECT(1 downto 0) => NLW_U0_ZERO_DETECT_UNCONNECTED(1 downto 0)
);
end STRUCTURE;
| bsd-3-clause |
natsutan/NPU | fpga_implement/npu8/npu8.srcs/sources_1/ip/mul8_8/mul8_8_sim_netlist.vhdl | 1 | 177383 | -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (lin64) Build 1733598 Wed Dec 14 22:35:42 MST 2016
-- Date : Sat Jan 21 17:57:16 2017
-- Host : natu-OMEN-by-HP-Laptop running 64-bit Ubuntu 16.04.1 LTS
-- Command : write_vhdl -force -mode funcsim
-- /media/natu/data/proj/myproj/NPU/fpga_implement/npu8/npu8.srcs/sources_1/ip/mul8_8/mul8_8_sim_netlist.vhdl
-- Design : mul8_8
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xcku035-fbva676-3-e
-- --------------------------------------------------------------------------------
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner="Cadence Design Systems.", key_keyname="cds_rsa_key", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=64)
`protect key_block
fPF16TcpNgM9dNC6nyb4WjUK+7bY8P+I62AEEiiM/KOMhIKuPOHBoWeWL2UjxSNO68WLeYIZp8lA
I7rHN/CieA==
`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VERIF-SIM-RSA-1", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=128)
`protect key_block
E6OKJxjnDRUVVFwAhrQMAtoyRVVpuMKsXlca4m9CcIt6QI8vnYN0tf7gH3uVuxZ90322B7kUeFw5
Pu0UeqAoBaSyysHuDqXazxHy7oyk4BIWChvcrp7LULlVLcL76obtSwsXi1ORVmpdTi5b+AcD+WUo
OP1PSFj5jpodG+LwXm4=
`protect key_keyowner="Synopsys", key_keyname="SNPS-VCS-RSA-1", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=128)
`protect key_block
x+agogSsgbiI6PGyBpMY8RQCDzLctIr3EaG23mH5kJHlNmNKNolnP54yJ8Y7nIFi6yl6tlyOLMoF
/kxU0pyFmIj8QM0/MArMxPTiemXbDLS2VKtonyK9dDH7VbjFnRWwzK0Ngkas0+nbW3TqGPAY98x3
251QPjQoZCw3A7W9PDc=
`protect key_keyowner="Aldec", key_keyname="ALDEC15_001", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
KNs7hA49BKKrboRSEkqIGldOa3ndCnhjRkSn8lL1xFfKUn+p+Wbc09ogKV6YYnPU/RaF1LbzyoE4
udPSNea4bST+08IjO5GAxXqUugcig44J+hzpGKmh7oO0TuyNbYq1CnYcsZXaD9vsmNYz8fBDoW2S
VK/mYa21mBKTOuTdQ1yp3wi73aJ1G9N6Ngt7ovDUrjyd5oNxxNlvWU8JkJDinbEnci0qjZ3Wu9Wg
y44pHUXf6xqwFYJpZ1ZcGRKl83P8p74+pLzt19lw9TPlTfKI++IowVjb6wo36ztNDJS0QjQE5Riv
hwbPU/Bt3S82MVCY5NAA6bKC/8NnoWMbmX8Wiw==
`protect key_keyowner="ATRENTA", key_keyname="ATR-SG-2015-RSA-3", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
QaRubtGbYrmCghuFdQuTgTEtoVYYLcPnD5z0C7mo18fwCG17qy0y8mj8xWiwE6bo49IP1/JXSIw7
rTBwHFOVrmbm926sWNrF1r3IHB83C5cstprQ1om7vnkw9XX87SjkscphhkrHmi08jjzW4qX96m61
/ymclz5TlAocMQJGz/jwscvIMOrrbuH4SkWQOLQnRfx9GIOv5Y7PM+w/wuDSeFXsAXz7Ahq3/qmU
cylNfSufW7/zfN4RZB4u+d28AXsuFe03aSF1dpW+uBK1xtNZccvj9h9NMN0cuwxt8ZUlLJw8l6e2
hqRfTTZl1F4qnnrJu6w8h8uEGrmgnQG1AW0epg==
`protect key_keyowner="Xilinx", key_keyname="xilinx_2016_05", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
XXj6Nc59BeA5Kznlx14IKravf7ohERw7h0fbO7pT7/HsiPDCWh2DlTGpFUcnbNZslPN2RfE0nJNX
WMzLQtaHK4Bm6kxY71OsXEKm7MAIjEdLwOMtJTtlZrbm7chBbSxcW6sjWvI36jk5De3Yct9Ao1py
DpQ9NICUtRTwGG8SAiRkAXRh2Jv3rKvnookQrlVxIkNRSBMSgbwuTbq1ze/KMUZebBWwJNUVIC9r
RV/i9wjYXBOeCCUk+cGDC5uSpwdLXYV9ZxhQUU6C1ufAaK2m4OIUeBqPc2ski2O0qQYQ67c35k50
ynO8H9PTEROPEOn5c37S7feU+36OcOOAsVBTBA==
`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-PREC-RSA", key_method="rsa"
`protect encoding = (enctype="base64", line_length=76, bytes=256)
`protect key_block
U2POHk+ngdhugqe/Z/ztb/K4jB/GkfeMnOo4Xl+3AEyXD4SOYW/Llqadu8crceAQ+2yt90K5ZyTK
oqNuRWvd1lxEm/Svvo6jdyn7GY7o3khPyAyAebwJbDumQy5ouBpSgSpDHs8s1HY5EqBB2JpW+Yns
O8/PUVZhtQ0PGwEre15/NdgNlZtf/dS7Sxld/ILl7ofjjJjCB7BtF+nkkiFMAn5YQj2nTLyW2O4S
psMFkt2cm0gt6GWIGCuQdRhkeKWYD+JN6bvbh8r/eNxC2MoTpGn7Y8wOFYFkA2LG9rq0rqrcODrZ
QprvUCsuGbKQu8DPVdTmy/K1OXdkot0Tg4YZlw==
`protect key_keyowner="Synplicity", key_keyname="SYNP05_001", key_method="rsa"
`protect encoding = (enctype="base64", line_length=76, bytes=256)
`protect key_block
JkOuIqhziTpEtVvQLqSXul/sSfuXS9tOm30R4PplLTRFoUa2F6BfHkTyjDsN9PNMCJ5aMEGSkT3m
lbYh682DCSygdwZdL29M/sxFXS4otNOqrEs+vj8HxptydecgHES86KY1MJhI3bGxEZpne1wjCT16
PEgXzkwaK+9CKfDGhxYaeqfUOG4ShtW+Ww3J7LTafhbyNSZKadcGuxColtmAY0B4MxWeuxj4bYPU
qWus2ki9ES3IY/5HNxTRuRr3LHK9z3Ueh4zb3JOvGHtxLkv3VNO5u2VflOFUa2CQ6z+CLQODIpN/
tcbRsXtuOtcFto27f6vQDIsuj6EEz8BnWmTLIA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 121200)
`protect data_block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`protect end_protected
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity mul8_8_mult_gen_v12_0_12 is
port (
CLK : in STD_LOGIC;
A : in STD_LOGIC_VECTOR ( 7 downto 0 );
B : in STD_LOGIC_VECTOR ( 7 downto 0 );
CE : in STD_LOGIC;
SCLR : in STD_LOGIC;
ZERO_DETECT : out STD_LOGIC_VECTOR ( 1 downto 0 );
P : out STD_LOGIC_VECTOR ( 15 downto 0 );
PCASC : out STD_LOGIC_VECTOR ( 47 downto 0 )
);
attribute C_A_TYPE : integer;
attribute C_A_TYPE of mul8_8_mult_gen_v12_0_12 : entity is 1;
attribute C_A_WIDTH : integer;
attribute C_A_WIDTH of mul8_8_mult_gen_v12_0_12 : entity is 8;
attribute C_B_TYPE : integer;
attribute C_B_TYPE of mul8_8_mult_gen_v12_0_12 : entity is 1;
attribute C_B_VALUE : string;
attribute C_B_VALUE of mul8_8_mult_gen_v12_0_12 : entity is "10000001";
attribute C_B_WIDTH : integer;
attribute C_B_WIDTH of mul8_8_mult_gen_v12_0_12 : entity is 8;
attribute C_CCM_IMP : integer;
attribute C_CCM_IMP of mul8_8_mult_gen_v12_0_12 : entity is 0;
attribute C_CE_OVERRIDES_SCLR : integer;
attribute C_CE_OVERRIDES_SCLR of mul8_8_mult_gen_v12_0_12 : entity is 0;
attribute C_HAS_CE : integer;
attribute C_HAS_CE of mul8_8_mult_gen_v12_0_12 : entity is 0;
attribute C_HAS_SCLR : integer;
attribute C_HAS_SCLR of mul8_8_mult_gen_v12_0_12 : entity is 0;
attribute C_HAS_ZERO_DETECT : integer;
attribute C_HAS_ZERO_DETECT of mul8_8_mult_gen_v12_0_12 : entity is 0;
attribute C_LATENCY : integer;
attribute C_LATENCY of mul8_8_mult_gen_v12_0_12 : entity is 3;
attribute C_MODEL_TYPE : integer;
attribute C_MODEL_TYPE of mul8_8_mult_gen_v12_0_12 : entity is 0;
attribute C_MULT_TYPE : integer;
attribute C_MULT_TYPE of mul8_8_mult_gen_v12_0_12 : entity is 0;
attribute C_OPTIMIZE_GOAL : integer;
attribute C_OPTIMIZE_GOAL of mul8_8_mult_gen_v12_0_12 : entity is 1;
attribute C_OUT_HIGH : integer;
attribute C_OUT_HIGH of mul8_8_mult_gen_v12_0_12 : entity is 15;
attribute C_OUT_LOW : integer;
attribute C_OUT_LOW of mul8_8_mult_gen_v12_0_12 : entity is 0;
attribute C_ROUND_OUTPUT : integer;
attribute C_ROUND_OUTPUT of mul8_8_mult_gen_v12_0_12 : entity is 0;
attribute C_ROUND_PT : integer;
attribute C_ROUND_PT of mul8_8_mult_gen_v12_0_12 : entity is 0;
attribute C_VERBOSITY : integer;
attribute C_VERBOSITY of mul8_8_mult_gen_v12_0_12 : entity is 0;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of mul8_8_mult_gen_v12_0_12 : entity is "kintexu";
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of mul8_8_mult_gen_v12_0_12 : entity is "mult_gen_v12_0_12";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of mul8_8_mult_gen_v12_0_12 : entity is "yes";
end mul8_8_mult_gen_v12_0_12;
architecture STRUCTURE of mul8_8_mult_gen_v12_0_12 is
signal \<const0>\ : STD_LOGIC;
signal NLW_i_mult_PCASC_UNCONNECTED : STD_LOGIC_VECTOR ( 47 downto 0 );
signal NLW_i_mult_ZERO_DETECT_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute C_A_TYPE of i_mult : label is 1;
attribute C_A_WIDTH of i_mult : label is 8;
attribute C_B_TYPE of i_mult : label is 1;
attribute C_B_VALUE of i_mult : label is "10000001";
attribute C_B_WIDTH of i_mult : label is 8;
attribute C_CCM_IMP of i_mult : label is 0;
attribute C_CE_OVERRIDES_SCLR of i_mult : label is 0;
attribute C_HAS_CE of i_mult : label is 0;
attribute C_HAS_SCLR of i_mult : label is 0;
attribute C_HAS_ZERO_DETECT of i_mult : label is 0;
attribute C_LATENCY of i_mult : label is 3;
attribute C_MODEL_TYPE of i_mult : label is 0;
attribute C_MULT_TYPE of i_mult : label is 0;
attribute C_OUT_HIGH of i_mult : label is 15;
attribute C_OUT_LOW of i_mult : label is 0;
attribute C_ROUND_OUTPUT of i_mult : label is 0;
attribute C_ROUND_PT of i_mult : label is 0;
attribute C_VERBOSITY of i_mult : label is 0;
attribute C_XDEVICEFAMILY of i_mult : label is "kintexu";
attribute c_optimize_goal of i_mult : label is 1;
attribute downgradeipidentifiedwarnings of i_mult : label is "yes";
begin
PCASC(47) <= \<const0>\;
PCASC(46) <= \<const0>\;
PCASC(45) <= \<const0>\;
PCASC(44) <= \<const0>\;
PCASC(43) <= \<const0>\;
PCASC(42) <= \<const0>\;
PCASC(41) <= \<const0>\;
PCASC(40) <= \<const0>\;
PCASC(39) <= \<const0>\;
PCASC(38) <= \<const0>\;
PCASC(37) <= \<const0>\;
PCASC(36) <= \<const0>\;
PCASC(35) <= \<const0>\;
PCASC(34) <= \<const0>\;
PCASC(33) <= \<const0>\;
PCASC(32) <= \<const0>\;
PCASC(31) <= \<const0>\;
PCASC(30) <= \<const0>\;
PCASC(29) <= \<const0>\;
PCASC(28) <= \<const0>\;
PCASC(27) <= \<const0>\;
PCASC(26) <= \<const0>\;
PCASC(25) <= \<const0>\;
PCASC(24) <= \<const0>\;
PCASC(23) <= \<const0>\;
PCASC(22) <= \<const0>\;
PCASC(21) <= \<const0>\;
PCASC(20) <= \<const0>\;
PCASC(19) <= \<const0>\;
PCASC(18) <= \<const0>\;
PCASC(17) <= \<const0>\;
PCASC(16) <= \<const0>\;
PCASC(15) <= \<const0>\;
PCASC(14) <= \<const0>\;
PCASC(13) <= \<const0>\;
PCASC(12) <= \<const0>\;
PCASC(11) <= \<const0>\;
PCASC(10) <= \<const0>\;
PCASC(9) <= \<const0>\;
PCASC(8) <= \<const0>\;
PCASC(7) <= \<const0>\;
PCASC(6) <= \<const0>\;
PCASC(5) <= \<const0>\;
PCASC(4) <= \<const0>\;
PCASC(3) <= \<const0>\;
PCASC(2) <= \<const0>\;
PCASC(1) <= \<const0>\;
PCASC(0) <= \<const0>\;
ZERO_DETECT(1) <= \<const0>\;
ZERO_DETECT(0) <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
i_mult: entity work.mul8_8_mult_gen_v12_0_12_viv
port map (
A(7 downto 0) => A(7 downto 0),
B(7 downto 0) => B(7 downto 0),
CE => '0',
CLK => CLK,
P(15 downto 0) => P(15 downto 0),
PCASC(47 downto 0) => NLW_i_mult_PCASC_UNCONNECTED(47 downto 0),
SCLR => '0',
ZERO_DETECT(1 downto 0) => NLW_i_mult_ZERO_DETECT_UNCONNECTED(1 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity mul8_8 is
port (
CLK : in STD_LOGIC;
A : in STD_LOGIC_VECTOR ( 7 downto 0 );
B : in STD_LOGIC_VECTOR ( 7 downto 0 );
P : out STD_LOGIC_VECTOR ( 15 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of mul8_8 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of mul8_8 : entity is "mul8_8,mult_gen_v12_0_12,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of mul8_8 : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of mul8_8 : entity is "mult_gen_v12_0_12,Vivado 2016.4";
end mul8_8;
architecture STRUCTURE of mul8_8 is
signal NLW_U0_PCASC_UNCONNECTED : STD_LOGIC_VECTOR ( 47 downto 0 );
signal NLW_U0_ZERO_DETECT_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute C_A_TYPE : integer;
attribute C_A_TYPE of U0 : label is 1;
attribute C_A_WIDTH : integer;
attribute C_A_WIDTH of U0 : label is 8;
attribute C_B_TYPE : integer;
attribute C_B_TYPE of U0 : label is 1;
attribute C_B_VALUE : string;
attribute C_B_VALUE of U0 : label is "10000001";
attribute C_B_WIDTH : integer;
attribute C_B_WIDTH of U0 : label is 8;
attribute C_CCM_IMP : integer;
attribute C_CCM_IMP of U0 : label is 0;
attribute C_CE_OVERRIDES_SCLR : integer;
attribute C_CE_OVERRIDES_SCLR of U0 : label is 0;
attribute C_HAS_CE : integer;
attribute C_HAS_CE of U0 : label is 0;
attribute C_HAS_SCLR : integer;
attribute C_HAS_SCLR of U0 : label is 0;
attribute C_HAS_ZERO_DETECT : integer;
attribute C_HAS_ZERO_DETECT of U0 : label is 0;
attribute C_LATENCY : integer;
attribute C_LATENCY of U0 : label is 3;
attribute C_MODEL_TYPE : integer;
attribute C_MODEL_TYPE of U0 : label is 0;
attribute C_MULT_TYPE : integer;
attribute C_MULT_TYPE of U0 : label is 0;
attribute C_OUT_HIGH : integer;
attribute C_OUT_HIGH of U0 : label is 15;
attribute C_OUT_LOW : integer;
attribute C_OUT_LOW of U0 : label is 0;
attribute C_ROUND_OUTPUT : integer;
attribute C_ROUND_OUTPUT of U0 : label is 0;
attribute C_ROUND_PT : integer;
attribute C_ROUND_PT of U0 : label is 0;
attribute C_VERBOSITY : integer;
attribute C_VERBOSITY of U0 : label is 0;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of U0 : label is "kintexu";
attribute c_optimize_goal : integer;
attribute c_optimize_goal of U0 : label is 1;
attribute downgradeipidentifiedwarnings of U0 : label is "yes";
begin
U0: entity work.mul8_8_mult_gen_v12_0_12
port map (
A(7 downto 0) => A(7 downto 0),
B(7 downto 0) => B(7 downto 0),
CE => '1',
CLK => CLK,
P(15 downto 0) => P(15 downto 0),
PCASC(47 downto 0) => NLW_U0_PCASC_UNCONNECTED(47 downto 0),
SCLR => '0',
ZERO_DETECT(1 downto 0) => NLW_U0_ZERO_DETECT_UNCONNECTED(1 downto 0)
);
end STRUCTURE;
| bsd-3-clause |
drhodes/jade2hdl | test-data/vhdl-examples/test-gen-make-module.vhdl | 1 | 7062 | ------------------------------------------------------------------
-- VHDL PRELUDE --------------------------------------------------
-- combinational module -------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity mod_user_And41 IS
port (A : in std_logic; B : in std_logic; C : in std_logic; D : in std_logic; vout : out std_logic);
end mod_user_And41;
architecture struct of mod_user_And41 is
-- node declarations
signal w1, wire_x0620 : std_logic;
begin
-- each submodule is wired up here.
mod_user_AND2_nagPx : entity work.mod_user_AND2 port map (in1 => A, in2 => B, out1 => w1);
mod_user_AND2_LxVDj : entity work.mod_user_AND2 port map (in1 => C, in2 => D, out1 => wire_x0620);
mod_user_AND2_7KpMR : entity work.mod_user_AND2 port map (in1 => w1, in2 => wire_x0620, out1 => vout);
end struct;
-- Combinational testbench. ---------------------------------------
library STD;
use STD.textio.all; -- basic I/O
use STD.env.all;
library IEEE;
use IEEE.std_logic_1164.all; -- basic logic types
use IEEE.std_logic_textio.all; -- I/O for logic types
use ieee.numeric_std.all;
entity /user/And41 is end entity /user/And41;
architecture behaviour of /user/And41 is
A, B, C, D, vout: std_logic;
begin
dut : entity work.mod_user_And41 port map (A => A, B => B, C => C, D => D, vout => vout);
process
begin
-------------------------------------------------------
A <= '1';
B <= '1';
C <= '1';
D <= '1';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 1";
report "expecting: vout = '1'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 1: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '1';
B <= '1';
C <= '1';
D <= '0';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 2";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 2: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '1';
B <= '1';
C <= '0';
D <= '1';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 3";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 3: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '1';
B <= '1';
C <= '0';
D <= '0';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 4";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 4: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '1';
B <= '0';
C <= '1';
D <= '1';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 5";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 5: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '1';
B <= '0';
C <= '1';
D <= '0';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 6";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 6: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '1';
B <= '0';
C <= '0';
D <= '1';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 7";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 7: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '1';
B <= '0';
C <= '0';
D <= '0';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 8";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 8: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '0';
B <= '1';
C <= '1';
D <= '1';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 9";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 9: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '0';
B <= '1';
C <= '1';
D <= '0';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 10";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 10: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '0';
B <= '1';
C <= '0';
D <= '1';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 11";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 11: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '0';
B <= '1';
C <= '0';
D <= '0';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 12";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 12: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '0';
B <= '0';
C <= '1';
D <= '1';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 13";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 13: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '0';
B <= '0';
C <= '1';
D <= '0';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 14";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 14: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '0';
B <= '0';
C <= '0';
D <= '1';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 15";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 15: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '0';
B <= '0';
C <= '0';
D <= '0';
wait for 99.0 ns;
if vout /= '0' then
report "// This comment is included in vhdl test cases."
report "TestNum 16";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 16: PASSED" & LF);
end if;
wait for 1.0 ns;
finish(0);
end process;
end behavior;
| bsd-3-clause |
JorisBolsens/PYNQ | Pynq-Z1/vivado/ip/trace_cntrl_1_2/hdl/vhdl/trace_cntrl_mul_32s_32s_32_7.vhd | 4 | 3069 | -- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2016.1
-- Copyright (C) 1986-2016 Xilinx, Inc. All Rights Reserved.
--
-- ==============================================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity trace_cntrl_mul_32s_32s_32_7_MulnS_0 is
port (
clk: in std_logic;
ce: in std_logic;
a: in std_logic_vector(32 - 1 downto 0);
b: in std_logic_vector(32 - 1 downto 0);
p: out std_logic_vector(32 - 1 downto 0));
end entity;
architecture behav of trace_cntrl_mul_32s_32s_32_7_MulnS_0 is
signal tmp_product : std_logic_vector(32 - 1 downto 0);
signal a_i : std_logic_vector(32 - 1 downto 0);
signal b_i : std_logic_vector(32 - 1 downto 0);
signal p_tmp : std_logic_vector(32 - 1 downto 0);
signal a_reg0 : std_logic_vector(32 - 1 downto 0);
signal b_reg0 : std_logic_vector(32 - 1 downto 0);
attribute keep : string;
attribute keep of a_i : signal is "true";
attribute keep of b_i : signal is "true";
signal buff0 : std_logic_vector(32 - 1 downto 0);
signal buff1 : std_logic_vector(32 - 1 downto 0);
signal buff2 : std_logic_vector(32 - 1 downto 0);
signal buff3 : std_logic_vector(32 - 1 downto 0);
signal buff4 : std_logic_vector(32 - 1 downto 0);
begin
a_i <= a;
b_i <= b;
p <= p_tmp;
p_tmp <= buff4;
tmp_product <= std_logic_vector(resize(unsigned(std_logic_vector(signed(a_reg0) * signed(b_reg0))), 32));
process(clk)
begin
if (clk'event and clk = '1') then
if (ce = '1') then
a_reg0 <= a_i;
b_reg0 <= b_i;
buff0 <= tmp_product;
buff1 <= buff0;
buff2 <= buff1;
buff3 <= buff2;
buff4 <= buff3;
end if;
end if;
end process;
end architecture;
Library IEEE;
use IEEE.std_logic_1164.all;
entity trace_cntrl_mul_32s_32s_32_7 is
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER);
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
ce : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR(din0_WIDTH - 1 DOWNTO 0);
din1 : IN STD_LOGIC_VECTOR(din1_WIDTH - 1 DOWNTO 0);
dout : OUT STD_LOGIC_VECTOR(dout_WIDTH - 1 DOWNTO 0));
end entity;
architecture arch of trace_cntrl_mul_32s_32s_32_7 is
component trace_cntrl_mul_32s_32s_32_7_MulnS_0 is
port (
clk : IN STD_LOGIC;
ce : IN STD_LOGIC;
a : IN STD_LOGIC_VECTOR;
b : IN STD_LOGIC_VECTOR;
p : OUT STD_LOGIC_VECTOR);
end component;
begin
trace_cntrl_mul_32s_32s_32_7_MulnS_0_U : component trace_cntrl_mul_32s_32s_32_7_MulnS_0
port map (
clk => clk,
ce => ce,
a => din0,
b => din1,
p => dout);
end architecture;
| bsd-3-clause |
natsutan/NPU | fpga_implement/npu8/npu8.srcs/sources_1/ip/mul16_16/mul16_16_stub.vhdl | 2 | 1351 | -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (lin64) Build 1733598 Wed Dec 14 22:35:42 MST 2016
-- Date : Sat Jan 21 17:58:33 2017
-- Host : natu-OMEN-by-HP-Laptop running 64-bit Ubuntu 16.04.1 LTS
-- Command : write_vhdl -force -mode synth_stub
-- /media/natu/data/proj/myproj/NPU/fpga_implement/npu8/npu8.srcs/sources_1/ip/mul16_16/mul16_16_stub.vhdl
-- Design : mul16_16
-- Purpose : Stub declaration of top-level module interface
-- Device : xcku035-fbva676-3-e
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity mul16_16 is
Port (
CLK : in STD_LOGIC;
A : in STD_LOGIC_VECTOR ( 15 downto 0 );
B : in STD_LOGIC_VECTOR ( 15 downto 0 );
P : out STD_LOGIC_VECTOR ( 15 downto 0 )
);
end mul16_16;
architecture stub of mul16_16 is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "CLK,A[15:0],B[15:0],P[15:0]";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "mult_gen_v12_0_12,Vivado 2016.4";
begin
end;
| bsd-3-clause |
natsutan/NPU | fpga_implement/npu8/npu8.srcs/sources_1/ip/mult_gen_0/sim/mult_gen_0.vhd | 1 | 4811 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:mult_gen:12.0
-- IP Revision: 12
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY mult_gen_v12_0_12;
USE mult_gen_v12_0_12.mult_gen_v12_0_12;
ENTITY mult_gen_0 IS
PORT (
CLK : IN STD_LOGIC;
A : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
B : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
P : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END mult_gen_0;
ARCHITECTURE mult_gen_0_arch OF mult_gen_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF mult_gen_0_arch: ARCHITECTURE IS "yes";
COMPONENT mult_gen_v12_0_12 IS
GENERIC (
C_VERBOSITY : INTEGER;
C_MODEL_TYPE : INTEGER;
C_OPTIMIZE_GOAL : INTEGER;
C_XDEVICEFAMILY : STRING;
C_HAS_CE : INTEGER;
C_HAS_SCLR : INTEGER;
C_LATENCY : INTEGER;
C_A_WIDTH : INTEGER;
C_A_TYPE : INTEGER;
C_B_WIDTH : INTEGER;
C_B_TYPE : INTEGER;
C_OUT_HIGH : INTEGER;
C_OUT_LOW : INTEGER;
C_MULT_TYPE : INTEGER;
C_CE_OVERRIDES_SCLR : INTEGER;
C_CCM_IMP : INTEGER;
C_B_VALUE : STRING;
C_HAS_ZERO_DETECT : INTEGER;
C_ROUND_OUTPUT : INTEGER;
C_ROUND_PT : INTEGER
);
PORT (
CLK : IN STD_LOGIC;
A : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
B : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
CE : IN STD_LOGIC;
SCLR : IN STD_LOGIC;
P : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END COMPONENT mult_gen_v12_0_12;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF CLK: SIGNAL IS "xilinx.com:signal:clock:1.0 clk_intf CLK";
ATTRIBUTE X_INTERFACE_INFO OF A: SIGNAL IS "xilinx.com:signal:data:1.0 a_intf DATA";
ATTRIBUTE X_INTERFACE_INFO OF B: SIGNAL IS "xilinx.com:signal:data:1.0 b_intf DATA";
ATTRIBUTE X_INTERFACE_INFO OF P: SIGNAL IS "xilinx.com:signal:data:1.0 p_intf DATA";
BEGIN
U0 : mult_gen_v12_0_12
GENERIC MAP (
C_VERBOSITY => 0,
C_MODEL_TYPE => 0,
C_OPTIMIZE_GOAL => 1,
C_XDEVICEFAMILY => "kintexu",
C_HAS_CE => 0,
C_HAS_SCLR => 0,
C_LATENCY => 3,
C_A_WIDTH => 8,
C_A_TYPE => 1,
C_B_WIDTH => 16,
C_B_TYPE => 0,
C_OUT_HIGH => 23,
C_OUT_LOW => 8,
C_MULT_TYPE => 0,
C_CE_OVERRIDES_SCLR => 0,
C_CCM_IMP => 0,
C_B_VALUE => "10000001",
C_HAS_ZERO_DETECT => 0,
C_ROUND_OUTPUT => 0,
C_ROUND_PT => 0
)
PORT MAP (
CLK => CLK,
A => A,
B => B,
CE => '1',
SCLR => '0',
P => P
);
END mult_gen_0_arch;
| bsd-3-clause |
natsutan/NPU | fpga_implement/npu8/npu8.cache/ip/1a38f03650b0a66f/mult16_16_stub.vhdl | 1 | 1470 | -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (lin64) Build 1733598 Wed Dec 14 22:35:42 MST 2016
-- Date : Sat Jan 21 14:32:46 2017
-- Host : natu-OMEN-by-HP-Laptop running 64-bit Ubuntu 16.04.1 LTS
-- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ mult16_16_stub.vhdl
-- Design : mult16_16
-- Purpose : Stub declaration of top-level module interface
-- Device : xcku035-fbva676-3-e
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
Port (
CLK : in STD_LOGIC;
A : in STD_LOGIC_VECTOR ( 15 downto 0 );
B : in STD_LOGIC_VECTOR ( 15 downto 0 );
P : out STD_LOGIC_VECTOR ( 7 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "CLK,A[15:0],B[15:0],P[7:0]";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "mult_gen_v12_0_12,Vivado 2016.4";
begin
end;
| bsd-3-clause |
natsutan/NPU | fpga_implement/npu8/npu8.cache/ip/d74462b9dbd19694/mult_17x16_stub.vhdl | 1 | 1474 | -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (lin64) Build 1733598 Wed Dec 14 22:35:42 MST 2016
-- Date : Sat Jan 21 14:43:33 2017
-- Host : natu-OMEN-by-HP-Laptop running 64-bit Ubuntu 16.04.1 LTS
-- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ mult_17x16_stub.vhdl
-- Design : mult_17x16
-- Purpose : Stub declaration of top-level module interface
-- Device : xcku035-fbva676-3-e
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
Port (
CLK : in STD_LOGIC;
A : in STD_LOGIC_VECTOR ( 16 downto 0 );
B : in STD_LOGIC_VECTOR ( 15 downto 0 );
P : out STD_LOGIC_VECTOR ( 24 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "CLK,A[16:0],B[15:0],P[24:0]";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "mult_gen_v12_0_12,Vivado 2016.4";
begin
end;
| bsd-3-clause |
drhodes/jade2hdl | test-data/vhdl/AND2/AND2.vhdl | 1 | 674 | -- library IEEE;
-- use IEEE.STD_LOGIC_1164.ALL;
ENTITY AND2 IS
PORT (in1 : IN std_logic;
in2 : IN std_logic;
out1 : OUT std_logic) ;
END ENTITY AND2 ;
ARCHITECTURE Behavioral OF AND2 IS
BEGIN
PROCESS IS
BEGIN
out1 <= in1 AND in2 ;
END PROCESS ;
END ARCHITECTURE Behavioral ;
entity RAWR IS
port ( a : in std_logic;
b : in std_logic;
c : out std_logic);
end RAWR;
architecture struct of RAWR is
component AND2 PORT (in1 : IN std_logic;
in2 : IN std_logic;
out1 : OUT std_logic);
end component;
begin
unit1 : AND2 port map (in1 => a, in2 => b, out1 => c);
end struct;
| bsd-3-clause |
drhodes/jade2hdl | test-data/vhdl/pddLNXO0pWNvo.vhdl | 1 | 6653 | ------------------------------------------------------------------
-- VHDL PRELUDE --------------------------------------------------
-- combinational module -------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity mod_user_And41 IS
port (A : in std_logic; B : in std_logic; C : in std_logic; D : in std_logic; vout : out std_logic);
end mod_user_And41;
architecture struct of mod_user_And41 is
-- node declarations
signal w1, wire_x0620 : std_logic;
begin
-- each submodule is wired up here.
mod_user_AND2_nagPx : entity work.mod_user_AND2 port map (in1 => A, in2 => B, out1 => w1);
mod_user_AND2_LxVDj : entity work.mod_user_AND2 port map (in1 => C, in2 => D, out1 => wire_x0620);
mod_user_AND2_7KpMR : entity work.mod_user_AND2 port map (in1 => w1, in2 => wire_x0620, out1 => vout);
end struct;
-- Combinational testbench. ---------------------------------------
library STD;
use STD.textio.all; -- basic I/O
use STD.env.all;
library IEEE;
use IEEE.std_logic_1164.all; -- basic logic types
use IEEE.std_logic_textio.all; -- I/O for logic types
use ieee.numeric_std.all;
entity mod_user_And41 is end entity mod_user_And41;
architecture behaviour of mod_user_And41 is
A, B, C, D, vout: std_logic;
begin
dut : entity work.mod_user_And41 port map (A => A, B => B, C => C, D => D, vout => vout);
process
begin
-------------------------------------------------------
A <= '1';
B <= '1';
C <= '1';
D <= '1';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 1";
report "expecting: vout = '1'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 1: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '1';
B <= '1';
C <= '1';
D <= '0';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 2";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 2: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '1';
B <= '1';
C <= '0';
D <= '1';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 3";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 3: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '1';
B <= '1';
C <= '0';
D <= '0';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 4";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 4: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '1';
B <= '0';
C <= '1';
D <= '1';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 5";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 5: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '1';
B <= '0';
C <= '1';
D <= '0';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 6";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 6: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '1';
B <= '0';
C <= '0';
D <= '1';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 7";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 7: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '1';
B <= '0';
C <= '0';
D <= '0';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 8";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 8: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '0';
B <= '1';
C <= '1';
D <= '1';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 9";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 9: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '0';
B <= '1';
C <= '1';
D <= '0';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 10";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 10: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '0';
B <= '1';
C <= '0';
D <= '1';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 11";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 11: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '0';
B <= '1';
C <= '0';
D <= '0';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 12";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 12: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '0';
B <= '0';
C <= '1';
D <= '1';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 13";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 13: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '0';
B <= '0';
C <= '1';
D <= '0';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 14";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 14: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '0';
B <= '0';
C <= '0';
D <= '1';
wait for 99.0 ns;
if vout /= '0' then
report ""
report "TestNum 15";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 15: PASSED" & LF);
end if;
wait for 1.0 ns;
A <= '0';
B <= '0';
C <= '0';
D <= '0';
wait for 99.0 ns;
if vout /= '0' then
report "// This comment is included in vhdl test cases."
report "TestNum 16";
report "expecting: vout = '0'";
report "got : vout = " & to_string(vout);
stop(-1);
else
write(OUTPUT, "TEST 16: PASSED" & LF);
end if;
wait for 1.0 ns;
finish(0);
end process;
end behavior;
| bsd-3-clause |
natsutan/NPU | fpga_implement/npu8/npu8.srcs/sources_1/ip/mul8_16/mul8_16_sim_netlist.vhdl | 1 | 287556 | -- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (lin64) Build 1733598 Wed Dec 14 22:35:42 MST 2016
-- Date : Sat Jan 21 14:33:05 2017
-- Host : natu-OMEN-by-HP-Laptop running 64-bit Ubuntu 16.04.1 LTS
-- Command : write_vhdl -force -mode funcsim
-- /media/natu/data/proj/myproj/NPU/fpga_implement/npu8/npu8.srcs/sources_1/ip/mul8_16/mul8_16_sim_netlist.vhdl
-- Design : mul8_16
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xcku035-fbva676-3-e
-- --------------------------------------------------------------------------------
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner="Cadence Design Systems.", key_keyname="cds_rsa_key", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=64)
`protect key_block
fPF16TcpNgM9dNC6nyb4WjUK+7bY8P+I62AEEiiM/KOMhIKuPOHBoWeWL2UjxSNO68WLeYIZp8lA
I7rHN/CieA==
`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VERIF-SIM-RSA-1", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=128)
`protect key_block
E6OKJxjnDRUVVFwAhrQMAtoyRVVpuMKsXlca4m9CcIt6QI8vnYN0tf7gH3uVuxZ90322B7kUeFw5
Pu0UeqAoBaSyysHuDqXazxHy7oyk4BIWChvcrp7LULlVLcL76obtSwsXi1ORVmpdTi5b+AcD+WUo
OP1PSFj5jpodG+LwXm4=
`protect key_keyowner="Synopsys", key_keyname="SNPS-VCS-RSA-1", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=128)
`protect key_block
x+agogSsgbiI6PGyBpMY8RQCDzLctIr3EaG23mH5kJHlNmNKNolnP54yJ8Y7nIFi6yl6tlyOLMoF
/kxU0pyFmIj8QM0/MArMxPTiemXbDLS2VKtonyK9dDH7VbjFnRWwzK0Ngkas0+nbW3TqGPAY98x3
251QPjQoZCw3A7W9PDc=
`protect key_keyowner="Aldec", key_keyname="ALDEC15_001", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
KNs7hA49BKKrboRSEkqIGldOa3ndCnhjRkSn8lL1xFfKUn+p+Wbc09ogKV6YYnPU/RaF1LbzyoE4
udPSNea4bST+08IjO5GAxXqUugcig44J+hzpGKmh7oO0TuyNbYq1CnYcsZXaD9vsmNYz8fBDoW2S
VK/mYa21mBKTOuTdQ1yp3wi73aJ1G9N6Ngt7ovDUrjyd5oNxxNlvWU8JkJDinbEnci0qjZ3Wu9Wg
y44pHUXf6xqwFYJpZ1ZcGRKl83P8p74+pLzt19lw9TPlTfKI++IowVjb6wo36ztNDJS0QjQE5Riv
hwbPU/Bt3S82MVCY5NAA6bKC/8NnoWMbmX8Wiw==
`protect key_keyowner="ATRENTA", key_keyname="ATR-SG-2015-RSA-3", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
QaRubtGbYrmCghuFdQuTgTEtoVYYLcPnD5z0C7mo18fwCG17qy0y8mj8xWiwE6bo49IP1/JXSIw7
rTBwHFOVrmbm926sWNrF1r3IHB83C5cstprQ1om7vnkw9XX87SjkscphhkrHmi08jjzW4qX96m61
/ymclz5TlAocMQJGz/jwscvIMOrrbuH4SkWQOLQnRfx9GIOv5Y7PM+w/wuDSeFXsAXz7Ahq3/qmU
cylNfSufW7/zfN4RZB4u+d28AXsuFe03aSF1dpW+uBK1xtNZccvj9h9NMN0cuwxt8ZUlLJw8l6e2
hqRfTTZl1F4qnnrJu6w8h8uEGrmgnQG1AW0epg==
`protect key_keyowner="Xilinx", key_keyname="xilinx_2016_05", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
XXj6Nc59BeA5Kznlx14IKravf7ohERw7h0fbO7pT7/HsiPDCWh2DlTGpFUcnbNZslPN2RfE0nJNX
WMzLQtaHK4Bm6kxY71OsXEKm7MAIjEdLwOMtJTtlZrbm7chBbSxcW6sjWvI36jk5De3Yct9Ao1py
DpQ9NICUtRTwGG8SAiRkAXRh2Jv3rKvnookQrlVxIkNRSBMSgbwuTbq1ze/KMUZebBWwJNUVIC9r
RV/i9wjYXBOeCCUk+cGDC5uSpwdLXYV9ZxhQUU6C1ufAaK2m4OIUeBqPc2ski2O0qQYQ67c35k50
ynO8H9PTEROPEOn5c37S7feU+36OcOOAsVBTBA==
`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-PREC-RSA", key_method="rsa"
`protect encoding = (enctype="base64", line_length=76, bytes=256)
`protect key_block
g6oxAkLL8GcWvh5zsG2NEPeSeOk4X8vSYw6rc0cWfXGzoVwKq0xJRmAmNnXkw80Ki6QO6KNEpxRE
3XK5bwNQT4Pdrd2JMYlzNPPf3EZfIhfhrJI3Tjh5KHyyU/1LM74jwWmwPGq4RI+sPPXkN587VnnW
5J3dugwPqSbfxwmx5h/CMB1Krqhevi9iDSsuuoMNVdWllfjNPNTz39e/o0krqVWqlGmKRRzxXwW6
RRXnItyGDcLAXbTlxXLzl5ov1ZTVdZ3u49hu574cK+4po4OM141w6YniIH5vZr8qB8ImHnRQgCMp
9Ihp9oBBY19f9k5CGTpLWytm/dPI2Vay4QJXkA==
`protect key_keyowner="Synplicity", key_keyname="SYNP05_001", key_method="rsa"
`protect encoding = (enctype="base64", line_length=76, bytes=256)
`protect key_block
KhH7tm7QV6fZwtSCF9QOMuYJBzsvB+DkNLQC5kA6K9BS6NLlaYA0cdT2UzF7HC6du/RtfhfK3V5j
XzKi9kV+2UsY49jRUVJtYt23zFJ/55DfaxHe8mH2cXYHjuSC1C26QgPaAWAiDW9dkRgv9wAQzVSE
M+KYStGMJHvrDHsWyS2bDWZkzakay1+wJxvkqarCVN8FbC5RLzLOWQyPGDRBX57kJnD64sYnSo8N
Td/T4H0ot4nzg5ECG5xWVpD/DGNS2bSDDQSRkrx+hG+m9LdcNWXjsmpV7KMT9QlKjbd/r7acXKq1
cDCofiGaAbfyl1Tgi9k2qvwdUfrZ7s+MTIsFFw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 202720)
`protect data_block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`protect end_protected
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity mul8_16_mult_gen_v12_0_12 is
port (
CLK : in STD_LOGIC;
A : in STD_LOGIC_VECTOR ( 7 downto 0 );
B : in STD_LOGIC_VECTOR ( 15 downto 0 );
CE : in STD_LOGIC;
SCLR : in STD_LOGIC;
ZERO_DETECT : out STD_LOGIC_VECTOR ( 1 downto 0 );
P : out STD_LOGIC_VECTOR ( 15 downto 0 );
PCASC : out STD_LOGIC_VECTOR ( 47 downto 0 )
);
attribute C_A_TYPE : integer;
attribute C_A_TYPE of mul8_16_mult_gen_v12_0_12 : entity is 1;
attribute C_A_WIDTH : integer;
attribute C_A_WIDTH of mul8_16_mult_gen_v12_0_12 : entity is 8;
attribute C_B_TYPE : integer;
attribute C_B_TYPE of mul8_16_mult_gen_v12_0_12 : entity is 1;
attribute C_B_VALUE : string;
attribute C_B_VALUE of mul8_16_mult_gen_v12_0_12 : entity is "10000001";
attribute C_B_WIDTH : integer;
attribute C_B_WIDTH of mul8_16_mult_gen_v12_0_12 : entity is 16;
attribute C_CCM_IMP : integer;
attribute C_CCM_IMP of mul8_16_mult_gen_v12_0_12 : entity is 0;
attribute C_CE_OVERRIDES_SCLR : integer;
attribute C_CE_OVERRIDES_SCLR of mul8_16_mult_gen_v12_0_12 : entity is 0;
attribute C_HAS_CE : integer;
attribute C_HAS_CE of mul8_16_mult_gen_v12_0_12 : entity is 0;
attribute C_HAS_SCLR : integer;
attribute C_HAS_SCLR of mul8_16_mult_gen_v12_0_12 : entity is 0;
attribute C_HAS_ZERO_DETECT : integer;
attribute C_HAS_ZERO_DETECT of mul8_16_mult_gen_v12_0_12 : entity is 0;
attribute C_LATENCY : integer;
attribute C_LATENCY of mul8_16_mult_gen_v12_0_12 : entity is 3;
attribute C_MODEL_TYPE : integer;
attribute C_MODEL_TYPE of mul8_16_mult_gen_v12_0_12 : entity is 0;
attribute C_MULT_TYPE : integer;
attribute C_MULT_TYPE of mul8_16_mult_gen_v12_0_12 : entity is 0;
attribute C_OPTIMIZE_GOAL : integer;
attribute C_OPTIMIZE_GOAL of mul8_16_mult_gen_v12_0_12 : entity is 1;
attribute C_OUT_HIGH : integer;
attribute C_OUT_HIGH of mul8_16_mult_gen_v12_0_12 : entity is 23;
attribute C_OUT_LOW : integer;
attribute C_OUT_LOW of mul8_16_mult_gen_v12_0_12 : entity is 8;
attribute C_ROUND_OUTPUT : integer;
attribute C_ROUND_OUTPUT of mul8_16_mult_gen_v12_0_12 : entity is 0;
attribute C_ROUND_PT : integer;
attribute C_ROUND_PT of mul8_16_mult_gen_v12_0_12 : entity is 0;
attribute C_VERBOSITY : integer;
attribute C_VERBOSITY of mul8_16_mult_gen_v12_0_12 : entity is 0;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of mul8_16_mult_gen_v12_0_12 : entity is "kintexu";
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of mul8_16_mult_gen_v12_0_12 : entity is "mult_gen_v12_0_12";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of mul8_16_mult_gen_v12_0_12 : entity is "yes";
end mul8_16_mult_gen_v12_0_12;
architecture STRUCTURE of mul8_16_mult_gen_v12_0_12 is
signal \<const0>\ : STD_LOGIC;
signal NLW_i_mult_PCASC_UNCONNECTED : STD_LOGIC_VECTOR ( 47 downto 0 );
signal NLW_i_mult_ZERO_DETECT_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute C_A_TYPE of i_mult : label is 1;
attribute C_A_WIDTH of i_mult : label is 8;
attribute C_B_TYPE of i_mult : label is 1;
attribute C_B_VALUE of i_mult : label is "10000001";
attribute C_B_WIDTH of i_mult : label is 16;
attribute C_CCM_IMP of i_mult : label is 0;
attribute C_CE_OVERRIDES_SCLR of i_mult : label is 0;
attribute C_HAS_CE of i_mult : label is 0;
attribute C_HAS_SCLR of i_mult : label is 0;
attribute C_HAS_ZERO_DETECT of i_mult : label is 0;
attribute C_LATENCY of i_mult : label is 3;
attribute C_MODEL_TYPE of i_mult : label is 0;
attribute C_MULT_TYPE of i_mult : label is 0;
attribute C_OUT_HIGH of i_mult : label is 23;
attribute C_OUT_LOW of i_mult : label is 8;
attribute C_ROUND_OUTPUT of i_mult : label is 0;
attribute C_ROUND_PT of i_mult : label is 0;
attribute C_VERBOSITY of i_mult : label is 0;
attribute C_XDEVICEFAMILY of i_mult : label is "kintexu";
attribute c_optimize_goal of i_mult : label is 1;
attribute downgradeipidentifiedwarnings of i_mult : label is "yes";
begin
PCASC(47) <= \<const0>\;
PCASC(46) <= \<const0>\;
PCASC(45) <= \<const0>\;
PCASC(44) <= \<const0>\;
PCASC(43) <= \<const0>\;
PCASC(42) <= \<const0>\;
PCASC(41) <= \<const0>\;
PCASC(40) <= \<const0>\;
PCASC(39) <= \<const0>\;
PCASC(38) <= \<const0>\;
PCASC(37) <= \<const0>\;
PCASC(36) <= \<const0>\;
PCASC(35) <= \<const0>\;
PCASC(34) <= \<const0>\;
PCASC(33) <= \<const0>\;
PCASC(32) <= \<const0>\;
PCASC(31) <= \<const0>\;
PCASC(30) <= \<const0>\;
PCASC(29) <= \<const0>\;
PCASC(28) <= \<const0>\;
PCASC(27) <= \<const0>\;
PCASC(26) <= \<const0>\;
PCASC(25) <= \<const0>\;
PCASC(24) <= \<const0>\;
PCASC(23) <= \<const0>\;
PCASC(22) <= \<const0>\;
PCASC(21) <= \<const0>\;
PCASC(20) <= \<const0>\;
PCASC(19) <= \<const0>\;
PCASC(18) <= \<const0>\;
PCASC(17) <= \<const0>\;
PCASC(16) <= \<const0>\;
PCASC(15) <= \<const0>\;
PCASC(14) <= \<const0>\;
PCASC(13) <= \<const0>\;
PCASC(12) <= \<const0>\;
PCASC(11) <= \<const0>\;
PCASC(10) <= \<const0>\;
PCASC(9) <= \<const0>\;
PCASC(8) <= \<const0>\;
PCASC(7) <= \<const0>\;
PCASC(6) <= \<const0>\;
PCASC(5) <= \<const0>\;
PCASC(4) <= \<const0>\;
PCASC(3) <= \<const0>\;
PCASC(2) <= \<const0>\;
PCASC(1) <= \<const0>\;
PCASC(0) <= \<const0>\;
ZERO_DETECT(1) <= \<const0>\;
ZERO_DETECT(0) <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
i_mult: entity work.mul8_16_mult_gen_v12_0_12_viv
port map (
A(7 downto 0) => A(7 downto 0),
B(15 downto 0) => B(15 downto 0),
CE => '0',
CLK => CLK,
P(15 downto 0) => P(15 downto 0),
PCASC(47 downto 0) => NLW_i_mult_PCASC_UNCONNECTED(47 downto 0),
SCLR => '0',
ZERO_DETECT(1 downto 0) => NLW_i_mult_ZERO_DETECT_UNCONNECTED(1 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity mul8_16 is
port (
CLK : in STD_LOGIC;
A : in STD_LOGIC_VECTOR ( 7 downto 0 );
B : in STD_LOGIC_VECTOR ( 15 downto 0 );
P : out STD_LOGIC_VECTOR ( 15 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of mul8_16 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of mul8_16 : entity is "mul8_16,mult_gen_v12_0_12,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of mul8_16 : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of mul8_16 : entity is "mult_gen_v12_0_12,Vivado 2016.4";
end mul8_16;
architecture STRUCTURE of mul8_16 is
signal NLW_U0_PCASC_UNCONNECTED : STD_LOGIC_VECTOR ( 47 downto 0 );
signal NLW_U0_ZERO_DETECT_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute C_A_TYPE : integer;
attribute C_A_TYPE of U0 : label is 1;
attribute C_A_WIDTH : integer;
attribute C_A_WIDTH of U0 : label is 8;
attribute C_B_TYPE : integer;
attribute C_B_TYPE of U0 : label is 1;
attribute C_B_VALUE : string;
attribute C_B_VALUE of U0 : label is "10000001";
attribute C_B_WIDTH : integer;
attribute C_B_WIDTH of U0 : label is 16;
attribute C_CCM_IMP : integer;
attribute C_CCM_IMP of U0 : label is 0;
attribute C_CE_OVERRIDES_SCLR : integer;
attribute C_CE_OVERRIDES_SCLR of U0 : label is 0;
attribute C_HAS_CE : integer;
attribute C_HAS_CE of U0 : label is 0;
attribute C_HAS_SCLR : integer;
attribute C_HAS_SCLR of U0 : label is 0;
attribute C_HAS_ZERO_DETECT : integer;
attribute C_HAS_ZERO_DETECT of U0 : label is 0;
attribute C_LATENCY : integer;
attribute C_LATENCY of U0 : label is 3;
attribute C_MODEL_TYPE : integer;
attribute C_MODEL_TYPE of U0 : label is 0;
attribute C_MULT_TYPE : integer;
attribute C_MULT_TYPE of U0 : label is 0;
attribute C_OUT_HIGH : integer;
attribute C_OUT_HIGH of U0 : label is 23;
attribute C_OUT_LOW : integer;
attribute C_OUT_LOW of U0 : label is 8;
attribute C_ROUND_OUTPUT : integer;
attribute C_ROUND_OUTPUT of U0 : label is 0;
attribute C_ROUND_PT : integer;
attribute C_ROUND_PT of U0 : label is 0;
attribute C_VERBOSITY : integer;
attribute C_VERBOSITY of U0 : label is 0;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of U0 : label is "kintexu";
attribute c_optimize_goal : integer;
attribute c_optimize_goal of U0 : label is 1;
attribute downgradeipidentifiedwarnings of U0 : label is "yes";
begin
U0: entity work.mul8_16_mult_gen_v12_0_12
port map (
A(7 downto 0) => A(7 downto 0),
B(15 downto 0) => B(15 downto 0),
CE => '1',
CLK => CLK,
P(15 downto 0) => P(15 downto 0),
PCASC(47 downto 0) => NLW_U0_PCASC_UNCONNECTED(47 downto 0),
SCLR => '0',
ZERO_DETECT(1 downto 0) => NLW_U0_ZERO_DETECT_UNCONNECTED(1 downto 0)
);
end STRUCTURE;
| bsd-3-clause |
JorisBolsens/PYNQ | Pynq-Z1/vivado/ip/rgb2dvi_v1_2/src/ClockGen.vhd | 9 | 8885 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 11/03/2014 06:27:16 PM
-- Design Name:
-- Module Name: ClockGen - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
library UNISIM;
use UNISIM.VComponents.all;
entity ClockGen is
Generic (
kClkRange : natural := 1; -- MULT_F = kClkRange*5 (choose >=120MHz=1, >=60MHz=2, >=40MHz=3, >=30MHz=4, >=25MHz=5
kClkPrimitive : string := "MMCM"); -- "MMCM" or "PLL" to instantiate, if kGenerateSerialClk true
Port (
PixelClkIn : in STD_LOGIC;
PixelClkOut : out STD_LOGIC;
SerialClk : out STD_LOGIC;
aRst : in STD_LOGIC;
aLocked : out STD_LOGIC);
end ClockGen;
architecture Behavioral of ClockGen is
component SyncAsync is
Generic (
kResetTo : std_logic := '0'; --value when reset and upon init
kStages : natural := 2); --double sync by default
Port (
aReset : in STD_LOGIC; -- active-high asynchronous reset
aIn : in STD_LOGIC;
OutClk : in STD_LOGIC;
oOut : out STD_LOGIC);
end component SyncAsync;
component ResetBridge is
Generic (
kPolarity : std_logic := '1');
Port (
aRst : in STD_LOGIC; -- asynchronous reset; active-high, if kPolarity=1
OutClk : in STD_LOGIC;
oRst : out STD_LOGIC);
end component ResetBridge;
signal PixelClkInX1, PixelClkInX5, FeedbackClk : std_logic;
signal aLocked_int, pLocked, pRst, pLockWasLost : std_logic;
signal pLocked_q : std_logic_vector(2 downto 0) := (others => '1');
begin
-- We need a reset bridge to use the asynchronous aRst signal to reset our circuitry
-- and decrease the chance of metastability. The signal pRst can be used as
-- asynchronous reset for any flip-flop in the PixelClkIn domain, since it will be de-asserted
-- synchronously.
LockLostReset: ResetBridge
generic map (
kPolarity => '1')
port map (
aRst => aRst,
OutClk => PixelClkIn,
oRst => pRst);
PLL_LockSyncAsync: SyncAsync
port map (
aReset => '0',
aIn => aLocked_int,
OutClk => PixelClkIn,
oOut => pLocked);
PLL_LockLostDetect: process(PixelClkIn)
begin
if (pRst = '1') then
pLocked_q <= (others => '1');
pLockWasLost <= '1';
elsif Rising_Edge(PixelClkIn) then
pLocked_q <= pLocked_q(pLocked_q'high-1 downto 0) & pLocked;
pLockWasLost <= (not pLocked_q(0) or not pLocked_q(1)) and pLocked_q(2); --two-pulse
end if;
end process;
-- The TMDS Clk channel carries a character-rate frequency reference
-- In a single Clk period a whole character (10 bits) is transmitted
-- on each data channel. For deserialization of data channel a faster,
-- serial clock needs to be generated. In 7-series architecture an
-- OSERDESE2 primitive doing a 10:1 deserialization in DDR mode needs
-- a fast 5x clock and a slow 1x clock. These two clocks are generated
-- below with an MMCME2_ADV/PLLE2_ADV.
-- Caveats:
-- 1. The primitive uses a multiply-by-5 and divide-by-1 to generate
-- a 5x fast clock.
-- While changes in the frequency of the TMDS Clk are tracked by the
-- MMCM, for some TMDS Clk frequencies the datasheet specs for the VCO
-- frequency limits are not met. In other words, there is no single
-- set of MMCM multiply and divide values that can work for the whole
-- range of resolutions and pixel clock frequencies.
-- For example: MMCM_FVCOMIN = 600 MHz
-- MMCM_FVCOMAX = 1200 MHz for Artix-7 -1 speed grade
-- while FVCO = FIN * MULT_F
-- The TMDS Clk for 720p resolution in 74.25 MHz
-- FVCO = 74.25 * 10 = 742.5 MHz, which is between FVCOMIN and FVCOMAX
-- However, the TMDS Clk for 1080p resolution in 148.5 MHz
-- FVCO = 148.5 * 10 = 1480 MHZ, which is above FVCOMAX
-- In the latter case, MULT_F = 5, DIVIDE_F = 5, DIVIDE = 1 would result
-- in a correct VCO frequency, while still generating 5x and 1x clocks
-- 2. The MMCM+BUFIO+BUFR combination results in the highest possible
-- frequencies. PLLE2_ADV could work only with BUFGs, which limits
-- the maximum achievable frequency. The reason is that only the MMCM
-- has dedicated route to BUFIO.
-- If a PLLE2_ADV with BUFGs are used a second CLKOUTx can be used to
-- generate the 1x clock.
GenMMCM: if kClkPrimitive = "MMCM" generate
DVI_ClkGenerator: MMCME2_ADV
generic map
(BANDWIDTH => "OPTIMIZED",
CLKOUT4_CASCADE => FALSE,
COMPENSATION => "ZHOLD",
STARTUP_WAIT => FALSE,
DIVCLK_DIVIDE => 1,
CLKFBOUT_MULT_F => real(kClkRange) * 5.0,
CLKFBOUT_PHASE => 0.000,
CLKFBOUT_USE_FINE_PS => FALSE,
CLKOUT0_DIVIDE_F => real(kClkRange) * 1.0,
CLKOUT0_PHASE => 0.000,
CLKOUT0_DUTY_CYCLE => 0.500,
CLKOUT0_USE_FINE_PS => FALSE,
CLKOUT1_DIVIDE => kClkRange * 5,
CLKOUT1_DUTY_CYCLE => 0.5,
CLKOUT1_PHASE => 0.0,
CLKOUT1_USE_FINE_PS => FALSE,
CLKIN1_PERIOD => real(kClkRange) * 6.0,
REF_JITTER1 => 0.010)
port map
-- Output clocks
(
CLKFBOUT => FeedbackClk,
CLKFBOUTB => open,
CLKOUT0 => PixelClkInX5,
CLKOUT0B => open,
CLKOUT1 => PixelClkInX1,
CLKOUT1B => open,
CLKOUT2 => open,
CLKOUT2B => open,
CLKOUT3 => open,
CLKOUT3B => open,
CLKOUT4 => open,
CLKOUT5 => open,
CLKOUT6 => open,
-- Input clock control
CLKFBIN => FeedbackClk,
CLKIN1 => PixelClkIn,
CLKIN2 => '0',
-- Tied to always select the primary input clock
CLKINSEL => '1',
-- Ports for dynamic reconfiguration
DADDR => (others => '0'),
DCLK => '0',
DEN => '0',
DI => (others => '0'),
DO => open,
DRDY => open,
DWE => '0',
-- Ports for dynamic phase shift
PSCLK => '0',
PSEN => '0',
PSINCDEC => '0',
PSDONE => open,
-- Other control and status signals
LOCKED => aLocked_int,
CLKINSTOPPED => open,
CLKFBSTOPPED => open,
PWRDWN => '0',
RST => pLockWasLost);
end generate;
GenPLL: if kClkPrimitive /= "MMCM" generate
DVI_ClkGenerator: PLLE2_ADV
generic map (
BANDWIDTH => "OPTIMIZED",
CLKFBOUT_MULT => (kClkRange + 1) * 5,
CLKFBOUT_PHASE => 0.000,
CLKIN1_PERIOD => real(kClkRange) * 6.25,
COMPENSATION => "ZHOLD",
DIVCLK_DIVIDE => 1,
REF_JITTER1 => 0.010,
STARTUP_WAIT => "FALSE",
CLKOUT0_DIVIDE => (kClkRange + 1) * 1,
CLKOUT0_PHASE => 0.000,
CLKOUT0_DUTY_CYCLE => 0.500,
CLKOUT1_DIVIDE => (kClkRange + 1) * 5,
CLKOUT1_DUTY_CYCLE => 0.5,
CLKOUT1_PHASE => 0.0)
port map
-- Output clocks
(
CLKFBOUT => FeedbackClk,
CLKOUT0 => PixelClkInX5,
CLKOUT1 => PixelClkInX1,
CLKOUT2 => open,
CLKOUT3 => open,
CLKOUT4 => open,
CLKOUT5 => open,
-- Input clock control
CLKFBIN => FeedbackClk,
CLKIN1 => PixelClkIn,
CLKIN2 => '0',
-- Tied to always select the primary input clock
CLKINSEL => '1',
-- Ports for dynamic reconfiguration
DADDR => (others => '0'),
DCLK => '0',
DEN => '0',
DI => (others => '0'),
DO => open,
DRDY => open,
DWE => '0',
-- Other control and status signals
LOCKED => aLocked_int,
PWRDWN => '0',
RST => pLockWasLost);
end generate;
--No buffering used
--These clocks will only drive the OSERDESE2 primitives
SerialClk <= PixelClkInX5;
PixelClkOut <= PixelClkInX1;
aLocked <= aLocked_int;
end Behavioral;
| bsd-3-clause |
natsutan/NPU | fpga_implement/npu8/npu8.srcs/sources_1/ip/mult_17x16/hdl/xbip_utils_v3_0_vh_rfs.vhd | 13 | 163693 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
EAn/CKC+U/pm42S3DpEFSVwzlTOXuRyNz4u2z5zKejEznW1x5QrUt8jy1xCYF7wPwcsp9IbEYQjw
Uh0w/eBguw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
S+bnY/fSJNCyzmV2nC+yz0CGvgHtAi+wmxMKmzbqchVWqZndCdRcS+GF43CEbEgXUiivUdEwYWw9
qTs+cDgdH/cMKJnHMfTxq9baml2GY6HFuUQNaI7+ThKTjT6lv56k/0+ZEQryX2p5Q7aXTGwPuv2w
hFoWrooS/C+XX320Ze4=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
nQh0AgGZmFUbPyADCm/iUcix8kEGDLqqnf6loCkbhSLoY+RIDwdH5TCV2QtCCWwt6QBXOOEvUuWG
eDfnxMKJZjK5XpRdvuJI5FyDxi7Dj099Jj0az7cJ7KC57fT1tXw5pJULpi7u/whYsIX2rE/FZzH2
U6qE6uhIEi/aDNK1SI4=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
HIjB6zmYBaO4N3qi7pHBp/e7FEqttwBmkIc+kAnKEjCrPAr9r5cFPMTQz8Yt3O2vS/d/hP5DtGI0
d5s7ch6t4/b2YNZeU0I3xsI6StHmysgfcujtnl7fkxDNNuLGdY0zkjBt1yvmUx+Wh421uHiHzV9J
Vkk+mmjVJmtonWhLUUICJOK4SXFGthAunNaHhCQZBx95U1oH5NbWHGer6sblNB7P0V2cWEbjKUX2
AtAbdzLs3Xo3RXbkaQScN19YgVZtBIP1sWpDy7X0eyN2al54D6ymAyO4I43xJY7ISfAIpnaZbwdG
E9P9597B2tAMv1WtYNWm8lCUJxrxajJ9tzocWQ==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
F+VABPdOxl1BquRn6G+tIIKfeDyEnXksc4DNPkVCVmEWbMReDmZrpxdPdEVbVMaHjVtUtnS6qSQR
FOOdsTyalWKCmFMMnnyYkpQFth/LeuFYMTwvIPrIb3h7BtQUT7LswO2tItezeTEJmeNhxfneGCtw
O9L+/UBH2GNoy7sQ7ysopjsnNMBEZ0DKWjwLYm3W6UQEEPkKno5SPEZU7QR3w5+ZoeU78zmXECyO
KDzgCuo/T7H6GqPBfJEHp1cIlPWM8OWwheO7/1hRB5qwTn9pr2s8PUT/WYqkVpzEoWYVz9mFmfSR
bqoah0Z92xOvTXdKi5zzagwNB8AJo3dNooI8wg==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
lC3r2p2u53Pp/Zwl4BHCgiMHMbcE0jlB19nITLT7wEI/F6ifcWYrKaJY5bo3S1chjj2D5FF7tbJz
+27ziVReWvPUBIfwKhMzJckIvuBSs5lnHoVTw6GovITOZVLMDrun1tab2k+6eco7Budo+sr1nVk9
BvUttx2+p/UWGm4FgsYIJxmSVguFMw+NXj+t/54Qx0AWkt+P8mFramJ8yz95ulfYK9rPMTJ0AHG6
X9oRrS9+D/xFBwFfnE8LB8awWiS0QOXL5ARCaZeaKL011NjbLUpx9ZCXhr7CLRTcDk1s++5Ub8WD
uABkLpq2pB9HgQyCcmMtDYxJ2P1Khyka0ZM1VQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 119040)
`protect data_block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`protect end_protected
| bsd-3-clause |