repo_name
stringlengths 6
79
| path
stringlengths 6
236
| copies
int64 1
472
| size
int64 137
1.04M
| content
stringlengths 137
1.04M
| license
stringclasses 15
values | hash
stringlengths 32
32
| alpha_frac
float64 0.25
0.96
| ratio
float64 1.51
17.5
| autogenerated
bool 1
class | config_or_test
bool 2
classes | has_no_keywords
bool 1
class | has_few_assignments
bool 1
class |
---|---|---|---|---|---|---|---|---|---|---|---|---|
keith-epidev/VHDL-lib | src/components/audio_i2c_drv/audio_i2c_drv.vhd | 1 | 2,309 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.ALL;
use work.VHDL_lib.all;
entity audio_i2c_drv is
port(
clk: in std_logic;
data: out std_logic_vector(31 downto 0);
ready: in std_logic;
valid: out std_logic
);
end audio_i2c_drv;
architecture Behavioral of audio_i2c_drv is
type states is (startup, idle, deliver, stall, complete); --type of state machine.
signal state : states;
signal payload : std_logic_vector(31 downto 0);
signal delay : std_logic_vector(log2(200*250) downto 0) := (others=>'0');
signal index: integer := 0;
signal cclkb: std_logic;
type instruction_list is array (0 to 20) of std_logic_vector(31 downto 0);
constant instructions : instruction_list := (
X"76400007",
X"76400007",
X"76400007",
X"76400007",
X"76401500",
X"76401601", -- X"00401641",
X"76401700",
X"76401800",
X"76401C21",
X"76401E41",
X"76402003",
X"76402109",
X"764025FE",
X"764026FE",
X"76402903",
X"76402A03",
X"76402B00",
X"76402C00",
X"7640F201",
X"7640F97F",
X"7640FA01");
begin
data <= payload;
process(clk)
begin
if(clk'event and clk = '0')then
case state is
when startup=>
delay <= delay + 1;
if(delay > 200)then
state <= idle;
end if;
when idle=>
valid <= '0';
if(ready = '1')then
state <= deliver;
end if;
when deliver=>
payload <= instructions(index);
valid <= '1';
index <= index + 1;
state <= stall;
when stall=>
if(ready = '0')then
if( index <= 20 )then
state <= idle;
else
state <= complete;
end if;
end if;
when complete=>
valid <= '0';
end case;
end if;
end process;
end Behavioral;
| gpl-2.0 | e47eb7dd46c8d946509b9cecebc907a3 | 0.46557 | 3.835548 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xbip_bram18k_v3_0/hdl/xbip_bram18k_v3_0_viv_comp.vhd | 12 | 8,921 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
hMTrOc8dD18HaqgWvNmpZ4zEm8bBBYbUJD8q1/fmMBemus6deF/Rs3qv014OJsRXQqbxa2hesuab
yGLKKDfrwQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
mSxgBrwgtLA2vAOXwyMHrrOann/C22f5E08+6DMf0LZ5hAU9geZ/0xmR5kvqfwU8TARik4RxiMPe
GoOXyLsOMN2W6UkShgCGCLgANK5tzZcuyHx6Pk44yHLUUpuKg164L+cH07mc8cp50IJTS2Cc8CtI
krKzpMgwe9M7J+GMH70=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XzknZSGCbgMYEa8u6l4dUyn/X4Z2Ja897ql7FP5SKS5fe3Yb+7ag8MRk2B3VKVA5Xoyj1B4W4sIv
+xA7HVkJ5qhFGnaIxXLQE9YDYjt7bN4aSnrrGVlnrTeF15jG6/33OpfAqBt5wFvtNlCAmFI6UBBx
g2e8hCldEiZakjnpEkpseVR8pjDgCSm6Ns4wvBhf2d1rxhnnEtxZ8gT8BwJdq3qbxox5IAs1/3kf
8FmllXrABHR6vNYYk5rBolu45OEDwNVpdUAmx7XYQ0k+W8iaDWMn5o/uh3S6WXr39B+2eCXFKqG+
CodlyF+RZCIldwTvMX2jtHDrcF4VoJKljv+wTA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
o5iRsFqM3ce7b6T7svod/88zc9yVed0DgumWVLeL6+U0PCbfFWUs89gBXvk5fXcJ78wVSQZpoT9S
SMVqypRbuNsuNyeadNIPe8zTFMr+kqbvEhJWktgz8LOCYyNa8D1s6wjBMEvWOrBv9mYwWz+SfPeu
rDnf1CaEQUIGOn51rlw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I0y/MyCOFNO91xE5Xg0a1Z9Lm3XAa0vnInwPBlmj4SF7OXr3Z8er2IgnDAgtYLZRcJ4mY7izGvok
7oaOdrfmkgF09GXKIKaENYYEuxjKq3RDhaP2LPiYvfDSLbaZK05L5qDTnZrtUUdhXRKMlLQMJj9D
GsrzDvF6HP7lZrcyhXGF8/wqjq8e4mXVAV2f9wIMrK3WC/QjhRtlADM+kQmt/lq73Z+CLauXO1ba
qiyP8Kva34rNeczv3cj/jV6jMQiu0NrEDtr9UE6OwO88QpRGjMwvnozHvo7/+FaKbA5CxfncTyWV
8YdmEtExuakfJPBNLqE8l0vzx1GFI1YzLVkC8g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4864)
`protect data_block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`protect end_protected
| gpl-2.0 | a8b44f80c2a8d62ebf86371721f52144 | 0.920188 | 1.926366 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xfft_v9_0/hdl/butterfly_dsp48e_bypass.vhd | 3 | 44,236 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MLFtPRva5K4rw1NYEQJ7dKR46LZ2u8iL1vGZLHWYANHY53f/oQzVlypDx81FYcKqLwCnnzm0Kn1k
TRRfiq+VuQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
MLPcjREGJ2uk+mIpF3BQOaLUXiBxF3TW8Mf9QA425ayAWZIWxd63Sjh3kmVBHUdh3yCksOSAAtea
IRDFI1SvqnCdgCpnoGUiF6hlzwu1p+dVDH2gJY8FGlwvDHa80mBnlXnuGOOv77U7b36FzKH6e5xE
YURQ+oeCbjWvvpofBDA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
nFsnSpguFdT+I/BRST3m7kl+rJg/SUtLs49/ihAKiRCWRcqaMjBWoLRsU1byVykUX6WNbVoUAAze
X90YqpyIp3W98zpGe6ZG/mH2tO9VNYkPNXU0FLu0VX+Hvxnlve5dVl5fNL3hQCOF5eqPZsCnSobP
cjOcI8ZJlrrWSTfJ7r+35LoYx0INc8ttYgfiaQcl32W7UFCeutQBiUqIlKja38UgE8VQxkOh6516
KM9LvttVjSEN/AToHClo8VlFrOw43yaLUvBGEnMvjCG68Wa2Rn0RY0BvCaOXfD2F1IGYJYg4elSb
cLQatVAU8J1Of4XIqy4+GxReWPpxVSNrrkqGWw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sEv7lEIRXo+UCtM+8o+KeT+pkFrcRqpugcKHABUda7nJ8VYQz6qDhkQfEg7bkxgvUmE4G5wGWg6o
ZGFrTaJCLj7KylIq6N5J7cCpEkyidRtfIb9gSbQhgKH0cBWwPyEGU8nMVNnL6QfoYNHRUuWahZYH
BtMooko9K6YffaXITh4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
PT7yAxIwmsd4QOMG8hHkUhAa+wf6lKwx2ZN9Ku3gj1FdSN9IxNYpSJ64iyo4P/DcRZ9aUuHKEH5u
IQOlxXB6Pp7yA8MnUw1WQm12Iv+g1BXeTzVvjeqLkcy8HevkYjJkmQjZRFXOYfe4NoypU9hDFtF6
SoeCnrSd2FLzR4r8bbbfKGgTRTld/Xo98hDyOHpEFwECwiAMJbSwlbhQI9r01otv5zA1nih1C4JE
fK70bvyZ70XCbsWicY7/s4/Kv2yzXSIhAWtoTIDrecJUXaC/cp6tUBs1jbjQnPIkCmxIYCxoUkZP
QTIob++ki02ORyiaIREWpCkV/qTQt+zjUsXfsg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31008)
`protect data_block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`protect end_protected
| gpl-2.0 | 49f9b9563576dc1524d79cc7a6f06244 | 0.950086 | 1.835366 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_2/part_5/ip/clk_video/clk_video_clk_wiz.vhd | 1 | 7,381 | -- file: clk_video_clk_wiz.vhd
--
-- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
------------------------------------------------------------------------------
-- User entered comments
------------------------------------------------------------------------------
-- None
--
------------------------------------------------------------------------------
-- Output Output Phase Duty Cycle Pk-to-Pk Phase
-- Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps)
------------------------------------------------------------------------------
-- CLK_OUT1___193.158______0.000______50.0______236.796____297.965
--
------------------------------------------------------------------------------
-- Input Clock Freq (MHz) Input Jitter (UI)
------------------------------------------------------------------------------
-- __primary_________100.000____________0.010
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity clk_video_clk_wiz is
port
(-- Clock in ports
clk_100MHz : in std_logic;
-- Clock out ports
clk_193MHz : out std_logic;
-- Status and control signals
locked : out std_logic
);
end clk_video_clk_wiz;
architecture xilinx of clk_video_clk_wiz is
-- Input clock buffering / unused connectors
signal clk_100MHz_clk_video : std_logic;
-- Output clock buffering / unused connectors
signal clkfbout_clk_video : std_logic;
signal clkfbout_buf_clk_video : std_logic;
signal clkfboutb_unused : std_logic;
signal clk_193MHz_clk_video : std_logic;
signal clkout0b_unused : std_logic;
signal clkout1_unused : std_logic;
signal clkout1b_unused : std_logic;
signal clkout2_unused : std_logic;
signal clkout2b_unused : std_logic;
signal clkout3_unused : std_logic;
signal clkout3b_unused : std_logic;
signal clkout4_unused : std_logic;
signal clkout5_unused : std_logic;
signal clkout6_unused : std_logic;
-- Dynamic programming unused signals
signal do_unused : std_logic_vector(15 downto 0);
signal drdy_unused : std_logic;
-- Dynamic phase shift unused signals
signal psdone_unused : std_logic;
signal locked_int : std_logic;
-- Unused status signals
signal clkfbstopped_unused : std_logic;
signal clkinstopped_unused : std_logic;
begin
-- Input buffering
--------------------------------------
clkin1_bufg : BUFG
port map
(O => clk_100MHz_clk_video,
I => clk_100MHz);
-- Clocking PRIMITIVE
--------------------------------------
-- Instantiation of the MMCM PRIMITIVE
-- * Unused inputs are tied off
-- * Unused outputs are labeled unused
mmcm_adv_inst : MMCME2_ADV
generic map
(BANDWIDTH => "OPTIMIZED",
CLKOUT4_CASCADE => FALSE,
COMPENSATION => "ZHOLD",
STARTUP_WAIT => FALSE,
DIVCLK_DIVIDE => 5,
CLKFBOUT_MULT_F => 45.875,
CLKFBOUT_PHASE => 0.000,
CLKFBOUT_USE_FINE_PS => FALSE,
CLKOUT0_DIVIDE_F => 4.750,
CLKOUT0_PHASE => 0.000,
CLKOUT0_DUTY_CYCLE => 0.500,
CLKOUT0_USE_FINE_PS => FALSE,
CLKIN1_PERIOD => 10.0,
REF_JITTER1 => 0.010)
port map
-- Output clocks
(
CLKFBOUT => clkfbout_clk_video,
CLKFBOUTB => clkfboutb_unused,
CLKOUT0 => clk_193MHz_clk_video,
CLKOUT0B => clkout0b_unused,
CLKOUT1 => clkout1_unused,
CLKOUT1B => clkout1b_unused,
CLKOUT2 => clkout2_unused,
CLKOUT2B => clkout2b_unused,
CLKOUT3 => clkout3_unused,
CLKOUT3B => clkout3b_unused,
CLKOUT4 => clkout4_unused,
CLKOUT5 => clkout5_unused,
CLKOUT6 => clkout6_unused,
-- Input clock control
CLKFBIN => clkfbout_buf_clk_video,
CLKIN1 => clk_100MHz_clk_video,
CLKIN2 => '0',
-- Tied to always select the primary input clock
CLKINSEL => '1',
-- Ports for dynamic reconfiguration
DADDR => (others => '0'),
DCLK => '0',
DEN => '0',
DI => (others => '0'),
DO => do_unused,
DRDY => drdy_unused,
DWE => '0',
-- Ports for dynamic phase shift
PSCLK => '0',
PSEN => '0',
PSINCDEC => '0',
PSDONE => psdone_unused,
-- Other control and status signals
LOCKED => locked_int,
CLKINSTOPPED => clkinstopped_unused,
CLKFBSTOPPED => clkfbstopped_unused,
PWRDWN => '0',
RST => '0');
locked <= locked_int;
-- Output buffering
-------------------------------------
clkf_buf : BUFG
port map
(O => clkfbout_buf_clk_video,
I => clkfbout_clk_video);
clkout1_buf : BUFG
port map
(O => clk_193MHz,
I => clk_193MHz_clk_video);
end xilinx;
| gpl-2.0 | 4d7c09dc3c6f34a3eb7d2540a3abd052 | 0.572822 | 4.264009 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/multi_fft/sim/multi_fft.vhd | 2 | 4,787 | -- (c) Copyright 1995-2014 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:mult_gen:12.0
-- IP Revision: 3
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY mult_gen_v12_0;
USE mult_gen_v12_0.mult_gen_v12_0;
ENTITY multi_fft IS
PORT (
CLK : IN STD_LOGIC;
A : IN STD_LOGIC_VECTOR(28 DOWNTO 0);
B : IN STD_LOGIC_VECTOR(28 DOWNTO 0);
P : OUT STD_LOGIC_VECTOR(57 DOWNTO 0)
);
END multi_fft;
ARCHITECTURE multi_fft_arch OF multi_fft IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF multi_fft_arch: ARCHITECTURE IS "yes";
COMPONENT mult_gen_v12_0 IS
GENERIC (
C_VERBOSITY : INTEGER;
C_MODEL_TYPE : INTEGER;
C_OPTIMIZE_GOAL : INTEGER;
C_XDEVICEFAMILY : STRING;
C_HAS_CE : INTEGER;
C_HAS_SCLR : INTEGER;
C_LATENCY : INTEGER;
C_A_WIDTH : INTEGER;
C_A_TYPE : INTEGER;
C_B_WIDTH : INTEGER;
C_B_TYPE : INTEGER;
C_OUT_HIGH : INTEGER;
C_OUT_LOW : INTEGER;
C_MULT_TYPE : INTEGER;
C_CE_OVERRIDES_SCLR : INTEGER;
C_CCM_IMP : INTEGER;
C_B_VALUE : STRING;
C_HAS_ZERO_DETECT : INTEGER;
C_ROUND_OUTPUT : INTEGER;
C_ROUND_PT : INTEGER
);
PORT (
CLK : IN STD_LOGIC;
A : IN STD_LOGIC_VECTOR(28 DOWNTO 0);
B : IN STD_LOGIC_VECTOR(28 DOWNTO 0);
CE : IN STD_LOGIC;
SCLR : IN STD_LOGIC;
P : OUT STD_LOGIC_VECTOR(57 DOWNTO 0)
);
END COMPONENT mult_gen_v12_0;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF CLK: SIGNAL IS "xilinx.com:signal:clock:1.0 clk_intf CLK";
ATTRIBUTE X_INTERFACE_INFO OF A: SIGNAL IS "xilinx.com:signal:data:1.0 a_intf DATA";
ATTRIBUTE X_INTERFACE_INFO OF B: SIGNAL IS "xilinx.com:signal:data:1.0 b_intf DATA";
ATTRIBUTE X_INTERFACE_INFO OF P: SIGNAL IS "xilinx.com:signal:data:1.0 p_intf DATA";
BEGIN
U0 : mult_gen_v12_0
GENERIC MAP (
C_VERBOSITY => 0,
C_MODEL_TYPE => 0,
C_OPTIMIZE_GOAL => 1,
C_XDEVICEFAMILY => "zynq",
C_HAS_CE => 0,
C_HAS_SCLR => 0,
C_LATENCY => 5,
C_A_WIDTH => 29,
C_A_TYPE => 0,
C_B_WIDTH => 29,
C_B_TYPE => 0,
C_OUT_HIGH => 57,
C_OUT_LOW => 0,
C_MULT_TYPE => 0,
C_CE_OVERRIDES_SCLR => 0,
C_CCM_IMP => 0,
C_B_VALUE => "10000001",
C_HAS_ZERO_DETECT => 0,
C_ROUND_OUTPUT => 0,
C_ROUND_PT => 0
)
PORT MAP (
CLK => CLK,
A => A,
B => B,
CE => '1',
SCLR => '0',
P => P
);
END multi_fft_arch;
| gpl-2.0 | aabde7b359621b6d4e355f42c969d748 | 0.665761 | 3.615559 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/dds/dds_compiler_v6_0/hdl/dds_compiler_v6_0_eff_lut.vhd | 6 | 100,564 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
WCjKBNXic0KXiU6pjAWXiq2LTkKJ7NE3g8L6OgRpnuv5wFja/4QAqU+5Vd1hH0Xxsc4vA0Nwy1zc
t7+LfMBHzQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
H5f3TRBy4525jkh1qIK2Qsh4q/GrtwJ6JVADtzts1qrfqD1bWIkorepAhRIvwZZByI2fH72x5SON
7IfG8zLpYUlD0Jk3QCBoYlUZJGWU6RDyaY2Rn7Gz5P5HI4qvPNtW766wSe1harlrLePNjoSKVhfF
4H7y4hlOm6KeJFp1y30=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
o8NUJuTvvtHQ//0yHzk4r3ROKImbnyCQ/+GiYKbHz9Jqc59WPVQMPJDi7618B5h2z5gPFkZLVKrt
oYIDayRN1eDG1k1+njjd5YRIb7DTMBqPHvFVEOao9N/cefP23vkwo+I5wXkEITLqVM0RI3al8o8t
AaA6Q0U98Bzdo+Tx+RKbiBIBi5x6wlOZOehaj7m9+DFw+updOQeJ5GNy8AZn7ul0lsua2cRf0k4L
gE8HziSaUr+ewcL1uRh7afU0No6kaXygNHGf/nl86AGwUs65q2nQnVCcL6IPPyXmKD4Bn/J0YFQN
o3G/KJKIPhXq/LL9z7Hr7LE3J/cIaba4C+44/w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
r/Xe2Ci6RnICLxvZgN4C/9rfMRo5L4MeaOlVrWhtom9UNPVoQwQaTPdI6GiUuDDQ3ElZSB7f6p92
n6ZoBVSL1eywG+ntCU6ZxZ1/8N1sV9CjSBxGOexweAx2kmsTC0q7hVe7rZnh/KLLizk+Ny6alv8B
v1zuaJAVY3QDTrVCM18=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
BA7JOat/rOFWMLmTHh8DUAZtAhABvlT31S3WaH9xRoHVRI5E6pFuZ9+Ecgih4mhDcxdjqSGbeR/u
24jHGR1zNpOF5SfM2XuvRrQQu9K7wIyXwPdbsyw0LvXT1RLA9UeiqNrt0F8qGcaPOkn4zXH8hSn9
09AecPGhGA7p6v1GpR/up+MJJxlXdQp3HrAGMLNTw6FmURWGfU6ot/fE9/XTH828aIEuXPQv4VF8
6pJ5XDXcni32tirZKs20tbT3Ib0XzlMIzD6X0wniGigh4dlmtyYpx3VFbwNcoV0FuVHZukOeq/07
9NqJrMCoOA/h5LgKZYIh1HETLValj8txpIQaFA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 72704)
`protect data_block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`protect end_protected
| gpl-2.0 | b589be07aa40d56d6ce427c1c44a7791 | 0.952239 | 1.813075 | false | false | false | false |
FlatTargetInk/UMD_RISC-16G5 | Lab4/VGADebug/VGADebug/vga_color.vhd | 5 | 1,864 | ---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2015
-- Module Name: Keyboard Controller
-- Project Name: Keyboard Controller
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: Keyboard Controller
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use work.all;
entity VGA_COLOR is
Port ( CLK : in STD_LOGIC;
RST : in STD_LOGIC;
SW : in STD_LOGIC_VECTOR (7 downto 0);
HSYNC : out STD_LOGIC;
VSYNC : out STD_LOGIC;
VGARED : out STD_LOGIC_VECTOR (2 downto 0);
VGAGRN : out STD_LOGIC_VECTOR (2 downto 0);
VGABLU : out STD_LOGIC_VECTOR (1 downto 0));
end VGA_COLOR;
architecture Structural of VGA_COLOR is
signal PCLK : STD_LOGIC := '0';
signal hcount : STD_LOGIC_VECTOR (10 downto 0):= (OTHERS => '0');
signal vcount : STD_LOGIC_VECTOR (10 downto 0):= (OTHERS => '0');
signal blank : STD_LOGIC := '0';
begin
Pixel_clk: entity work.PIXEL_CLK
port map( CLK_IN => CLK,
CLK_OUT => PCLK);
RGB: entity work.RGB
port map( VALUE => SW,
BLANK => blank,
RED => VGARED,
GRN => VGAGRN,
BLU => VGABLU);
VGA_controller: entity work.vga_controller
port map( RST => RST,
PIXEL_CLK => PCLK,
HS => HSYNC,
VS => VSYNC,
HCOUNT => hcount,
VCOUNT => vcount,
BLANK => blank);
end Structural;
| gpl-3.0 | d64e9cea1b543d4bc50e90666567ebef | 0.512339 | 4.043384 | false | false | false | false |
YingcaiDong/Shunting-Model-Based-Path-Planning-Algorithm-Accelerator-Using-FPGA | System Design Source FIle/bd/system/ip/system_axi_timer_0_0/sim/system_axi_timer_0_0.vhd | 1 | 8,677 | -- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:axi_timer:2.0
-- IP Revision: 6
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY axi_timer_v2_0;
USE axi_timer_v2_0.axi_timer;
ENTITY system_axi_timer_0_0 IS
PORT (
capturetrig0 : IN STD_LOGIC;
capturetrig1 : IN STD_LOGIC;
generateout0 : OUT STD_LOGIC;
generateout1 : OUT STD_LOGIC;
pwm0 : OUT STD_LOGIC;
interrupt : OUT STD_LOGIC;
freeze : IN STD_LOGIC;
s_axi_aclk : IN STD_LOGIC;
s_axi_aresetn : IN STD_LOGIC;
s_axi_awaddr : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_araddr : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC
);
END system_axi_timer_0_0;
ARCHITECTURE system_axi_timer_0_0_arch OF system_axi_timer_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_axi_timer_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT axi_timer IS
GENERIC (
C_FAMILY : STRING;
C_COUNT_WIDTH : INTEGER;
C_ONE_TIMER_ONLY : INTEGER;
C_TRIG0_ASSERT : STD_LOGIC;
C_TRIG1_ASSERT : STD_LOGIC;
C_GEN0_ASSERT : STD_LOGIC;
C_GEN1_ASSERT : STD_LOGIC;
C_S_AXI_DATA_WIDTH : INTEGER;
C_S_AXI_ADDR_WIDTH : INTEGER
);
PORT (
capturetrig0 : IN STD_LOGIC;
capturetrig1 : IN STD_LOGIC;
generateout0 : OUT STD_LOGIC;
generateout1 : OUT STD_LOGIC;
pwm0 : OUT STD_LOGIC;
interrupt : OUT STD_LOGIC;
freeze : IN STD_LOGIC;
s_axi_aclk : IN STD_LOGIC;
s_axi_aresetn : IN STD_LOGIC;
s_axi_awaddr : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_araddr : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC
);
END COMPONENT axi_timer;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF interrupt: SIGNAL IS "xilinx.com:signal:interrupt:1.0 INTERRUPT INTERRUPT";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_ACLK CLK";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 S_AXI_RST RST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY";
BEGIN
U0 : axi_timer
GENERIC MAP (
C_FAMILY => "zynq",
C_COUNT_WIDTH => 32,
C_ONE_TIMER_ONLY => 0,
C_TRIG0_ASSERT => '1',
C_TRIG1_ASSERT => '1',
C_GEN0_ASSERT => '1',
C_GEN1_ASSERT => '1',
C_S_AXI_DATA_WIDTH => 32,
C_S_AXI_ADDR_WIDTH => 5
)
PORT MAP (
capturetrig0 => capturetrig0,
capturetrig1 => capturetrig1,
generateout0 => generateout0,
generateout1 => generateout1,
pwm0 => pwm0,
interrupt => interrupt,
freeze => freeze,
s_axi_aclk => s_axi_aclk,
s_axi_aresetn => s_axi_aresetn,
s_axi_awaddr => s_axi_awaddr,
s_axi_awvalid => s_axi_awvalid,
s_axi_awready => s_axi_awready,
s_axi_wdata => s_axi_wdata,
s_axi_wstrb => s_axi_wstrb,
s_axi_wvalid => s_axi_wvalid,
s_axi_wready => s_axi_wready,
s_axi_bresp => s_axi_bresp,
s_axi_bvalid => s_axi_bvalid,
s_axi_bready => s_axi_bready,
s_axi_araddr => s_axi_araddr,
s_axi_arvalid => s_axi_arvalid,
s_axi_arready => s_axi_arready,
s_axi_rdata => s_axi_rdata,
s_axi_rresp => s_axi_rresp,
s_axi_rvalid => s_axi_rvalid,
s_axi_rready => s_axi_rready
);
END system_axi_timer_0_0_arch;
| mit | 51d6be81d7c21ecdd58d0dd52b5b0724 | 0.669586 | 3.369709 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/c_addsub_v12_0/hdl/c_addsub_v12_0.vhd | 3 | 12,033 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
XCAJc7uqe+ltZ18BWscr0a7pwWmpHYiiN6BbXttmlpRPSS/iIChIJf+19kijnsdxXHHq2YXfpQQn
agcPI69WDA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
FIfaRElkiWq0hDrF3Ik4yNByc7oczlHrgKqCu0gZOjcoV333wabGe18UZZxplD4wXkKqZneMarIu
3bpkuPmmvL6Yqg+UAvIL6JT3tUh61bVLf38ICQy0EnBhmin+hpkSnE/hmCnC4Zs9PRAEebcaBqWr
DxPPZOv5O2D5XLAHV+M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
mp6DRLbE/c24v6uYmcvuur4RUAHfUEcrNAt9epIKc9f+lSfUemFthsn1S/TH236oWo0MsHYGCtbK
xlkQ0NaM+WxcPHZMAIV2KBox/sDyE7UfPMuXRWcdRWLGCB1MRgYXMRJz0pIApnt/CvnUzmMbRhCu
le2gPWp3JxRN0XcU55FBqt0AbI/S+ePKJjuWHc0RqM7qCHFh+RmWoaGCD7M725I1+xIFd1jXIFnx
W5lt3acB/ACB5u5Kw+LlbNduH+BySVXSmWrrvpxbJ031kashU/6drJ8B7MzjxXCspqq8ZeSsYWFQ
oLw+4wAyHifEaGGykZF5cxx6T1nziCLPRWSMpA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
hEyJvJqwc4dAkhIkzMpIgncB6hLB/zFVR0WAFxCbseL5QIP+Fa0cFBKHQlzIXkUU3EHwi6s8xwtt
pg4LWfPP1z0w75WiS36Y5I1UKvMnS53pywcPSe34OUJ8MByYv5UtRnzL/UeNP9pRXea7oywD8kR5
8pQV2o6mgmy2lGWJhKs=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dTiDreYwgUeUHO1S++fbg+swzO7VFYB/iLb4XqmTE4ksc5kEttMgsCPgR2biYSC9xCTHKacVEmIs
LE7JPfiblprB91DM+94xAOnAaxl5Aq1Ybc7QJb/9x5dQ5JtiUH4VdBqN1hlYNCsY11Hu1KLYyP5A
l4lymugO3y6Lxgv5q0YvIkSXrqDlG7mpt+JLM4I9LUvfTigadtbHcVnzVOIIO3YLc0ARQksOi1fY
dbj8uQkNvbAk9cQ8zwOARJ5Fg58A8WTyAPg1youE8A7g2QnsOEx61fUH+PFLo3wEX3UUZ5FgTheH
ye0cETC+w4Qh9uyXatFuhMSDoPXk0Lt2UsjIig==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7168)
`protect data_block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`protect end_protected
| gpl-2.0 | 2b4825d85b747bbfb57a0d36191d0eb6 | 0.930857 | 1.89765 | false | false | false | false |
fafaldo/ethernet | ethernet4b/ipcore_dir/blk_mem_gen_v7_3/simulation/bmg_stim_gen.vhd | 1 | 12,449 |
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7_3 Core - Stimulus Generator For Simple Dual Port RAM
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: bmg_stim_gen.vhd
--
-- Description:
-- Stimulus Generation For SDP Configuration
-- 100 Writes and 100 Reads will be performed in a repeatitive loop till the
-- simulation ends
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: Sep 12, 2011 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_MISC.ALL;
LIBRARY work;
USE work.ALL;
USE work.BMG_TB_PKG.ALL;
ENTITY REGISTER_LOGIC IS
PORT(
Q : OUT STD_LOGIC;
CLK : IN STD_LOGIC;
RST : IN STD_LOGIC;
D : IN STD_LOGIC
);
END REGISTER_LOGIC;
ARCHITECTURE REGISTER_ARCH OF REGISTER_LOGIC IS
SIGNAL Q_O : STD_LOGIC :='0';
BEGIN
Q <= Q_O;
FF_BEH: PROCESS(CLK)
BEGIN
IF(RISING_EDGE(CLK)) THEN
IF(RST ='1') THEN
Q_O <= '0';
ELSE
Q_O <= D;
END IF;
END IF;
END PROCESS;
END REGISTER_ARCH;
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_MISC.ALL;
LIBRARY work;
USE work.ALL;
USE work.BMG_TB_PKG.ALL;
ENTITY BMG_STIM_GEN IS
PORT (
CLKA : IN STD_LOGIC;
CLKB : IN STD_LOGIC;
TB_RST : IN STD_LOGIC;
ADDRA: OUT STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0');
DINA : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) := (OTHERS => '0');
ENA : OUT STD_LOGIC :='0';
WEA : OUT STD_LOGIC_VECTOR (0 DOWNTO 0) := (OTHERS => '0');
ADDRB: OUT STD_LOGIC_VECTOR(10 DOWNTO 0) := (OTHERS => '0');
ENB : OUT STD_LOGIC :='0';
CHECK_DATA: OUT STD_LOGIC:='0'
);
END BMG_STIM_GEN;
ARCHITECTURE BEHAVIORAL OF BMG_STIM_GEN IS
CONSTANT ZERO : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL WRITE_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL READ_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL DINA_INT : STD_LOGIC_VECTOR(3 DOWNTO 0) := (OTHERS => '0');
SIGNAL DO_WRITE : STD_LOGIC := '0';
SIGNAL DO_READ : STD_LOGIC := '0';
SIGNAL DO_READ_R : STD_LOGIC := '0';
SIGNAL DO_READ_REG : STD_LOGIC_VECTOR(5 DOWNTO 0) :=(OTHERS => '0');
SIGNAL PORTA_WR : STD_LOGIC:='0';
SIGNAL COUNT : INTEGER :=0;
SIGNAL INCR_WR_CNT : STD_LOGIC:='0';
SIGNAL PORTA_WR_COMPLETE : STD_LOGIC :='0';
SIGNAL PORTB_RD : STD_LOGIC:='0';
SIGNAL COUNT_RD : INTEGER :=0;
SIGNAL INCR_RD_CNT : STD_LOGIC:='0';
SIGNAL PORTB_RD_COMPLETE : STD_LOGIC :='0';
SIGNAL LATCH_PORTA_WR_COMPLETE : STD_LOGIC :='0';
SIGNAL PORTB_RD_HAPPENED : STD_LOGIC := '0';
SIGNAL PORTA_WR_L1 :STD_LOGIC := '0';
SIGNAL PORTA_WR_L2 :STD_LOGIC := '0';
SIGNAL PORTB_RD_R2 :STD_LOGIC := '0';
SIGNAL PORTB_RD_R1 :STD_LOGIC := '0';
SIGNAL LATCH_PORTB_RD_COMPLETE : STD_LOGIC :='0';
SIGNAL PORTA_WR_HAPPENED : STD_LOGIC := '0';
SIGNAL PORTB_RD_L1 : STD_LOGIC := '0';
SIGNAL PORTB_RD_L2 : STD_LOGIC := '0';
SIGNAL PORTA_WR_R2 : STD_LOGIC := '0';
SIGNAL PORTA_WR_R1 : STD_LOGIC := '0';
CONSTANT WR_RD_DEEP_COUNT :INTEGER :=8;
CONSTANT WR_DEEP_COUNT : INTEGER := if_then_else((12 <= 11),WR_RD_DEEP_COUNT,
((8/4)*WR_RD_DEEP_COUNT));
CONSTANT RD_DEEP_COUNT : INTEGER := if_then_else((11 <= 12),WR_RD_DEEP_COUNT,
((4/8)*WR_RD_DEEP_COUNT));
BEGIN
ADDRA <= WRITE_ADDR(11 DOWNTO 0) ;
DINA <= DINA_INT ;
ADDRB <= READ_ADDR(10 DOWNTO 0) when (DO_READ='1') else (OTHERS=>'0');
CHECK_DATA <= DO_READ_REG(1-1);
RD_ADDR_GEN_INST:ENTITY work.ADDR_GEN
GENERIC MAP(
C_MAX_DEPTH => 2048 ,
RST_INC => 1 )
PORT MAP(
CLK => CLKB,
RST => TB_RST,
EN => DO_READ,
LOAD => '0',
LOAD_VALUE => ZERO,
ADDR_OUT => READ_ADDR
);
WR_ADDR_GEN_INST:ENTITY work.ADDR_GEN
GENERIC MAP(
C_MAX_DEPTH => 4096,
RST_INC => 2 )
PORT MAP(
CLK => CLKA,
RST => TB_RST,
EN => DO_WRITE,
LOAD => '0',
LOAD_VALUE => ZERO,
ADDR_OUT => WRITE_ADDR
);
WR_DATA_GEN_INST:ENTITY work.DATA_GEN
GENERIC MAP (
DATA_GEN_WIDTH => 8,
DOUT_WIDTH => 4 ,
DATA_PART_CNT => 2,
SEED => 2)
PORT MAP (
CLK => CLKA,
RST => TB_RST,
EN => DO_WRITE,
DATA_OUT => DINA_INT
);
PORTA_WR_PROCESS: PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(TB_RST='1') THEN
PORTA_WR<='1';
ELSE
PORTA_WR<=PORTB_RD_COMPLETE;
END IF;
END IF;
END PROCESS;
PORTB_RD_PROCESS: PROCESS(CLKB)
BEGIN
IF(RISING_EDGE(CLKB)) THEN
IF(TB_RST='1') THEN
PORTB_RD<='0';
ELSE
PORTB_RD<=PORTA_WR_L2;
END IF;
END IF;
END PROCESS;
PORTB_RD_COMPLETE_LATCH: PROCESS(CLKB)
BEGIN
IF(RISING_EDGE(CLKB)) THEN
IF(TB_RST='1') THEN
LATCH_PORTB_RD_COMPLETE<='0';
ELSIF(PORTB_RD_COMPLETE='1') THEN
LATCH_PORTB_RD_COMPLETE <='1';
ELSIF(PORTA_WR_HAPPENED='1') THEN
LATCH_PORTB_RD_COMPLETE<='0';
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(TB_RST='1') THEN
PORTB_RD_L1 <='0';
PORTB_RD_L2 <='0';
ELSE
PORTB_RD_L1 <= LATCH_PORTB_RD_COMPLETE;
PORTB_RD_L2 <= PORTB_RD_L1;
END IF;
END IF;
END PROCESS;
PROCESS(CLKB)
BEGIN
IF(RISING_EDGE(CLKB)) THEN
IF(TB_RST='1') THEN
PORTA_WR_R1 <='0';
PORTA_WR_R2 <='0';
ELSE
PORTA_WR_R1 <= PORTA_WR;
PORTA_WR_R2 <= PORTA_WR_R1;
END IF;
END IF;
END PROCESS;
PORTA_WR_HAPPENED <= PORTA_WR_R2;
PORTA_WR_COMPLETE_LATCH: PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(TB_RST='1') THEN
LATCH_PORTA_WR_COMPLETE<='0';
ELSIF(PORTA_WR_COMPLETE='1') THEN
LATCH_PORTA_WR_COMPLETE <='1';
--ELSIF(PORTB_RD_HAPPENED='1') THEN
ELSE
LATCH_PORTA_WR_COMPLETE<='0';
END IF;
END IF;
END PROCESS;
PROCESS(CLKB)
BEGIN
IF(RISING_EDGE(CLKB)) THEN
IF(TB_RST='1') THEN
PORTA_WR_L1 <='0';
PORTA_WR_L2 <='0';
ELSE
PORTA_WR_L1 <= LATCH_PORTA_WR_COMPLETE;
PORTA_WR_L2 <= PORTA_WR_L1;
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(TB_RST='1') THEN
PORTB_RD_R1 <='0';
PORTB_RD_R2 <='0';
ELSE
PORTB_RD_R1 <= PORTB_RD;
PORTB_RD_R2 <= PORTB_RD_R1;
END IF;
END IF;
END PROCESS;
PORTB_RD_HAPPENED <= PORTB_RD_R2;
PORTB_RD_COMPLETE <= '1' when (count_rd=RD_DEEP_COUNT) else '0';
start_rd_counter: process(clkb)
begin
if(rising_edge(clkb)) then
if(tb_rst='1') then
incr_rd_cnt <= '0';
elsif(portb_rd ='1') then
incr_rd_cnt <='1';
elsif(portb_rd_complete='1') then
incr_rd_cnt <='0';
end if;
end if;
end process;
RD_COUNTER: process(clkb)
begin
if(rising_edge(clkb)) then
if(tb_rst='1') then
count_rd <= 0;
elsif(incr_rd_cnt='1') then
count_rd<=count_rd+1;
end if;
--if(count_rd=(wr_rd_deep_count)) then
if(count_rd=(RD_DEEP_COUNT)) then
count_rd<=0;
end if;
end if;
end process;
DO_READ<='1' when (count_rd <RD_DEEP_COUNT and incr_rd_cnt='1') else '0';
PORTA_WR_COMPLETE <= '1' when (count=WR_DEEP_COUNT) else '0';
start_counter: process(clka)
begin
if(rising_edge(clka)) then
if(tb_rst='1') then
incr_wr_cnt <= '0';
elsif(porta_wr ='1') then
incr_wr_cnt <='1';
elsif(porta_wr_complete='1') then
incr_wr_cnt <='0';
end if;
end if;
end process;
COUNTER: process(clka)
begin
if(rising_edge(clka)) then
if(tb_rst='1') then
count <= 0;
elsif(incr_wr_cnt='1') then
count<=count+1;
end if;
if(count=(WR_DEEP_COUNT)) then
count<=0;
end if;
end if;
end process;
DO_WRITE<='1' when (count <WR_DEEP_COUNT and incr_wr_cnt='1') else '0';
BEGIN_SHIFT_REG: FOR I IN 0 TO 5 GENERATE
BEGIN
DFF_RIGHT: IF I=0 GENERATE
BEGIN
SHIFT_INST_0: ENTITY work.REGISTER_LOGIC
PORT MAP(
Q => DO_READ_REG(0),
CLK => CLKB,
RST => TB_RST,
D => DO_READ
);
END GENERATE DFF_RIGHT;
DFF_OTHERS: IF ((I>0) AND (I<=5)) GENERATE
BEGIN
SHIFT_INST: ENTITY work.REGISTER_LOGIC
PORT MAP(
Q => DO_READ_REG(I),
CLK =>CLKB,
RST =>TB_RST,
D =>DO_READ_REG(I-1)
);
END GENERATE DFF_OTHERS;
END GENERATE BEGIN_SHIFT_REG;
REGCE_PROCESS: PROCESS(CLKB)
BEGIN
IF(RISING_EDGE(CLKB)) THEN
IF(TB_RST='1') THEN
DO_READ_R <= '0';
ELSE
DO_READ_R <= DO_READ;
END IF;
END IF;
END PROCESS;
ENB <= DO_READ OR (OR_REDUCE(DO_READ_REG(0 DOWNTO 0))) ;
ENA <= DO_WRITE ;
WEA(0) <= DO_WRITE ;
END ARCHITECTURE;
| apache-2.0 | 08e36e540ad8db566fd85586d93e9e14 | 0.541891 | 3.536648 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xfft_v9_0/hdl/sub_byp.vhd | 3 | 9,156 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ThL0lPfLUwsr8D/1y5RqKySSF4WecmvbCj216hzVNS8knKGNO2bkGGNJ64guPpYaTERSMDjpeKbY
R4YKsHWbTg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UREqI7/iu0qMsD8EE6mn6aau6eWVAR7n4WBON5Vg5rUBTi7+6Eegkp3iezkS5aGWTvVIwMuYpH/G
C/YOLvIIuwA30DSoHpYzXx0J4koumudxJo8ZsrETGr9irW3Mz2FcRSHhuYM3cCIHh/Mek2AORcY/
UbbmPc8W8OOV/0aNv/Q=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Kxb4D3pcfJhbwu17PqoIu4xoobFNcs+56Bv5GkpFGJJQk+x6UpA252LWi7pdPU45uHRDMBJbMGmd
xTYQZpGUbgGa4o1nH4vlew7A1qdO5hOKnxcZb8z7H/kwrP8GXOF2zjEFzhKmA+lMgYEZRL4gRxGN
MhgHWEjA3DBW8nssSHy8D32PJblmkc898plIxPPBGUlhPZQ7n3c2Uh6kWQuVEGy7GB+Hh20K5hHz
bglr0xLgGTh7gZ4LaXsKXSDxb+i3PahSF5Z6YDjNsEMXY268qQ+C4boL4cNrOZE8pkw+RioZxgwd
mdNXaq1Y/YgBl0cbPNq6CC6q74w1LCss7g8tQA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
2wYMUczn8CN9bFCLsqjaT+7ZUBWd5Liqm8vXkEOjoK5dw4B6Q5y+81e3Z8eZpTUpFw6fr0/JvJJX
X3tqlpZqATmOOyQv8VID9eg1hhyTccv5APoX118NwuJHqdmufw6UcZPfQKhYuaqZNj3zdGUbkecM
GS4x5y7V15Ry1uJBZu0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
e7e5tzgta0MXzoQWdq/l/OIWIsSBtrtEa2XCO9+2hc4GzMPPsEBMKJc/0J+fhDBTLxuiYiYHw9rY
C/O1i+iJmEbDy4wyJTTo8U6OtwIcL9x0uMHwdNYJduC9Bbxk2XBn7SNV1raIhcba3nnaKkfitRT4
rROmMq0TdnHHVx71P3ldnF9mrcLFPOvmMP+AyqBL2r+q0tnSLDvBnSqPRWfQII2QK7LtTmOSabm3
PMM9cFsf/b2PlodAWqp1GeK2syC3sqRmNRb9GGfEa1sHLJHTDD+7Ee4JwftXQxCORq+ZwApZMvO+
SvTlEM3ftiJcmmbsmU8FhdRu9WfwLyWW/CMNyw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5040)
`protect data_block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`protect end_protected
| gpl-2.0 | 944992925d5be5a21b17a2b25771c168 | 0.920599 | 1.925147 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fir/fir_compiler_v7_1/hdl/addsub_mult_accum.vhd | 2 | 17,222 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
pzGPjeU9oe15bHT/8BULB2CTkmLItxbZLf51EL1x7XF0h3mXvld9CXXn8tmuh4bbSQy6NoFEUW+L
/JPjG2MOdw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Yi/xmfMAJzB+zp18iHmsxPiy2v154o+wTuB8WZ17TzPbiPKdzvE1YDtImzKctS/9pz6hh21WdQSp
J2vCkE0yf+HlIADuTESViq9bMbFbbc2pgA04uAAw7sEmX32xfMO9ZkMZsVo33JDxN36I2O6G/F8L
cYLjJt4ZyLuyfXNoxFU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
1ItuExU8XBZyM7blkukCuuhpINEYjmyTjtk4d1rYy9SeoxDM4XA6E4Rb9lFC6cTjCeIC457y9yzW
feBndQnmDUEiUuUdCikOZhEZnwGdCF46TTGCWccJNYa/5rF/ttI0EM4orpJFPZ8feREAs+n70KhW
lK1NO2WVZAdMlCSAjoA7pEl+DWFPZ/oBd3zVSCyYfHa2QBsj2vzFdBzDlRcE1tQeUi6ssBrVS0nc
vg+OgkoKWdkOJSyj40gsx2mU68yvvhARbQOLEFZKxBpKekv6phqYQu6AcTKavnbuY93ytFA9aazO
6R1zqghwtZoZRKnIkNkGVQZu421Oo6LJ14gGjQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
yZIOWiyUupLTQ+LBmB5uZ6WdRnA/Oli4GqyeTD9iNxdXzDaC+pMSU8d7iZJPAjgBMTn5f0IirQcu
zYOituOW/cMCs77kvvy/OyIrC1xxqtfREJxSR0Gujau0j+Q5iBQcWtFchHNmkcl1wJtHQq/X7ONT
xjuHpLEIYYjXZHfQ4AM=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
tYZYgMgcN/hosSjFKld/9QXIStM37FBIH2Ngtw2RDCGqyZKQ7TfInhGfetfMU9jZta1IDkyCoa7n
8t49BBqdiIbCmFitSxXxn4sQdv+SHhR0FGDwdXm5T6MzMunp+fOHl/eUX3YCaeKHtjaphpEcwnPz
LmnmbRU37MPrZvIBx4N+4O2NQG8WDjFIDhSQ+y1FmdCXHpNQxlrJ84AoDaN0LkqqGj+RlXylOEoi
Z9azXAhbke4YwI8kZumfKFN2locwMUlL/LFV2uNq9klAtf8mHFfl3yv4djL9PPXNQEuHvWDrtH6P
SxKXfmvmoO+wI8D0i5JKuppK1f9byBK3nkurdw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11008)
`protect data_block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`protect end_protected
| gpl-2.0 | 50caff33e941edd2071f93a636ce8138 | 0.937348 | 1.87379 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/c_mux_bit_v12_0/hdl/c_mux_bit_v12_0_pkg.vhd | 3 | 23,228 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
TNjd0U8CHxN7Zi4uWSpT/qJER3wOKtTO/KlEpRn5nIy80EFqZf2bC7bvPdiCl2ICuw6pNHD4XAqU
mM1ICIgheA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
U0Prjzu5iVxPdx2iBieB9snYfbYLCDwpTH9WM7j6GMpCxiJ9Tru3gtGwqejd1CwbR6llHq+CsCXJ
PN13R6yN6Vk5AXuGwDSC3QByJ2IT+OlURhbRAp/lfhnNGaSpCLZ089v1o6Qj2kYHwPaqInmqAmRq
n6fmeDV/xW4Sk6qd3jU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FtBACsyuwXclfsJEtNb1nrpF4jDTMQdXCMjTw5p4G1qDC/hbiPBEFuEcN7lgb5lFMNhB9wbTU57M
hhEGUcKfkME6VmKAgi/gFCHKpxej4yDoKfr8zSrQCtXwb4OOegaRyzFKbkQLAA1yHNvWvVGEJG1p
ZN5N65ZnM7m2C+hINdCAQLuDyAmymFeYnJsftgtd2Mo3Xz0pwNHk+JDZFl7fa+/zwNOpFuopgy2k
mi+SLr7CBJnA0DYW5ipXTCIrdne3bIXuwAU2+RF5X2TJavgSMRN2mNB+pU0Wy9RQvkPtF08g3bhs
3cRX0FdIMLgMY/plKk1Chkkhm6dRHNdwqalrVQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
x+IohQfftOSY5/T2a6d8/XwN9OBCZjoDKa8ileGQHldca3pFvNt2RqzYmVe0lg9hTXpuQaWjCbZe
E12y0+K+D2cQagXqdQqOEo/Pp1j55ba6KuyWyXZQZMlwsrzeqfuNbN1vxsOIaYlZjsInYMciWTy2
NfEtr3tnz3COULRZF9g=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
d6iIekyex0xkJNW28QJDbLHv1iUhWVLtag8qRiQGj/XV7wKVAoXKuhDR4lnYcSV1o/l0le6Xp1YZ
VU5uWc8wQDhb83ts+3ylxEcEXNsd1bXYtW/9RU9gUkhx1lp74k5/X0gl5+u+qkrOPi9mEHN6Eqee
+B1g7ZnXWRLGliNMUz+61l2v6RkP20DdUS4vFCnaU6HR8EcWEEGI5cJVV1sA0vpDxEsksMAFj34C
V/+R8PxlrQCVFeh+6YFNw/fBQ0zAiqWpwzXKhIFxGzk3mRCySqg6MdfRtHGPJIh/xJFXop+GYD7+
QgFbWS/Q/dhJ4E/9oAditDTfLftZ23bYcc84JQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15456)
`protect data_block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`protect end_protected
| gpl-2.0 | 05f62ba25779fefc7f1aa19464201f0b | 0.943732 | 1.838094 | false | false | false | false |
UVVM/UVVM_All | bitvis_vip_uart/src/uart_rx_vvc.vhd | 1 | 22,539 | --================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library uvvm_util;
context uvvm_util.uvvm_util_context;
library uvvm_vvc_framework;
use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all;
library bitvis_vip_scoreboard;
use bitvis_vip_scoreboard.generic_sb_support_pkg.C_SB_CONFIG_DEFAULT;
use work.transaction_pkg.all;
use work.uart_bfm_pkg.all;
use work.vvc_methods_pkg.all;
use work.vvc_cmd_pkg.all;
use work.td_target_support_pkg.all;
use work.td_vvc_entity_support_pkg.all;
use work.td_cmd_queue_pkg.all;
use work.td_result_queue_pkg.all;
entity uart_rx_vvc is
generic (
GC_DATA_WIDTH : natural := 8;
GC_INSTANCE_IDX : natural := 1;
GC_CHANNEL : t_channel := RX;
GC_UART_CONFIG : t_uart_bfm_config := C_UART_BFM_CONFIG_DEFAULT;
GC_CMD_QUEUE_COUNT_MAX : natural := 1000;
GC_CMD_QUEUE_COUNT_THRESHOLD : natural := 950;
GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY : t_alert_level := warning;
GC_RESULT_QUEUE_COUNT_MAX : natural := 1000;
GC_RESULT_QUEUE_COUNT_THRESHOLD : natural := 950;
GC_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY : t_alert_level := warning
);
port (
uart_vvc_rx : in std_logic
);
end entity uart_rx_vvc;
architecture behave of uart_rx_vvc is
constant C_SCOPE : string := get_scope_for_log(C_VVC_NAME, GC_INSTANCE_IDX, GC_CHANNEL);
constant C_VVC_LABELS : t_vvc_labels := assign_vvc_labels(C_SCOPE, C_VVC_NAME, GC_INSTANCE_IDX, GC_CHANNEL);
signal executor_is_busy : boolean := false;
signal queue_is_increasing : boolean := false;
signal last_cmd_idx_executed : natural := 0;
signal terminate_current_cmd : t_flag_record;
-- Instantiation of the element dedicated Queue
shared variable command_queue : work.td_cmd_queue_pkg.t_generic_queue;
shared variable result_queue : work.td_result_queue_pkg.t_generic_queue;
alias vvc_config : t_vvc_config is shared_uart_vvc_config(RX, GC_INSTANCE_IDX);
alias vvc_status : t_vvc_status is shared_uart_vvc_status(RX, GC_INSTANCE_IDX);
alias transaction_info : t_transaction_info is shared_uart_transaction_info(RX, GC_INSTANCE_IDX);
-- Transaction info
alias vvc_transaction_info_trigger : std_logic is global_uart_vvc_transaction_trigger(RX, GC_INSTANCE_IDX);
alias vvc_transaction_info : t_transaction_group is shared_uart_vvc_transaction_info(RX, GC_INSTANCE_IDX);
-- VVC Activity
signal entry_num_in_vvc_activity_register : integer;
--UVVM: temporary fix for HVVC, remove function below in v3.0
function get_msg_id_panel(
constant command : in t_vvc_cmd_record;
constant vvc_config : in t_vvc_config
) return t_msg_id_panel is
begin
-- If the parent_msg_id_panel is set then use it,
-- otherwise use the VVCs msg_id_panel from its config.
if command.msg(1 to 5) = "HVVC:" then
return vvc_config.parent_msg_id_panel;
else
return vvc_config.msg_id_panel;
end if;
end function;
begin
--===============================================================================================
-- Constructor
-- - Set up the defaults and show constructor if enabled
--===============================================================================================
work.td_vvc_entity_support_pkg.vvc_constructor(C_SCOPE, GC_INSTANCE_IDX, vvc_config, command_queue, result_queue, GC_UART_CONFIG,
GC_CMD_QUEUE_COUNT_MAX, GC_CMD_QUEUE_COUNT_THRESHOLD, GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY,
GC_RESULT_QUEUE_COUNT_MAX, GC_RESULT_QUEUE_COUNT_THRESHOLD, GC_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY);
--===============================================================================================
--===============================================================================================
-- Command interpreter
-- - Interpret, decode and acknowledge commands from the central sequencer
--===============================================================================================
cmd_interpreter : process
variable v_cmd_has_been_acked : boolean; -- Indicates if acknowledge_cmd() has been called for the current shared_vvc_cmd
variable v_local_vvc_cmd : t_vvc_cmd_record := C_VVC_CMD_DEFAULT;
variable v_msg_id_panel : t_msg_id_panel;
variable v_temp_msg_id_panel : t_msg_id_panel; --UVVM: temporary fix for HVVC, remove in v3.0
begin
-- 0. Initialize the process prior to first command
work.td_vvc_entity_support_pkg.initialize_interpreter(terminate_current_cmd, global_awaiting_completion);
-- initialise shared_vvc_last_received_cmd_idx for channel and instance
shared_vvc_last_received_cmd_idx(RX, GC_INSTANCE_IDX) := 0;
-- Register VVC in vvc activity register
entry_num_in_vvc_activity_register <= shared_vvc_activity_register.priv_register_vvc(name => C_VVC_NAME,
instance => GC_INSTANCE_IDX,
channel => GC_CHANNEL);
-- Set initial value of v_msg_id_panel to msg_id_panel in config
v_msg_id_panel := vvc_config.msg_id_panel;
-- Update BFM config num_data_bits with GC_DATA_WIDTH
vvc_config.bfm_config.num_data_bits := GC_DATA_WIDTH;
-- Then for every single command from the sequencer
loop -- basically as long as new commands are received
-- 1. wait until command targeted at this VVC. Must match VVC name, instance and channel (if applicable)
-- releases global semaphore
-------------------------------------------------------------------------
work.td_vvc_entity_support_pkg.await_cmd_from_sequencer(C_VVC_LABELS, vvc_config, THIS_VVCT, VVC_BROADCAST, global_vvc_busy, global_vvc_ack, v_local_vvc_cmd);
v_cmd_has_been_acked := false; -- Clear flag
-- update shared_vvc_last_received_cmd_idx with received command index
shared_vvc_last_received_cmd_idx(RX, GC_INSTANCE_IDX) := v_local_vvc_cmd.cmd_idx;
-- Select between a provided msg_id_panel via the vvc_cmd_record from a VVC with a higher hierarchy or the
-- msg_id_panel in this VVC's config. This is to correctly handle the logging when using Hierarchical-VVCs.
v_msg_id_panel := get_msg_id_panel(v_local_vvc_cmd, vvc_config);
-- 2a. Put command on the queue if intended for the executor
-------------------------------------------------------------------------
if v_local_vvc_cmd.command_type = QUEUED then
work.td_vvc_entity_support_pkg.put_command_on_queue(v_local_vvc_cmd, command_queue, vvc_status, queue_is_increasing);
-- 2b. Otherwise command is intended for immediate response
-------------------------------------------------------------------------
elsif v_local_vvc_cmd.command_type = IMMEDIATE then
--UVVM: temporary fix for HVVC, remove two lines below in v3.0
if v_local_vvc_cmd.operation /= DISABLE_LOG_MSG and v_local_vvc_cmd.operation /= ENABLE_LOG_MSG then
v_temp_msg_id_panel := vvc_config.msg_id_panel;
vvc_config.msg_id_panel := v_msg_id_panel;
end if;
case v_local_vvc_cmd.operation is
when AWAIT_COMPLETION =>
work.td_vvc_entity_support_pkg.interpreter_await_completion(v_local_vvc_cmd, command_queue, vvc_config, executor_is_busy, C_VVC_LABELS, last_cmd_idx_executed);
when AWAIT_ANY_COMPLETION =>
if not v_local_vvc_cmd.gen_boolean then
-- Called with lastness = NOT_LAST: Acknowledge immediately to let the sequencer continue
work.td_target_support_pkg.acknowledge_cmd(global_vvc_ack, v_local_vvc_cmd.cmd_idx);
v_cmd_has_been_acked := true;
end if;
work.td_vvc_entity_support_pkg.interpreter_await_any_completion(v_local_vvc_cmd, command_queue, vvc_config, executor_is_busy, C_VVC_LABELS, last_cmd_idx_executed, global_awaiting_completion);
when DISABLE_LOG_MSG =>
uvvm_util.methods_pkg.disable_log_msg(v_local_vvc_cmd.msg_id, vvc_config.msg_id_panel, to_string(v_local_vvc_cmd.msg) & format_command_idx(v_local_vvc_cmd), C_SCOPE, v_local_vvc_cmd.quietness);
when ENABLE_LOG_MSG =>
uvvm_util.methods_pkg.enable_log_msg(v_local_vvc_cmd.msg_id, vvc_config.msg_id_panel, to_string(v_local_vvc_cmd.msg) & format_command_idx(v_local_vvc_cmd), C_SCOPE, v_local_vvc_cmd.quietness);
when FLUSH_COMMAND_QUEUE =>
work.td_vvc_entity_support_pkg.interpreter_flush_command_queue(v_local_vvc_cmd, command_queue, vvc_config, vvc_status, C_VVC_LABELS);
when TERMINATE_CURRENT_COMMAND =>
work.td_vvc_entity_support_pkg.interpreter_terminate_current_command(v_local_vvc_cmd, vvc_config, C_VVC_LABELS, terminate_current_cmd);
when FETCH_RESULT =>
work.td_vvc_entity_support_pkg.interpreter_fetch_result(result_queue, v_local_vvc_cmd, vvc_config, C_VVC_LABELS, last_cmd_idx_executed, shared_vvc_response);
when others =>
tb_error("Unsupported command received for IMMEDIATE execution: '" & to_string(v_local_vvc_cmd.operation) & "'", C_SCOPE);
end case;
--UVVM: temporary fix for HVVC, remove line below in v3.0
if v_local_vvc_cmd.operation /= DISABLE_LOG_MSG and v_local_vvc_cmd.operation /= ENABLE_LOG_MSG then
vvc_config.msg_id_panel := v_temp_msg_id_panel;
end if;
else
tb_error("command_type is not IMMEDIATE or QUEUED", C_SCOPE);
end if;
-- 3. Acknowledge command after runing or queuing the command
-------------------------------------------------------------------------
if not v_cmd_has_been_acked then
work.td_target_support_pkg.acknowledge_cmd(global_vvc_ack, v_local_vvc_cmd.cmd_idx);
end if;
end loop;
end process;
--===============================================================================================
--===============================================================================================
-- Command executor
-- - Fetch and execute the commands
--===============================================================================================
cmd_executor : process
variable v_cmd : t_vvc_cmd_record;
variable v_read_data : t_vvc_result; -- See vvc_cmd_pkg
variable v_timestamp_start_of_current_bfm_access : time := 0 ns;
variable v_timestamp_start_of_last_bfm_access : time := 0 ns;
variable v_timestamp_end_of_last_bfm_access : time := 0 ns;
variable v_command_is_bfm_access : boolean := false;
variable v_prev_command_was_bfm_access : boolean := false;
variable v_normalised_data : std_logic_vector(GC_DATA_WIDTH-1 downto 0) := (others => '0');
variable v_msg_id_panel : t_msg_id_panel;
variable v_num_data_bits : natural := vvc_config.bfm_config.num_data_bits;
begin
-- 0. Initialize the process prior to first command
-------------------------------------------------------------------------
work.td_vvc_entity_support_pkg.initialize_executor(terminate_current_cmd);
-- Set initial value of v_msg_id_panel to msg_id_panel in config
v_msg_id_panel := vvc_config.msg_id_panel;
-- Setup UART scoreboard
UART_VVC_SB.set_scope("UART_VVC_SB");
UART_VVC_SB.enable(GC_INSTANCE_IDX, "UART VVC SB Enabled");
UART_VVC_SB.enable_log_msg(GC_INSTANCE_IDX, ID_DATA);
UART_VVC_SB.config(GC_INSTANCE_IDX, C_SB_CONFIG_DEFAULT);
loop
-- update vvc activity
update_vvc_activity_register(global_trigger_vvc_activity_register, vvc_status, INACTIVE, entry_num_in_vvc_activity_register, last_cmd_idx_executed, command_queue.is_empty(VOID), C_SCOPE);
-- 1. Set defaults, fetch command and log
-------------------------------------------------------------------------
work.td_vvc_entity_support_pkg.fetch_command_and_prepare_executor(v_cmd, command_queue, vvc_config, vvc_status, queue_is_increasing, executor_is_busy, C_VVC_LABELS);
-- update vvc activity
update_vvc_activity_register(global_trigger_vvc_activity_register, vvc_status, ACTIVE, entry_num_in_vvc_activity_register, last_cmd_idx_executed, command_queue.is_empty(VOID), C_SCOPE);
-- Set the transaction info for waveview
transaction_info := C_TRANSACTION_INFO_DEFAULT;
transaction_info.operation := v_cmd.operation;
transaction_info.msg := pad_string(to_string(v_cmd.msg), ' ', transaction_info.msg'length);
-- Select between a provided msg_id_panel via the vvc_cmd_record from a VVC with a higher hierarchy or the
-- msg_id_panel in this VVC's config. This is to correctly handle the logging when using Hierarchical-VVCs.
v_msg_id_panel := get_msg_id_panel(v_cmd, vvc_config);
-- Check if command is a BFM access
v_prev_command_was_bfm_access := v_command_is_bfm_access; -- save for inter_bfm_delay
if v_cmd.operation = RECEIVE or v_cmd.operation = EXPECT then
v_command_is_bfm_access := true;
else
v_command_is_bfm_access := false;
end if;
-- Insert delay if needed
work.td_vvc_entity_support_pkg.insert_inter_bfm_delay_if_requested(vvc_config => vvc_config,
command_is_bfm_access => v_prev_command_was_bfm_access,
timestamp_start_of_last_bfm_access => v_timestamp_start_of_last_bfm_access,
timestamp_end_of_last_bfm_access => v_timestamp_end_of_last_bfm_access,
msg_id_panel => v_msg_id_panel,
scope => C_SCOPE);
if v_command_is_bfm_access then
v_timestamp_start_of_current_bfm_access := now;
end if;
-- 2. Execute the fetched command
-------------------------------------------------------------------------
case v_cmd.operation is -- Only operations in the dedicated record are relevant
when RECEIVE =>
-- Set transaction info
set_global_vvc_transaction_info(vvc_transaction_info_trigger, vvc_transaction_info, v_cmd, vvc_config);
transaction_info.data(GC_DATA_WIDTH - 1 downto 0) := v_cmd.data(GC_DATA_WIDTH - 1 downto 0);
-- Call the corresponding procedure in the BFM package.
uart_receive( data_value => v_read_data(v_num_data_bits-1 downto 0),
msg => format_msg(v_cmd),
rx => uart_vvc_rx,
terminate_loop => terminate_current_cmd.is_active,
config => vvc_config.bfm_config,
scope => C_SCOPE,
msg_id_panel => v_msg_id_panel);
-- Request SB check result
if v_cmd.data_routing = TO_SB then
-- pad 8th bit as don't care if rx is 7 bits
if v_num_data_bits = 7 then
v_read_data(7) := '-';
end if;
-- call SB check_received
UART_VVC_SB.check_received(GC_INSTANCE_IDX, v_read_data);
else
work.td_vvc_entity_support_pkg.store_result(result_queue => result_queue,
cmd_idx => v_cmd.cmd_idx,
result => v_read_data);
end if;
when EXPECT =>
-- Set transaction info
set_global_vvc_transaction_info(vvc_transaction_info_trigger, vvc_transaction_info, v_cmd, vvc_config);
-- Normalise address and data
v_normalised_data := normalize_and_check(v_cmd.data, v_normalised_data, ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", "uart_expect() called with to wide data. " & add_msg_delimiter(v_cmd.msg));
transaction_info.data(GC_DATA_WIDTH - 1 downto 0) := v_normalised_data;
-- Call the corresponding procedure in the BFM package.
uart_expect(data_exp => v_normalised_data(v_num_data_bits-1 downto 0),
msg => format_msg(v_cmd),
rx => uart_vvc_rx,
terminate_loop => terminate_current_cmd.is_active,
max_receptions => v_cmd.max_receptions,
timeout => v_cmd.timeout,
alert_level => v_cmd.alert_level,
config => vvc_config.bfm_config,
scope => C_SCOPE,
msg_id_panel => v_msg_id_panel);
when INSERT_DELAY =>
log(ID_INSERTED_DELAY, "Running: " & to_string(v_cmd.proc_call) & " " & format_command_idx(v_cmd), C_SCOPE, v_msg_id_panel);
if v_cmd.gen_integer_array(0) = -1 then
-- Delay specified using time
wait until terminate_current_cmd.is_active = '1' for v_cmd.delay;
else
-- Delay specified using integer
wait until terminate_current_cmd.is_active = '1' for (v_cmd.gen_integer_array(0) * vvc_config.bfm_config.bit_time);
end if;
when others =>
tb_error("Unsupported local command received for execution: '" & to_string(v_cmd.operation) & "'", C_SCOPE);
end case;
if v_command_is_bfm_access then
v_timestamp_end_of_last_bfm_access := now;
v_timestamp_start_of_last_bfm_access := v_timestamp_start_of_current_bfm_access;
if ((vvc_config.inter_bfm_delay.delay_type = TIME_START2START) and
((now - v_timestamp_start_of_current_bfm_access) > vvc_config.inter_bfm_delay.delay_in_time)) then
alert(vvc_config.inter_bfm_delay.inter_bfm_delay_violation_severity, "BFM access exceeded specified start-to-start inter-bfm delay, " &
to_string(vvc_config.inter_bfm_delay.delay_in_time) & ".", C_SCOPE);
end if;
end if;
-- Reset terminate flag if any occurred
if (terminate_current_cmd.is_active = '1') then
log(ID_CMD_EXECUTOR, "Termination request received", C_SCOPE, v_msg_id_panel);
uvvm_vvc_framework.ti_vvc_framework_support_pkg.reset_flag(terminate_current_cmd);
end if;
last_cmd_idx_executed <= v_cmd.cmd_idx;
-- Reset the transaction info for waveview
transaction_info := C_TRANSACTION_INFO_DEFAULT;
-- Set VVC Transaction Info back to default values
reset_vvc_transaction_info(vvc_transaction_info, v_cmd);
end loop;
end process;
--===============================================================================================
--===============================================================================================
-- Command termination handler
-- - Handles the termination request record (sets and resets terminate flag on request)
--===============================================================================================
cmd_terminator : uvvm_vvc_framework.ti_vvc_framework_support_pkg.flag_handler(terminate_current_cmd); -- flag: is_active, set, reset
--===============================================================================================
p_checker : process
variable v_edge_time : time := - vvc_config.bit_rate_checker.min_period;
variable v_previous_edge_time : time := 0 ns;
variable v_edge2edge_time : time;
begin
wait until uart_vvc_rx'event;
if vvc_config.bit_rate_checker.enable = TRUE then
v_previous_edge_time := v_edge_time;
v_edge_time := now;
v_edge2edge_time := v_edge_time - v_previous_edge_time;
-- add 1 ps to avoid rounding error
check_value(v_edge2edge_time >= vvc_config.bit_rate_checker.min_period - 1 ps, vvc_config.bit_rate_checker.alert_level, "Checking bit_rate minimum period: " & to_string(vvc_config.bit_rate_checker.min_period), C_SCOPE, ID_NEVER);
end if;
wait for 0 ns; -- I delta cycle delay to get away from the uart_vvc_rx'event
end process p_checker;
end behave;
| mit | b77b4e26fbd9008395c7396967a38d2f | 0.548161 | 4.118217 | false | true | false | false |
FlatTargetInk/UMD_RISC-16G5 | ProjectLab2/NewCombined/ipcore_dir/Instruction_Memory.vhd | 6 | 5,701 | --------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used solely --
-- for design, simulation, implementation and creation of design files --
-- limited to Xilinx devices or technologies. Use with non-Xilinx --
-- devices or technologies is expressly prohibited and immediately --
-- terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY --
-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY --
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE --
-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS --
-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY --
-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY --
-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY --
-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --
-- PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support appliances, --
-- devices, or systems. Use in such applications are expressly --
-- prohibited. --
-- --
-- (c) Copyright 1995-2016 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- You must compile the wrapper file instruction_memory.vhd when simulating
-- the core, instruction_memory. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
LIBRARY XilinxCoreLib;
-- synthesis translate_on
ENTITY instruction_memory IS
PORT (
clka : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END instruction_memory;
ARCHITECTURE instruction_memory_a OF instruction_memory IS
-- synthesis translate_off
COMPONENT wrapped_instruction_memory
PORT (
clka : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END COMPONENT;
-- Configuration specification
FOR ALL : wrapped_instruction_memory USE ENTITY XilinxCoreLib.blk_mem_gen_v7_3(behavioral)
GENERIC MAP (
c_addra_width => 5,
c_addrb_width => 5,
c_algorithm => 1,
c_axi_id_width => 4,
c_axi_slave_type => 0,
c_axi_type => 1,
c_byte_size => 9,
c_common_clk => 0,
c_default_data => "0",
c_disable_warn_bhv_coll => 0,
c_disable_warn_bhv_range => 0,
c_enable_32bit_address => 0,
c_family => "spartan3",
c_has_axi_id => 0,
c_has_ena => 0,
c_has_enb => 0,
c_has_injecterr => 0,
c_has_mem_output_regs_a => 0,
c_has_mem_output_regs_b => 0,
c_has_mux_output_regs_a => 0,
c_has_mux_output_regs_b => 0,
c_has_regcea => 0,
c_has_regceb => 0,
c_has_rsta => 0,
c_has_rstb => 0,
c_has_softecc_input_regs_a => 0,
c_has_softecc_output_regs_b => 0,
c_init_file => "BlankString",
c_init_file_name => "instruction_memory.mif",
c_inita_val => "0",
c_initb_val => "0",
c_interface_type => 0,
c_load_init_file => 1,
c_mem_type => 0,
c_mux_pipeline_stages => 0,
c_prim_type => 1,
c_read_depth_a => 20,
c_read_depth_b => 20,
c_read_width_a => 16,
c_read_width_b => 16,
c_rst_priority_a => "CE",
c_rst_priority_b => "CE",
c_rst_type => "SYNC",
c_rstram_a => 0,
c_rstram_b => 0,
c_sim_collision_check => "ALL",
c_use_bram_block => 0,
c_use_byte_wea => 0,
c_use_byte_web => 0,
c_use_default_data => 1,
c_use_ecc => 0,
c_use_softecc => 0,
c_wea_width => 1,
c_web_width => 1,
c_write_depth_a => 20,
c_write_depth_b => 20,
c_write_mode_a => "WRITE_FIRST",
c_write_mode_b => "WRITE_FIRST",
c_write_width_a => 16,
c_write_width_b => 16,
c_xdevicefamily => "spartan3e"
);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_instruction_memory
PORT MAP (
clka => clka,
wea => wea,
addra => addra,
dina => dina,
douta => douta
);
-- synthesis translate_on
END instruction_memory_a;
| gpl-3.0 | 97c500273e9db2ced9a93c2bff0a4b23 | 0.539554 | 4.011963 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xfft_v9_0/hdl/xfft_v9_0_c.vhd | 3 | 57,055 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
SaAdadIm1W2jVt9O0YFbYBzhS8MBN+dU7A/zONZ0Uaa/6rMAYXUGADTT7Q22qizy2As/LO5UA9GQ
pu7I5tAHbg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
AGafP0xcWf6ikOUMKU6iMDUCFGWAKvbDQwEWTVixdOL5scubTMsZxH7lz96Dcft7+h29RoDgKT2a
Lg5VZPelbTvSQAyWlqipe4+HkRFGLkdRaUbUOD6rOkezK8AglLjeEPQJ17ZCOhS50S+Bd2vT3aIq
6gsLqcumdrfDWyy97wU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
WKz9+Va3c5aJdCdRd7X4TGr91Tn1CwCT5X2TRo3Zy2tTy28Dc95uJ+CIE0lDrcaoJ2ra/iFQynqt
nyxVdnFGXjqEP+HGcM0xIGKzFxakseMXzwsWWFdlQKzZTYr4PA7tnIo0nfCFs8+oL1Se7Mc5PSJ+
hlGFjYToNa3zLyDYClRKHtB+Dh+k55qooqcF51uB4bRv4+RHwq0zOIZfI/AsbFBn4A0UTOk6+wAG
dTGNNyvph+g2oZXGG8hUL5Xr3lH1vtt6c1FKPU3CDhV+VJoK+3i9O6vkQX+DXonSrR+BsnHbv86G
XfdeEYGUx8YQo25991d5KZnnerVw8CgSft4QbA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
H7TL2e6ApP0yhUq9UPjlMNbuydOFnniMqi92UV0B9GhfGRZ5syQKK28R5PKg/8uGdkkJZ0ob/9u+
bqsug41s+hP+qRtCYks/xD0n9ybax3gbtt5mh09g6Ge1H20W18IXPAEjjV6PopJHZhAmkmjvTxYR
IOW5mdoXjGtjVkfj8H4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
uBtpDpl2jJeafJjXTDlGXhy56KzufJ5FRe8kUVM5rvuIMooPDkfutJlNJ2ARijrlOUUos+hblsQh
JTU5x+dRiBIknhTQdGzKCfiXtG0KGxTBuyaVVcOPymuMET77fiiE7BlOmXoJlrFo/2GBX3ecxGDk
tkz5VO7F5eXNWKaBfrXevnBn4hTXsXJktPUw9uA9AyL5SmgyMmAWLrqSM8pGOwJrTP+EflqHnxa0
lnOW3DQ4GAwBGwbwLuDOUnmSJteE9ko77ov5HsnovSCMG1Z6UckGNcFAg5I7lsyDme1/fjvb9j+b
v57fwqCotXdu1pMT65FvcPvMAWfhfahscv89eg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 40496)
`protect data_block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`protect end_protected
| gpl-2.0 | bd20644c951a960312a1c085c6ef4eea | 0.951258 | 1.829155 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/so_run_addr_gen_rotator.vhd | 2 | 225,106 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
mYMvhTI/afKHoIj8OslGqk/IlTjPJttL+krHzEVbVMgSWagr0vibaySDskHFZvraALM7DpRVUpas
bpGHegnfrQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ChqXcqAOtYx/p98S2zimxAvk3BHTHzVCPMBpGc3+WUg0Om7B01IhsNlT9Mfde0WlFpyLfEvUin+r
PLOxzW6ayryxr5q3OXmbp8/96IFUHqUH0bSPX5mc3hyH4SxOPDvBydRC0NKk6e89y3j200h/4V5W
iNPKrePK2LhiXMTzW2A=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
wzPeM9Ul1uBsRTPNGrRFr5ckQEXD6CZUS4oyDlP0Xxr6ZGXE8kyb3CgL+7+ksBj6TwjqwZou5HHD
mTe9v8Tqq6H4My7V4g0kV9LJalFk+69+KRGJwbNmL6x6yqOvPd5rXkKfSd6D3cu7IdjJg+8dqgSB
AUejCzv6NyTgtJjVVhazylfQDU1WDJ7yjqQlvgc+cMx1AYow1UoN7R0sbT2X7CWTpdFqhQdm0axz
GRPFflZPVWZN0fhRsCGAuVTxMEBh9DmV+7VaDY5a1NbMqQKdS8BfnP35CXr1SPBiIH+ZSnKKdV0c
yDSxSxEFv3kWGRbyvkm9cRHn2+8kiUEl1Ks2XA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Y8l1vZnGZyhhhVBwqsJCO1sQwkJalku9pWt8lho81gtd18ZPHXf41yl4dPepZYfPTNO19jkHfcsD
szaMH9zykPr2bIiP4jIj758XKyxn+rPwZdqFo32W5zRouw3t5f8Vv9FZ0GoYx505QEt/+bb4to05
ezIbL2bT4kQ6EiUHuBA=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
iWIRzC5Da2kcPEN3bx3NTBKCMSY5G2fHqsgZ/WmvvzomAV3ehjtUVvRifnnvjpkJ9r3r0hNa+yTw
5XTGkon3KZluwDU4MpxHPDLqDC5p3GxIfqVVwhMo87/VmMt+oZ7BgQej+oXCpj0DKjTQRVdaxlM5
QF25yLjRMOFlmTaaOr2g2d/uwx4Yj/dbIbET3sPPiMxC144vCXKosKrqUNHUY8cDPohRmMu9+O4g
63HrymkiRJUaJiAEknUprxwxhptHm/7aNMsxdXj5LuT1EUbbLs2HPVwPluwf9QyhqoZygq9qEmg0
64wMg+uXoQzpW81w3aEe5ustbf80qINWf8kr0A==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 164896)
`protect data_block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==
`protect end_protected
| gpl-2.0 | 710a8c2d2bc315ff4b2225a58aa41c70 | 0.954724 | 1.808037 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xbip_addsub_v3_0/hdl/xbip_addsub_v3_0_viv.vhd | 2 | 15,600 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Ljem0jT2hkAG7bhRdvmXHAVfEUeProXYGXchbOoVWcmRnNdpZPyWKtBoJ2wjh5nYNgzCkytc68Y7
W0CfHHpUIA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
dVv4Aa3FVyrrc7TTuvW+flVKkTVkdxhYv60neAXeOk9f+4+cRgXD51IQ0+9Mw0pr84GY99rQVba4
qmLnwIZZVDxpgbxXXh/qopbUBKPG+ZlVjwasD7hJ3ycgG3HgLEzX/LPiH52u5cVto9frOua/RtDQ
riz7DwZVxqw3rixAWY8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cbzeZMOtUz1/ssxKVYCZtH4K9xUnw0/NCebmXmmRI0OLhLArGYx9W2mf4YP9YI1zKVOayn7KOktS
sYUxIhWF/PV7JJnRk7kDI0mQNoNanoRgxMD5uI7KdXrYsRlGS/iTTtOFL85IYw80oarrnogLzwMK
HTulK3/fyd17Zx7IfAsn2P0xn/lFJTxn6812zgtMUSP6WJGcmusNXXcZDuWcomLpDcI3vxhYyYn5
0VJwuyEICO7Cj0hIbZwFGacfXyZtR/j89AL+fxBdv6TR9ASxe5LQ2FtTBy5pGX7v7LnIetu/MWW5
lrTLugIkKK4OuWSO4cpeV2zLkoGoEM92B1NISA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
eQHk2RpFlQo9x7UzqFrAodeJ2Thcqf4WS9JoE8mAuKsYe/DtDT9ZY7IygsxwUyuFG2oQpItK0EO1
GfHIDhbu+j6ftaNF1Zt8Gr6mzDzwfEsITaREodqAuhQFUawyCafl0X8uslWsd3tLWE6xht0vITJV
+xcAtKZV2A2Z/c7qsds=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
DZ5/eqn037y5ub8QiPgrg+oxJM1E+ns9qUkjtiOzrlA3/GWaHgQrwpbBbwMivr8QpAS+xgTbT/Ii
6Pc+FJ1xhiayVVgRbioS9N6SXHZdtUmMN9tPjpdDwMK9qnc/lRSflVwYNu/ktad8BAVYArV/CYYg
zeo0pOboIQdKEcHaRFkjc0b8tNrl6AK1cDyX+JQUAOAH+xAMS6WebMsdwksUWmvPM/61tmYTmNKu
kYcvQlAM9vok3igwOXU8FOgmjiUrDQMkMeLHs8tbvoVK8cfghE4slVcRCic3Rdh01QyGXygKjKI2
AXc1nVIVUqTl1KIJdUZyckfrfsVmCp9jne9jpQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9808)
`protect data_block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`protect end_protected
| gpl-2.0 | 3cebc17f33fe98cb5c437b0cc85e2b95 | 0.934679 | 1.879745 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/multi_fft/mult_gen_v12_0/hdl/ccm_sp_block_mem.vhd | 12 | 13,610 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
VscJIfFTgZka3rw2Lfnx57r9iSPhRXi+kLnhdqz5EO/+OA8vdexQe6ce3UDnXG83BVOJdHtdZSuI
J91AsMTFXw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
db4dwZATkWURbjXQf/P3qPhf34lj53qLVmViVUVBS8BVdVAAny6oLUuA0/ARxZIkZFDW0nLTNAc3
iMNZJbDRMUgL42wDDdFSS0oTCLPLIfIjVZjD3q8kOVtOgpkQjAtZzHWdc+/y+cVnHMQ0BdzqR4XC
mD1cyMlG77UuQU4p+Lo=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
f07j+8ElH+sVCaM3Yoi7ry8dCLtvbd2nmyrK4ZSbRDrYOFSxnjql3oJk8G/IFhz96acf1qM/kinM
4DSg24V6d4iNF+Sc/WwnHHVdA/DQDGXwEsGvAxVjgEArzO/9ovaPy9zXCrxiRBslsn5sx3ofkmXP
r8Do1oTxPaq85CvX9w2/5w8r1SinpqLeUxXnosg1l6oQKNXnEDWv6S8+OzWcSZux0rh4et3+Qd4Q
vnNK6SIGpmlpWDDbUsOYL8An1ef7zNTEDVIWdCsTfYsl9bwkYAxxQ2Lkg2kESygxpths5CuDLxLM
M3annWfhnSarZkHVFU6wgl+uF97yURJ4ivAvqA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
yGIEomvbV/vYOvjOV8UL/R6cepGB517KBp/ApWDS87JjbJ4Juk0Ygt1vk+okvNIg0yHv/44OpvyM
jmFTaFeB5R6Z32brqQgO3j0BP/DXa9ZjjU61Ec6EVTnuHwKX4Xr9osaMCcSMGmmr9jzFTwmx7CAX
5vZms49D9iKwWbO99kc=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
nB2fsdHzYNwhsF77awSz4nNul22cayQFlU46LO4sKhhVNnJQwNrg4Ji65F47QLz9crBwdwtrstYg
gMKq/9Eb+5eQ0D16BOx7Xzszn1GT3N/ZqAoaolBOvlKzK07++on+MIU18pqvHo1rjvKUGgimiIM5
0fUCAiml3CQQ3SVWdl5y+ovbhpdhjzmjD7YPlpSVFot7mVPcO7I2aCOSWVHir70XuPbF20cHRAZl
gLtBKStSr4oHAHAYT1h9naJsA7G2ZuRQO+G+72/Hn/od4gVX5tKZKLbga8w3D+ucChWWTLI/VAMc
0MRZyQD+9aE0bQkI7JDrGrtpCtyvAQffBkemcg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8336)
`protect data_block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`protect end_protected
| gpl-2.0 | 85e0cb1974c990c8a921f5d1a0e1fa29 | 0.933431 | 1.864639 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/twos_comp.vhd | 2 | 10,023 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
LJv3kFDI+tXEXvHNvNSp50u0HBNvzZco0mPxG+yJOscUlPHe1phLCfb0JZ3gjZOvn/wZpuZCZ8NU
EXrT1ALYVw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
hzv2E1ZRg9hwP1G+T/1WcuVLfUd8eTxpX7Zc1WSEaWQExkcy7n4jtAI8YrDgapOkxdhrHvxffoLC
bJ3LYTfZxIDmfV/ceRevXexRIdJQVWlNjv5bbTd7PHs49ljVtlWt9qY45oSFO7kYuzw7zK2Y443c
Ejh5jFP0U6XpNa1wNnc=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
VV0sj1JwzYK/lD07isLYI/gkgifkz2+3gujpCav5vGcz4h2XOMGITgijpUXRsYWyy2ey4qPaQr09
oZ30ew6jMNYQuMxzYkv//+A6MgRseLiMpdffPkQMaD3hK3HHrSYvTyPyLMPhqlnajA53+1qjGFpU
bLZWFUa/6aQRxzGIWCU9iQPdxC+KGYypGoYg4dkxMKgW6gBclZC9bgE5R+iyPlVjyuaCB1vm9V0X
E8eRGqJ8a6CaOrafT1Aw5IU/vwsk/It8Z+uvRaJEDPtRqgcO5MV0g67opepoGAU5bmH+U5xlMfQ2
UepKEVTgl438dlw/nDHXl1j3c+Rech52gj/efw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UMdQkdRn7YAaJ3/Z+BUanbDrdEh1jwn+f7Fb6USxjryJA+l44ODYitruKWOVbzhAzQ09Fsgj9B69
4nxjZOvQoGHzx+toynGSwlJUIlWM6QFSZ5/O5/vQ1laQG1v7U6P4nikmey6NVlvCR519TDzHWhaS
q/f4esgrcuksoERtBIo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
NKbYpbFIngoJcLzSWGzropyYGTIO0YES5djRQzDd9xR2DIiZgqCYIxzU1tTEt5QAtI1QZ4QHvzEa
GATOgdwUNuqd9RTDZnNjkIO37ww+CNLCD+cwU9FxWOb5xcDF7zxap4bdLAvzZLeq5OW7UF/PSUaY
qOiAsON5l7pQT60MDuGzSSOnbVLrid2fuLAv0bGtBxlkZrIbKnXw7Ldy5iiJVRWp0/XiOlgKHtb3
IDwl3o97GVZeZfARA+CF4Hf1mQW+YeltmSI3I9HLWZgN5TN5nxwn9FjVMwZu91+N1ggP+4XCS0/p
gsLnQWnOkTP+9yrZ5ebgL3g6ikDWyEh0bWneaw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5680)
`protect data_block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`protect end_protected
| gpl-2.0 | b7d2fb778d8fa89962624231d10b8d5f | 0.920682 | 1.896141 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/multi_fft/mult_gen_v12_0/hdl/mult_gen_v12_0.vhd | 12 | 9,525 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
T6PLQfq+buTQufAvaN8WB29DzT8c2gjL/f/L0dj1VeorOp+Gl9mRJcXEJQgFrWjpAdyA4O9/Wnoa
OTb7phkbQg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
brK5ahTIZ082Gl88ccmzW0muJP8Pafw/dLpacdqrmH1z91LCYIBEbPKIQw6E8gJvZbiHsT442U9W
qGsq3ixJ/6Qzt1RfiwCXTFK9hKA9GqplcVkchxn7ZeqBYQgoldMZHiT05dZ+2LgO4Yzs5U92Nm30
x+6oR0QAcI8b3VNuB6M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
3NMuqk0UTGQPgzLSmiJRPObdLMgFO/ZgDL7vxxx8pEFHg9eInuibowejcoD/oZegSM7d8TpgUShD
G2pIo3g4wA4d5il7qz5wvbG3JWQ4LKnq0WEj0F6Ry/NL/ZWrBsP+HdvSdvSMbVfQkBfqn27Enn4+
No6gsZQxNcGR4ab7vN+V9OXq4Vc3ZbbkhOHUVerB7FuiPKwAqRLPaPPkmyrpF/guU/kg0d3OuRBM
HJIRzTegKS0bvBDbh6ajc6wBmM6++1hDdamk0PhJbCNPOgUc+fTx/fN5VhaJY4kMuC5s3u/BlWQA
HuDbD0q63tRa+UAFhY4CL1JxQUmUarom6+lYTg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UFMxDEmVs6+P/+ConrhPlmqzQip98IuOEG4GGMZMPoCVix6bOWse61aw4O+z2BdEEdCLaj+B7VQo
V8aRKeXzQCi3H86jeq1nS+UgrTMfiULyfJ/6fAYve3IYbNeNTw2XQQSytFgvb9yWJKWDo3o6LUpC
L6J0XeqRYCwiZpnNhE0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
hSN5Z2bXKb9T7e+2PIQMhfaoHZ76LX6JBX88xIV4xE/X0OFL6InZRGDIzi+ggI0MzxwwXye2apxj
GDbej369DrZI1Vr6hwfnEkwC27Ktqgt3kXnWSnWMgg+xNLNLnce5pYpgBDwQ/RRVvBuqzpA0ztjx
brgrL/bnAzTHDlB5/7uAqtW9DOW6MOqocbdwocA2/iPGlz6+rUXUa/4kpTfNTdL105uxhZj70a6W
0G/ZF5nbO6Tpc6q/JL2895l5oOlVdDarYO+obLGqdWMV93BSLRjDJly6jTYoSCAGm8KXn0FSzXU6
e1BF+MPo+KXXvI0CXZ66yqb2fPHG7DVrvFLdhQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5312)
`protect data_block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`protect end_protected
| gpl-2.0 | a22729ed2e0e02d91e10ec07d368c267 | 0.92105 | 1.923077 | false | false | false | false |
FlatTargetInk/UMD_RISC-16G5 | ProjectLab2/NewCombined/DCCTL_tb.vhd | 6 | 3,599 | --------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 20:12:10 03/30/2016
-- Design Name:
-- Module Name: /home/robert/UMD_RISC-16G5/ProjectLab1/Poject_Lab01/ProjLab1/DCCTL_tb.vhd
-- Project Name: ProjLab1
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: DC_CTL
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY DCCTL_tb IS
END DCCTL_tb;
ARCHITECTURE behavior OF DCCTL_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT DC_CTL
PORT(
CLK : IN std_logic;
RA : IN std_logic_vector(3 downto 0);
RB : IN std_logic_vector(3 downto 0);
RA0 : IN std_logic_vector(3 downto 0);
RA1 : IN std_logic_vector(3 downto 0);
RA2 : IN std_logic_vector(3 downto 0);
-- RB0 : IN std_logic_vector(3 downto 0);
-- RB1 : IN std_logic_vector(3 downto 0);
-- RB2 : IN std_logic_vector(3 downto 0);
OPC : IN std_logic_vector(3 downto 0);
OP1_SEL : OUT std_logic_vector(1 downto 0);
OP2_SEL : OUT std_logic_vector(1 downto 0)
);
END COMPONENT;
--Inputs
signal CLK : std_logic := '0';
signal RA : std_logic_vector(3 downto 0) := (others => '0');
signal RB : std_logic_vector(3 downto 0) := (others => '0');
signal RA0 : std_logic_vector(3 downto 0) := (others => '1');
signal RA1 : std_logic_vector(3 downto 0) := (others => '1');
signal RA2 : std_logic_vector(3 downto 0) := (others => '1');
-- signal RB0 : std_logic_vector(3 downto 0) := (others => '0');
-- signal RB1 : std_logic_vector(3 downto 0) := (others => '0');
-- signal RB2 : std_logic_vector(3 downto 0) := (others => '0');
signal OPC : std_logic_vector(3 downto 0) := (others => '0');
--Outputs
signal OP1_SEL : std_logic_vector(1 downto 0);
signal OP2_SEL : std_logic_vector(1 downto 0);
-- Clock period definitions
constant CLK_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: DC_CTL PORT MAP (
CLK => CLK,
RA => RA,
RB => RB,
RA0 => RA0,
RA1 => RA1,
RA2 => RA2,
-- RB0 => RB0,
-- RB1 => RB1,
-- RB2 => RB2,
OPC => OPC,
OP1_SEL => OP1_SEL,
OP2_SEL => OP2_SEL
);
-- Clock process definitions
CLK_process :process
begin
CLK <= '0';
wait for CLK_period/2;
CLK <= '1';
wait for CLK_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for CLK_period*10;
wait for CLK_period/2;
RA2 <= "0000";
wait for CLK_period;
RA1 <= "0000";
wait for CLK_period;
RA0 <= "0000";
wait for CLK_period*10;
-- insert stimulus here
wait;
end process;
END;
| gpl-3.0 | 7d4744eeae65dae790c1b6ebe5a1e56c | 0.566546 | 3.385701 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/fir_lp_54kHz/fir_compiler_v7_1/hdl/single_rate.vhd | 8 | 370,178 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
AolBytnjEB9vpNrjcwnWPQ8nzJNLl5zUs1zi1ZBzW3yv04oyVuZFFFC6sIXpAimh13IxH6laB+kK
b0fu2zHWbg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
S1URi6qiBAwYtGqhuIWcLaBn/++1FNb+yj2QZVxchxmr+DeQ1s17oT1CDAgcbPMuXpUY2YsioKKJ
D0vrkzwVR86uTVGGlCD4o1PwFJ9WRGPIo/mTOgh7PnaPHh8Qn7+sl/0aVgcTGp+urmuV7syRLcKU
ktLKKwESCE2jztZoi7Y=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jvwqzKBKhrxQWN8hsOjOuhm8qkei5fK+KBFDI6UMQ6LTpmEm6svKSD063x1ZEoldq0EPd/8Fzm27
HyWMasS+AnODAcA+LSU8UJUegB0MUFBnmupwwVd2d0q90AtoPdgKjqQOfz2Yi2tPkdwEy2Kj1O0O
mZu+VaX5r1zYYPscnodrP06dvyymGeL2alzplCMWc3Opy24bBeYqwB/f6wTWIGBw2ZgUxQfISBlG
kQwLbZjy47lLp53zoxMKWmiQTv8v+ReeLQVEZwdTjKGMpUDoWVNPShmJ1luJ3y7FFCN4dF3KFbos
DyO9kgbvdt+2ODqiDQpisUfHRUS1p7a69NANUw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UWt4kN0n3VZaA0HuQJAVduTv5X2Vh2Gb+AMwzaHB0yu2DXN/3Roy/psxwQdGq0zMlk0Ug073bgEn
+LdAGpvs9bqccgaVY6CUwl7xLbLwtIeP4PZYKNzOovwIbYjYtj8zx4f63Yc5H9WpZBzBgN4pVq02
/XSCqRxlIgO1OSis1R0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aEwtqlfh0lP+4CzXQC5dDfGrPxW1RicwHMzG9ss7VdTa5XjjR8ErOJBMKW9N9kCRklx3/ihFp2+H
+X0s9wSsrI+pMsbXCvv2cprPB1omibiu45drfXwJrPazy2IWa6Ppm6b8w361/g/IBoXsyoVSi8+a
9WglXQlkPMOMpRegLRezm019HLbEtwSwyc/gcCT8QPt/5Wc8TUJyNecD25tnxIT3SqIwkeU+Im58
KVHGyo2ITiZbiXJ+Lhk5ia2j1NMuNbOFnoe0YPWs34X8CQW4IAiNMDHYRP2Rpb192VICl1WdYp4r
6JaTVhPbO+/SAzvTxSfhugAJLsH4j/xdTs1kIw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 272288)
`protect data_block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=
`protect end_protected
| gpl-2.0 | 4cce54f2bc6ce0c0eed556599c935844 | 0.9555 | 1.809454 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/dds/dds_compiler_v6_0/hdl/raster_accum.vhd | 4 | 51,369 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
PIphAbRAD4Orpdg4Nz3NYGyz054JG+E9346gON5imNj6Gf6UkIWhj9L1r8qimRhmBGtFR3gcHbn5
e30nmb6QHw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
BdgV4ODgpF8mcRY0Pep7kivn/HsdpRnGH9W+tf7STMQltIdi/5QUKa5QwBEwOUEW49TBDR1XYpye
ujeTh8Hig4X6j+fmTzaqrQ5ACW7UrccfyvqV7inGU/yLm8HZ+2eb4dRQbiWbBSjfwKh8T92fyfV4
K4Z73M0dBWoKNIDQpJU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
KpyBKxdUX6Nw8Vt2Ml+WNqmFaZA8QHYnJVSwm89hAXuRcsO+VX3+aaXIkPwUYg9TYu55BzvZ+i0T
PxNTu1CwMIgYfyNWX7TAHT0EwCtI7XPKf8iN1bGnIKjLtHGVkQKkZz23lA5E7ADycxhJd3Tqk8i0
8L1RGzBkZ0u2T96gCHbHH7LkZShZ4UlQKNcZVN5Qp6OQtyWQhiFb7j/ThGSD/YeIPchzjIANisqO
I/A4JWrpQrJfgVAgBAUrsJibTh+53tTNGgBG+vcr45/xSmFzmRyvUfnMfvXvV2zcC0aAgS4JLkPY
MVX4gscitJ1QeFHat3QcAaNfH6DUC0peojGgBA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
jtMS8n53AtF22CP44vtjB8ZUug5vW5t1/siQuzfuYUrdSQcto2Ly9A1v0DkKwwiJKg0wkGzmoz4O
mgK41oBc7O2IywVVWPOzisbXwieodWFRJXXEBH+XuGGPRGbL7AZYKxyqSj6XzMGC8N8nzmVxZ7WN
9RmYvMqC5fzRC5XuVGo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
EU+i6oT3soDkCfeKBkb2IZmkRW0um29ZoprJDKV510I9D9wAzhsk5YEK8C1HXDV+nHs0t9kGmev4
6brDgMcuHuwA3ZFWUhGNn68ynWUimbMSRCT3Ei0RaRHcFu+MySIl7LP8jYtkH4x0g3jFgO6RcG5E
8iqsfJ1a8geZWGwd9lFqw/03jOIkbX2hiIChdfwZqCvHwtjnWkMg5NCGABTqr+JwKbHQsAW9TZ5d
41WJk3Kjl/acpeDVSgKYPC9XH7wKpXZCkcxLukMI38lXzuHr/a0EeRt0a1YAazjuQnRD5RVblwNN
Tvt9Y7OxqGNmBEzrAVVJPMKclZoJ1n5FVXHn/Q==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 36288)
`protect data_block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`protect end_protected
| gpl-2.0 | 6841841b6ef6953ffc6c3b47eeb59a48 | 0.949911 | 1.818951 | false | false | false | false |
skordal/potato | src/pp_imm_decoder.vhd | 1 | 1,421 | -- The Potato Processor - A simple processor for FPGAs
-- (c) Kristian Klomsten Skordal 2014 <[email protected]>
-- Report bugs and issues on <https://github.com/skordal/potato/issues>
library ieee;
use ieee.std_logic_1164.all;
--! @brief Module decoding immediate values from instruction words.
entity pp_imm_decoder is
port(
instruction : in std_logic_vector(31 downto 2);
immediate : out std_logic_vector(31 downto 0)
);
end entity pp_imm_decoder;
architecture behaviour of pp_imm_decoder is
begin
decode: process(instruction)
begin
case instruction(6 downto 2) is
when b"01101" | b"00101" => -- U type
immediate <= instruction(31 downto 12) & (11 downto 0 => '0');
when b"11011" => -- J type
immediate <= (31 downto 20 => instruction(31)) & instruction(19 downto 12) & instruction(20) & instruction(30 downto 21) & '0';
when b"11001" | b"00000" | b"00100" | b"11100"=> -- I type
immediate <= (31 downto 11 => instruction(31)) & instruction(30 downto 20);
when b"11000" => -- B type
immediate <= (31 downto 12 => instruction(31)) & instruction(7) & instruction(30 downto 25) & instruction(11 downto 8) & '0';
when b"01000" => -- S type
immediate <= (31 downto 11 => instruction(31)) & instruction(30 downto 25) & instruction(11 downto 7);
when others =>
immediate <= (others => '0');
end case;
end process decode;
end architecture behaviour;
| bsd-3-clause | 53d2e5481abeb5ea98a0af64c407e651 | 0.677692 | 3.391408 | false | false | false | false |
UVVM/uvvm_vvc_framework | bitvis_vip_axilite/src/axilite_vvc.vhd | 1 | 19,726 | --========================================================================================================================
-- Copyright (c) 2017 by Bitvis AS. All rights reserved.
-- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not,
-- contact Bitvis AS <[email protected]>.
--
-- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE
-- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS
-- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR
-- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM.
--========================================================================================================================
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library uvvm_util;
context uvvm_util.uvvm_util_context;
library uvvm_vvc_framework;
use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all;
use work.axilite_bfm_pkg.all;
use work.vvc_methods_pkg.all;
use work.vvc_cmd_pkg.all;
use work.td_target_support_pkg.all;
use work.td_vvc_entity_support_pkg.all;
use work.td_cmd_queue_pkg.all;
use work.td_result_queue_pkg.all;
--=================================================================================================
entity axilite_vvc is
generic (
GC_ADDR_WIDTH : integer range 1 to C_VVC_CMD_ADDR_MAX_LENGTH := 8;
GC_DATA_WIDTH : integer range 1 to C_VVC_CMD_DATA_MAX_LENGTH := 32;
GC_INSTANCE_IDX : natural := 1; -- Instance index for this AXILITE_VVCT instance
GC_AXILITE_CONFIG : t_axilite_bfm_config := C_AXILITE_BFM_CONFIG_DEFAULT; -- Behavior specification for BFM
GC_CMD_QUEUE_COUNT_MAX : natural := 1000;
GC_CMD_QUEUE_COUNT_THRESHOLD : natural := 950;
GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY : t_alert_level := WARNING;
GC_RESULT_QUEUE_COUNT_MAX : natural := 1000;
GC_RESULT_QUEUE_COUNT_THRESHOLD : natural := 950;
GC_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY : t_alert_level := WARNING
);
port (
clk : in std_logic;
axilite_vvc_master_if : inout t_axilite_if := init_axilite_if_signals(GC_ADDR_WIDTH, GC_DATA_WIDTH)
);
begin
-- Check the interface widths to assure that the interface was correctly set up
assert (axilite_vvc_master_if.write_address_channel.awaddr'length = GC_ADDR_WIDTH) report "axilite_vvc_master_if.write_address_channel.awaddr'length =/ GC_ADDR_WIDTH" severity failure;
assert (axilite_vvc_master_if.read_address_channel.araddr'length = GC_ADDR_WIDTH) report "axilite_vvc_master_if.read_address_channel.araddr'length =/ GC_ADDR_WIDTH" severity failure;
assert (axilite_vvc_master_if.write_data_channel.wdata'length = GC_DATA_WIDTH) report "axilite_vvc_master_if.write_data_channel.wdata'length =/ GC_DATA_WIDTH" severity failure;
assert (axilite_vvc_master_if.write_data_channel.wstrb'length = GC_DATA_WIDTH/8) report "axilite_vvc_master_if.write_data_channel.wstrb'length =/ GC_DATA_WIDTH/8" severity failure;
assert (axilite_vvc_master_if.read_data_channel.rdata'length = GC_DATA_WIDTH) report "axilite_vvc_master_if.read_data_channel.rdata'length =/ GC_DATA_WIDTH" severity failure;
end entity axilite_vvc;
--=================================================================================================
--=================================================================================================
architecture behave of axilite_vvc is
constant C_SCOPE : string := C_VVC_NAME & "," & to_string(GC_INSTANCE_IDX);
constant C_VVC_LABELS : t_vvc_labels := assign_vvc_labels(C_SCOPE, C_VVC_NAME, GC_INSTANCE_IDX, NA);
signal executor_is_busy : boolean := false;
signal queue_is_increasing : boolean := false;
signal last_cmd_idx_executed : natural := 0;
signal terminate_current_cmd : t_flag_record;
-- Instantiation of the element dedicated Queue
shared variable command_queue : work.td_cmd_queue_pkg.t_generic_queue;
shared variable result_queue : work.td_result_queue_pkg.t_generic_queue;
alias vvc_config : t_vvc_config is shared_axilite_vvc_config(GC_INSTANCE_IDX);
alias vvc_status : t_vvc_status is shared_axilite_vvc_status(GC_INSTANCE_IDX);
alias transaction_info : t_transaction_info is shared_axilite_transaction_info(GC_INSTANCE_IDX);
begin
--===============================================================================================
-- Constructor
-- - Set up the defaults and show constructor if enabled
--===============================================================================================
work.td_vvc_entity_support_pkg.vvc_constructor(C_SCOPE, GC_INSTANCE_IDX, vvc_config, command_queue, result_queue, GC_AXILITE_CONFIG,
GC_CMD_QUEUE_COUNT_MAX, GC_CMD_QUEUE_COUNT_THRESHOLD, GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY,
GC_RESULT_QUEUE_COUNT_MAX, GC_RESULT_QUEUE_COUNT_THRESHOLD, GC_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY);
--===============================================================================================
--===============================================================================================
-- Command interpreter
-- - Interpret, decode and acknowledge commands from the central sequencer
--===============================================================================================
cmd_interpreter : process
variable v_cmd_has_been_acked : boolean; -- Indicates if acknowledge_cmd() has been called for the current shared_vvc_cmd
variable v_local_vvc_cmd : t_vvc_cmd_record := C_VVC_CMD_DEFAULT;
begin
-- 0. Initialize the process prior to first command
work.td_vvc_entity_support_pkg.initialize_interpreter(terminate_current_cmd, global_awaiting_completion);
-- initialise shared_vvc_last_received_cmd_idx for channel and instance
shared_vvc_last_received_cmd_idx(NA, GC_INSTANCE_IDX) := 0;
-- Then for every single command from the sequencer
loop -- basically as long as new commands are received
-- 1. wait until command targeted at this VVC. Must match VVC name, instance and channel (if applicable)
-- releases global semaphore
-------------------------------------------------------------------------
work.td_vvc_entity_support_pkg.await_cmd_from_sequencer(C_VVC_LABELS, vvc_config, THIS_VVCT, VVC_BROADCAST, global_vvc_busy, global_vvc_ack, shared_vvc_cmd, v_local_vvc_cmd);
v_cmd_has_been_acked := false; -- Clear flag
-- update shared_vvc_last_received_cmd_idx with received command index
shared_vvc_last_received_cmd_idx(NA, GC_INSTANCE_IDX) := v_local_vvc_cmd.cmd_idx;
-- 2a. Put command on the queue if intended for the executor
-------------------------------------------------------------------------
if v_local_vvc_cmd.command_type = QUEUED then
work.td_vvc_entity_support_pkg.put_command_on_queue(v_local_vvc_cmd, command_queue, vvc_status, queue_is_increasing);
-- 2b. Otherwise command is intended for immediate response
-------------------------------------------------------------------------
elsif v_local_vvc_cmd.command_type = IMMEDIATE then
case v_local_vvc_cmd.operation is
when AWAIT_COMPLETION =>
work.td_vvc_entity_support_pkg.interpreter_await_completion(v_local_vvc_cmd, command_queue, vvc_config, executor_is_busy, C_VVC_LABELS, last_cmd_idx_executed);
when AWAIT_ANY_COMPLETION =>
if not v_local_vvc_cmd.gen_boolean then
-- Called with lastness = NOT_LAST: Acknowledge immediately to let the sequencer continue
work.td_target_support_pkg.acknowledge_cmd(global_vvc_ack,v_local_vvc_cmd.cmd_idx);
v_cmd_has_been_acked := true;
end if;
work.td_vvc_entity_support_pkg.interpreter_await_any_completion(v_local_vvc_cmd, command_queue, vvc_config, executor_is_busy, C_VVC_LABELS, last_cmd_idx_executed, global_awaiting_completion);
when DISABLE_LOG_MSG =>
uvvm_util.methods_pkg.disable_log_msg(v_local_vvc_cmd.msg_id, vvc_config.msg_id_panel, to_string(v_local_vvc_cmd.msg) & format_command_idx(v_local_vvc_cmd), C_SCOPE, v_local_vvc_cmd.quietness);
when ENABLE_LOG_MSG =>
uvvm_util.methods_pkg.enable_log_msg(v_local_vvc_cmd.msg_id, vvc_config.msg_id_panel, to_string(v_local_vvc_cmd.msg) & format_command_idx(v_local_vvc_cmd), C_SCOPE, v_local_vvc_cmd.quietness);
when FLUSH_COMMAND_QUEUE =>
work.td_vvc_entity_support_pkg.interpreter_flush_command_queue(v_local_vvc_cmd, command_queue, vvc_config, vvc_status, C_VVC_LABELS);
when TERMINATE_CURRENT_COMMAND =>
work.td_vvc_entity_support_pkg.interpreter_terminate_current_command(v_local_vvc_cmd, vvc_config, C_VVC_LABELS, terminate_current_cmd);
when FETCH_RESULT =>
work.td_vvc_entity_support_pkg.interpreter_fetch_result(result_queue, v_local_vvc_cmd, vvc_config, C_VVC_LABELS, last_cmd_idx_executed, shared_vvc_response);
when others =>
tb_error("Unsupported command received for IMMEDIATE execution: '" & to_string(v_local_vvc_cmd.operation) & "'", C_SCOPE);
end case;
else
tb_error("command_type is not IMMEDIATE or QUEUED", C_SCOPE);
end if;
-- 3. Acknowledge command after runing or queuing the command
-------------------------------------------------------------------------
if not v_cmd_has_been_acked then
work.td_target_support_pkg.acknowledge_cmd(global_vvc_ack,v_local_vvc_cmd.cmd_idx);
end if;
end loop;
end process;
--===============================================================================================
--===============================================================================================
-- Command executor
-- - Fetch and execute the commands
--===============================================================================================
cmd_executor : process
variable v_cmd : t_vvc_cmd_record;
variable v_read_data : t_vvc_result; -- See vvc_cmd_pkg
variable v_timestamp_start_of_current_bfm_access : time := 0 ns;
variable v_timestamp_start_of_last_bfm_access : time := 0 ns;
variable v_timestamp_end_of_last_bfm_access : time := 0 ns;
variable v_command_is_bfm_access : boolean := false;
variable v_prev_command_was_bfm_access : boolean := false;
variable v_normalised_addr : unsigned(GC_ADDR_WIDTH-1 downto 0) := (others => '0');
variable v_normalised_data : std_logic_vector(GC_DATA_WIDTH-1 downto 0) := (others => '0');
begin
-- 0. Initialize the process prior to first command
-------------------------------------------------------------------------
work.td_vvc_entity_support_pkg.initialize_executor(terminate_current_cmd);
loop
-- 1. Set defaults, fetch command and log
-------------------------------------------------------------------------
work.td_vvc_entity_support_pkg.fetch_command_and_prepare_executor(v_cmd, command_queue, vvc_config, vvc_status, queue_is_increasing, executor_is_busy, C_VVC_LABELS);
-- Set the transaction info for waveview
transaction_info := C_TRANSACTION_INFO_DEFAULT;
transaction_info.operation := v_cmd.operation;
transaction_info.msg := pad_string(to_string(v_cmd.msg), ' ', transaction_info.msg'length);
-- Check if command is a BFM access
v_prev_command_was_bfm_access := v_command_is_bfm_access; -- save for inter_bfm_delay
if v_cmd.operation = WRITE or v_cmd.operation = READ or v_cmd.operation = CHECK then
v_command_is_bfm_access := true;
else
v_command_is_bfm_access := false;
end if;
-- Insert delay if needed
work.td_vvc_entity_support_pkg.insert_inter_bfm_delay_if_requested(vvc_config => vvc_config,
command_is_bfm_access => v_prev_command_was_bfm_access,
timestamp_start_of_last_bfm_access => v_timestamp_start_of_last_bfm_access,
timestamp_end_of_last_bfm_access => v_timestamp_end_of_last_bfm_access,
scope => C_SCOPE);
if v_command_is_bfm_access then
v_timestamp_start_of_current_bfm_access := now;
end if;
-- 2. Execute the fetched command
-------------------------------------------------------------------------
case v_cmd.operation is -- Only operations in the dedicated record are relevant
-- VVC dedicated operations
--===================================
when WRITE =>
-- Normalise address and data
v_normalised_addr := normalize_and_check(v_cmd.addr, v_normalised_addr, ALLOW_WIDER_NARROWER, "v_cmd.addr", "v_normalised_addr", "axilite_write() called with to wide address. " & v_cmd.msg);
v_normalised_data := normalize_and_check(v_cmd.data, v_normalised_data, ALLOW_WIDER_NARROWER, "v_cmd.data", "v_normalised_data", "axilite_write() called with to wide data. " & v_cmd.msg);
transaction_info.addr(GC_ADDR_WIDTH - 1 downto 0) := v_normalised_addr;
transaction_info.data(GC_DATA_WIDTH - 1 downto 0) := v_normalised_data;
transaction_info.byte_enable := v_cmd.byte_enable;
-- Call the corresponding procedure in the BFM package.
axilite_write(addr_value => v_normalised_addr,
data_value => v_normalised_data,
byte_enable => v_cmd.byte_enable((GC_DATA_WIDTH/8-1) downto 0),
msg => format_msg(v_cmd),
clk => clk,
axilite_if => axilite_vvc_master_if,
scope => C_SCOPE,
msg_id_panel => vvc_config.msg_id_panel,
config => vvc_config.bfm_config);
when READ =>
-- Normalise address and data
v_normalised_addr := normalize_and_check(v_cmd.addr, v_normalised_addr, ALLOW_WIDER_NARROWER, "v_cmd.addr", "v_normalised_addr", "axilite_read() called with to wide address. " & v_cmd.msg);
transaction_info.addr(GC_ADDR_WIDTH - 1 downto 0) := v_normalised_addr;
-- Call the corresponding procedure in the BFM package.
axilite_read(addr_value => v_normalised_addr,
data_value => v_read_data(GC_DATA_WIDTH-1 downto 0),
msg => format_msg(v_cmd),
clk => clk,
axilite_if => axilite_vvc_master_if,
scope => C_SCOPE,
msg_id_panel => vvc_config.msg_id_panel,
config => vvc_config.bfm_config);
-- Store the result
work.td_vvc_entity_support_pkg.store_result( result_queue => result_queue,
cmd_idx => v_cmd.cmd_idx,
result => v_read_data);
when CHECK =>
-- Normalise address and data
v_normalised_addr := normalize_and_check(v_cmd.addr, v_normalised_addr, ALLOW_WIDER_NARROWER, "v_cmd.addr", "v_normalised_addr", "axilite_check() called with to wide address. " & v_cmd.msg);
v_normalised_data := normalize_and_check(v_cmd.data, v_normalised_data, ALLOW_WIDER_NARROWER, "v_cmd.data", "v_normalised_data", "axilite_check() called with to wide data. " & v_cmd.msg);
transaction_info.addr(GC_ADDR_WIDTH - 1 downto 0) := v_normalised_addr;
transaction_info.data(GC_DATA_WIDTH - 1 downto 0) := v_normalised_data;
-- Call the corresponding procedure in the BFM package.
axilite_check(addr_value => v_normalised_addr,
data_exp => v_normalised_data,
msg => format_msg(v_cmd),
clk => clk,
axilite_if => axilite_vvc_master_if,
alert_level => v_cmd.alert_level,
scope => C_SCOPE,
msg_id_panel => vvc_config.msg_id_panel,
config => vvc_config.bfm_config);
-- UVVM common operations
--===================================
when INSERT_DELAY =>
log(ID_INSERTED_DELAY, "Running: " & to_string(v_cmd.proc_call) & " " & format_command_idx(v_cmd), C_SCOPE, vvc_config.msg_id_panel);
if v_cmd.gen_integer_array(0) = -1 then
-- Delay specified using time
wait until terminate_current_cmd.is_active = '1' for v_cmd.delay;
else
-- Delay specified using integer
wait until terminate_current_cmd.is_active = '1' for v_cmd.gen_integer_array(0) * vvc_config.bfm_config.clock_period;
end if;
when others =>
tb_error("Unsupported local command received for execution: '" & to_string(v_cmd.operation) & "'", C_SCOPE);
end case;
if v_command_is_bfm_access then
v_timestamp_end_of_last_bfm_access := now;
v_timestamp_start_of_last_bfm_access := v_timestamp_start_of_current_bfm_access;
if ((vvc_config.inter_bfm_delay.delay_type = TIME_START2START) and
((now - v_timestamp_start_of_current_bfm_access) > vvc_config.inter_bfm_delay.delay_in_time)) then
alert(vvc_config.inter_bfm_delay.inter_bfm_delay_violation_severity, "BFM access exceeded specified start-to-start inter-bfm delay, " &
to_string(vvc_config.inter_bfm_delay.delay_in_time) & ".", C_SCOPE);
end if;
end if;
last_cmd_idx_executed <= v_cmd.cmd_idx;
-- Reset the transaction info for waveview
transaction_info := C_TRANSACTION_INFO_DEFAULT;
end loop;
end process;
--===============================================================================================
--===============================================================================================
-- Command termination handler
-- - Handles the termination request record (sets and resets terminate flag on request)
--===============================================================================================
cmd_terminator : uvvm_vvc_framework.ti_vvc_framework_support_pkg.flag_handler(terminate_current_cmd); -- flag: is_active, set, reset
--===============================================================================================
end behave;
| mit | b5c7952bbeb6c783b3fd2dadf25bdf68 | 0.542381 | 4.232139 | false | true | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_log/flt_log_rr.vhd | 3 | 12,747 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
drtaqmj+CDZAC6rQF7wO3ng/fHFoHudQfZS0XtFNlAUHy8WLp5/XDw8eg5LsF1Bxtur8CrTmtJ+7
Bk8TAliriQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Lgwl78DDQ6GNBTRR+4nTdo19H7xCQAUFMfqvmBb1EXtJQVy8WjJH4j1JX9B9kjZ8U1CiAIBycSkL
2TF9hIS03DxjnGAilFSXGhokee6k5J7OBvvljNZPtQl2C0JpqEvKpcLvxrSfI8/1fSRjb+46dkaQ
Bx9lQ0mt17ouZ0eyW+Q=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qMfRHvIFKps7dZYZPCye42ES4Ca4dBTYj4KNcgHGnHa7rbD22dtWk4J0RlNEnQc0Up4mHyDP2Q8Q
Hsc+X1f1zAY+P2ssd2qn62d5m72AILwrO4eJrlf+NMK+fMYLyHr0YIMhoz9TLGR49nitTGJnIWui
WmH6+RC6mixQOoym0UhIrxSQ5bg2QWsGT8MX6ZYX7ckzFt3dO0dUu89VUK8Wf1EuCeupOsbAt1jt
TJiJ595EeWusKBAMas3f9bSPa15g3UottjNltkXc+ihglo37FV4rFyxXPBZV5VfjpGge5Mtopln9
tvMQD9LG93dR5I/gCsD+Rn/mKEY5I+Xb3xUfhw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
qNFzAeunSTsPQgYxz5Jj3opAtur4G6wfysCBkleuf6ieOpedFAVWKQ6yFmeSmEhmX3KmsUvaMpaE
I0PjIqkw0vx3a0DupUpVhelBsJkGfvuuL1Odtavls6mUJkaZWIJGlMV+jzKXCjfdBojWp7M+XcRM
Gb3jI5eLNtvryU/yGYE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fdP87AGsinFmZDb6u8kBHLugE/kwaDr9LAjJbw+2jjMJCAcpOo/2Gh3FngiH3ZggTKYr9wMtFswI
APreKXn8QUOS/2ukMU/tco8xfb7YgsX5ZpmJZLN0wpzWpqeBucyb/v5nZ/bDT+k9sJ8VWf7M+rvU
51YoRFjtFlnwp5SNLnwA5EzPrWlme6BOCii6DoT56j3bVBjPhRMMHDF+5EophdHplxAoxZYH8y0a
71r3TQWUS0A9Jh/08V9p8TK9uRb016BWcyjXDDN7Hm7Ur1rTpoN1SSWLntaEnZOHUizzEsqG1cGV
xl20vInxFyLjxUCuhxzcYXCKe2Crf8BJ7jvpGA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7696)
`protect data_block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`protect end_protected
| gpl-2.0 | db586563a84a6239e2b7dd80592e76da | 0.930572 | 1.882032 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_exp/flt_exp_ccm.vhd | 2 | 19,013 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
bHSwY9uy/iP/1kP5MTFILhCI8ik3NkXYBIttVUbHLY9jzurSt2HHMl6XHLw+x/YcFzTj+wqtz1lQ
3pAfzbulbg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
e7dTmmtfyKlng5c/YHHYltziXr9oWCDIWfIczpAk281Pw6HdSLkl71tiy9/G5wYF4pkmOAGwlefI
ca/vRli/6G1uRc5RPtEt52fG7I0+QUNNXSPm4T0QppexEAAMCrfhamhmJaK3hEcuooEMK45sXyoZ
t2AYJmc2vYrNALUMajo=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ul+WSsxhyiS0ci9W4oqUHU04QnUKisu0UtP0qJig1KIOWO+8kXT9c1NvXw+lcJXwucZfagodQYWt
WVdZTZykVO93dN8PrTowFshBr0srgJog3GeLaVp3ghGoDffdZwR5+rZw6F22QfcNnUV/qNE3Fjz5
H/Iig0FKit4FB8gyMkWXaRiJfgiTBZ5MknFE3i++ccfdbIrsaCWzX/Z3qJr4Api9p2k4GI99ZxH8
6VRUZjLqmURr8fm/tdOVo/KHszvKcILTx+Q34iFPObwM0yTQNtSQXuTz+cXN/G7ksIT5PbFInSM3
khIEtLlL1NStR8+HWsAdMiZQq1BYlWW4RbOyaA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
yXDLxhgiwzdYSUQ2LDUOd4Xh7rQFJDWnypxiUoDTo/LwPPgsJbS5yGnITXJGZbtYU7/Dmup8tNZO
vTtIh05X5EIntTU9tdjfqTDWrCknpYZ/haBO4e7cauWS457NiVuE4JkAdaAOt4ZTWHNBjTwA5qzz
BArp17mmAIq9GjaveHg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
EmZeg9KRLNzpRETY2UJaL4Tbu0/hc+SgmfqLrDfiPQkBY3X61mt3eHzw1HNBWnRGx2iBUdw8L88D
pwDy+TZgIEG8tzqZHwkmr4ni1DiqsZkMrNkhwXuUs90a/Dw5LdXFDEBpkOi3+caxMzqu/sw63JLX
aF1CHyRbmO5Ltat+8agCmKwgvw2vuPhMLtguKsjGv2cRXrk8Y8fQsqrjhOaX6IBCutQOev0rbaiM
u/dTcjAWydl6wEMSQ3Fi3z+/iyyoqmmsc7z2Hc0q2WWGNaiPA+lQEHUY56ows/EnIR7umWZ032nT
T+rxO0zWPBe3FR7FOJPGt2QMgXWSiWY2rv68Lg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12336)
`protect data_block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`protect end_protected
| gpl-2.0 | af87fe43b38068b1017501923bcc6f97 | 0.938516 | 1.848435 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/mult_gen_v12_0/hdl/delay_line.vhd | 12 | 18,215 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
NLuPKxa5mbHmSJxckEHjUBUOWDk7twAsALGLJTfoesEfyf1h+MyHFt0EylBuknot037Zem3a4g/8
zqiJpRTvDQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
PZD2wWu+M5aw+j7eNGC0wVwZ4AHpsd0CPVCpF47C7xJo3X55KdgUsR5H/ybZtMk92enNjFrgbF3L
KLt0dXzbb93KwOc159Je5hTevnSDKsuPBBX0lFHiAF4XzieRUgqKA393lNR1oHHjtPcXU7UK0+IO
OzAzlRdUGjlDQbtNdcQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
HouE4V5hA7QCiWy/ZOPsRu3XTzmc0wFcS7HgRKGHCsE7XwCF34feUK6Bn8N3dH2x37iQw0vfk66K
M6tHX6VRefC0MyimGFx5BhRdZq2+9JmDppfV1gOtGrREe6vR2IC/KcusvwTIiR6cQOza49aJQKA+
epyhS70PBrDp2VBILQDMeZvSj3XpQbsXPr8Q1JIB7enfz3ztp6rC/LDFPOPZe8YTRh24WGrzpXce
DAXUY9s6WN8OKURansZYbw0UNKD0cHLvro5mUb/lNTGoehE+Rw5R9VbAnGpd9pq6Xo7PPFVMpe9T
FezLXjjYSVXyY4UaLu9/mkvg/I686Ex7JR5c7Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
mQhq1J/qEcykVkr3796fO9gQDJzaYdrlMC9hjsMGY2UKOKUbTtyv4tG77bM+PRHomfZqg8iU7uWB
GRXGd1YHbwBY/Wo99Etxtx46zOPIBoU5nFYOpTJ0bJnLbwgg1pXJxkzA4oOsNRCM00E9Tz9jDYcD
u7yXVYNO1n7TbdSWAho=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
EIlt8tLrN8oiN0Z16C/INtbKo7UGBZMOz76+FUKU0dZcfTr4khTZ5FEXDc4gJZOM+wM5qSdRbQub
CzCPni3zASJ+ELeVlFnyaBW04E07carlE5UDdrotA4p2LXk7vZzLcnqW33R6DTbUogfnDteQ90G2
rsl4ouAA15HIZj5RFfE16KQtkxJiDGIwOrcUzhjmqqnH0+oOfSHDJeWV0IASEIzodocR806zCuhg
XzX3Z8z59bnwpkYETnyBEOLgELtERsBiu7XiRZGnW3iYQosufAJSskrAoulfqggYHW6NCOFZhGQM
6C95at11rwRxl3HbZnf/S1pzmZYljP0ZGBuLpQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11744)
`protect data_block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`protect end_protected
| gpl-2.0 | 49d45eac55f06554d245dbf0138dc102 | 0.940489 | 1.850366 | false | false | false | false |
UVVM/UVVM_All | uvvm_vvc_framework/src_target_dependent/td_vvc_framework_common_methods_pkg.vhd | 1 | 63,648 | --================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
--
-- Note: This package will be compiled into every single VVC library.
-- As the type t_vvc_target_record is already compiled into every single VVC library,
-- the type definition will be unique for every library, and thus result in a unique
-- procedure signature for every VVC. Hence the shared variable shared_vvc_cmd will
-- refer to only the shared variable defined in the given library.
------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library std;
use std.textio.all;
library uvvm_util;
context uvvm_util.uvvm_util_context;
library uvvm_vvc_framework;
use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all;
use work.vvc_cmd_pkg.all; -- shared_vvc_response, t_vvc_result
use work.td_target_support_pkg.all;
package td_vvc_framework_common_methods_pkg is
--======================================================================
-- Common Methods
--======================================================================
-------------------------------------------
-- await_completion
-------------------------------------------
-- VVC interpreter IMMEDIATE command
-- - Awaits completion of all commands in the queue for the specified VVC, or
-- until timeout.
procedure await_completion(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant vvc_channel : in t_channel;
constant timeout : in time;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
);
-------------------------------------------
-- await_completion
-------------------------------------------
-- See description above
procedure await_completion(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant timeout : in time;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
);
-------------------------------------------
-- await_completion
-------------------------------------------
-- VVC interpreter IMMEDIATE command
-- - Awaits completion of the specified command 'wanted_idx' in the queue for the specified VVC, or
-- until timeout.
procedure await_completion(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant vvc_channel : in t_channel;
constant wanted_idx : in integer;
constant timeout : in time;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
);
-------------------------------------------
-- await_completion
-------------------------------------------
-- See description above
procedure await_completion(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant wanted_idx : in integer;
constant timeout : in time;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
);
-------------------------------------------
-- await_any_completion
-------------------------------------------
-- VVC interpreter IMMEDIATE command
-- - Waits for the first of multiple VVCs to finish :
-- - Awaits completion of all commands in the queue for the specified VVC, or
-- - until global_awaiting_completion /= '1' (any of the other involved VVCs completed).
procedure await_any_completion(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant vvc_channel : in t_channel;
constant lastness : in t_lastness;
constant timeout : in time := 100 ns;
constant msg : in string := "";
constant awaiting_completion_idx : in natural := 0;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
);
-- Overload without vvc_channel
procedure await_any_completion(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant lastness : in t_lastness;
constant timeout : in time := 100 ns;
constant msg : in string := "";
constant awaiting_completion_idx : in natural := 0;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
);
-- Overload with wanted_idx
-- - Awaits completion of the specified command 'wanted_idx' in the queue for the specified VVC, or
-- - until global_awaiting_completion /= '1' (any of the other involved VVCs completed).
procedure await_any_completion(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant vvc_channel : in t_channel;
constant wanted_idx : in integer;
constant lastness : in t_lastness;
constant timeout : in time := 100 ns;
constant msg : in string := "";
constant awaiting_completion_idx : in natural := 0;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
);
-- Overload without vvc_channel
procedure await_any_completion(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant wanted_idx : in integer;
constant lastness : in t_lastness;
constant timeout : in time := 100 ns;
constant msg : in string := "";
constant awaiting_completion_idx : in natural := 0;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
);
-------------------------------------------
-- disable_log_msg
-------------------------------------------
-- VVC interpreter IMMEDIATE command
-- - Disables the specified msg_id for the VVC
procedure disable_log_msg(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant vvc_channel : in t_channel;
constant msg_id : in t_msg_id;
constant msg : in string := "";
constant quietness : in t_quietness := NON_QUIET;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
);
-------------------------------------------
-- disable_log_msg
-------------------------------------------
-- See description above
procedure disable_log_msg(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant msg_id : in t_msg_id;
constant msg : in string := "";
constant quietness : in t_quietness := NON_QUIET;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
);
-------------------------------------------
-- enable_log_msg
-------------------------------------------
-- VVC interpreter IMMEDIATE command
-- - Enables the specified msg_id for the VVC
procedure enable_log_msg(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant vvc_channel : in t_channel;
constant msg_id : in t_msg_id;
constant msg : in string := "";
constant quietness : in t_quietness := NON_QUIET;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
);
-------------------------------------------
-- enable_log_msg
-------------------------------------------
-- See description above
procedure enable_log_msg(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant msg_id : in t_msg_id;
constant msg : in string := "";
constant quietness : in t_quietness := NON_QUIET;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
);
-------------------------------------------
-- flush_command_queue
-------------------------------------------
-- VVC interpreter IMMEDIATE command
-- - Flushes the command queue of the specified VVC
procedure flush_command_queue(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant vvc_channel : in t_channel;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
);
-------------------------------------------
-- flush_command_queue
-------------------------------------------
-- See description above
procedure flush_command_queue(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
);
-------------------------------------------
-- fetch_result
-------------------------------------------
-- VVC interpreter IMMEDIATE command
-- - Fetches result from a VVC
-- - Requires that result is available (i.e. already executed in respective VVC)
-- - Logs with ID ID_UVVM_CMD_RESULT
-- The 'result' parameter is of type t_vvc_result to
-- support that the BFM returns something other than a std_logic_vector.
procedure fetch_result(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant vvc_channel : in t_channel;
constant wanted_idx : in integer;
variable result : out t_vvc_result;
variable fetch_is_accepted : out boolean;
constant msg : in string := "";
constant alert_level : in t_alert_level := TB_ERROR;
constant caller_name : in string := "base_procedure";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
);
-- -- Same as above but without fetch_is_accepted.
-- -- Will trigger alert with alert_level if not OK.
procedure fetch_result(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant vvc_channel : in t_channel;
constant wanted_idx : in integer;
variable result : out t_vvc_result;
constant msg : in string := "";
constant alert_level : in t_alert_level := TB_ERROR;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
);
-- -- - This version does not use vvc_channel.
-- -- - Fetches result from a VVC
-- -- - Requires that result is available (i.e. already executed in respective VVC)
-- -- - Logs with ID ID_UVVM_CMD_RESULT
procedure fetch_result(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant wanted_idx : in integer;
variable result : out t_vvc_result;
variable fetch_is_accepted : out boolean;
constant msg : in string := "";
constant alert_level : in t_alert_level := TB_ERROR;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
);
-- -- Same as above but without fetch_is_accepted.
-- -- Will trigger alert with alert_level if not OK.
procedure fetch_result(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant wanted_idx : in integer;
variable result : out t_vvc_result;
constant msg : in string := "";
constant alert_level : in t_alert_level := TB_ERROR;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
);
-------------------------------------------
-- insert_delay
-------------------------------------------
-- VVC executor QUEUED command
-- - Inserts delay for 'delay' clock cycles
procedure insert_delay(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant vvc_channel : in t_channel;
constant delay : in natural; -- in clock cycles
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
);
-------------------------------------------
-- insert_delay
-------------------------------------------
-- See description above
procedure insert_delay(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant delay : in natural; -- in clock cycles
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
);
-------------------------------------------
-- insert_delay
-------------------------------------------
-- VVC executor QUEUED command
-- - Inserts delay for a given time
procedure insert_delay(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant vvc_channel : in t_channel;
constant delay : in time;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
);
-------------------------------------------
-- insert_delay
-------------------------------------------
-- See description above
procedure insert_delay(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant delay : in time;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
);
-------------------------------------------
-- terminate_current_command
-------------------------------------------
-- VVC interpreter IMMEDIATE command
-- - Terminates the current command being processed in the VVC executor
procedure terminate_current_command(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant vvc_channel : in t_channel;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
);
-- Overload without VVC channel
procedure terminate_current_command(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
);
-------------------------------------------
-- terminate_all_commands
-------------------------------------------
-- VVC interpreter IMMEDIATE command
-- - Terminates the current command being processed in the VVC executor, and
-- flushes the command queue
procedure terminate_all_commands(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant vvc_channel : in t_channel;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
);
-- Overload without VVC channel
procedure terminate_all_commands(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
);
-- Returns the index of the last queued command
impure function get_last_received_cmd_idx(
signal vvc_target : in t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant vvc_channel : in t_channel := NA;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT
) return natural;
end package td_vvc_framework_common_methods_pkg;
package body td_vvc_framework_common_methods_pkg is
--=========================================================================================
-- Methods
--=========================================================================================
-- NOTE: ALL VVCs using this td_vvc_framework_common_methods_pkg package MUST have the following declared in their local transaction_pkg.
-- - The enumerated t_operation (e.g. AWAIT_COMPLETION, ENABLE_LOG_MSG, etc.)
-- Any VVC based on an older version of td_vvc_framework_common_methods_pkg must - if new operators have been introduced in td_vvc_framework_common_methods_pkg either
-- a) include the new operator(s) in its t_operation, or
-- b) change the use-reference to an older common_methods package.
procedure await_completion(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant vvc_channel : in t_channel;
constant timeout : in time;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
) is
begin
await_completion(vvc_target, vvc_instance_idx, vvc_channel, -1, timeout, msg, scope, parent_msg_id_panel);
end procedure;
procedure await_completion(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant timeout : in time;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
) is
begin
await_completion(vvc_target, vvc_instance_idx, NA, -1, timeout, msg, scope, parent_msg_id_panel);
end procedure;
procedure await_completion(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant vvc_channel : in t_channel;
constant wanted_idx : in integer;
constant timeout : in time;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
) is
constant proc_name : string := "await_completion";
constant proc_call : string := proc_name & "(" & to_string(vvc_target, vvc_instance_idx, vvc_channel) -- First part common for all
& ", " & to_string(wanted_idx) & ", " & to_string(timeout, ns) & ")";
constant proc_call_short : string := proc_name & "(" & to_string(vvc_target, vvc_instance_idx, vvc_channel) -- First part common for all
& ", " & to_string(timeout, ns) & ")";
variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel;
variable v_vvc_logged : std_logic_vector(0 to C_MAX_TB_VVC_NUM-1) := (others => '0');
variable v_vvcs_completed : natural := 0;
variable v_local_cmd_idx : integer;
variable v_timestamp : time;
variable v_done : boolean := false;
variable v_first_wait : boolean := true;
variable v_proc_call : line;
variable v_vvc_idx_in_activity_register : t_integer_array(0 to C_MAX_TB_VVC_NUM) := (others => -1);
variable v_num_vvc_instances : natural range 0 to C_MAX_TB_VVC_NUM := 0;
variable v_vvc_instance_idx : integer := vvc_instance_idx;
variable v_vvc_channel : t_channel := vvc_channel;
begin
-- Wait for a few delta cycles to account for any potential extra delays in new or user VVCs.
wait for 0 ns;
wait for 0 ns;
wait for 0 ns;
wait for 0 ns;
wait for 0 ns;
-- Only log wanted_idx when it's given as a parameter
if wanted_idx = -1 then
v_proc_call := new string'(proc_call_short);
else
v_proc_call := new string'(proc_call);
end if;
-- Use the correct msg_id_panel when called from an HVVC
if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then
v_msg_id_panel := parent_msg_id_panel;
end if;
-- Get the corresponding index from the vvc activity register
if v_vvc_instance_idx = -1 then
v_vvc_instance_idx := ALL_INSTANCES;
end if;
if v_vvc_channel = NA then
v_vvc_channel := ALL_CHANNELS;
end if;
get_vvc_index_in_activity_register(vvc_target,
vvc_instance_idx,
vvc_channel,
v_vvc_idx_in_activity_register,
v_num_vvc_instances);
-- If the VVC is registered use the new mechanism
if v_num_vvc_instances > 0 then
-- Checking if await selected (with a specified wanted_idx) is supported by this VVC
if wanted_idx /= -1 and not shared_vvc_activity_register.priv_get_vvc_await_selected_supported(v_vvc_idx_in_activity_register(0)) then
alert(TB_ERROR, v_proc_call.all & " await_completion with a specified wanted_idx is not supported by " &
shared_vvc_activity_register.priv_get_vvc_name(v_vvc_idx_in_activity_register(0)) & ". " &
add_msg_delimiter(msg) & format_command_idx(v_local_cmd_idx), scope);
end if;
-- Increment shared_cmd_idx. It is protected by the protected_semaphore and only one sequencer can access the variable at a time.
-- Store it in a local variable since new commands might be executed from another sequencer.
await_semaphore_in_delta_cycles(protected_semaphore);
shared_cmd_idx := shared_cmd_idx + 1;
v_local_cmd_idx := shared_cmd_idx;
release_semaphore(protected_semaphore);
log(ID_AWAIT_COMPLETION, v_proc_call.all & ": " & add_msg_delimiter(msg) & "." & format_command_idx(v_local_cmd_idx), scope, v_msg_id_panel);
v_timestamp := now;
while not(v_done) loop
for i in 0 to v_num_vvc_instances-1 loop
-- Wait for all of the VVC's instances and channels to complete (INACTIVE status)
if wanted_idx = -1 then
if shared_vvc_activity_register.priv_get_vvc_activity(v_vvc_idx_in_activity_register(i)) = INACTIVE then
if not(v_vvc_logged(i)) then
log(ID_AWAIT_COMPLETION_END, v_proc_call.all & "=> " & shared_vvc_activity_register.priv_get_vvc_info(v_vvc_idx_in_activity_register(i)) &
" finished. " & add_msg_delimiter(msg) & format_command_idx(v_local_cmd_idx), scope, v_msg_id_panel);
v_vvc_logged(i) := '1';
v_vvcs_completed := v_vvcs_completed + 1;
end if;
if v_vvcs_completed = v_num_vvc_instances then
v_done := true;
end if;
end if;
-- Wait for all of the VVC's instances and channels to complete (cmd_idx completed)
else
if shared_vvc_activity_register.priv_get_vvc_last_cmd_idx_executed(v_vvc_idx_in_activity_register(i)) >= wanted_idx then
if not(v_vvc_logged(i)) then
log(ID_AWAIT_COMPLETION_END, v_proc_call.all & "=> " & shared_vvc_activity_register.priv_get_vvc_info(v_vvc_idx_in_activity_register(i)) &
" finished. " & add_msg_delimiter(msg) & format_command_idx(v_local_cmd_idx), scope, v_msg_id_panel);
v_vvc_logged(i) := '1';
v_vvcs_completed := v_vvcs_completed + 1;
end if;
if v_vvcs_completed = v_num_vvc_instances then
v_done := true;
end if;
end if;
end if;
end loop;
if not(v_done) then
if v_first_wait then
log(ID_AWAIT_COMPLETION_WAIT, v_proc_call.all & " - Pending completion. " & add_msg_delimiter(msg) & format_command_idx(v_local_cmd_idx), scope, v_msg_id_panel);
v_first_wait := false;
end if;
-- Wait for vvc activity trigger pulse
wait on global_trigger_vvc_activity_register for timeout;
-- Check if there was a timeout
if now >= v_timestamp + timeout then
alert(TB_ERROR, v_proc_call.all & "=> Timeout. " & add_msg_delimiter(msg) & format_command_idx(v_local_cmd_idx), scope);
v_done := true;
end if;
end if;
end loop;
-- If the VVC is not registered use the old mechanism
else
log(ID_OLD_AWAIT_COMPLETION, vvc_target.vvc_name & " is not supporting the VVC activity register, using old await_completion() method.", scope, v_msg_id_panel);
-- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record
-- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd
-- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC
set_general_target_and_command_fields(vvc_target, vvc_instance_idx, vvc_channel, v_proc_call.all, msg, IMMEDIATE, AWAIT_COMPLETION);
shared_vvc_cmd.gen_integer_array(0) := wanted_idx;
shared_vvc_cmd.timeout := timeout;
--shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel; --UVVM: temporary fix for HVVC, uncomment in v3.0
send_command_to_vvc(vvc_target, timeout, scope, v_msg_id_panel);
end if;
end procedure;
procedure await_completion(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant wanted_idx : in integer;
constant timeout : in time;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
) is
begin
await_completion(vvc_target, vvc_instance_idx, NA, wanted_idx, timeout, msg, scope, parent_msg_id_panel);
end procedure;
procedure await_any_completion(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant vvc_channel : in t_channel;
constant lastness : in t_lastness;
constant timeout : in time := 100 ns;
constant msg : in string := "";
constant awaiting_completion_idx : in natural := 0; -- Useful when being called by multiple sequencers
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
) is
begin
await_any_completion(vvc_target, vvc_instance_idx, vvc_channel, -1, lastness, timeout, msg, awaiting_completion_idx, scope, parent_msg_id_panel);
end procedure;
procedure await_any_completion(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant lastness : in t_lastness;
constant timeout : in time := 100 ns;
constant msg : in string := "";
constant awaiting_completion_idx : in natural := 0;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
) is
begin
await_any_completion(vvc_target, vvc_instance_idx, NA, -1, lastness, timeout, msg, awaiting_completion_idx, scope, parent_msg_id_panel);
end procedure;
procedure await_any_completion(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant vvc_channel : in t_channel;
constant wanted_idx : in integer;
constant lastness : in t_lastness;
constant timeout : in time := 100 ns;
constant msg : in string := "";
constant awaiting_completion_idx : in natural := 0; -- Useful when being called by multiple sequencers
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
) is
constant proc_name : string := "await_any_completion";
constant proc_call : string := proc_name & "(" & to_string(vvc_target, vvc_instance_idx, vvc_channel) -- First part common for all
& ", " & to_string(wanted_idx) & ", " & to_string(timeout, ns) & ")";
constant proc_call_short : string := proc_name & "(" & to_string(vvc_target, vvc_instance_idx, vvc_channel) -- First part common for all
& ", " & to_string(timeout, ns) & ")";
variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel;
variable v_proc_call : line;
begin
-- Only log wanted_idx when it's given as a parameter
if wanted_idx = -1 then
v_proc_call := new string'(proc_call_short);
else
v_proc_call := new string'(proc_call);
end if;
log(ID_OLD_AWAIT_COMPLETION, "Procedure is not supporting the VVC activity register, using old await_any_completion() method.", scope, shared_msg_id_panel);
-- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record
-- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd
-- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC
set_general_target_and_command_fields(vvc_target, vvc_instance_idx, vvc_channel, v_proc_call.all, msg, IMMEDIATE, AWAIT_ANY_COMPLETION);
shared_vvc_cmd.gen_integer_array(0) := wanted_idx;
shared_vvc_cmd.gen_integer_array(1) := awaiting_completion_idx;
shared_vvc_cmd.timeout := timeout;
--shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel; --UVVM: temporary fix for HVVC, uncomment in v3.0
if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then
v_msg_id_panel := parent_msg_id_panel;
end if;
if lastness = LAST then
-- LAST
shared_vvc_cmd.gen_boolean := true;
else
-- NOT_LAST : Timeout must be handled in interpreter_await_any_completion
-- becuase the command is always acknowledged immediately by the VVC to allow the sequencer to continue
shared_vvc_cmd.gen_boolean := false;
end if;
send_command_to_vvc(vvc_target, timeout, scope, v_msg_id_panel);
end procedure;
procedure await_any_completion(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant wanted_idx : in integer;
constant lastness : in t_lastness;
constant timeout : in time := 100 ns;
constant msg : in string := "";
constant awaiting_completion_idx : in natural := 0; -- Useful when being called by multiple sequencers
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
) is
begin
await_any_completion(vvc_target, vvc_instance_idx, NA, wanted_idx, lastness, timeout, msg, awaiting_completion_idx, scope, parent_msg_id_panel);
end procedure;
procedure disable_log_msg(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant vvc_channel : in t_channel;
constant msg_id : in t_msg_id;
constant msg : in string := "";
constant quietness : in t_quietness := NON_QUIET;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
) is
constant proc_name : string := "disable_log_msg";
constant proc_call : string := proc_name & "(" & to_string(vvc_target, vvc_instance_idx, vvc_channel) -- First part common for all
& ", " & to_upper(to_string(msg_id)) & ")";
variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel;
begin
-- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record
-- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd
-- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC
set_general_target_and_command_fields(vvc_target, vvc_instance_idx, vvc_channel, proc_call, msg, IMMEDIATE, DISABLE_LOG_MSG);
shared_vvc_cmd.msg_id := msg_id;
shared_vvc_cmd.quietness := quietness;
--shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel; --UVVM: temporary fix for HVVC, uncomment in v3.0
if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then
v_msg_id_panel := parent_msg_id_panel;
end if;
send_command_to_vvc(vvc_target, std.env.resolution_limit, scope, v_msg_id_panel);
end procedure;
procedure disable_log_msg(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant msg_id : in t_msg_id;
constant msg : in string := "";
constant quietness : in t_quietness := NON_QUIET;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
) is
begin
disable_log_msg(vvc_target, vvc_instance_idx, NA, msg_id, msg, quietness, scope, parent_msg_id_panel);
end procedure;
procedure enable_log_msg(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant vvc_channel : in t_channel;
constant msg_id : in t_msg_id;
constant msg : in string := "";
constant quietness : in t_quietness := NON_QUIET;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
) is
constant proc_name : string := "enable_log_msg";
constant proc_call : string := proc_name & "(" & to_string(vvc_target, vvc_instance_idx, vvc_channel) -- First part common for all
& ", " & to_upper(to_string(msg_id)) & ")";
variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel;
begin
-- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record
-- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd
-- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC
set_general_target_and_command_fields(vvc_target, vvc_instance_idx, vvc_channel, proc_call, msg, IMMEDIATE, ENABLE_LOG_MSG);
shared_vvc_cmd.msg_id := msg_id;
shared_vvc_cmd.quietness := quietness;
--shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel; --UVVM: temporary fix for HVVC, uncomment in v3.0
if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then
v_msg_id_panel := parent_msg_id_panel;
end if;
send_command_to_vvc(vvc_target, std.env.resolution_limit, scope, v_msg_id_panel);
end procedure;
procedure enable_log_msg(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant msg_id : in t_msg_id;
constant msg : in string := "";
constant quietness : in t_quietness := NON_QUIET;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
) is
begin
enable_log_msg(vvc_target, vvc_instance_idx, NA, msg_id, msg, quietness, scope, parent_msg_id_panel);
end procedure;
procedure flush_command_queue(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant vvc_channel : in t_channel;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
) is
constant proc_name : string := "flush_command_queue";
constant proc_call : string := proc_name & "(" & to_string(vvc_target, vvc_instance_idx, vvc_channel) & ")";
variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel;
begin
-- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record
-- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd
-- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC
set_general_target_and_command_fields(vvc_target, vvc_instance_idx, vvc_channel, proc_call, msg, IMMEDIATE, FLUSH_COMMAND_QUEUE);
--shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel; --UVVM: temporary fix for HVVC, uncomment in v3.0
if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then
v_msg_id_panel := parent_msg_id_panel;
end if;
send_command_to_vvc(vvc_target, std.env.resolution_limit, scope, v_msg_id_panel);
end procedure;
procedure flush_command_queue(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
) is
begin
flush_command_queue(vvc_target, vvc_instance_idx, NA, msg, scope, parent_msg_id_panel);
end procedure;
-- Requires that result is available (i.e. already executed in respective VVC)
-- The four next procedures are overloads for when 'result' is of type work.vvc_cmd_pkg.t_vvc_result
procedure fetch_result(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant vvc_channel : in t_channel;
constant wanted_idx : in integer;
variable result : out t_vvc_result;
variable fetch_is_accepted : out boolean;
constant msg : in string := "";
constant alert_level : in t_alert_level := TB_ERROR;
constant caller_name : in string := "base_procedure";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
) is
constant proc_name : string := "fetch_result";
constant proc_call : string := proc_name & "(" & to_string(vvc_target, vvc_instance_idx, vvc_channel) -- First part common for all
& ", " & to_string(wanted_idx) & ")";
variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel;
begin
await_semaphore_in_delta_cycles(protected_response_semaphore);
-- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record
-- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd
-- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC
set_general_target_and_command_fields(vvc_target, vvc_instance_idx, vvc_channel, proc_call, msg, IMMEDIATE, FETCH_RESULT);
shared_vvc_cmd.gen_integer_array(0) := wanted_idx;
--shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel; --UVVM: temporary fix for HVVC, uncomment in v3.0
if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then
v_msg_id_panel := parent_msg_id_panel;
end if;
send_command_to_vvc(vvc_target, std.env.resolution_limit, scope, v_msg_id_panel);
-- Post process
result := shared_vvc_response.result;
fetch_is_accepted := shared_vvc_response.fetch_is_accepted;
if caller_name = "base_procedure" then
log(ID_UVVM_CMD_RESULT, proc_call & ": Legal=>" & to_string(shared_vvc_response.fetch_is_accepted) & ", Result=>" & to_string(result) & format_command_idx(shared_cmd_idx), scope, v_msg_id_panel); -- Get and ack the new command
end if;
release_semaphore(protected_response_semaphore);
end procedure;
procedure fetch_result(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant vvc_channel : in t_channel;
constant wanted_idx : in integer;
variable result : out t_vvc_result;
constant msg : in string := "";
constant alert_level : in t_alert_level := TB_ERROR;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
) is
variable v_fetch_is_accepted : boolean;
variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel;
constant proc_name : string := "fetch_result";
constant proc_call : string := proc_name & "(" & to_string(vvc_target, vvc_instance_idx, vvc_channel) -- First part common for all
& ", " & to_string(wanted_idx) & ")";
begin
fetch_result(vvc_target, vvc_instance_idx, vvc_channel, wanted_idx, result, v_fetch_is_accepted, msg, alert_level, proc_name & "_with_check_of_ok", scope, parent_msg_id_panel);
if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then
v_msg_id_panel := parent_msg_id_panel;
end if;
if v_fetch_is_accepted then
log(ID_UVVM_CMD_RESULT, proc_call & ": Legal=>" & to_string(v_fetch_is_accepted) & ", Result=>" & to_string(result) & format_command_idx(shared_cmd_idx), scope, v_msg_id_panel); -- Get and ack the new command
else
alert(alert_level, "fetch_result(" & to_string(wanted_idx) & "): " & add_msg_delimiter(msg) & "." &
" Failed. Trying to fetch result from not yet executed command or from command with no result stored. " & format_command_idx(shared_cmd_idx), scope);
end if;
end procedure;
procedure fetch_result(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant wanted_idx : in integer;
variable result : out t_vvc_result;
variable fetch_is_accepted : out boolean;
constant msg : in string := "";
constant alert_level : in t_alert_level := TB_ERROR;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
) is
begin
fetch_result(vvc_target, vvc_instance_idx, NA, wanted_idx, result, fetch_is_accepted, msg, alert_level, "base_procedure", scope, parent_msg_id_panel);
end procedure;
procedure fetch_result(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant wanted_idx : in integer;
variable result : out t_vvc_result;
constant msg : in string := "";
constant alert_level : in t_alert_level := TB_ERROR;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
) is
begin
fetch_result(vvc_target, vvc_instance_idx, NA, wanted_idx, result, msg, alert_level, scope, parent_msg_id_panel);
end procedure;
procedure insert_delay(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant vvc_channel : in t_channel;
constant delay : in natural; -- in clock cycles
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
) is
constant proc_name : string := "insert_delay";
constant proc_call : string := proc_name & "(" & to_string(vvc_target, vvc_instance_idx, vvc_channel) -- First part common for all
& ", " & to_string(delay) & ")";
variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel;
begin
-- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record
-- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd
-- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC
set_general_target_and_command_fields(vvc_target, vvc_instance_idx, vvc_channel, proc_call, msg, QUEUED, INSERT_DELAY);
shared_vvc_cmd.gen_integer_array(0) := delay;
--shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel; --UVVM: temporary fix for HVVC, uncomment in v3.0
if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then
v_msg_id_panel := parent_msg_id_panel;
end if;
send_command_to_vvc(vvc_target, std.env.resolution_limit, scope, v_msg_id_panel);
end procedure;
procedure insert_delay(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant delay : in natural; -- in clock cycles
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
) is
begin
insert_delay(vvc_target, vvc_instance_idx, NA, delay, msg, scope, parent_msg_id_panel);
end procedure;
procedure insert_delay(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant vvc_channel : in t_channel;
constant delay : in time;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
) is
constant proc_name : string := "insert_delay";
constant proc_call : string := proc_name & "(" & to_string(vvc_target, vvc_instance_idx, vvc_channel) -- First part common for all
& ", " & to_string(delay) & ")";
variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel;
begin
-- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record
-- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd
-- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC
set_general_target_and_command_fields(vvc_target, vvc_instance_idx, vvc_channel, proc_call, msg, QUEUED, INSERT_DELAY);
shared_vvc_cmd.delay := delay;
--shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel; --UVVM: temporary fix for HVVC, uncomment in v3.0
if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then
v_msg_id_panel := parent_msg_id_panel;
end if;
send_command_to_vvc(vvc_target, std.env.resolution_limit, scope, v_msg_id_panel);
end procedure;
procedure insert_delay(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant delay : in time;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
) is
begin
insert_delay(vvc_target, vvc_instance_idx, NA, delay, msg, scope, parent_msg_id_panel);
end procedure;
procedure terminate_current_command(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant vvc_channel : in t_channel;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
) is
constant proc_name : string := "terminate_current_command";
constant proc_call : string := proc_name & "(" & to_string(vvc_target, vvc_instance_idx, vvc_channel) -- First part common for all
& ")";
variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel;
begin
-- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record
-- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd
-- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC
set_general_target_and_command_fields(vvc_target, vvc_instance_idx, vvc_channel, proc_call, msg, IMMEDIATE, TERMINATE_CURRENT_COMMAND);
--shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel; --UVVM: temporary fix for HVVC, uncomment in v3.0
if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then
v_msg_id_panel := parent_msg_id_panel;
end if;
send_command_to_vvc(vvc_target, std.env.resolution_limit, scope, v_msg_id_panel);
end procedure;
-- Overload without VVC channel
procedure terminate_current_command(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
) is
constant vvc_channel : t_channel := NA;
constant proc_name : string := "terminate_current_command";
constant proc_call : string := proc_name & "(" & to_string(vvc_target, vvc_instance_idx) -- First part common for all
& ")";
begin
terminate_current_command(vvc_target, vvc_instance_idx, vvc_channel, msg, scope, parent_msg_id_panel);
end procedure;
procedure terminate_all_commands(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant vvc_channel : in t_channel;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
) is
begin
flush_command_queue(vvc_target, vvc_instance_idx, vvc_channel, msg, scope, parent_msg_id_panel);
terminate_current_command(vvc_target, vvc_instance_idx, vvc_channel, msg, scope, parent_msg_id_panel);
end procedure;
-- Overload without VVC channel
procedure terminate_all_commands(
signal vvc_target : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := shared_msg_id_panel --UVVM: temporary fix for HVVC, replace for C_UNUSED_MSG_ID_PANEL in v3.0
) is
constant vvc_channel : t_channel := NA;
begin
terminate_all_commands(vvc_target, vvc_instance_idx, vvc_channel, msg, scope, parent_msg_id_panel);
end procedure;
---- Returns the index of the last queued command
impure function get_last_received_cmd_idx(
signal vvc_target : in t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant vvc_channel : in t_channel := NA;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT
) return natural is
variable v_cmd_idx : integer := -1;
begin
v_cmd_idx := shared_vvc_last_received_cmd_idx(vvc_channel, vvc_instance_idx);
check_value(v_cmd_idx /= -1, tb_error, "Channel " & to_string(vvc_channel) & " not supported on VVC " & vvc_target.vvc_name, scope, ID_NEVER);
if v_cmd_idx /= -1 then
return v_cmd_idx;
else
-- return 0 in case of failure
return 0;
end if;
end function;
end package body td_vvc_framework_common_methods_pkg;
| mit | db0b70d97767c127ed3e4be268360acc | 0.565124 | 3.952801 | false | false | false | false |
FlatTargetInk/UMD_RISC-16G5 | ProjectLab2/NewCombined/programCounter.vhd | 3 | 1,104 | -- Company: Team 5
-- Engineer:
--
-- Create Date: 15:15:57 03/11/2016
-- Design Name:
-- Module Name: programCounter - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity programCounter is
generic(PCWIDTH:integer:=16);
Port ( CLK : in STD_LOGIC;
EN : in STD_LOGIC;
RST : in STD_LOGIC;
INSADR : out STD_LOGIC_VECTOR (PCWIDTH-1 downto 0));
end programCounter;
architecture Behavioral of programCounter is
signal COUNTER : std_logic_vector(PCWIDTH-1 downto 0) := (OTHERS => '0');
begin
INSADR <= COUNTER;
process(CLK, RST)
begin
if(RST = '1')then
COUNTER <= (OTHERS => '0');
elsif(CLK'event and CLK = '1')then
if(EN = '1')then
COUNTER <= unsigned(COUNTER) + 1;
end if;
end if;
end process;
end Behavioral;
| gpl-3.0 | e24471a99e33df438382e4088800d423 | 0.595109 | 3.266272 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fir/fir_compiler_v7_1/hdl/rounder.vhd | 2 | 13,415 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
WNVuWUAsqC2BZNYpigCD7WWFWo4sUAp5R16IjVm3V2ByIxkbNTVSeZjaMG4U6Hf0K2umaK0/DrSs
pcqmkiNk9g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
pKlo1G0nrxQ8p+E455s2Cd7USdT8WMnGyJPupSCNyZObSVXLGPcebkKb0KWQZxinb0oITawJXLNI
lXmgV5wfX28vX4kUgg51MvdJZFCW5yw+5NAl5lA3wF60LwC2S4QZH/oNIAz7z9BR6OVxylaSsV4k
NfIe6ZM49ZYU/a4e8j0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JKz2k3Wy+6BB7Y/+zHcq4p+gxqcMy9JMvo0xO6+yI5iy7fnaXtPKNnRYAWm65hn8vqr9OamM+K3U
Q5eNJL9d4j+kGZWJCjM8fTQjGdyI92KqGVAg+2dd1NPxHHkBeldzKfCACzi6KFAgzGoNqsi83wsh
+zpsh4hMHJL8Dt0/5VJuazaKz15bZT1dgJmkwjsB+zJ7aX/GkXaiMQeKaZQ92sMcOKEopAaknGXq
nGfIC3y5Qm9vDT3/pbU0nozCal2rurJNAqIJ0nqQDI7aULxfjpet7+BOvVDeuZAomrLUnNgYLKhq
LsIzHxzLpbKfHS1JIfOz8Gix/cFnvBCvbttgkg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
YBgeehz4lW17lTbjSzQ0s07Iyyw0my85pEAq3e7Piu5BtsA+IZ5Yx9/YIyvsb5MZTvB1asXTQy+c
5lNJZmHtFpykDxG6thDq6UcjiBprF4lxZlDfXuxVjIC74HY75BuOo9z5rsQFARCaSbSt0iPyjIFE
u2axbAXsWqvefzz5vtg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Gbe23VQEo4WhZzT+6FBCnphKC+I+wzfiD0EB4DH/o68OdrnL5kBw+t37SrvSy32MkHb7B+tS1HdG
lSJcHKcak2wo1mG8WDTtFzfPWhPk6GqQaVtFV+yPsQRWiK8upthg9b8qUG0RunI4ZZJY2MZqvz1O
V7cdg5JE9P5U4GVwkeiZdKj7EZY+jyRmhgDI8LI2eBlEIBEgQJaL14duCHXhtM+tLNNvCLiVUDJX
nzMumvp/Si7j/Q1gyoTOlEt3Sykg8CsmZyRa5Eekxn9XWdB7XzKpYsIfMTWyl1Dx8YlEWOlM+rHh
KXju6wLz41KfeT+quLIhRTOQJDBvAnsScGfgZQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8192)
`protect data_block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`protect end_protected
| gpl-2.0 | 4f5862364bc1bff173f728dd316dc287 | 0.929407 | 1.853669 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/mux_bus32.vhd | 2 | 13,719 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YLV5heNWTJaGEG9443DYkUThnVZ2hfi0TfA0OXJ+WfM2D0AhtNaxwFobOh7jpYf/wziYLSiIStZt
fuuEQkAd5w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UwEIMwHIsVedm6fT/Ez0IqP2JKeLijpthNxR2W/4QfkasxJG+mbS4yd3sl2+cbgDWm8AwKdgMV2B
8KdZ/VtaUJbGeJEaMpl7ouT9PtC1kbTutvfh0bXfaHQEkXJfmofKJ5/lgFoWe6U49ZMYakgaXmMu
DmvZv6XRFgMoeM8jK7c=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
u5C53ucDO52Vf9wMMmULXDAZOy2r52AzCfkNWMBcRHSOtnd/Euzh0kM7/QcjIkTBeual8O719AHU
TunljSfz9qkz4nHHqQ/ghezbhmdWG3LQi5xTyT7CrxKa7Y1eSZIg+n15qR2IpOByXmmd+3NiQcWC
HzeS/xO8OKqE8be08aWjguxXr5VzJtk7k+8Rgbl33v7eN58hLyCPmEIJaGFpi/5GCbET7FoRmseQ
+NGUqZluVsixJHoDRLz2xn9LR+OLsSOTl2Br1QwrGJqGvqbAXHbdTub9gBHlYRSSVzZjnsJlWbXG
cTJ5XTZ1NOQGH7NX7nmcxkptt78t+74ma1mk6A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QnZZtXL0y8+5JzvLsyEgoJ/BpCbI1YExcLhViz+qt48rEWFIHQaScQipc2nXVXdcxARYbpYXDq4l
Xv/MsibUMuDcJMqXLUxgeXa5PqfJSAbHV3/Ya9NkxNkPvduMBssLmn/eASF003HQdTsu7G85U2NY
PDAO7i6m4DACR/jbJi4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ckrGNOg8xwooSLkX3xUYgVcvQSMWBr8bio73qcCVPsrPA/h7GSycoDVkNfCCjOgTEia7E7Vfo6yE
MlLxHmWp1+Vb90tpgb63Be+iJlUDjAaHBH+3GQNhDH9JROm0laEOu3WwXaRej4ICJuiedXlzvNe4
80CuCJf8VgpZvh0pLQ092dyUk6qcs/KBrt2FzhlwTsBrTg5TfIST2bQP9Dmcmliti7oiuS2MfAvM
rCL1SaCMjUXeJ0hLIc63bc9mE73HoQ6MWZi1+m9XxaFHuVwU8DXvpn5RpqNwZlrauxnQSihRidgA
RInAbDkChf5DdgFG3TtRo0HMhUj3yiCYdmW91w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8416)
`protect data_block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`protect end_protected
| gpl-2.0 | 70dd3225c956ea72f45344d073a8f003 | 0.931409 | 1.891754 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_exp/flt_exp_recomb.vhd | 2 | 16,444 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
icjLJZRn9b7kAiN7HJoVTij8wp0z4fpxbEzGkjk8ra/KGBbFPiymrFpuMiEUkOBcYIDce67Nv8/4
PokfK2FqWQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
SSgHR/oNMGlE33Xkrgi3R7aM0rgpVlV9U5NIbrTTvwpj4zRv3wL1Fs31vxsj+sA2oT28daTg4ha3
uSgOhF1WuDlj/AU7mIIB+7MbJy+tPWq5ubWH4zsw6osSC72LCFhMEuLxKJs3PfC+NKWPffPc39dL
kdAnliaynZpezWH+4xE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
xP0+auSLpqgc3xyqWhs38/SVRIk5SPJjMu8yajcMhqoFU2cMHB9FeWWuQZ4L9iy+CLFh6/qTSrp2
XHjIPJR9MyVu9E0W1p9Hyp7XBL9xNQxrli1DF8AQ4iOqQIfh38eQyfslNIqsSlGPSoQSeN2WlfDV
KxJtN8fuAHbYPLC/WBi3Sh1bitDN3pNGDxS077Rpwk3RwgLVvxLZ6xUyKvcPD/iPNBDHAf2pQFz+
myDBuGryrYC1iJ7UWgoc0ZscSOy42wZ1/YtnBDR2JQ2WZ5eKIcnbAFaR9jLL+VaBq5X0fH+ZWg/Y
mkw9EPmllce2Ojv0XZpUuclRjhBo/wFIhFiokA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
oIw2aNNHu6u3Gxdoax14t+0wqU+7D47TkV26t0M4ApZKiquy+ZOBUChVo9eEADBNqkvogJAd9CVE
pr7Fgain4kw8vXKjf+epk+1u+lq+j/i1TUXwkPQhP6bWrioLL/8fmuKWCvDnTxJGneUfBKQHWgYQ
ZWsqm1aEh6q9MW9OvgY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JwzS8radByaiWqm6jvGPQxvx0AQrO62lZd2N19a6+D1Dy0uFxhpUXoeZKkKBtB8gBJzaYeyFt7ay
V+GIAWXDRepNrY1fv/ihYaVtPRf6bFWm8+w1VGtPMk0E/CdX6vs1FkzhT2gBLhM9gpkiAj/rOajl
sXR4BCji91GZKDdsulkxFReYciAV+ZaqIqIbbtTjc2MCXPy6J0t/GnbYqjBnx3H8E2rU7VvaGc4A
1P0g7Qnf6qD0tENEbuXYGQ8EgZJ8OHdaqmMSa3K5YBC7bUomoOp1xWrvcQhQv+HHHpGc09wF1VM/
1rlk8WtZslwJV27OrmoeDQtAXmQ6l9a40TPqfQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10432)
`protect data_block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`protect end_protected
| gpl-2.0 | d9c7d0c185ec3e5bf641ca134fb9bbaa | 0.937363 | 1.871188 | false | false | false | false |
fafaldo/ethernet | ethernet4b/ipcore_dir/blk_mem_gen_v7_3/simulation/blk_mem_gen_v7_3_synth.vhd | 1 | 9,399 |
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7_3 Core - Synthesizable Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: blk_mem_gen_v7_3_synth.vhd
--
-- Description:
-- Synthesizable Testbench
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: Sep 12, 2011 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.NUMERIC_STD.ALL;
USE IEEE.STD_LOGIC_MISC.ALL;
LIBRARY STD;
USE STD.TEXTIO.ALL;
--LIBRARY unisim;
--USE unisim.vcomponents.ALL;
LIBRARY work;
USE work.ALL;
USE work.BMG_TB_PKG.ALL;
ENTITY blk_mem_gen_v7_3_synth IS
PORT(
CLK_IN : IN STD_LOGIC;
CLKB_IN : IN STD_LOGIC;
RESET_IN : IN STD_LOGIC;
STATUS : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA
);
END ENTITY;
ARCHITECTURE blk_mem_gen_v7_3_synth_ARCH OF blk_mem_gen_v7_3_synth IS
COMPONENT blk_mem_gen_v7_3_exdes
PORT (
--Inputs - Port A
ENA : IN STD_LOGIC; --opt port
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
CLKA : IN STD_LOGIC;
--Inputs - Port B
ENB : IN STD_LOGIC; --opt port
ADDRB : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
CLKB : IN STD_LOGIC
);
END COMPONENT;
SIGNAL CLKA: STD_LOGIC := '0';
SIGNAL RSTA: STD_LOGIC := '0';
SIGNAL ENA: STD_LOGIC := '0';
SIGNAL ENA_R: STD_LOGIC := '0';
SIGNAL WEA: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0');
SIGNAL WEA_R: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0');
SIGNAL ADDRA: STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0');
SIGNAL ADDRA_R: STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0');
SIGNAL DINA: STD_LOGIC_VECTOR(3 DOWNTO 0) := (OTHERS => '0');
SIGNAL DINA_R: STD_LOGIC_VECTOR(3 DOWNTO 0) := (OTHERS => '0');
SIGNAL CLKB: STD_LOGIC := '0';
SIGNAL RSTB: STD_LOGIC := '0';
SIGNAL ENB: STD_LOGIC := '0';
SIGNAL ENB_R: STD_LOGIC := '0';
SIGNAL ADDRB: STD_LOGIC_VECTOR(10 DOWNTO 0) := (OTHERS => '0');
SIGNAL ADDRB_R: STD_LOGIC_VECTOR(10 DOWNTO 0) := (OTHERS => '0');
SIGNAL DOUTB: STD_LOGIC_VECTOR(7 DOWNTO 0);
SIGNAL CHECKER_EN : STD_LOGIC:='0';
SIGNAL CHECKER_EN_R : STD_LOGIC:='0';
SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0');
SIGNAL clk_in_i: STD_LOGIC;
SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1';
SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1';
SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1';
SIGNAL clkb_in_i: STD_LOGIC;
SIGNAL RESETB_SYNC_R1 : STD_LOGIC := '1';
SIGNAL RESETB_SYNC_R2 : STD_LOGIC := '1';
SIGNAL RESETB_SYNC_R3 : STD_LOGIC := '1';
SIGNAL ITER_R0 : STD_LOGIC := '0';
SIGNAL ITER_R1 : STD_LOGIC := '0';
SIGNAL ITER_R2 : STD_LOGIC := '0';
SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
BEGIN
-- clk_buf: bufg
-- PORT map(
-- i => CLK_IN,
-- o => clk_in_i
-- );
clk_in_i <= CLK_IN;
CLKA <= clk_in_i;
-- clkb_buf: bufg
-- PORT map(
-- i => CLKB_IN,
-- o => clkb_in_i
-- );
clkb_in_i <= CLKB_IN;
CLKB <= clkb_in_i;
RSTA <= RESET_SYNC_R3 AFTER 50 ns;
PROCESS(clk_in_i)
BEGIN
IF(RISING_EDGE(clk_in_i)) THEN
RESET_SYNC_R1 <= RESET_IN;
RESET_SYNC_R2 <= RESET_SYNC_R1;
RESET_SYNC_R3 <= RESET_SYNC_R2;
END IF;
END PROCESS;
RSTB <= RESETB_SYNC_R3 AFTER 50 ns;
PROCESS(clkb_in_i)
BEGIN
IF(RISING_EDGE(clkb_in_i)) THEN
RESETB_SYNC_R1 <= RESET_IN;
RESETB_SYNC_R2 <= RESETB_SYNC_R1;
RESETB_SYNC_R3 <= RESETB_SYNC_R2;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
ISSUE_FLAG_STATUS<= (OTHERS => '0');
ELSE
ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG;
END IF;
END IF;
END PROCESS;
STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS;
BMG_DATA_CHECKER_INST: ENTITY work.CHECKER
GENERIC MAP (
WRITE_WIDTH => 4,
READ_WIDTH => 8 )
PORT MAP (
CLK => clkb_in_i,
RST => RSTB,
EN => CHECKER_EN_R,
DATA_IN => DOUTB,
STATUS => ISSUE_FLAG(0)
);
PROCESS(clkb_in_i)
BEGIN
IF(RISING_EDGE(clkb_in_i)) THEN
IF(RSTB='1') THEN
CHECKER_EN_R <= '0';
ELSE
CHECKER_EN_R <= CHECKER_EN AFTER 50 ns;
END IF;
END IF;
END PROCESS;
BMG_STIM_GEN_INST:ENTITY work.BMG_STIM_GEN
PORT MAP(
CLKA => clk_in_i,
CLKB => clkb_in_i,
TB_RST => RSTA,
ADDRA => ADDRA,
DINA => DINA,
ENA => ENA,
WEA => WEA,
ADDRB => ADDRB,
ENB => ENB,
CHECK_DATA => CHECKER_EN
);
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
STATUS(8) <= '0';
iter_r2 <= '0';
iter_r1 <= '0';
iter_r0 <= '0';
ELSE
STATUS(8) <= iter_r2;
iter_r2 <= iter_r1;
iter_r1 <= iter_r0;
iter_r0 <= STIMULUS_FLOW(8);
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
STIMULUS_FLOW <= (OTHERS => '0');
ELSIF(WEA(0)='1') THEN
STIMULUS_FLOW <= STIMULUS_FLOW+1;
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
ENA_R <= '0' AFTER 50 ns;
WEA_R <= (OTHERS=>'0') AFTER 50 ns;
DINA_R <= (OTHERS=>'0') AFTER 50 ns;
ENB_R <= '0' AFTER 50 ns;
ELSE
ENA_R <= ENA AFTER 50 ns;
WEA_R <= WEA AFTER 50 ns;
DINA_R <= DINA AFTER 50 ns;
ENB_R <= ENB AFTER 50 ns;
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
ADDRA_R <= (OTHERS=> '0') AFTER 50 ns;
ADDRB_R <= (OTHERS=> '0') AFTER 50 ns;
ELSE
ADDRA_R <= ADDRA AFTER 50 ns;
ADDRB_R <= ADDRB AFTER 50 ns;
END IF;
END IF;
END PROCESS;
BMG_PORT: blk_mem_gen_v7_3_exdes PORT MAP (
--Port A
ENA => ENA_R,
WEA => WEA_R,
ADDRA => ADDRA_R,
DINA => DINA_R,
CLKA => CLKA,
--Port B
ENB => ENB_R,
ADDRB => ADDRB_R,
DOUTB => DOUTB,
CLKB => CLKB
);
END ARCHITECTURE;
| apache-2.0 | 3cab499ee18f532e4bf57347eb9ed97d | 0.561549 | 3.530804 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/dds/dds_compiler_v6_0/hdl/dds_compiler_v6_0.vhd | 4 | 25,173 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
aWeCSylmt2octcvBrCdaDNdDiGDHT8crfV5Nl1zevYcgtwIWAm0wUoQ4zc0okYjFAxBcWmsCfepn
8WwkQTJHdQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
gTMkALAL6kjsxTKkiCcVMNEtB5oyEEVZUNvz0rUqXCLdVSQcx/C9j0u03AkitZYJtdfZ4RX0d4UM
Gv107PlXJ/Z3CB9jbjiK54/54Ieq9J1JLmfz4sp0zob7mSPgfFqZKsI0EyvSMsj1b/kZtzUB9wmU
Cv+kJAX1EeNn+f6ghOQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
H1CgG+BVe4xFmzgTW/+BAjDZjGIGZIIQB6xlZhX+5tmF2HYh9OD81AytW9sotL1TOhQNlyOcxxzi
rOJOTRG79O5Zi3hhRA6/v9vgvpNEZpsJwORtKmLclEZejURbktpKMPhsi+RGYF2k4lfq0nWKbfVn
J07JtOU3WwR+Na90TNKEcKKfBIhHNUVJPfsw7XKRiuPsKmMd1x8w+WabPUlZyRoFC0xFhCDmT6jS
bwou3lYBd4ofXtwjOzqKECVMVSyzM/hISWqfKdMt014x85rHEbQU6rrKv5Ls0BTNYKCledhIpdMq
XEzJcsBk/x+1U/mNye6pGHb5WypITUApCY30SA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
bLUlqdqzof+g2XUR5TvuxrXC4rrvliCn4r+vHq+228cVLnFbNXQXGYvMnjEBDcaNOI/8syducoGc
eufpt7E+sxuvOOkt2+DwfjxsRDGxGB0XOBedenslUsdAIIbTB85tkQhcnZ3FjPacCmqr9SDeKdLR
JZUwgqmEG97jAZyQwlY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gL2UjDSbhhnr5SUPfEDURgoE1ewOg0maI/neMEVBk+GiLleb3xuoQT2SVQDCWXoGLjuQpuYI8NbJ
z1EQNMJt/cVPYlL/0F9mOewOsUi+KEUdUbZWFyCNi2W0qTAlpgjp/Bs08qLd2EMNlh5MB8enjU/z
fW+5mUD/LZ2JuSBnl9GF+H7hy0ajF6lem1yBzTGtglCPYP9A/lzZP4kCcZwJazi4UuNdxvKQqPrZ
0baK+UJ85DdbdfV/G+gFD4TYtRl0qiMMXV09gxCoWHCuoOdkI1PwwyCAIzCAFVH4syVoG/H+3dPt
6tgB33fwlgJAm+mGcAPsbP4sPGruNb02sBHnhQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16896)
`protect data_block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`protect end_protected
| gpl-2.0 | 73483ec9b4eab60f80b3efe90ecf9d3a | 0.944703 | 1.847288 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fir/fir_compiler_v7_1/hdl/wrap_buff.vhd | 2 | 50,960 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Gicn0ANpbkQLuZVExUrJihnUXPAxa1ImeWmqTzxIH62mdjSVlulvhi48IH3C4qAyQlx7nyrTGnKO
aZrh92ceqg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Ykp2msmA/Pi9SGpAkhHXp+LWvGL5x4WDWSZ3GOLqcsxi6Xuib7rImM0SpgG6UKY3bIMMKeu9xjcf
/eelPldDxHIyaoxj1+ITRuMUALLcjvj6WP7HDDwPLZ5krRznXm8lxxwV82spiF+b0EqzDwg6KWwf
bSIPUC3YC5ZK35YlEIQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
V+o5jgOQhjvfAyP/f09hwvOp9BBAnkU5DaRefEqWs6cG/XT9KsXqKuruvTFyukXJ+RjJMq0hLekA
tUxfhmOSc98JuhaOXJahe3iMzgk9KyPgZAPFGKi5COTcDjWm1HVb9D7yLmNZ2U2O3HzM7qFErxIW
kTtfGgXX25XMsC54xhIXA1xxePG7UjWYrdWhcrbAshA9LeLH9r3pTVDzdrrPJaaMoceJXiFtM4HD
Tr97ZAUxjQoi+LqwVuedrCCQzKi/0LIc6fcjScm+qdxeH0BnmhiInaIjkFZS7hRsb3RwdUWfKTCt
vBuB6RxyxQ6Jq5iOjRDGitvA0sIQvdKXGrmdxA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
q3Wo4n4K1Lemi+bOQODE3aX0dwXbNN5kPkzkx71l+r4YOt3RTgObzTyasyqCY0foeabUljrdxAJk
gng6IIin0DmDWlag8E83uFutRHF+NyGjn2kPA5q+k9l4h3R7jxuDCYVAsIjCVc/KP0aL+guwX2Y4
csmg4gg3wPr9N+sTkHY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
e1I9CbotAQ7p4P1/G35hRa5mT0GeD+iuAyp848uYw4w6L3I+8I5Njpc22t2kZB2E1+sN1rTrPH0r
XBiBRmirIkkyeYdCmUt1U9X6ZVtvN4UN0HK28Gc5LLfN/Coas4/NUjcJuINl1XHan1yoQ0sic2m2
9mntBdFVXbnhfQ9FpkMR3RBFm9J6BV0Wou/fclhTcttQLYUmcN+cA8RBen5m1cZmHptDChv7Yd3e
FnjnXLj3civ4pcIJsBAtHS5iGvP42N5ojj8K14ShZI002eGMQLx6tXCi1ISYqebs/F9RkWRNpqDV
MGjJ8Wn2CD3tJDbK0V8JPDSZ/sR1gEiAU4bAqw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 35984)
`protect data_block
vxu1HEy3xhRN+v990cktOAlMDTxFOxwwDTP6OrQWKtVZUrGbwcoq35x7q+RlqT0KWJPpAaqNyGeo
Kc8aju0A35+DhDhFTml2h71m8Skb1V7Xvl0JiwfXZ8mb8MCDL+46jCROTd6t2ywgDJp/I6Kb9xbL
3lXI4E1bLnNiaY9daESA30YWieWFJ8OTK1ENAYPwyioheb+wf429YQWtYPJJRzMHW0UcWmfE6EGe
Ykwk7YFSscZbiPCkVQXVn/lKG3oablcXIOlpN1wuEhwza1xtGuHETUZCL2r9UcB7s5UtB4aJdpVW
bT+aWqI2bKPqnfZEhhOoDMLxLKfDCGohm1E4c5m9Au4WQ5bHEuM7nAh96yNdRyEuy2QuV90TQwpq
MmyOKlyroMei/8rbbtZHRkF5TXnFECsLgs70yaPLDWg4iuRG2YoIMGuqN+Uci8L86bASevdWe74p
ogZk7tEcHtb+N2LWhM7QQQpXwhqtHFJT3V7wvHV6jtYyxmuVGy5eOkM0uDAw/0FLut6mSobbBT1U
L/TD4XM6yJ4asHQDGrbSXGzYOQkwQeTyY3ZufAT+d/NiUf8J3rPPoxEXLxCzzmgaig6q5All5EV5
AuHp68HAqS224ATzpHKxLghWY/Aeg7TUUg8W+3vzrvlSdpfG7F4Gsu+BV36QA3Y1fLFDBupBAHUR
GLb+hBYzk0w0PShBcKxFJFKucl2Elnp+rmgF+L4JohQE2JGx3RkapNkn0VElcHqQKmDfxyt07TMD
4Q0KMpm2//3SxKqW10t5IXRJ00EOivvq/AdLmbIzqeaguJPm1vIYVtr384n051iE/UUkyQMHapXd
Rp+XyLQk39t8bMmXtFnxKtFtBUXHJAi0bVn7WQHpoHlj1lSaaa2S79JoerxTeZPnRNWJ8FFw4Cod
t1MtrvbZHxRIpl43to5scawcr8jJ7rkbruzn88/NkptguoKFzA5gMYgnDeqLU64O6NHrmWo3cndi
zqcMyMEBnFC09SWMSAZ0FoS+5jnNXerijdqQjrWFkMHEHAabOpv5d30IUSG4udbDgNk93WmHsQbX
9KufVVSgSfb9ryNTsR3jGqh8ldE6iaKk7peVGiDOEO1EmrrjZ2CiarSEx1wPkQ5X3sWUW2hirodB
9N8qJQcfBQ2JngMEW75ukXm0HV9FkIscxPYsvlBeZ/xnrPPclyTu6Fc+qfNmzCk06ktFa7jFd17P
23QcNiSucIt5f6r87hqtds63rOCe9+MZXJI8rroVWA6D7XpAt5iQbXmHItuu9qtlpV1YR1XDFF1O
iREn4YCjDJZoh6BAfNd233F36AwtyWz+UEGhPMDll/U5uX/sx3mtr+BB2MtXtBzOGaEh7WYw6lmb
8X8W8O8BU0blJPBL0GDtVxW5jLk8GuHClpcKFBv/8eINkhusJlm5UgcWBN9p1fa3/UQMpj5B6ID8
lVCioY55Qw5i18VzS8BGMNsHn+83upxRvX8oKHHbEavMBg97KHnrVoKf6YlKlKjAOTssfI++pVzq
K3kz4wED4jsp+owQPcgA2VDfc9vRIQrHPH+pCjCrrX9xNz0zpUK0ne2E/dacpVlW+zruvyowGVpL
yKJU7nOUd7y4OHCZb0Ot+jS2sFRGdLHV/+4H9a3Kcn5YWzM8OY2naCsWABxM9KmQHiEwL4pVLypk
oIDFonrFIYDD+y/BSAP81jkUYvW20Z8XUz6SeUzHVLDsGSlzRQwEGOeH3M1cZZgnbrzSrqerZ6di
uXKdEuuY4j/iqN2QNov0z4h4m70mVTOgzQCrnm13A6s06KolmoG++nnPSCbGTvur/KHuDPUZmhCq
NWRtgQ1ccGjBc1vnn67xNeHmsRBljOFosAHSKbhGuGtWN75gaWK62w/SqRu3jCG7e51OQJ2YQAE+
7ip2R14GuKkUNYiHE/8+YLCSJqOzccTnFBtlrrmgP2vYkvVAv+Oi27IUVCufHcL9cU9w8HfCIais
BH68UH48Wc+7BDKEwWimWvbMYCL5LJA/6znB+KWkkz11lzBL4v9nN/0EPYJpGJyNhWERDHl8qQY2
a9JKhkq9ZEJ47LetdoUxNLYz8fVF557l2RuIPVWkpCSA902Lj56yK+8wTVhw3Z1DpTQLYCBPNJxi
2jJgRWLf8JaNTc19Au/TTtoyukZhuGWlnE4sU/+I3ZzPxV6s1exSQ3G1ZZylc9Qxq2FNJR9hKQWM
NDb2XOZZqCC7okXw78B+izuc6oonrH2j1PNiFlJbtaPwtqfhMGQRzvQZ8MMQH23oRvGhslPJzppT
OgZ4zqyL/JDE37kuFyxTCW2B1VzI5e4A20dOslWNEKcRPaWcjySKb/YOxp9fBjyZbTN3IaRK37ki
e028OzM73UUDBV6XtiaMm3sY8CKlJ2AV2f0+kuAIWscZOltS9TlYaJO7VtFaja/AH8YjYn9jRP3V
JYCIWuViY0c0HTdpdWjTE355TIwBo2ZJhuWIaSqK/+on65VnksNuXsvxw3q5UrBzESSqGVxCSv6R
px2mxrTEFxK7XVqC5laNqw2S+Pl21YoGmB6rXYK6fdysT5ku6mmxvfQnHSi8AKWIWyzvOGm3+R7E
wJdEyCsqZo0lot1yeNDu2Yv7UgOEDNL7BFiR9JvcYXzET43gexT+blMewugh6wtnnjxw3DfI3wg2
ByBGzBv/FBno3KegFUMrwtXEKTTr5U9QcIk4btRGKkVFDTW1zjdpeTXdCXNWedCLHmYNP/cYNhTO
p/ymarQE77XVu7wXs5xBMM6+rGzlqBJ2hcgnsU5snEljEcfbdRujrtFrxNrC/xXU2ZoMXYdL/rMz
jxcwc5S0FCUY2NURUrlSVMAXHZ5buuuqvGzfNkekQmkytPQddM1ME5RTLzp97eiHIFABDcqJsy3F
INiTOmvUZ75RVfLBKMG/l41gEVOCsMNhC3cHDMdqyTVefv5/beSsgidkzWBO1XfIknxH2sPpd6iA
kmjhy77C04G6CoADitPz03zV2j+U2OS0Z70p12Ltu04Y5L2HV4R6oYQjYF0u4WA+Z37YfxZhf1qd
+/WuOhNNJT0I3x/FS/u54y2GafCFx2I/zExH5vY3DguwSRJP6LoS1IAY2FBm3wnyOGIB21AnSvzN
VWXQ0XsIwMLBXNEWks7lMzlzkAWLTE+toBuuZVSlEOxHNLm7JNhlevFNchXB3b/vH0IXyOToozhR
EXVipB+UKe6wuupfO7vh4/FoiJnafXUzapAUqFUxcD8tuESCVecJAJNOMiE41AWTYDcdP8rGIvFu
4hUfNl9/XWiiMJKpKh0VF61Rt06t6SwrIc7yByyN0rgnB+a9Dhzi3JJWT3nVNozIYZgDEgqQH0Th
e66F9jtlc96hSM+0RIxuDTPdvVaqY7Lle0DKRNhC59z8vxMBmRzGO559K/N8owttMFK+f5+Yoau3
oPlx67sBNa45grvLqyOnLKHKM7P/p/j6eHXTxJtWkg4vOakVNFtxejA1gVXgOy+R9nkBIcqw72ka
iFEpVRMrQwIuvz7h/0SX7JTmN9NTbOJq+q42akCOg0nVjKSAI11HBtesHtsRba/CiAYS7kKvm+oj
Kcr4jB7RUKa0nn+eeiL56J5GbZCKHYL9bj9Clso9E379SJ39xtCWyH8St/Kx5hmJe+4XHFc9VVBO
xrbuzCKRBpkYsgcHx06/GhIf2XB80nYjUgq4y5R2xxR+u6OiUYaNJfVzt42WcUwKbwllh76+OtwW
UplX7H+wskczmo5E/SXZzJ1QPxTkjITzz5uXOhoJ9sdnm0I50w1Msvt89FmRH/+KzVEUutzXqpY9
nLBBG7r7Pn+fsAmVU3y8fvVT7Yqcji5D59lU+KaIaMslc/OxwY0d6+64ec/2iaSk/0P2GOGA7AzP
RpCtFvyd8+wOLoyJVxrDByA/DoQ/qapZQpTHed/rWaRKYP0krD3KkA3CPkBu/rHG62MkV9oqKbuv
zEPjmVtzRd6EApygoelwF72bAOOSgcYEvadduHHpH8fSR1XQcQ5cv+xQDbvYLTVjSLIoF2fordxR
L3xKTuDyOze1a49tASUOFRJeqZCDFiRw3wW9J5ODOhKgoOWRenG6u0Yk/rJ5TNMsuriBkGfimW8C
WOtcg9itTIhJgjGAOJ1Xxcd2TYrPa3RQ7B3a7VGw+Rboh23NxK4C623KOxWBfvGmwjk78nq/hE1C
CKL7/kJG2aWcLzmLvrIYJU9EjTg6YLA7g74jA13y05SqlgiCZ2EvoI3euR640Roc9SA5Q2jKDhDj
4cUJ78066Ze8tn/42ukE+ff6ROyHBPNC/FFzidElVqkeD+TBZ0sEwNztOAq6tB8bAKUm1vKShwPb
9QsBm+ihrhPGaISOyGe9KcJhC53g+a1Jq/FyyRPtnThkrvUJ+W2g5lZJoypAnos62zcVH23RIZIJ
HQGxq6zYp/S63OIyA6IwdnNXJ7Hhgo1zHKoDM5MOWlYHielaccNGsO4jfkLvXTDrURgGScejst5c
9fuKLYL3w5E7JzNqXEX+/Nt7FZ29We+Q6S6kYHo3HhalXS+N0T8safejIWQLg7IPbB1FToUf+9Z6
AspzTpaPtpMKfZHlu9D3OcMNEvTkDlR1RSNZJ5qDIEsScG/IU/4csG9IczAamLRsGvUNy5FGT+2b
2Y+X2ZH744kv3Cz6d7IynapBTqahs34dANGmR4cCX9qRnLguOBKbxpFOs6lZQtQuYmIO5Hr2ke27
1MbCHq5g9uhGTTaxjuVvK7LOgo8CBCA2/z2R52K9e2HjAIsHY8ESxDD0bnI8oPZVG0BUWPBClFsu
NW3/EGQk6kXNRCz8FgRXArHHMXiCil30Z3Qm1LFwR7Nrutla21E57Xm9MOaOnh6j8sQjFh1DgWNc
ol1lFFRzbpT6qhgovpH9++so3qPWm6ccVKgQnUKyzaJYfPC7yRJNE3IVKdmgD1ARpbeNU3QKWB8M
BJ88locnBikw6N2JW83ul8xU+u/j/G0saC9dUYrgIRRWZJ3HkzF3rH7fCfpvL3Sqhyp0Kh51sAOn
vnsMYnec84nxYaMEfdKEu/8lGVaWDN10ACzXiU2y1oR9rHJWL1Q2BGR3On076sgcE0xpzYE3GX4+
OBvDBZUOnEDd53WAYhC1X24gk8oJbzmKXkrso/XObsCv6Oehy/n4r/PDxEkahxIz70In4Q80kc2V
vbtp5BDO0JhwB2Q8PLc2DXwMMlU8NKriHnpxXRfiO/hIgiFDUJhZm66VAotZMFh65PpDFOWaMeLc
PXIMejxWWbFq1W9DdWo9dVNWltVfW9hnbz3uv/R3L0LGtr+f3P8j0fAyk8rlkNWD91HbLwAxfoYd
h0DkuZD9lNmUdMp8pfyd7qyiR4lq7YuLo1sojeRQljsAWOwUcKQzqtSh90XL3a+zz4XKnyT3EMIE
WCP3+7Ka0keFLzpTn+Aeo9MjvmqFF9oAwxuLD6Eg5TVzA7PWgc3AymrM+x2XHzmQkiO7aj0DXBke
Al4+TXlJHHlhMpixFkczdGfhfMIfHllCYcfFq4AgwM15yNoT7sq0A9ApsOtO7Rmh+43JaT7IVWtj
5yNTwdwopoPfUt8LwZp11Vz0HHuwiqr40W3h8FEp8KxZOgjF5kFOiPwBptcq9ocl+vhqMplqe1jJ
hSiZa1pGfyrFC/aVVpju0qVFPHDGau4XKPnV57aXSilmdUCk3+TYNrFFWBiyEwsI2zHJuwiNjJQK
bcQrvOg25vwQeBkrdtfm+eT0EBh7ckpiIWkmOJIZlH3ypTYbpoa6hGtAbF4bSGk8BEYBiA3QLCPQ
5PQzERZVgwdwPRc6AfxkNle/3Ygu92dF9IhPSUg62g6aGuJFtCvbojSYTRKyAm+OVeef1uAbUxX7
iY3IDkkaZ9CkGFh/R6H28T7NWFjmgoC+vsZfVV38Swoj5a0H8oIiORTZQUKTSJGGmHbM8vIJK8/Z
9IlZapnfMq1KjBZkricRdE/pOaqRWBEY/0mmySiQOi3XqjqSE+9T1sAWG7isYYisu18Br68q696n
tdKQWsEtO04qjZXFu3Mmyln/NXs+7aW2FDaDZ4o8uuLA+IS6aQS1PdMzabcSjcrHebWRwpY3KCv1
kdXzLnJF/dtLvXRFRbYeTiYv/Uh3ivlYd7+D3IjiHIvWdMJ6I7Kcvw9Hy/J8avdtYUX8SdkC0N9A
snw4y6oDOX1Nk1Lf93llIgYJfz+0vzyW4KCVfjFuRUe2HlbPlNH0Qevf+tGgHtG4/Wifetsbc3QE
ryxJJsmBzJJVtI65QCSptTIBiRUT0binxL5t85CIoKyY621S13f6VM0wZharyFd9+vk2y640O1U0
sL3FgKMRyfa8A6Qqz+COTOy6e+ra2ucLqNOXrMr5utE1zGp0P9sWNOj777m3EHXIC+xKMCdCIe5a
IOQ4v6tqOgZtRZe6TTAo8g2rJD69HRuPuJ7jLHb7+za7bYybOW+6bqvGQ4/xc0Jq6szkO2PxSMxJ
dsNhZGAxmD/ySNGMGzChOS+tIStfjRU2UOyMDVxOGbimZl6RSIKZW0KOnbADKY0mQHRV0PkLfKtq
pwi1VpQa0CLaETPFI0rbV1YqZfaedHU618RDLPCcpbnrJclKy5mcY9i9IYqwjbdMyYZSVtQ7KQQP
qX0ZwQCX01Akxvzj0W5jsFXBTwqZ5Pg8QlaKTpP93YDwNdcv5HClvowhOIGPSanaXXg2mnDTEXx1
92wPOGVEQhQm+YCkQ6DY49QIDas68PTR+HR7Erkux4SyRfrSH5ttnHcusua7nlSZzafyj5oWYh0H
6z/+ZeX1W96DRjTJeqqmPOKpVOn0+MgwufmihTuxvVQqxK5sWulkjeRpdoMFbDLHrYtnhB+VJEyh
dlxLsVu6RXw2pR5iQOKUSaxgIhLTBL5cRPDnRiIQUXkMMPEo6j5F8ZneKsC23lvudQCTLGQ0jAHL
K+h5b2tasQzAk5YFSa2s5haSi9MJEXD0HGH8AUkfahjSpk9jnV5Z3+DO5JKenFh0e02avNW1SWou
laZchn5KhA6I920oGBAvXfxkyu/O/Jv6DGJubBTuNq5wyVlrWPWJwxH2bYc85uSUUYoTpCTGa1PO
+HmvSUFHXwEkonY+rpFR8MwoDc2Qo3AiR1iMKyhppqFj6VKaqDJXDGTeBbDwWwgqw+XQnL0ktdki
Q6l0t3pJ0GZi2obhlK7YfFqZl97Phwj4jVfA0J4KM1rczFLHU2sAUlBKrpSX7m2HEbqIpfX447Yl
+cpVESnOU8eqXZ27BYUcTMavAjyuFNov6hje4lKmtD7db8P8jl6UQ1qWcgugq4PgcDFYPvMri7Ih
ibm8nRZkZxrH+ca97We5zBW+m/zBHIs9orq4R1L4P7aNgjB8r8Q4MseKlWyJ2bCebsC570I+xsYF
+yX2joEApqD78I0sCYFpdWgTb6i0XSne5zJtJj63Dh2r8gtUsGzkVZX1FK2ULRvnjtn+Y3YT41Tk
TW2Ohhab/XHLbz8tIaVZmjCNimIxzFOj+fXnEIz5q2KhDM2NpaYNeTbc20CRLwq+jkN9ZYSLVQKq
44pZP2HzcXzd3M+JajLy7FYc3ky4cjtVqVUeVwB10bH9TAfM0oITSgYooAJ5S3D0wL6jqG3AUK13
PN4OfYNZveSmNe3aS4/Xzu/unq+5iW5/4umjIPRC74MrvZ4YxHBAOuDh+Skj3pPyddnnK0lrhJ9c
PuPwT2pOJ6PEeWH6GND2m/piCfN3JhgZtiGWTRIF+5AvUYSXkChSHrf4RFxBtB34iF17BI81M9sp
g5+UjFUditXlYku+cIPtJwb3YC/dRlDWlVsYLmi8cs4azHFTGIW7Rq1tpb+lwv6q+Y09V4vdYAji
yV227wpyCZwNS9waSDNcYcvKLjaa7l21zJyDi+ZGkf/jmybKAi4ppVSLrPlTw03FVZAN6PhOtuDR
BQjBtr/HXjbIcFtXMRSbRucJfTBzEdzWDdUQ8vRHl2tDdr/LNw0VZBAm4dgkdgpcLfsMWx+F+H36
2P7yXZYhFlnCGipg6S9r6gv+DwvWKz8vio9K//zgPRZaltM2X9zEyadX5PEQ3KTxqdUayIs0MVvj
3Bo+HyFClLF7EAVYgGGzVJYqE1SQSTdcoaRhCtTUqf+rZvE5Naz0D/mK3gTfk4dEU3WwvceMwpbh
toaodUTYcZENTEJG0T6sP/AAsBcFo/6GDd6t7ZQE3fUC3bx+8RgiRluIEetfuSJ6yFkWfM/8xKEP
807ZaJ4xNvw24MDkFPcGQqGRMJy9dC0q/8h+IUAnIWvPxylchODTZVGpDo20wCrRlEbhF5FHMtkq
S2OhnnVxJDOhWqfnNFj3q62Pn/vvBfAmef1PPjX57X2oHrMtTjG272rkqY7EBM9GMYqunhnviWX3
RQuD/c8v6Y4SDnwWcAMcZ3AUKNwbvzpY+1Q+VV4GWnsaPLz0kiw+RHBRlMEeCcNX2WJx9HwU2Pyq
UXIsif/fX+nmxrubmHBicP5AfVOanVkGa5kXopXWGoO87CVdfQ31JjIB738QARZ+b4KUmXp33VOb
wKZN6FrruEJMipNenL3UHaR3Hf9BNin+7OtI+GJCiEA51mDtgV4aVNUj3xrJ9JgtiqyJNZ/GNvE7
op3qVk9ZHQgrErrjTznFZI6nKraRmdDSbgrEHXObTP+v1PflA+P52cmUcWLhpvPidd+/KQDtwQuQ
SqDjs4KV+IK2V0eJsV2meOcDXmb03xOhK4AFxrdit/Ebz3xuNut+kngQRHsJqMPtsNCe0QLZcnRM
Wprb4vBygJbbKNO0i3GrsfYesPpRZ1ZDKabfc8ArUEWNOpKmAjfbEirCgGVP5kwWIOFvR56tNbP7
YSLfUWkkXe20+RHCQkgQYbhXN2zTv8IQV4zGDis39LnOsEPzagnw79p6o0ZOBbxXtw1Tb+DUiTFX
DsCsI3v/djv1UUsYAasfK+EPO4tBdWPDWWYgRaBXb4qchMG+aeTJfWnkOoVgRmCjNDdI4j5BtSKN
ZFq6ifVqdjQ+SHXlYdxOSJx7+zYjfWbtsQd6HaCCq4P/7a/+elv31Di2+q+hYnU4WHpCQOYifdZD
ZK9U+JfCYuploZSHm0dJYIFyMVS0Dnswlb/HoZQvRCWCKfw7Si7ml49pL4OvmmMyFe5gb4DIlDOB
h+fxXAlutkBz5UBsZWqguzzMXCJzkJQR20u9UKZ96o/KesogCVRPvQczW3OavCOPcmo1jrTmjPhq
bvwcrrIXSfErSxKKod0otBK9BRI4dBdACuwLjMst+hqmDzCwBxi6f2tYh2xCJFF3KjNR//NggLk3
ZMLIUZO+jBkFCG0ZS9avrKSmEXV7YIGvn4Bw4KFG2qnpZG1zXbloN7OOTIXa7J4mVIHdO/65vXrr
nbheQKw17l1SWSurXUebyrsHb8tZDunPBQlsYVSGgyxXkkWZV4u4q/6mq9ODEUZpCa2Nsz0qPlNZ
3LWrrz1cfrWedNk6aXX31nlJnQ7WYYp7IB9mBjDY3cmgXl2Bs4CaxLcEaXUOLGhyqJsVc3bdaly9
5pDBvWNnF/eLLMHJfqLkSUrSIhLbkdrIpl8LGM+bc+8+dQEhptpXmWdKXXjaWhlhLuhvjpfKNJb/
XBuyWB/7uwFEQaWcwuxheH6PIpWsYshtSqwzX25v1qzOxipIqdmIsPMKipgDiDqtrHkegOuGY3cX
eZHuvr6wyHZHrkuA7FpNCgjRUkilXNG/tFdiF2O33TiX2QlT66jHA/8jrswfn/HDv4vXm3CVo4mU
mRk2jeq5mdCOQvGwWvWvmjryFRDofpc5xCQyzFwxv2+MQnABjvHzWUTqvbYrfphleK9SHwu1DStt
d71b8Dgv7KbteWhdxMjgJK7gXLvRg3MxJ19yxMjM5ChXCoZEWPH4lQpHRSHHHU0IlnU6gbpOxRJb
oehR4FwV/wARidXuNN/NdGIADum5S+h5VgHfRs2Bi9O379rX5404fIcKaEe54m4aRB7Cjro6l3po
2qtoivW/dPmFjXAGJvGb4IKna1jjWI3HuseIYrUEVxnYjP4Ngv8xVIlkrD/v2XCkhOvuIOgBg58d
G94bWrznhUG2WFcDy7Eep98dvsuBfB7/kMmAzcxh2x0TyV5VXYmnJQRxT9H8fSBZ4KhrzScQcgA3
sbFOWaZQu4P6Jz+N6Hoez5bTQjiUfZu2AG9NhDb4cNXcNI8VNa1R0U6HYd4Dd0aNUmoGeB+9kkn9
ENGKJ20gmSdXdgMvGQf+DrnS/O4NtptckO6RQ2n3n/NeDVnzXxKJ7tZXWEpkChmnQ8e1lErd37gd
52ZWoIUlmKU9N5wLLFQvSvUG5MwwZO5BU9k5OiucSXbIzkdiSw9pCKvftt+cCF7KCLYOV3b1n1Jd
G5ILGMu+YtoKEYc2ZC+ArxDldyk3do8c1EjZsSnitxsl4Po9Im5QSstt5VhpnRi4CTRYzfGOFK58
uyRFgVDq6Gv7sxfRlo0OPwdji8JBvTDoU2yvk5xuve5Ivgjqmvj1ZDuL53buv2aQmp2zqizmmpHV
ILm5N7ghlrV6nsRyDrVC3MlP9SWG8WQ12JJ6Q1fM1DkYk+IhywbYWSfMoQdauxHHzbQVyOdIhWTS
/pwwBHeiitFI5cMFoa1lwKr+F5Ydmm4/xPpcyTXXsaA77Bi6uCODkcQNKXmOshzU3xNCsVujz406
o6vJfPXhJv/ERBzhwpxg/jVguE1J7FQpPrI/SqXV1qqecidD20hSrU5i327SIuLbGLkfX79kn3eD
8vGZwsqvFxxv9nEtDPNb+i4fvaRnP1td4/zB1e3ioMr3C4w7AwyEnWn+BwotbOTsZ0IaYPZXPBe2
FH6MBJZzhu73KNJol6lR/sC781RqNvvQMOCd2NuyCx1klJ27aIM5OPSCQmCeSo81RAEXMsBxBLxO
WCTwc6M++FoKrsYHFQamjB6/BbvrK/oqOFuUTaKroXYCX8Jc65EySim+8xwGpaYbzRkoB0k2MbFP
E2hnugikCRHFubxLF5bHZQ/M5oYgFTHj5s8Z7IiZNsR/+4+wl/T6dEnnHuVvzzVzUJ8FOxvN9Y5z
7ODbJbWDbwdWJiKO/MP66erub2jHIDMhy0hrF9LGg7AvGgdVkf9nLOqmvKMH2VRDtxE7ccqFdGcr
L4MWFlYo9n5adTVHTqASTdDp07guvhXMVV2aKM9arNx4DPnleDhupA33jeYfbwhcmD6TSwwUeTOw
cZPC01qLDNYR1OPkqfnzeB086DZN+Akx7Ro6MfQlVG/iIeUKoap8GSnoluHQNgaa/zMQLxwozf9n
nfq9WOtMdhZFW2CJvOS9AFS7FpCmde39lifPnDnamZv8D4g3CR+7BODd6x9gIa46jOlPZV6+EkYj
OhsjyLrti3azU26cvDS1iDEFpzF1x6ZOSVgIzsWpGAZqm2KXR+kWODEbc2kK6810H6YvbuceVwXX
zTtVep3SrMyEQwEEKfU2zNv5LR1YYhAlcsB0TC1GeLhHXu2spuHtrhOXY2Yu8PJ8O5mpE5J9hciy
Jgv9kxjs6V8/VOpySoc+DK6RqWF+1LBHI14Mcc+WTgH2LZifAwuAc4TdLXOnt8Ftm7toeK0GzuSR
n6T+OPDTUNkGZo/TxiHJf92SGdgLQUEhsav25/7sKnYRHXxLyqkOM6Vc4MSuQNbisLbVhx4lGxBh
FZplG3KNyOXk8i8uBsv/q84LzmSJXKwEh9+8icb9v3c9ZHGV9fvkR8Ufs9ZKHPv0eQObFk7rDe7h
vZJVlbN+q2yZKM016zB6QeFHEyxnRfxWQpOEYz3OX1UQzlm0GzfzoInIq6w9EhQe8h9mmZHwFPUz
F54h0Q/34PAsFPUBiL3Dl9tZ/3xn977shr8ZN6vMYO0YYwrsz2lg5mt4npk6mm9N/djIiCoXr1vb
RfmtO/HTXG3r+5vpOfO1eZgppaPgzjpoY+HvUMFul5tI4fwOJczwUS3LAqKHjwSnq0EXsyJ978fO
N24zFPYt0VhaQvePshnGuv+zrHfRaTgPduzyi/qFmwdOhNq6i/IaJXz0zmyjqJcdvXeqe1t70Go4
C0t1jFr3Z/X08PTz5h/sE6mShw6eONf4E5wxQLFzZ27t3nvwq8dMLekzOwWNLgLTu+N0Wv3j4XF+
rvPiXqn8C+PH+qoeWY66jronBiyVRqe4dfLzSYsGRoi+bXI9W8h7P0Hh9eZsxj67AGKylVwhgMMa
GjcyZ3cQCTXtcixdBpTFWskhob2smrY80uKLqleJ8o2E8z7Y2DmhwG5UdYh3yI2mjngfXa6QCdxh
60mUkO1QX1TLKXoA1PfTyCIw+XTkRDUoJkZVh87aZ6NLIvxEcNMKFhN04+T6mVr5m53+syIV8p9B
9x6I+prng4d8Vv/F+iLRl6vy5JJjTIFfN6T7ziZrYnkhhvsCfgRpzJ/WMwCmGOc904GwDj2K+0vv
/vj1P+md1vuAsS1/ylhMIo3c7PTPtNtHLFmHwmRo/Ny5R6zi4+5POftaF4l2xHtjaW2TB+b3/X4Z
hgN0HerPD3uDmzhh+YVWEpOZhKH95p8WOlw6Ah0aq4NRSlDsp+jjlGpBGsvA9UW3LYHnkwCvi7T/
obi6l9V4KEtXZNScKGN86t2wPXS/xPkokKEOKt4/YWspxj45n8GZUHLPbMrsmZZ2xaMZYhxYe1gb
Cdfc+cngj2c1OBKqRCdbD0kh2g8xOv+DtQNAZNSogyrKFM+74UqSKYvtbIoofSsnQrCeVV9CJM9O
ZqVB9JGmmMXgrFgzblDEdkJVWw/zW3qm28m3LtW3b/Pdgmz8BFsAdfAc+tLR+NxLF7wWRhMsU+G6
WW9/gePovVgUl8Z/5Iy055HrU2bBs3uRCNwaOiV8kvGl5vUFFzfY4eZ66kZSORFgUQycZ3vAZa5z
C1SpM2eCayvUL9cJDLu90aeSmHo7Tsk/GsHJyWNkCCqnf0SZxI1dJ2jtbhOO/j4ofcWbxfpEbHQf
y69in0J9xPpdbgqPSRzUp3sFLgJWtgYmi8ChN0BWak5zqJyPSwn9y2jkkd3H9wpjePNRnFsixmIS
GDGUaFRk+DpxxRzElyk0UYQiuRD0M+aaIWWb8m9ZhUhhcZKw5UMBFESu1sxhclBwX6hl1gwSoSBo
CdOjChsOS9sNJoPqoq86ijViriVyD2T3vWbrr35McPMzSvHRs6nAvXaJT4+WrjJOnBK7IMM99KLZ
j0MswSXaibk/bpDKBgJsNsePIRqOQK2UM6RRSFLsE3R+7O6infInPBQIVTKjHDJg/IetPbYnlBXc
f/q2HoFVXxKKNL7MfMjYKfzgIOo7zC4XAPpe//FcknP+77Rmn34/gFskCKHw1gs4a6oSXl9jJFau
hDuKieIUcFkC5FWEH3RgbE8tybIIDzZGzHWF6bmyAukwM+k9i86hB2DshbSYdun5JHJO/T7g1cea
9/apBAEJ5HcINLunq219F5ucVXaOFbAaUA+LpRWJh2PN4U/rz4n1/S45k3merVqxlAG8QRLl7YV9
ocu2s+I3ROf85SMsvOrNjdSWmPAa1IZ+3niuLFYIgyVIldgiX+MpogA3sgdBwucPwd2rtqVJG4rW
GvlQ1eM9Jow7XpsiXE6EEe9Mh44AmgLtrCXZFZYqZdqsxcXVxHbg7CXrhoWw90uW06u08E0s7j3q
mqgJkIetI2v8O740k40Z1bagE4XDG0EmMdAmqbNPfqQNV/zrDFPnjAmODLzKwgibnrxKrdz+mJ/g
0An4ZgHu0EbjCn4/Ae+Cvqyq5hhT2ppACBbKJrm4+MEhJRYJWh+Bq5PsTWjvKcQyQwUtZ+SmKYYv
9loF7My7ejZuzXe3aRDThkhpfdS351Zg4lBdiRZjUPD+pnaGJgfo6XXbo7hI2wWlPJCAQ8HR4chU
lkVnQG9Rooz+U5zg1tmiumT6A+PWWhzs7UcIQYZnmj/crDc/rh1rF3YA7vci1bufuZ6AnLXgyTRV
jL1yjGPxC7AvI1CWnl2zIpcrJMqk67I57muxrZ80bVldUOAGOn3WGA/osOnr1brzTvezRdVZnL/8
lxk9EiSPllAa/rNpaJF+xVZNCe+blb0osNtwlyvfOHJgtA4ijTTjHIpi2Qba3yyQlDvhg4olIC/T
IG7ikgRSq7I3XgMFZa8mSQbTQnvISHzwb2K/VRvIzlzDursENZZ8PEYTuCGnYxNc26bNanqHfiRu
BN3wgs25P5aVe1t7WV7n9ahXzTSoUsrDLbxrR8lD/f/3kK5/C18SPRsh3NDZo23z741z5pWbrXJy
tMS4k6S3rE7dBeLt6AC2JhQI/TXDF6jrFI4MjcZdpYi7Dh4TIQnL1LbbKHp/OuHQV1xnLKU+95DU
ar2eq/tzoxZFlYv2/+Mge/Wi/SIMZWlS8s9wdHbRge8ikrv6RUR8zowqzuQYTIiSvpoFRXCtH0JJ
+HOwbIox/sJ7gfo3FApVLEGT/O4xrjxbF7AwEupkmZpnjKrAum1/vTeM1BQtwrn7jNiYqA5pllFT
WhXpDZq5OMDEXQ2sRxnjnKbiTsZ13w1AhQQonWB+Qg31nQsQUEc4u/nSaQVpl9Vcaf5jPaAl1bsY
Xrc9ATmUsY2LYLHg2ASt5GQ07BsJGjnotNenMYPnO/BOBN1XanK20tGoexKCU8bPFhWD6QstDh03
XgnqCIEp0sO2t1maw5rnhm55Cu/KBRoXnzo/19I9vtf8sRTpTn4UlEiN+2+c583538iv5X8sb14G
daZfCR4BQF54gei0Q4uA2yx62SoA6epIJcXlra3wAe+wCbNdQ9fr16SJyn2NAo46+7sJfuNp9qTi
GziRrymj36HlFZJDQnhgHFYckd6U5rMkBZWi067IdeHJRGX3CDL8x3LkhMwGP7fIo4agbuj4u0cp
1sbhaUjoHfNcEVdKJ7Dk7zt3N5cynxAcZwCt7+6P6CrnD50SO2eZv6vLLZk3ju8uZm7riVAffwke
bMBsOaO5XkC5nr0DCHi6ZJZ5M1ubwjxgNKPF9kWbjD2XKXm5gSS1Y9kXrSxYTfe3FLWbmHpxBTtf
0Vi/fWA2uAWyXLHNSAkWQLfY6OgU1b7RG68T8ZAvE7PYtHx50xwmepB3P7e4wLyXQ8/N5CSq8a95
BGkg+oKHYw9uGi3t2LzEYjnacS7enzup8xNTsgUYNH2iNY6KhWB55ZOz+Gum30EM54yU9jCANg6y
ZLEWPBG8aDFxta+IdfUfN5Q9M6lvKSWY+JiR2/XevswIva9XZC+4axDajDbYpmy59cKGAIlzKNu1
Ds4i5s6L0CVKP/md5ibsj7c4EH3pc9yCjvI79fVTU/svni/hw7mzjEHGdreguTuctePDovY2YwcG
UpCaWbc6Qi1GNPjHRSEFilVOiEyW4HO4GmSigy3BcjEpUIRsoOXN82eQfOvf2+fj1BNu4E4eqkIf
flwSG/TnHzr8MmZN1twpMr5g2iuTtjekQ36S+I6nUzJvrdpboH8sIkADwqhMzMTBMArbsFyIbIG5
DXrmsOpda1vR3mn9BmWJRGFQYqNCjaNjImzDUVbfIbg4fqO4i9oizGrKM1DLAy2LvtpvxDAWvM44
dDlMn92yY0WIKB5ib285dOfGGtm3tBr2h/JCUtl4FtY7aDCWar7xsPOgyrsyTsp2idZFtJzcQxF2
MJjSZ2nVg3vCNsfBBC2UT7ZKSaF0oO7hAKrnlE257hxtYOAYYv8RdOyNQsy8x0yImte5ilWALC7E
LPkvEbr28ftLMiBSZWhqWF2CyVfL0vC9ElUO6ThGSbLHQ9xxhnbSJ2sKr1euB5q7vH7dHGkOYTHP
6pz4Ien0io+31J/JyKGeEkKi/DrHZp9JSOh8V0cRrUXn1PYfvmca4JO5OF9VqqIiZCPwYxYDFyzp
oWitGn7zfZpG+FpmEOltTyRFnomdWOSV2F3F2aBX7DWS+eNcVrWNyB9V52feCHs5Z10+2nOcldrr
3r7ZjjCzw2wHZHSY9G+wntKyY71zEqCyTDdmL3/Sk52k3WrkMgv6m6d6WMgwwr0bU4a3XADvrfkO
I+Ydr6kfqCaMT0tJMfho1U85+zpTJb+9VAWarL+GVWPq+3DmfgyQspS3dT+P3MgDxZZN/W4Gj0q+
9KXEc78KQizRp1Pr6aJ9pPP/3Hqi57VgoPlKv3t/yOI5R2YaUAMvK+upcANqdonw1o5iEdukKEOq
zjYwULOIZJdRuVpmNzJ5y3yY94I793wvgqVi56GscywjEmmRUcjb8cE8X5QxOyq9cNbGazASkyev
5vL23YzfX9Aqb9pa4Ltlr3xSK+Y7mFFLOo2QKXViXhAub3nwEvm6/1+omMQjhMvO2rJz5lZ9sX+B
kq7rIepVvTcRN4IcUR23VK8XUSx1ShMaEtnaUcI4hkaKSK7dfiKLKGRWmH0puKHm8LBzdM+apZHK
udIpkuj6MaF2lGTOoAvqW804HfgrBGUnyq5IO6uNi8SXnowyX6nhHsAWDr14Oob2q84+85Fwdtmd
U3uN0oqO2d2Dpu54WQbRSCLDE5sSaLqhclftuQOLQ+S3mxwQlwKz0DDFbfHbI14cp6vV3729sEq1
0fjOX2F1NMnP+Kp5P8gNQhuomJ/iorjzUZ1+rH/y27wOkVbBnxOg2KJuRVa9Brn+3IlKtWotONOA
8SUw9hh7/c5lM8fsAa/JXEBKJ5U7DkXeib++nu6CJuy5XAnLoM4TGuUyIPF2HGYsjouQ5z0dhHFn
S0wolnJu7Jy4NF7R5/mK1EzrRssw+3QD9yWl3V0OrGuSAGlX1Eo2EsOZVF3YGIfZD1iH/ZFSMPy3
w8PG8o09EziXX6xfFZZ5g+WrY6GZxO9DfWZeSLWUTiAm40BUFMRbJqTnc3CT4VaaOZ6ae81v5hu5
Rl1KR4CHlMKLXrP/MlSc6AOFSScXegZMNP5gk2oLgEoJJgS/1Z0sKmcG8Fen2EL00esflMmQS7Wt
rz2W4pGV5AiO8AJYnhib+aS/GxJGZzcwRPc1sqM3H7FnIXh5d2GYDF4kIPokePUWzAU8nhiYm6mp
ffAWsNg2sk86Zx0e7bMfCU6jrSEnidIK2hr2qu7De0rg54u6wKICYf3oiz0VbH9MoX7n3p78VX29
kubVF0FkeHOc8XbO63v3Z0XuF7hhueUODh7XxJ7nLUY3G9s1vTF5STPPt6ZiXkGWst/ja2MRih4w
zQ0EWXUvkiko4c7SbxIbVLgD08JVNqRXKywDhUII0Yk3SGCsnGpg8yoi7UNoWYEkEatFaALStNRe
0Apk6eZBXgvEfUHN2YKN+5YRdGDPbnPGpvBikUzAfdbi9CyymNoGlob0q71AKDJt5IZFq1COypsM
2pCDHG8+A28z0D4+pty52C2qn9QKxZjaWdYbMnbOwnZPpns2gTFBPTA/km2poVQXc6zv3LcVoNRQ
w+2tZtI4ii2RvnHRZlOJOLge4J70mylCfmNFQ61i27hluKiNe9kQ2eqjcX+y0DVPa8NiCRT2BsoS
Ay85RJdaAIjj2rexYS3H/HWL0wWcDYg/s/a/Zt3vaarMmsP2fbmJ4Bt0HOaIslqSE0tUU37GJh+j
dMnS4Upi/VTTjij3BID19x+B8z8MPPLP4tTI3Ii0VhRCXmTjpIhFq8ytj974Fh9jT7fUinNEZrS6
JDhuQELgXOBhCfGkgPcBaf5KeLLon3qA1ZG9WCSasn21sZQTAyy740XkpUtXJPmvWTSKoETNi52+
/LhYXEw4pV6XtZbpyHgbNlt+WwU/fSwPJiLGHr0+AvtqZ2FOtT91iPWjD0Sc2OQE1UmhCcMBxInK
rKJ44x79CZK7p8r7lXyg5muGcoPas7YL13k1tEW7DbGxkrBHayPu6sE+/Hk5Dt2/U2umcFqLEWf8
bvWwZrBKj8NvUP3kp8yu+D9aDiBX6lTkhf33kd0fLhUCK3kKBx3yMLTqUCKsALfIODriJDkPTIBN
N0iknX6g+CSYFwNgAFj9vu9zrsmx7oYRXYbPSvL67XR5UI7mJmOMjwPEvHzUbiw7AqH0Ym2x4eg3
YBPsWtadhEX50awCXiIOWycpRAe9EJA0BvdAzNrl1pIyS9KAng34xnHPur7J7ZjmCZs7UIfAsGXX
z0eY86F+v6awXbkfIrNk0Iw7NPbdKDQpwXt7YICQJCJmAwK3Km9Y3+SdhrvHtN4kB/L4NxS2l/8h
iktAkbgy2+ANiWz9OFwzMB4GYhK0S5mCLa0N1s/mT/s/2XcZSyIL7ZKo8Zfmwh30yMFaO0nQ238B
KdsXacy+rl67wWzfsaLB1YgYo8fwYoUecFsICpRlEmtTDVUE728g53rNCgp7pPkg/x/6OA2a7jDS
z/ycnTieAOtW0DuxjMyXWTYeT5OE5p5/WtfX8dSbOzwgKKNH6L2riSoe3QqfAfFCd02SmNnmzgnA
vUH3V2VaEJckXJHA7MVCESL0CbDS1JXIHU1P2FRSMLTIf13hdP8zsJU/cvD099gc0+L4j4W8fZqc
dcgsPNPnPQrmmC4JeCsxuusYUSPWQI0xHmtawZzuqFmVfX1upPGnTbwvrKd9lr7pMpG5cPLSWCx0
CqIIz3ZN82zY4MWtqPJipas97JMhLt0KM6vXPGb9apE+MT4Kzygv71VlmGx3WLZYcK49vWYSa5xL
Y1UcKEKJ4ADHbWBOS1WcmMrmBmXcY9En/LZrMWi/iLBthd31Snz+wEiCaRZUTsjpcMXB1Ai/vHJS
R10//kk8qm5Jj+ZcrRjBGCeeHX4bS4Qk3wFcMuQk0hVf8El8Z14BMWkJK2O4dQJK07qxguDjqsLf
hpSUdCtepxSlcxdM3sBSdra+GZJZe8R7kZshVtjHqngMdyEu3AaxzPibl0q9Cjp0mkY9UjhWvYCV
5joc3o4Uiq+3doaGUvbDp3qkaMJRi319R77L/QxyEYrUFF3qHz4bOjFjFndMK71ITPH+0QRbKOLB
tIes+3Fc/U5SceFF6kOcvoIvegbJSEsVZ31PFNdx1ySQhSAUYqfjymQS0Kl0NVWSDlGFl8Z84sDB
pnJaURejLAor6VtYuP2ff8honn3cyuzZLdolyp6usefaJhyLFhNGAKKm+m3Ge326DaedV73ZiFNJ
VCgSYLrePn5Ioi0UPvZUKjVc1ulXJ8c4kp/Q59ZN+5m1r3warv0OA52r/pXWQQyWz785kyje0oCP
8AfgHgvBMfxc2T85XydFx6c+sqmR1fyT+e8/PJEPaDzKfHphudO0PXuTpBcHtmMpp51qiAxjGTJ5
F57+KHw4dTHoecrCDePC8NAOAgv7cdn4WgyNsbo766Vsy5PENw1P5SbmmuCtORDRKPVMWkhflply
bxviJ+UPYpF9TDGjjSq1tsVijp/V3zFfImf2BKz1UBXQtWBXuSsFrQo4OXLnrel6Ywwre33XsJyr
If1M5LhBKT4aub58l0/DEdcUABo1/sCJhoPyMyVDgoUobEd23rgKnfVPsO00Y3zI3/v2AVoBAnPt
vOWsG05D2y3LKyG3FB5yaOLw+9bCGW22+EVO1/FF4cMSNz0SwYeFJoOQW+HTXTljw2mF7lOkZ0Yg
tNyGq3nLxEka5eUNCULUj7Gtfi9wYCUdcsnJCr5F+3TW4FCoQoeQSRANFHrRBkUul4/wiWNF9Zqc
G31izJwCd3UkDv4IYbnKS+h5/hn0kEH2sfVnFAOUuOTgh640Ex/stF2V+hOvp6Mo/snqJ/gPOBG0
wYO97QOP/684i6VG/qNYxSEGcHIDzmZaqP1ddu+C+dDbb++nCbjA9Zy/oqV/qN8FGzXTtXFSsY2n
OjQFieo7mvzoyi30sUdQ6iODUbXc9s3fJQQ8nmsNPj31/HmGBxcWY1qloYBxQH8JGQvdcbVOWkpr
9oz4lmis8y9SVE2/AyPVycBlEecLu1JmX1oIPVdJMtOKfR7QE+U8FEtq/ay7InkWPf+HS3BYGpwX
zaQsDFKcgb9NtO/U6G3lf4H64hKg7wZMejANUgQPWJJhGPS/y+s33ipoaoMTcC/Yu6HI10rzGwYw
ccgHx3pegPAyluXd/x7hlvPHnX9TIfazJu4hrEXFJbqYr//HMMu7+L2kqNdkb2SfxdLuuzcicSa3
9ROq+Hwb9cc3OPNKZRohzthud1KlhWZJNC1FFielDxSDPXYv+8TDWAyLHR3Oc5ckU09XxAhCjrWi
tdWPcncd+OuU4dQvuJ/Taojt5JVQ2clv8TmE/PJxsnaCnRFOxGwhjXHaBImkYtozyyy4WI6N05sU
rpwbpu1S8Ky6CY04BtjmIb3H2mVSSr//G3EOq6VwWmeQrEuNpNEG+VdsFNzIsty/65JsGmr+s+oS
Z92vo7DWY8NilP3iJcaz0lg3AyJbR08pY/XMcXgiVBDF/1dsBlGP9WZAUzTEfq1uHzTWQXHb32ql
k0JQDbwA+8oAZkVztjxt6c1FZihD20nuQPcXLXXY0y5fztTtKu0Gk6dBUYcqPI0kOr6FtOk9e7Nr
wSYcu++NeWHq781rp+GU4K7MpAXmsakgFi7CxOpGKYxNQQegqQu7YefyhgZKogzySv2b+lvp8xJt
7SbQk/fYQg+/SRuQoB4JTZ7AFOuPjsTeuVAqUOhj0clfmzouAXIIE/arREuk5bdRC7zF7t19BopO
YMmMxLVkOsH6+7vFeVsB9Xx0tfrohdmqqqTR8ODLvoKHXSY1NqtXUqEnhiTg536KQrGhE59Dx7RM
YXWhSpL6er32FTSxfd44O/kK0KABWNEMa6pQCfoDhB+XboaEJHEKzBio3a0l6n6OOeMu/+HLXOsw
lt20rV8fDoNPI4oFFG0RW4SH/YF9mGJlBWHpT5Nr9fyOFrJhMQqeahdnAuzzN9gfSQGTBUDixK4q
ZlB3Eb25JEKYrnpXDeHIGCfFp7WY0GI7vZywLZr01l+1rU1GUkpZcgKH/MPugvBiyJh4TY8pPToT
ogx18F4wJi36VvmOCrAOkvknVKpknfHd8Q+rTvKaAE7Au6ktIF0+K6SxhN6sjNUbUunsR9adIBfs
QkfN6uf17Z/aIo1Eqi5aVhFcqgku7Pe4okpUb0AqvHh1FNZvNaaU2jjCCCwSgwJXzE1o8D0EY4Vy
jSMx7WFg/mheexHvn9uBfWL/Ct8bqu+qPiXOPd2q0GJ3Y2sHdACzmSgZo4XnVFzkyMuV4o8BsmCM
4vNbl9t2kw46J6BNWSs6iQo3m9tdyAsoDCk5z6cQ27I+UrzL/dL8blJyKLt+9vibgqhWPjtKHZ42
GRp9920VyJESe/5+/Wo5DYNsl8lLZblzx8hK6OYLTvkmuBHPDz9Zm+lXJgsQuBQNCgXVDZIsTYil
EWv63Fek2WmDBRHu0vySSHb7A37EZFhKKS5s4pLlG5QAJUk7jNdm+inJrRy8OMRJl1heFxIgNq4E
4z7L9/SB0wVLCqull0zQ6fCi03dML7VVLqR/BAzN8l1F+H6KHbyTXMxh3+0J0DlPF4rfSU1w5Pbs
ARPzCchEGxk0A91DD1zQAXmvhl3wm/LfzMP9ZYkctmBkvH62bJQd0/+qSj0zqcjilj1r8s0g4pRf
2pB9KIMlzSLH8xCMC1DBK4HB8WErBPgMwv4Ivcv9dykQR6P/qf4UThfNIXDWz/NU64oPcEL9rWBL
6HHdONXYOfHHyjP+6yVgCbXKpWOdWnlTIWTsrMJRmOCurkvmTsjW75d8O4td76sqx7UgEfkIlsZW
i9zaXJu8j9sWcBImA7GRbEFgh/TEx2BzRv0Uvo2TtA92v2+8go26v3r7msIn8wzv0qBOOmfMkXG7
5OWuaUwwTtT06NgQOl1w9b7jXcJq1mkNugp793g8D7TXZELaZwq/FLeiu4Go+qXNroIKEy09bjCO
IxfkjN85NlP1jbiKnuNpg/yyEzZVRFj6w9L11hrNMZpfeyXDJm5ICZ7YAzAZ8DU/X4M8xflXjj+8
WmVOemlTBiNv2WyQVfCsyG/vMok8kCD75XV7fOTO86TrpsDZOU1/3iZCJZvSTNfaABkwdmIRsuxP
j+CaV9bgCNSX7pypS/6rFG74IWQhKSg4qGDEqmk9l9lHAoy4jLRXIlIiY79nw/OmHpd/fosw+kNO
Tbekusmm70QBJk6djdE9OatpFHDO0BgRsuaZagQ9QcLCQbUkhW2k/mV+oh/HNoqZoXkedRkgABgB
fKdmmSx2VONDowsh9NgsSoSvM2rWMJAEaD28E89vKXIqNitC7hY8HfmVjJNkIUmgD/iOmlcZZ1hI
7Q/cqBvK6ZLuOCZXUx9zbBmKeOWuuC7KTqSIwDqIbUqqaSlQ4i3pHHeYnNyIKmVDwg00AXu/tgsP
m6iEzgaipoOk6irF3HK85I9R77+dZKx0U9gQz7ANX+XbBAXkGdSXop+PbSQ0Z+WrcwroQ0miSFoL
sX75Jgl0SsYXZxsJZK+OjaqVFBwK0kew1nxskbxRblNwOKWRAsLLcsbSIg6oaxA8aBQkj5lH4e0w
Y/IDImwT576kTxnBXexF5arVYJC98XJG/R4BKUi7x9X2ERiurjmKvOj7sJzspNLtOpOkocwr3WXw
j01SBlB14zv3wzKWaiCHhuqnH0z6LnXvTRMN7tOTk3m04gurRwumSM9jUb4EL3FMnsKqYsQ6Qf16
sCZcfWO3uS4KJV2iXbJlo2JdlymSPof71PnwWMHpxDsyYaaG3qAX+ePEytSAxdHdv2WjhPcYv5zS
SvRMMRjshDdVpeDqAo4dprZNq0+FOCFsfXWzYj4aVomtnG+kXI4r5fYDXiU66QaXWF65RIzhNjod
UbZv/ZpYctO7Psrdi25uRWYgu0CYvBx7ejZCrERaFk6GMmuLXGuc5eGXOD90apNUegWGJ/HlThBy
fPAsD9Gk/ZVxKAWXIYazg7e7OODdkwqxkKAWb0BdqbbatljUnMYDA9MYhxiutIOPqlSwaeXH7W57
ghC8qWVueJ4T3uV0l0DhVQ+2GYl4XlmFrMSl74q5Aj1yjN61X7X2zMEyztOwN0UxJit/iIJGnywS
kMbWhZRb+gqiCMGZ1oTFqd0Uq8P9pffO3vOVkhG1Go9jEvYvwswT4VsznP0BINMjhYBOxsdkvaAY
qXFPuXI07WnV7L1PZchRh2rJ7f0neWn3VNktrVw6ALpKHEvfaGSEGCrqpwB9/44rqK76bZHNaLYR
jVBk+X0BeXRCZRSViFNKRFmxYHYfMBYaiQaeqRKG/p7v++YRr3bjSh50BLLaXajxjMNCHSA2g09F
ytLHtA2xgYKjkOYVN/zbeMhVFPTHsTCAlBJ63EV7d7vIC/pQRcj8omFUAklOJPahthp8JeaqW6le
HEeOFVv2Z2l0vvdga/6yvV8JcsMhNhwSxpszgw5SfR7xowkgvApzq6r6hvoNSNpUhRT8SVz9tvyI
4cxQvkID6uTcO4rHvNMY5ofVldWsDtVB4/RG4ipX9DbOCg4YomdxzA2+s05O0yFWTdnuEB6BV6el
3UXxZRFxQXBiYcRLOCLBj+R5N/eqaWeUc9o1YDOgBajfXWbxLakvTvX5Q8jUZm0WnB0nKiHksHNK
bo13ka9TFZp4WkeJuo/fG2NIOLqZnu3gYX94euIJQWLb7fHfEV6idTdZaWRnw/X3XRFeRvFnmo6P
qUq8eCB4rFXMj6Wq2gabs/hYIDfrsSJwL3PBZf0C/VqmrG9al8QXmFmAppgTjE1Ro9J3tSR4c/sH
qRVJPABlCpDC49Mn7xMk1Is9Ff8L4IkDCFuwqRNu6sKOfn7I1NYe7kdJm3GcXq7BeS1uHL3K8j49
Nfeg699IJAOGqOfk2fOm3HYN34XbBbrc0UAhWcsMobS6mJvKV5RUqs6txkTj3ZjeEQN/iAB1w17K
KMepVavAMD4HjeLKYFjmpvullUTaVxnZ1DmHMyYDOOZiIBrEZ4slYTEortJ2sNbYuPibFZ3FlzY3
uq1dlwZyPx0F90oAz7ktJYkQiDgKlJriVuzneK2WH+B7KJMioETcytdoM9Zq2o1Cyn2p8mhbxxWv
TfdGO86qjoYTqtXqPDOlIWTcA1gA5bvJP+OYka5W7iO01uOlyaCSagnsxZNmXNihNGXZcUzWRQyU
YldwtsNkgTjeErpgc3N9q0ZntiEOVvk7Qsq/SKpH9ZUBJFVQJOSGIY/xifCudtDPUJ71hLULy1QM
wf8KKulpgpPbs0HTHNduC6eneXNhsewClKi3M9nXgSVBl0eixZ2XauFfY1wri/aSEln6y348iFum
lO3v4Q9UFoHlu6HsB/QHudVs0haSbwdicENwSsYlsZNJ3YXxEqjBA5JREduuJp6IRQ/F1qhJ4iaS
1DIrNEU0cKPsSbzNT6zYTmngGjwLm/8/OGTu2V58wBso3fCNr9gNO9Nff4cmmPh9oV+Rkqj0YTIU
/Lc3Sh2YdC624UGExTQ0Uc0JkOT89i2BnnSdxqN6DP1AVpp4AUWnN0zYsUDhdm45bGxeCcyqeFwK
SdoEHVWmewMYXIATZdL2Ef8uIEkrJSljdOs2PY5a02HALC1mg1BINwG2Dqsn5nTOTorNoPx4lVkE
gM/MW5xnf4HC86s/5icFFNa6npHUKJvo8iMdp1fYrfWDmfICTBaFIIY2UPHRuGa/8oHFW8zapJqe
do63GyWdRzwPVHCYfEAAshasSOxBUYstgx494YPCKjx8mJA2FTB1JfwdCaxiZuhdq9J22czKNWWr
QUQR6vQ+VTjJL3/5AREDCVv9/bZo3NpWaSf9cTPFi0fmykQ5A0B63eX+QTQ1FCBfrOBowF3Lrwbd
qzh4V9vkm3GrJsnhzCSwoJwU+7FHHqO0na6MRvAcCmSoWqY2aFtFrEDb7o9EHNh6ZNuOYR0/uN2J
TXHFQRAxjyMuMyrmrS6mUjnpY8ukNOZUdpcGpfmCsB1vmMY/5HQohb9alB91MgFoXpz6U7wkaNQq
0sDlmOMvfJGeSkJXkUr/YpN1wV1jzk31posaLRAm8zNWW3ITBgiwC7lRhtppmSfx/OgZYeW0pH9j
dP/3Y6LJWnIi8vhrgAGzmYTMeO4K6UERyu/hRT6kckVuNbs9jgBt0hfRytSoT86eYM15FjSg1TIU
Sfp2UTgci0pIOsSJOXfMlvdcVvt26Wp5CWcumuRZssbfDIpIpdN1BTbeiHs9Z2L9q4lTraDEbTMN
VglRpeE5/xBavv/LIs+WvEPJchEVlER/lpDBW6InZLlADCE9T9XFWVgD+efrg2d8xOKM2KPzhE7k
sfz1+w8GKRkpLln663tXLtv0dhosQBxBdM0qFe0qsvz3TbWSjrboEFgJtg85ApYt1it3LCAskOJb
81I/BFKCJiPCQS6zxI58zIUEbfgZXQzrbQ+gwpCLa63UjeAIgqwxwdjtNeLWRbjWt+ZgvwBhNiAU
yxEMDxv9HBWBGx1qc6y1BntQz3Kq3/J6pr0/0a6/dtjeSvwUlg4K/lxtsuorrAO0DG7ge6NMw0Vs
FRKheZojzbILCJA6zWNdRcYbVWitpGqykx3FiODCHayd8LgJ3UvtmkTXL9P3PkOPdSRXvVXyI6zf
y7PgYXKd9gJQ0dza/Bf/IRHT76oLBo81iDVnWUEIS4vDh1rtTZado+lmLCKdInOCrC5vRcSbfvus
Zzwn7eQT/+wdj4MoFmpfdglrjieZxAusXF2pgX1lBTlVGLdTVDLuNIkGwSALueKNl0UhMrINPYBz
uI5tylU4s/IGgr7kWanSSHyjoj4e6jl0B4b4Ilu4vlPJ8E9zX8V8Iaqphpyz756xr3pR9z4XJOcm
5XXo6h/0UkwvfEbQ8n3MoMpvpszTgumNmQVyqx7v7KA/GXce875ewHXoYzLRcYQopGVTfpUHCvL3
H0nU1O2HGA42nEz0a3dDnVg4CAeyWwjJ3ZFr3jhceftkQsrXeS9wa0LT3RnjAVsi3tv+mOrgvNOd
5zK12RwQ7/ifdrBeuz3o2yYznfyvEyppEIM3l4W7WdUiML3ljNPJDkNck2W7c8tKz1TR895H/gpj
t7XgyCfUi0YIBRoOJvUrwFE2eV0K41ZyehfSFkAjN5j/x+RzjSRC+zf0ndiHaUtgtaysg+DxT3WC
1kOFqKLxP+1FymSqrNEfplWuFlZb6mYsEVam0TSlJsWWsuR8ogNNcVyXADMd89RyyX+Zi05Z1+A8
v2Zk2lclu9m+RnaXOknh6AxhoTfGnqE5K5RxW8u03eQ94R2+no92aOWMY5kopq4wAaqgweyVTIzi
e6YpbEjCXtHsJHI6h2w8h9TFD76dfF+iiGZrlmuX8GfDSpgNcMJwILKjUfxXEO8ioZWkdvPHO9aw
oQ8ZEHtl6+pQZquHJaXV9eWL5Rjx4SdIBI22d6IDmkrWyFrlmmE/k6HKRzvn8PZJVLsvKvzGCFYt
HNmkmBJmPHDf0qBKGkDSUMdHJwtrp4+Oo3UvslbzibW8QHN8KPZRCTp5CpAc6BR8b5sBBqgwKsH2
tk8/T22c4p5vsrn9dTLoV11kjdjItGs659S/EdiHSVfoAJu7gpPLl0zFxAFofunOnIMHhhZ5nyue
pwCH32gIz9PrGOdyuSEMVm90qtgl1GDNduePmel1PlzqQlfKerXgZvpICY6sdQXPkFo6Wa5/6fn0
imM0rhG4CbpJVnOyw5ktQ3DGSkPeHDvd/TJj+ADdvAiaeVtlxmd5vhBpIxVCTsh84Y5y5kQQwh+t
JejDGCnAm452OiE4yNCS4x1BqKp/p/Jp5RzXxjb1bV+FOHv5nb25P2NG+sKmsgJM+PChid96aoaa
9PSje8BO77/VhkVnZP1zIWE6y3RD68GnWeGQsF0027s/y2Wpg/q/1uu8oeIU2vPc3uKrXDr0+Wxe
0gMwjfyd52GZlNVKNE/Dr9ftLM9sevb86Cic0cAXV9gf4BJwXdL7FqKJJhQamL8gY2De1vssGytz
ExG/nuIECABDW1DOIvEverI1H4VV4/5B2LhTmrbIrKhFYj0feUfUxkR7wmCSlupPckl1ZWC4JaxC
kvUQunSIRYpe9pGaW/yWekd5lLClh77eeKmhz1qeH8r2uP2ODcPWvgwAOUQfxgNLfY04Ko6owPPe
BN0iDwIr9klY3bCm05qIX2YSMfBPc1wvTxsh0RZDUrtF6+jHS42q0alyy68pk+Ek7emyBwZ0JVvY
lTbV6T7F0/AcWkFzkYwqmIXldctuq7Rc3Z4zFEQ4kamSyqhCIfU4uN+CCcAzmMa+gudzWPUiZBX2
PkyWO2OYOYkMydhiv0BEpHc8BBtXIzdm+7eRPMWbQzBUtm2aIh5BqnybgoY2KOrrqgYtH0IJFD11
pGgHEVr9vIfpJDguPkfbX5MO6/RS9XnTUsYTxfcD/uzLOKaUk+m3RGDvy+2A3s+Mnzy8T96Ru1Go
uieM18+jNiFfqBtfjmPEH7jYqNB6rPuS0pJPa16SBTuN8HM1vD2omrBCKLsTMdSc9j19LOPSl0+C
8gs7uajkznULEvsCzyPWgxt4im66JuJerbw1AHNAFSDyGLSGYDKku3NbpuY0LAKq/7dqTWd3eSOW
prw3QmUylZundrRnv3X3b0Vx2lckU2vWz8TFlobX5ITLscobcqgRSOoqAqJTGg73bfceRdbwFFWl
NTp96oDHmUZbFxa54NVpMoeYYqD05zg/aqXlfr0S/G9jUDm2fqqYKJ1vvzTqtMrPJ19/hVRJ9839
Pwn1PFLoJnMkxcs0pqD0hjP43yzuUzUkr7ajBWXKaHtt9Dqr/RRblVDHJ/wxZ3yMwRfS0gSZJCvk
78VcwQg+6pVtK7KItdzpnJDglW27gbFCFNqqIahVeJfCSZ9caIefBQBQSchFK0nShXlVU106DRc5
LyIs7ZQsbK5M0iPF2LSep3gOrNCL/3OyywpqdazgNedrVjz6ZqYK5VnA6T2noIlYUrGWVKGXFwCq
nDcl8KoIgAwaCVU7zmaWVo0RcANu8+2IqKbGrC2iCRa+7BETiUqt0c2MILxZO0JneZD5v0bkh01M
y41DVlR3cIq+xrkbbeoyxkhDGDVqjkCumGM++eD/f+XQrXtp5Qkq1nNm4lUDk35LhkcGI1DHfv+n
IalBzqgvyx8SnofHrfG9e+w1Y6SEwLAyZY4AIadNYP7YaP1yW3W+UyXpS+a+BwZWaIZ5it1LhuxM
gmYYIUxbZSHreXyvlcAyshBHHkZJsIt9xRoEGWeyGqAiKMJ5zuZ2DaxLUWY8HzWG3kYcscZX3GB2
dbkRcMxWvb1xHSUiXwtu5gJ70KrtnKhTQPPt1Ei4C3lO/CYS2SLE+wSSPCXf++wYQnWW27nKioeY
pX4l7JnttssaOf0ZT9tPun+51sTRSe19X+bEODxl2fK7Q+snV3CVEtwaVAQYmKpo3c9qwtWkmGJ4
mW79xhkYGUew+qWHyTuUtyjmMZ6iirWVmbmOe3gViGlmyzSKftGn8cL5V+OHiwKcBq3O2XN+ApWe
co0xh70DJdg1lO1FHKAUx7N+dEY3IymboyoTrLsbGLvQyKPdfLWP87caR9rQkVEGojyg+fzcgWn4
Uvi2fhX1mNhffdXwzHdvYrZbsjTbKBIj3QtQxo73stCYZKJ/JUv+LpvzvFaM+UbAJ97upzrHkp7U
3a2fRzhQAZ/m3tACdjXYjPYgRo4HjxWzLZnjna8C0b6kCT40oowjzzlWcBjKWDL7ubcrvkT47i8p
x3rj+3kTQFGXb1eY4W8NuKwF51X/ueZ3Y/mgnb1AqOm5oaGwc43GcQNy+Dyw6dRDAGxKD5Nl58Jt
6+dFg8Dk/L1K22wdfOXss7V+zNPEKons9lQhMqXsidr/zOiH9Ayi2Tu34rMI4d1/AiTBOCdec2AZ
dhBoPAO+cNyIvUoi8JzDsEHM56A75mZuDv/XSxCIHq56i8BaJ93/LTSrqe2x/5/xIWAMz3BGt5u9
+ruLCji6UOSBCT8NJIO6zz7dyV/rFEbwQHvsSt5+eRZqCxHJqhjj+LdkxdQe08wPJkvG+C61Vwd0
lrp72+tHETsoUzHiVO4MIvSPpK78XEzm/CVuoqWGR7oJlHFs/JsUdsdbVxnJgFluLqmolSft3rPX
knqKeHD3PSY2/UddPOiEnoI4CMu6F4r7hR7loZJoMjDXFRl2eJzLLDxdacMqx6AC6BaakDLH7n8v
DB8tnZ/yhgFcXuile3oXlsVCOckdWS/TSoSK8XB0SucKU/wtO5AidWlGtJk6bud9GPNKRqZULUgy
hSbGUv3Xu7ZiGOn+HNuTSXj0VtvBC2UY1FDl2XIhMsoO7MB/0u6lt3sqLDKTp3FnT3vOze/PiPLD
eFxmf7uKgQ0Kv6TUoNixH+LtdmTEIUZr1/QqtT2NkO8CCu032Z6X5jdRhybfs4fJLNPj+ABGgclA
zNTBMrQsQUYnBDag8R3UC8xaqNCWMqAaphrlQ4BP/1UbDQGESf5dvu6lodHUShBWayBzDqrvMnwU
VfeSgczkBGZnr3T6IDhJcaWyAT7zUNS9BWGARYii3A4f91ryS/nvmJX8IyYAbQExh+2F4tKXDZq7
ZmVUbfrKj0GGM31kxQr/PWXUtIKtPj1CdzlpjhmGfJzNyzERXkgLogsDxOsMjsjYzBYm1GCkMAAq
9K+LpXb7OGJ1QDcYdM/yCJv1I8isX1aV+8ak1Oi1N2vKV9c+W3/y6u7mszFUiYzCzNhJEBtHMajL
RUIGxalB5r4a/96W7Mku8Hg/sakwH+7XaWTjNUbdUCfFI1jT0aw+/zm0nTDStls+okCBYfIS6TUJ
Qb74y1w9Mw9430umWU8PGFRT6f0FbVrq7QTA/x1kFxpOZqZEkwc+RKun7P5+X9c5BYSyOb6Dk6rA
mTUlJYQgPKmJldhN6LZJRRqC/4kM+OoL30jJYHmD/xNatH2/uL9qoOfZDPDuWQNKstkKIRT/fN83
+pYJmb9IQuARnDyJmJRko03355B8EBw4WgW0dXDb/gGJkXEeqOWtAM4AwreuIAxVvos8DyoAl0Rf
uu6w5DQbMTD2rg8vHKifdQq6S2A1PQtjBJx+BLSahykbmxs9esR8n2NTp33q/TrD3mmV4NR6sivm
wFU+JgMuSwPP8elAjRAzTbZ8eUOa9AvPPQ8fhMej0XRh1gv87BKPRWGCYlTkWz+7CzivQzlUbWcN
OEbDzD/bG0+ZHd2Z9BInRqcbQSAF3T27pX53VoNByYRVTevupGIcQnTIZnvydy2MITMZ4LStvHUQ
eNiJkw0uLSmDkmpb/zPRgggEQCi5ZGhUxmrEpKFEjxEOQJUd60Q1uARiWBxUjp5j30F8a6C4YBW5
S/3wkWhxDZgMQqK3e/sbecHy/a465XyDO9A/GlRd19I5uR2lqfV6zDcAzQyhX2ngr9j3Gn7lq8FL
c1FqbkbKFTpsW1c6aACbkC0i3xs/RHUrz/bWoi+a36jHz9bj65f31HODks4kqKgVLsz2IbZtdHOM
f7QaBFxDf8B/ZAQ5x8CFyjli8QGCGnrnGw7u/KFSnZeQCqVmahHan3e5PiRSPb+oo92wHftj2Xb6
rEDHsvNPMs5QtkNoOOchi0orn9RAAlmXhH1dzhv5MZelvhWL1hN+80Db2NfMgy3GxPFvOwVPLHt1
viDM+PGTL48RyRK28V5+Fkd7DGBHBnP/8kL/4F78GTpKHmVk3pcjyEKbYcITryqavV4D9cxuWirN
7Iv/CkSCFYzLx5g2X2+FCzLb5h21cYjYUaWh9dQ5L6+wCaOAcrMS9H6Nmi9nP8lqlZXXuw4RsIq5
LeQu28ckQVeofoYeaKfgE8UuHR0OVHcvUb6NsV52F1oYWL6gUmrfaBjUHjIonbq+rKhxMT7ujOY6
xpZ4GuB0JJd8NeOUfluT4t+OwoAymTxtGrAYIrR/38a64qOn3s5+Sed6MdtjIUlJECOxJRf5GF3i
47SzY7ct6S0KGi4KPV/ljI40JBpT/qsqq11ZdCsvq/yG1OzVCaxnZHMUgUQ0RBWgsYwNowo1sVzL
n+pvIsilVsb6aOd5q5mIM8iNUwBLu/jYD3n+C9j2nuphJzbHzSXi4iW2cfPbSHYdflM5NnBKn5cX
sKZQAkV4IURm8KlH3FYdb4xeomD63c9cXkt4nXJiiG8JCS+6UbyJQg4Xw2Ro6wWyiyyYbuf8xR8J
3mbtZ2i6sGVMrNNW0LdZZ3nuW8qSGQPWOMoLPAMsUeOIqpYLjVODtM6Ex41UpNCKuAIKQpdNM94q
5g+MmVW6IwfPc/o+C/u/ClmC70IZa1WGOT7dQldExMBPMSClanEgz6Ka5gzmhkWC4wlvHqdIdAgQ
a6fUlafmt+PueQLVrKpsPZc9kdQc9qaWMIzmfB0wKlafKWqebVi5aPNW19SAX7n5J7WfN6+NyPSx
bvkzyPbIvGD/DudC15mxwgUcUZeqPsXgh5lq+LLzgQFG0XfhOWbEbjO7YerJmTLBJXXtR3C9kbJN
trsbSz8ds/J4lg2/+kuir++ls+SdwtWskY/aiz3rdXFlz7dgxWFGlUinTPPdyYX1qnzl1XTRuXpc
JhctCxAwFexcZPz6c93BKpvsFLrs/eF739w0YEl4pCYnw3KIYl/d/JlS4Z6nYaLE2mYMqvpET5Lh
wfkdmWWwTyyLFXAAPq0Dm516Z2mY1YRSewGlWCfJMoQqwByMo7werrmUJfl3GMOVyVsC2J7FD+9Z
ZhweeBo6FP2Hd/od1DgatasiXZWk1dNbYzpAtPMlKFOvUYJPXMK6iABC0J5AZairSHAyz/0rNW13
mEOFmZjD+jwI2upnq3OOsOhdCdJh1P6Gt93IknDdAgsZmL9AGH+D+LZXrlSxzhufi9zwt8Og7GgX
1yAZAVtzXfCYsAnh93aVxCBz3sOfpJ/0jVhWb1B8sK01nsvQBgPQRBBM3YhGs2bG4RhWZOUUJYSp
X0yMwZxOG2oKvZFkjiWE9h9XKjty3Lq9v7SbCyKHH/ojGydzZ2H84q02yQNQyjX+3AghQdD5IHSr
qzJZxap/ZxjoQHhmcY5lLxA2GTeLmXVHTmnBYi52W6BYga3LhSrKb+U1HntmTIhYUTmZtTKNpX9r
e0gTCxDMTiDRlsVwpIFNn47myZwLYc6d0osog48ZXuSx25sl4MCKKOf9BLf77/nPIsProLPvs736
sEyvP6lW2jvvixjz6juA+hFfK0wjSY/2g1MLIsaBeF3T/zGuc2MrHQSW55ZdtdvJhs3QN8Nvi2yn
eq8vfH0KKcDDK/wp0pk5dHAzpVJ0+pW6TieLM1z0OCNdqWD6DRLJ/pWh4tWMF8Kwp7ipBt3xN8x+
TdtTNxD9eOMGfWq/XG8cG5BrpKA7bW2Lbi+fV5JXIhlV5vzFkDXm38AfKEpIsbcbeRSWK7I6+Pqp
jls5l/KmH44uujs9Xfqd31JMPSKnrUjZU6xJGdJ08yoJSrxn/qWNd2gQSW23pWSBQvji0SIQ8QXu
CibE1mXNnbGLFapAQYJmVLUu081G5E6Bof8X5SOZ6LEzyVCE65tVRQxTfBMs4u+K4ahUQ0I2g8VC
AJbyVaEXxI5817iTOEZdMvzOqCEarFs8/jXtN68wAF2DI+Kc581eDV40K2ex1tuVS95NfCq6SX7k
r2Ng80vB+OcXiLPK4RlKSOW8ezuJV2VmdZq+TKrcHKvsaDwa3NnhnkpwcpyangKOZI0bmS2w3o/F
pKr0yAjyZe/B7tKyTi9EmpejDp43dWRmjr8QtwTiXW89n1+7LHXYVrQKBOfRryvZzFKR9lYQ2x9R
eal++bgqckDyJjsAeOLLS8RXgIBl0c9qscCBNFZW2WwA0mx0QdiGcq0/kkKNA4GQPD9d1sX+b50w
y5Ewr8ohCz26c8fpgaTUDjCYvHXAzJomWtMso829+036Vbni/GQmPGDTljeWKiWHiB+cibH/xMGw
U7pt9w3AfZxUjXybA+uhiXAIMEvrnbbmFOjB4olpooP9EfyFd3rkFPx2bu86J9Il5KE4W9BDn67W
8FWnPy7+ogc60Yvk0Uw5clEgc6pzGgLtSqQAjLgfxjWLK6+S0JeaMWcAHU4vMrjyG+uZRBEfjaTg
ibLegwDSFAZdvcFOWa7d+Q1NDdFFl727gnSk7Lyv6UEcz+5BqXp+wtKAEd+RLymZVUknK14RfAo2
kzQKIlK6p55ptmlt+eFvv5bLPXm5sUYjZEkr6uIrI3Q1ZM4+I37jP6zUQQvf7Exxt9/Ifs/DcrWh
quAqFm5fTUafT0a3VutlwUSxXKvI8Cq3/7/OIrRL4W8ckk36cAuA8doZi5nrjJvo/qjMiuNjrx8x
Z46WvA8rOFm2NBVIaYja5Te7PyqOpRVogfgM54eUm8OXrGF25if0loMX8g1RPceb69Ny+blBXfFZ
5cTY9EmnXAtdUuvL4yuyuOzlMr59gvUwEC15M59tKQQ7r3NnBxdiWPe3IWB0Ib52XT0HCugKHPoQ
u3eX+fwmHVH889kx5Ywl2DK7/p7Gg1Ay+HVt8Jmz/BZBBS2usGDuJEFp02vzU93Tz0LEaNPoGfXq
qUHqSg3SjEVEJpEjbmnidCXpJKsmYp7ihvlX1GF7qWZ1rDkkbV2mcJGk74boMQ3HtF1ylanZ+2qy
mBT7whqNJII0X8nIzyQncf/O5SGMJ0MCrB+KOIloRb2HVWG0YBzaQpEI7uFBEt1DidO0ui0+YUsn
/E0mWGnzvCAg/OfdKeTKSWIK6QYSSAWohK68WunN5D5RP3dc8PxH1rmcf0SwT4NP67Oj+oLGhLDO
5rKAWyatFLZpRkNPSnh4UefqN4TZ0cv+F9SKH1o3827cLXnb99EAUrx0ym8FXOiMLhjirJVKs5Y1
4j35ZGjEHiPPUGOZ+p0oZdCy+gZc5QYS/MO/HqQnBRAqAMdPAXTB1yBU91HRvu/zwkRxweBcMQ1P
E/+IHZB4oFg9qrW6Vj+QfG1Ur2aGe4B1dA021ENqsOCA+mW/cV19/3+qMNz8kP0Ynz+eZ7lMoZ7h
UNRRwcMca8hhCbc13cXctb8xQ4DyXcrDpjCXyxjz6wvdB6bfuC4WLYD4OoZP3bbulEfO/mqaAsi0
OwAK5wHh/q5r6yfbjLWPelJDxOuen7wzM2xAdbAKorrfp5o64Ob0KBcgAsW8EeoO9vJ3tDOnrBf4
efv1+PLpqRY7EVzxFgPUvVQxqD5w2b5+CRjP07wldV7Ts96ecv3m9WHC/RQzVg1QdVU22uZmzWvm
rq+AzChdXKW0vxQ0tpZ0NNqUQ4fiU0UCRRDPuEuW6+v/He5ZW/T3k9httJqVxSRJKv1n565d4lyI
m+DmGvJyHYSx8DgjrANiMuPtAAJ2Ld9OmkDwIiVYW0TCM0kLUfO9PhBa0DHSAGPaOL8eelK9niwB
gIf6g3SCcJplQkpdXeJZkJq8YdkX0I08XMe/3+C/tCz44VZKrmEtSpwGPQO6VmDn6kEuqItTjjTl
hGMdvx3o9U8b/sFSaERMRJSKyF8BcTQqpW87YCPdAvOw8k/kqBWJhTLpzbh+2WlW/Rh/md/N1P+M
ZUpbri4T0/ww4GhS8TzahBmB//Q3p3nkjmyej3Z7tIgxyoVCk0Gcn4IpnbXayXqK8NPFatUO8TPZ
LWvPy9idx5++Mjar2t03rM787uK8ukWE1Octn8eRGxdrIT75dWWcJidBd/+i3cTTI8EWYhGDtRA4
xOa93LYLA4I/biNgJiEU8ufoyCfAivXYeNFWMGOja/1cIW9j0S2V4r+TYWia+i+QoX3Iqqe4AtZC
UZie2XQICYpaD0t3npcxDQlQ+uCzFHPiACXopjvXio96uth+7oYz5CGZyf6yWvIExbqQtWneTlsl
8SxslGBPM+VkmfMHiOV9tpMwdB+BEuKqhK3GpbP40nKSksE2BoWpASck6qunLn+IDb/biRLlHY/a
BPpiZ/bFPSLDSiXv/11ukiani6q/aQaHiDMVXOfEXSFVOh1LZl3Db7J2KakexHUwzfQuCbFR5XUz
vQPxizEuOw64YvokCE5bsoJMnbCreV3zh0PxPbuFGJkF9oObXdvDak+HD9ZccUySVi/9gen/NOB2
GvVkR+4ksN1i3ZDVlgvd0GBXImPwXAwaZsy6IRmLFpmdskezMo8v3pVnnkfX6B9EFERwIeIrnVPv
X1OUPyFtMgZbRNmZjoyMbFsnXK+0fktqI3JaSqTZGsnO/kE8MxzCNA1I0kTTS35Se5Doz10Z9vRr
YfXvnVZlTzE1kJ8QLDXAav6YoW7kv1hkytdNJ8kvsHg7bn/79GEzhcYhfp4qeRQk316u2B5PJq7s
a1FAklFF/grv4NMHF84yyW6IsLeDCH2Dd9U1HWwR04r2r9xnoo1DfxaLB5PErFfdXG+UiBCdtBjb
yV90/mltahPXBAsEA8T4NEsuBx5zAVr654gD3MhIslcUSn6AZkJ7imU5PaSKPWKZTXPwqtjbsPXf
pyGIxqGOK5xfi9L9JlZ1iMLnM3EbYUlNk3JVjdbaUVbEKxpGhx25X5gGW6K4ST7O0gCXgg8GwKcX
VxP0eJ7iLNUv/0SpS2toW/0fZJIjtWUpcY4wd46jvKp8m1A/lipZHLjfS1+R3f3KgpmcGvHAf4JX
Kqk8J87hjx2oQ8xztxjQoc30sdkJ7s5ttW19XY8RoujWDLFXJ0hnfqnl7WfVmVPlOVKaQCOv0Z0z
0P1ENdnn95OjsxH+e0dZyP4nSXF8Uj7++mh/KusoO9DqMDQIStb8Dv3iqEy0yOfSN2bEi9zfNu70
n+FFNaCkDLS7IEMCPAq3W0ZG04+GZGn6Nl+JNrQs7fFpQDCrnwPx542JeSbJyrvJi8NoNS/KCCTf
u6xyBqAnUGWv8OKUQvx3x3OG14cFVLvjMREyc36PzbEZHpssVq9N7a2vPn6wmBkMOO6J5FYbHoG9
kGajwFuNFsMLaolQWXLDDLuthPMJY6Op3Nh9f/lFRjC/4oqbXwEx2Z8hycnqckyKpXnfJnav3Tza
PRY9egwKdBC8XbyGBf5aX14z+WOBN21tiOfsQrEjx1mTTTKvWLou8ONC70Ciufb/kR0mVjShxKTn
mOTwJncAl18sQr2C3GGlNdPtvbUuPJKqqbVNUmUBryZ37M37pyJuzMHgcI82kaq1fXe07egaFeg3
PNxfyp9wsw9xzsCsBKGipEYnpFzKCSTJYZtjABn0VpznQmLlEYoDVPDgd4IOytSBnvfnTTi1yptK
H9vgppz8ekEE94ByHBoq8nMOTitVtg2+77oZqypOCwup64aGcOVQuR1WxVwrt1lpgxy0PnzBEO1r
NjKZGw0wTVC+JtyWulzzVgoGxBFdVOj4fzwlCAKEaok3sG4WLZrcRzn756YBIWNbwiPehMoFb4wH
wXxstGrbUTRjClOa3+GGj22AVgJotVp9vmzDEgV4UwXJidIFg4jiKMdw4s9rA20DKrDnlbNJeF1b
TqmlypOpgs9dN5XoeCYDwzJ30mbmCeaAeO0BiUrwG6tYtCh0YZzY3MrHgXLVPzfHsEGgVlh14o2i
ghT1Tl8XnoZAgmG7MxV1ybW/7CEXCa+OfmJxJLsK3acNFrY1Cvv2fvcEIfIUWrRZKDKPWBXfXysF
jn2NqA9ZmZGJLV5oygh83jFpEHDXp4iRlw7SigKGbu3MxBVxuW1MFF/B37zzr4oVgt2mwe9bXPsX
4q4J9bMO81Rqes9F+AOtqhhvxnY0MQUKFYS1kNAz9XxvdY3G8ehmlsbrmHQEJqnGiHodoAJI9QLr
qh7UJKdHkb8LqopvNzmJJh8PzEAPhhYQG8jwnW3PxXnb8IIQMeBxm2Ty8C05JyI/mdtvwXATsCjO
hVSqF5ab2euYtm9OYNRBb38hIUvEHA1wRAu07czAK3BLQbS2oUwYJSkSgZlDi/rLzapoe8UyFiCm
Amx3tgl0VlvOeY3mdYdICzuo42LRl4IYFrpaJnLoQlwFEkE7Z0PP/r7tV3y0+zQIzpd7BrX7Qr2E
wgT3fROOZ24Q/LiGsnVQ3KmNt0+tGZtsLaO6dZx2nI8GTkYiLO0L2XAig2aZ5VHha3TWXNhTLyny
Grt9tKf9A2VQDqOfg35iHvMjZ6/iIuuXmgsnRwEtkG7+F0cDeZ+m+d/ZikFd/wozcP/Cl8DCT8GS
UZHd/Pkla7qv1y63ZfHw11jKSFbzFeUrsIFv3GjZywMleYWGoNo/72S2lH1KhajJMpcH705zvWzY
UsmNnm7ApFQfp0J+rY5K2SdG3ZEeUDOb+PmMhRyJhRUOTvW6l3SLrYt8AzKns8HKaY9VM+l4KZ3A
3GC75xrQFjLGAW2cY3aK7+vSYUVNOmfp+trHMVVMY7jA+nvU3frquWy6B7dTR3FzgUH5JFqhYKMV
iI68cFcGg21wmrrkEi/OJUp5j4zD+A5DN683Qb7vtUmYumlozSEd34pq6x+TTTnoHa8e7LmIkAJE
TR+naYKKNWtjIGDTVcjBznQpo7AN87v+mH2MBHKJfcHKHUcWsLZ2rCabqmwe3dRbL1OEQ67xzPKI
Wpl2H1ZuQbie/2fxwKA7nnJ33fKahFO5xW6IsJdcfJJ9Qfx+sI5B7mEUjB4KoPimhX7CyoWaZN+Q
esqitBIJik6PKByjNYnkEmRv1h9tTpECPcWbVxoTaVG+o79NdB+WQNmf9dAc0pkYoBWYbb4xsk+4
XjJdFspF7ag0NbTXDKST/itc6MN4J0LLii/bZPvTtduDTpWlEd6YqvDhmIeCtloeIcvQVjXPHZyq
Ke7z8GMUNSkvorQXWUYDAqil6GJeIRsZIdH5FnVw6pokxUckvfch1QPEDhic7G12E/3HoXZCTH7p
rgSj8Rb+9oWdKUv733j3VbnYsX9xkc2SYoZThp3coOQg1Xc/3PdhzaUCG5xnjMUuDQvNDej+5/S9
3S7UdcN0y7GZQ5GVNW8s02H0KW2CS8r3UTiL7c01OLHCXytKcLQryG3yM8bR2s3vvDmwm3KQCDOx
q44LHNPaXg/nQkx1qjcb9dg3STqBDWoAg6ArHvPkZrg6fe3xzBUx/JdmETrfEmr2d9G5QCKVwfrd
RW9ng8/d5BW7TxIWlrV62q8Hwxf2HleL0/9fKFsUjw6lZOA4d24Px27H5gcPfgy5MjAZcgJTbdyn
CBFeO7et1+5poDcolw8A3Cd+9P14dEr8gxI5dFVY4Zmx69YH/uVRsWLEfYYlH3bNb1RD1EFGXG1y
jtZjl3Ss7E6tTLqAs/gUEMbzQ5opa3bP9hh/cag+rL0NzQHZf/S19HspvR/ELREzWx6/quVKRzsj
SXufhSDgY8V572XbFZKRRV56YyJgVwx2qL8tsvJtVQkmCWK6OjaI9Ox+K6efQOTqj77c/9Yj+et1
J1DVFgBg+lkCOw/tHxuFlIs/iZBTjiSStsgfyjdT/W4nLlXiv1tJlxlulOVpk82+65k1gPZiJN79
iy7iD6Km+YDEb6gTBEBg/JiJ9m/zfYyPJxoXmH/DhjQcXnSB8apC2IwEQto7VIvryd+gOE3ZlYtn
pFGNfaEuZsnA7Pft4eplVemWmkSbKXW1A+qtKeBx69oD4jzPzTkPh4dr1Ogm4Mf5XJU2lU8X0Ivf
gB6DujTrts6NT81u2FD3SFiOaVM5X+fION+us6owDZF3rFyjBmDj/jISM9ocvk93e3xPsZijhf/V
8LdapzDxivkFDGTyLsUkC/c/dOddz0gu5r8yiuVd30WMcC3Pc+QpBdYsKYvbuD7sbvBR9Hg4US1O
CwJabgk06hGMXS/tnzXgyNapeuRDGRCqJ9NuaeJGJ42FeM7yHP5X0u68X8k0NEq0yFzCA5L3zhu5
iAwrnp2awOLwU8405Bejbj25DLJb/cEqDQa3XMF02iMZ0nEgg1TrRKz2FDNOAxM/Czevlsl7ieAu
9N0C41mM4c6icUhKB8XJukVa5dxlCFKHZXpotpTlUeeBGAI9FZgW9gOY/ESDivVuwE2QGQBoFh9D
TVGuj/qXMdoixBGoIB1UJey6HkoMo0oZdtNKfpDNlG43Lr3HSdTIADGf4whLXO2cCAD6npBguv4n
0II9WQ7WEB8okFEWvuI+s5QqqmKuFifcz7lq1rTPLjIWK541EIcfQONeG/r538cp1B4KnQsBTtwc
SZ+4OY1z7jPb32ZQQihrxJAvUfoHVXg0nmRMY6d6nGfxk0RPOQySNZGUP9/YnfBewJ67TRbuqyzP
OrrZpEBsprda6QuTWVNc1yjOINUno/uHxIRNJhCPwEyPwjtDwdsyhFLpcR4WVJVhTS279vftXqzq
zpBXzDE+qQdx8PEsTF9GmXuNG/Hk/866IT4xOZtzwFj68WwTV+hhQZQ8qlLk2zwMo4eLwv1r9FLI
35eDXtzlpDqT/H7yirNbpPoz0M9xhu8UELXeGz2uJ7vY3zNms4fttGggvyfcmWLs+tEtsHf9F0LA
0qijY9XXaiDAfTHUhK/TYFztf/Zxkwk2I7QWXQ+0sY1KSrYfZmNLOgipSOWQmfUPhOJx8NaMMvq7
8D6l3rZVzkvEl6QXsJfyddo80a+bF8HnHnxVPJ+Y11hJTfrxysiUSGOq/cJYM20nfQhP6KnAQDuL
vZJrUZmdu6S/nuKdntx4V+86JOjiBJCB1OyTyh2h4y7ztgyXKLPw/59aEjBz+vpilODmF6RWQqIR
XtunT3KN7E5CAYXSfoGq6anZHmICw4gNMMAo74Q6S1MSvUck2D8wYt9+A7AIZ3uuED7qfDffnFVe
2N4Z/uRunqGtJabyILb3dgs7y6dEBrSDPSM1bMdamMgHHTn8FmWuCMoOFETwTFUMCpR9DcrHTkto
leV4im5ncVTWZMXCUO23GfBX3KL5aLpIk+UWWGJ/Fo7sFBynwPy5VHewUysGpABJWyd1ms50lndF
TN10R2tEL57ShbLltWbRBEUBWwLMYeHbKzIPF2Kd+OYNGD3PNV2v6F1x8DpSyumLxTiyMtjiugAu
D9+rNnIgDNDlw8nsUle11wFybHwcv4KJvTXmxJn+Kxa/e/f21PQkYf6WIN88q4TXHKiD49bcCjjr
1qFpDVbRebra47xiREgIZe+p/9R/G11WmMILwlxRXX9ZmaHWl6k1G1gYfmvxB5YouUEFozI5bGpP
SDI8XMRGtVpx4xq1YvnRDDrp0oR1wLfLTGzE3Nnkk7EzGJUTjCiFkwr0B30F35+mkk7Q3/mU3BS/
owuASDfDvN6KX9Ui1AgbofvXRCQzVpGpi4XcXSd8CqvAkCdH4x6cq4i4HChf/a2Lf6VcmU8/v8Pk
m4K3q7bTzgXcLH/gFQG8YcpYDMIwl1BK3J0z//M+dZA5hkRnBaAablLT2iudFGeBffLioc5NPQ+R
dvbehr+lvX+7Z9gtQZrHz9phMweW1MDCQQ9NAmStINwBeaa1lLNYOdN7P+b1Pw1QiMxxyAAzNZI/
VJXG7ScSSjHIScUOPLZ6lXAomeoZpl1EBwiKlyN34RBRBpolJhx/0KGKHibmYByT5bLWV9miei+v
XhFtSs0ngOqylQ3eFd4o0z8CcfEhgttAWFB+jkumMZTsrt+ez/SjZcPVox0/ngXftlWR9XGtdWw2
dJj6LpP8PoCBJ9U1eJGv41SK/mDQAV4gNaMt8jdI249Ht2bdmKi8lWmYoE/Xdj+8S7Wq+RcDnSQ6
nzbdDc85wle7WzxP4JBUW8OOF3WIv8zs20QyIylzZLnKoNipoOzKyFEg9ouBJTpr+0AruwYISxBl
OeV90tj0z+cUvH1e6k9qBhHjTGgW8xj+KMIAwEtjLtgepfqnh24188fdwtA5uPSmRrbX2I9Xf9eB
LZ/xvE8No/jthB0zVk4oObLlzFxFThN3PCNrCTzD/5bii/MUsvC5l5RTF2oqtsZ04Qz9qvAaRfxM
ko8BGRKV7FknRQJ4f52hWkxYD7R+z+LYWB1vDbFalUtgGbIpxDx2xvjtOyuSQBpaZpgObXWyjwx8
IGb6U24vLqwL15beMzzbpO6qer7bY+n9nn7QvDnxhQvEq653cdIwGZFh1RgQf4+0hLY1BlwDTgVq
VgrF5mJps1uAz2ruCHHe9vtAtm3EFztj+fv5T/NpG6S42LMjkosQ/Zba/QNUE07WIT1iOOUfQ0q0
MTsQuHWrgwq5WrUA/B8f3sAEgSgPDxYPfSXYfyyrHaS/Ion2D+NG9TJNhB8VvHw7oLlrDxpkmr4T
CBieQDIOr5f4zpkkPgt4fIcM3VnCz7f3ejcidOOkXrW3zop/xHLZeND/vRk638udlibhZ0D4Rg0E
81GkEa/X03kcgTJEQtsM9fqslZyrqMEB8yGeKhMGg/dnwxWPs0a4tKMArG98RCTQYheIKJ27e/FR
YbGnKAzNAkfESfzgBvaPq4nckfjQRrYkGZAc/N+PFaOz5Dzp6i81UpMrienfl/QsuR66go/sioQ0
5xKnFVzYwcu5K2PE7L0ylff29QwHQ7FbioLMcYLm2wXc3zT51NNus02PkVQdYHbtVyaL6sP8R6Bx
smKYV9yIFmToBy32vJEkarDKvjbKizaX6ojIZadaCuNGPMi7UbbshjBIIIKo38Ry9rO3hNLxNatF
MZPQTbehLzyWhH0/WMfSyxmT65fDuVDnp/1Uv2nTTmKKwO5HrxD2egNwP8CPX/eN3CGPlYeh6upg
83+CqpzCKg+Os71J4nkXONcxYC0rP116xzOj23qUj9tBnpwPJ8SYiXaKMaBWi46ZUS3O7+JdHP72
iLRQQmPj0I90tdRvPzBm1kYjQ5tG1pJvNtUkttsJrhx3RP4XSIinCvm1pBTXD0rT5xtyTQPCfwPv
EaAz5d4whxlgiVkb3hqx8RCpS96Vak3pF9QyuCrBSIzAUpsaQ83KAMSMuGqlPUnwX6wYe3Em6Ofp
05Qae6QRMYjYkUYO1nMhZ/u3SpINu3lQtnn4nlG3ux0oFSkLvWbEX96Y+7Pi7cmWMtM6rJEctxNo
5Prkc0t+Lqzb1JV9V7nygoKYcKi7kHgnAvys5saeNaLi/Y8FJsX1jfxHENF/1homwsNXXq24JVzN
n/WKCXOk5Jkovarz7ZPXB9s1ycOMMLL/YLuhZ9fQg5r9OMWgTLrPf/svRbtsQW4Wx0zIuW5+j6uX
C+/sebKpZdt8VW0on9+d1eHFxdNHhBV0GOMT0HTEZB+r0UHruVNRh1w0QBbF1Q77zOYHnhXN8bL5
WzSYs8Rc468fpgOG3D8TRH5tfpsvSQrV0wMjfAmEnCeCQFN1ad27w1eyBRDDYBh+d4gjl6cfkocO
DRtTRuJCKbf9OBpXRf6tzZ5BkMWahYUSgY/WkmEsy4VzlVnuL2RkU4+rb5Xv+m9nYv6VBsWxX0dU
ZChED1GqBIfy5HdfjDHWys1rr7PeoOu8BJUXefllJjj7angLxj+vNqckKMIPCwQOWb2XShI5Jv7i
GhB+vVleC2EBkHh8Eedd5l8wN0jA9BuohND5VAZM+mUCEIYGm5Pwx68rILey40KYplsw6GQt+2Oc
/Ijb2tG1NF2+XJ0tSnrn7CZJgvRp9seNHn6zK4Rj5YoHJNHLtgkUvhOAdUqjNNYBDtDgEXtsNtj1
dbDKob9LImX1R/97cWCzfJUietqvlNUw/HPfZn1jBpW217aOeFKWWRD6ewwmwKHLqkYh0VJmBQoc
TiFeI7TpQSXk+Q+VTvu59Z4ftmmHKG3a1XYvitw6yFoQeQWLVjlzW6WYbk8RFy2eOR3t+e6LlcJe
gIM5yW3HY8h0bEYSTtDw3RKL5OEghBNV27OYl3UF9y9q8/Kcin3gmPFQtes1FjEN9YEIyq/aoLlQ
I99DA48x57iclAzE70qPRIz+AP5VPUpwlIMtw45vw+HT6XQEpgEb2/1A6hphx79eSiUZveaf9MXA
OCDlzo4vsb3EFOTrMQeCz+Lgf4y+BUzrKRnB8HXGh7ffGVVUfRNfdByuj8RJCgElxSCxUkmyWuTk
6zpPlaQEa3CN53v0XhAFffxelDbq63VXINQ4WYZgrKseaQv0A1XUEBA53OoYT2/qlYTXuxCzzVli
pSjlxoXVyp7wYF9tOZhP+WKbSHbK9isOJa6Ry3F9S5RqaZkLDpUJFQSw6VqVYAY0b+s7DjX8SP04
ilDZhpZPJ1l9XKbZtAik2XovrIjrh3xxoccL8HsjJ0EZXwqewyDiFzgyWeiwOwLjrAJtJ6UNjISI
34BxS46tmf0jOFTGrG7XrnLI5B6AV3nrkC9X6H+KQAYxie+SJpIV72Sl+z1yK9WwVl5MNmEho9RI
IpgGISAaxzj8ZI9RboIt/inTYh3wUOi64GTZl606H1epwxbdRa9v+avsyGFybE2QscwXGEiX0x+Q
e07Rlae1MyDAlu9AC8VmeK31vuBvQM3lPxnFkmFYhWhXo7o/H9VqpWlvZUGIrhDc5pBLxPFB0zwV
ooQwnS8NT0L39ZvcP1gE9nYbD81Ionf/RmBSejV0fThf0NC7qMeXmR1l9jnJz5skdm/IAaRHlG0G
IFPqBBqhHQrhuDDfJ41q7HMDWvOzQuFuG45o2UzfWcCPj6qWWKGw3KuCHLk/ByGylig1z4C1Dop8
JmR/qZYi4ym7Vzhl/0+yp1YkysRet185TQPm9RB80AiJgrM049DT9LFOaWSAkaQzEEksLKi9j6Mp
pVW6+27VD7oX2rTRL/fYvUPXknh62llMDUqvLkpJKNpitCZB3zaq/98G24sba3ouPGSB9r6+WEgK
XpWYLlDTq+IIM8hIU9eu5rFxUazcMCxj9crU6jHuHbDnUZuMIeToUCwM+ccYJ0P4sYSMXQAP+cis
o2O29OGOKC7FUzqOur6lNEn3O+XkXLL3rj50fDZe8YSCAuAjWFfZ4N13dWXBQZx/iJ/5UywRYGDo
88PTYWn7yatncrwN9Iu38lGWdsZ96aB6iA7J+93BiGIoKWLgZsSI2Li+PHlNA8xBmA27v0BbnjXs
UXR5mj9rB0VzFkSrHas413Y8UzPn0VYyO5jCf8ki4XxYDNSIbfJ4id3f1Pt8uPYLXKXIva1PcfLe
Akc8vE3FL3QcdYkNVsQy8g4cBrlYn6GbnZ6fQlMFcoF/hqfP0XZuAwByA3U71doEpD6+zMLLHI2y
STbAwa/G6WLIz4VX4F3ZHE7jlPCbFK6B2tsjxH9AGZotKrIVr+KYCsW0EvCfTm4uibOZzC9qjk75
UEBfK2yzSqpeV3i6Foq94BDHGwZliaQSUi/fzVKiL9qvztjhC5t+N5QDKJzzj9jS9/8IPNwyQewq
1wtHJQDrbWpukxAiNnVavC+uoGbjgkGA7ZXODBNAvn5cqe0kRxnz3BViMuSyO4qUyFWk2LOD20y4
+l+FDOF4LInt5gk2eeVuiyOMXpHssWHq5qYdOl5c/yxRcPTVVv7lFgOb+gphsQ0Ik74nCR9zMUK6
JE/3BximEL7ub7qSyVsLQNpcqRDU77gnXNvRl/6VB6CSMGoR9CxNdkxfbeMAAorb5G7ioRxkiPHQ
5PyYg1N36aBHk7kllCgtZexwYdrlTCToPPAHenhvBoaVtJhIbU/DPc15+Bh4KvizwcagHW1NhVqt
jmtf9N8YZEV8kyKW1FBcn4h155a/hQaLddSPL9PJoq3K3Tj+LeGAcPD0nGpiB3jGGdJFDZi1GlNq
n0KfpCJQzEAImRzSdxCe/caO5WYO1DkXyjhOLtJre9H5oQfI+ACCj0hKWAJabJwtnDl7ADXu4+0p
NyixtocXQvmz61ZfL9aW1SN4ec7RQcd94YHDvlvekQIhIroDibzfqqJuwc2qjDrKsG27XTPeeoTn
F0lFknUl8eQ4kDFbHznDPWSVjHfv3Jm2X5xWK6Q53YohtxyP+uWmK/BhFcjqYIwSatDZ3nsJlqGa
vNoFGbRQMTZBRBxXCe8ZB7Iegoke/4JUuuodIqxxPmVHdGKJsjWu8GjkdE+Y11CsX4ChTTt6rDDx
AvytOt7Z7run9xdyPA4UCDrDjcqVslG+JS625Fxi9Klbp3nzElPKNUiOrkMOjxdxFTGOEyIkr5ol
MfXlXpt6OFJO1t0HTAhDjUFfulAEm91DEZWAF0LjH8Sk0MZQy6xvvUHfY95DxsHg9WwTvZIWfvxi
E7UokGXWX6sk43B+RRvXsmLKJLCxuGW5u1uT60s8Uk68AHf825bWr0MLaJyJ5rfgX0DLPZ6U/+yf
RJJTwpvmpSrKjPuRLF46tKA0De8i1w5ff6Mnq9YZyDnmUMDWp3xW3KQ6cTvFRUWNiho5iblupbAW
TpAoB0c9WancQ/sJpVDym9fWpX2NLk6l37G4kuwXTHmXBX3ozwqvYjZWgKOZfY4tYHDYjN3AKgXz
Omnjq0J9xkreSwnfSFsTDTnOLqYkzsCAEBNxJ5mb/Ts5+8Yeurx4QT0bZlJygLIMLZ6AiZpeQfN7
iS7VX7Bl+WHwAFAh0rN74TiT4gt6fzQiTI88ym3ri2u5agExjVRZ1ysB5dAA41D3HR3Ce25w9V6F
wuzhLlbLvBdZ/5yyGDJueiYkfKYFnhPU2m2WjFBhDxGyjb2T6AQIEQoVCIMQCIUCrf1WP77kprMR
howAD4YPBcuaZGzPjmLSbzTcO1KIjXfOM50j6YJ0lPo4a0RUswQUYrmr2cphDC1Xzq4FBT37Th9M
VPGWkX8qMHhDL0BXfj4Zm7oUZ1uVcPKRReXCUtmszAyxETp8DQx/BgG4pQcypDPI2eQlf7Vm0aTU
vBozCllP/KdLKuOwwhsp29a/YYqWmbSbN9MU34NIH4VULD2cEr9khZawf2PPLE3nqajRJV1F8uDB
yBlsiRGY4715Tw7DsE8ZeygxS5RQyb0S5JEu6hOOVMZ1iUcz2pmHIWyiLNnC707NVjH6pXwDAdih
TfoA4i5yHYu+S4l7dHXFkZGDKeWUcWI7chtrT3muZUQKcYzBOEqumWgSqP67Nvre0YX/i23S27Iu
fplxr+HfpRXRjF0ZbGFpHAgYYh2HJ3ci8luuqU0ZxbY7TsPw02jVP6c6hVRCoqbHuGaJV52jUckO
9qRVIkayGz5gesS+0/kfOo6u1a3aeu7mf6qPi+NUBf3zbJ6J9Mv0tp0XUlntjG/i2+aOibH3U7DV
X9SAqEidecW+yNDeQzTJ/pXW1A1einFXSMab9ZBYV/LR5xk/B7vvFVMVEF//hgJcplEtA+U0rpqu
jgwCHyQ0S8ogN3nYvU7ofkA5FUSsnZM2YYWoG10T5WeUMaSvAp+1n/+tmB0USI7iQzyvVabexIsX
/SIdyj3k7+iC8yqyErmrXJldogtBoEFa//bVT9jsZp3avyuHIgth0S4A+4SgMpADcm5t2lG1iNtn
hvwwAaohEd/9iGZCasM8LsjVudFB87sR3BmOCT+thysccH9lKLSAaQOHAcBdVLkS+XdMMAaTYZ+s
R1HzhdvDLaAncC42t/JF5kxfWK/BmGyef/lxQRxTSb8AGbt/6swNdd3jmUSoxDbO8APwLEYkaXMq
rNG9ULLhJMuyox788e1tw/QKZqrLvZ8QjII5qPEAbjiPZ6Rq2hUTYWNdNtA+CNTOmOeoLDTmQNK4
s3c0RpWZYZnBPtd6ybzZQfuyiTcVycNdm8tOGoRsr3p0gD7rFFdznV/8cwyS+mgqHr5xi5kLB9Fa
0GtqNmu2vPkuzJ4+BTWIsYXbpx43ekamvpGaUDOoKbmYb0ycuGjSyOpDCw11w387jIpNuxAjQr20
BMHHLqwFAiab7gVrXao6hya1WPXCPtbTO22XE59m9l3BkKc2o6XJSn2/h9zp0ceJCcIb7fT+6zuZ
jBQXhwWhHzsrWzJ696iEOFQOR38itYQCLtaMWhUBPeHnuCZo10mRmlKgh7M1xJG//AUwoZ6L818p
DtMTr39ht3I2HbOmSC9fzv5Eet3BYMQA869B9fjfml9sTyZ4Y0gslMw0zlc2WWjVyf+nb2LP2p16
FwHUtSO0DV0cwoWn0clVQPC20JEHkoUZdEXA0xr60n0J1RpaYQxta5P5xohRvNbpGA672kS3Orl0
o0You75PbSVUPB1gkLoIn1QPPimFGIMqxLYMe4l9Eqk0KgeU0vaVJqN15BLCkysSNxwSUjcDOhWQ
/U85nmKr5v0zoHPU39qqWO0THdMkEOhPFj0sYKaAAjXdi3TUtjm552WO8tIcQIydv+dIwBJGVxYP
oMo1z7oj9VhWn1WpxbpdoaznMHojemQfCAviYijNCTgWyH2gTtax+IG4rT87+Xu+H0s8GW2PlWzj
D+/Q/OUg+jYkZeb4TfpHwP4zMp6kC44LbAzvshgvIE+6izROjgeJZQA8IIl6cV47eBAOPTGGtOPH
qMrzV8N/wSKbNXtl7R5aMMWBby+jRvkVVhBSbwMQpBg2twYaR1cZDcKucF5XzuvRwF+rWTGp9oXK
qqgqLC9wvJ37r29F1ogqaITobeM2rWhyiGRG8xEX6kLJBBGwRbjrJj/98gQugKe0j6680QAXoZUy
LgUvpH6/CL8MXMygScQu9bIlPP42pwg1AmX+tGAlaqTHe5UXeugNPuXTnmR7UbsgvsM/EV4+vy0f
xz9EA8BdLixW4p0k18dPbkEmL15LNuVxEhutpLQDfcQF/H9u8g7DReU2lBIFGlVxx7EDQBX6IMuo
acnDTFRUOdHZRFw4eCZYYrOgrQXkjFcY31aZ2YW2wOKylUruFOaP6EjVPTMmwynNo9/9FbGNOg/f
8uMg6l9fFuUanFVeixFDyOPmgNXx3phmUISEUyizxbR2M8e4QZK33zvciHXtJKcgMexfnK+hZRkQ
dsXk68W+eAZiIcSIRU1IDaJoHgpApPlPwRkTxLTYjG61J/743Zx3kV+ISoJJtpL2jMGrbVlSheE5
FE4VZAsAAQGmXfN7fEPiAUQB3aWG0q1xjq0rl3w9ibv8PafARN6mw+1SdtdFnjLfjzlcaiABXZZQ
kwC2j0F0ZFaInwSQdENyS7IzcpbiQ912JRIA52KXPPH3JtRZ+woJr6jH6Y4khAMFrXJYFyJnepFb
9fboqillX04eao+F2ps7kH7HoyzHNHsZBBenluHheqjBgLewSs0vUP2lsgpLmm+Vi+/jJ/tz1tzm
IHWOBZC+CykaILO3NC7ApRo2VibYUIXxEhxY4u3DoxgQPABS9FmEhnm5Z7dahAof4iSDfBDsuIN1
7hk9Me52xo+AvQtg2bavnvleXXBOS1VxkMwv/1qD9MjIPdl9QAd0o6seYnlm1wkg4hR5bdrAMLDK
40f53yNnNOoPch1AHuNFLygfSjQghNPrDZN68166cbKND5swI3+7sp68ZPZG356IN6KXotjcTw2A
CiEjuD0+sItCbX17yJ71GnQ=
`protect end_protected
| gpl-2.0 | e8a9957395bab7ccf66a129967ecec86 | 0.950412 | 1.820455 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_fma/flt_fma_specialcase.vhd | 2 | 15,965 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
CAv8JZgpbOmMiZtTSSLaayfa9O4XXNDiHasWNt0zEaivYyHRsx8vM/VqFXnTF4i19IDagvhLnyOE
oQd89uIX3Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UTgDFkoJzPe/236TYgyo5Vx4rtIbIIZFTpgKRRfEhRBNy22k6edW402dItAEJ7ZO7PnQn9lyeTnq
EenZYHlViz8GA6v0hW+eWseoM6nyMNUAD6fJg7klyM37vBikM7rFDt94/Y46gm43phGza0uBsaOR
qaP1KHMFYtdNDTXj94M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
M2c+h+qNjwGe0jSscbObJB85D6TRpQHfmxCD1mPXbmKen30V4sFBLH625HQo3gA30eP8sxf6MCuE
I1+gpJAHug2F389NIxMnHPg5kK+aeFTDUIdLdzl3KkphiwEX8xzedDA9t6R8zHfDC17t2VYGZ2Th
VigLGCyDWf4pDS4SCGsCcVV3W7VVU7P5yr6oFen+TbyY87+8BanZl/84pkUfAZeKNF3UWuqL1MMT
yddNOrLoUhTkhMKJPNK5uXrx1ALvOG3ip/njCzQb6nRG2AwswQ4XIjEASkZmOxdI3rbem755bgrp
3wg7mpjebhoymCmadT2isvWMgvVfRubPDRfzKQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
KbokH4IQT0upG+9ehGpu1siL87S4EAbM+PmSXUtdGY5meJCRMMBNyIowk0zaVPgbncoZVvgFkJ7B
nBGrcRIdr4zm9hDWUToOgT6PsE20wx8VnaPa1DdtwMlT8BrZTaSE9t0DdJ3DDxYE2S/e0tjcV83Q
7qeESn90EZeyR6pGao8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
PztWoEHtynvf80U3o3YwrBAdfYdZOzfdkJaTf4dtZMgWj6M6N9NQPkfdVy20DZ0lAZv38u8MJ6Js
Yi7IE1sJQ0YR8PD7V1Jpa5dXop0+x9zGnDYzn1z8fdngd/+So8K9nKPZpyc7SYTUlaw79g2kP9HD
rzyP2AzVORsviCg1GaPomePXieMFeLED8BEVGohwJ7ydE1B4Myto4Pf5LBt5V2dfWQPqXDrBzanI
bxX3qniCx6kCsLYdS7atXBMg2cGOJBMozf0EQGefQGEqn3k8tRO05m6vi3syeX+oLdD5pNExT0Kq
nmi77T2qwjiz1Fmp9h5VNmLBgfz1DK6TJEF8mw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10080)
`protect data_block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`protect end_protected
| gpl-2.0 | 18f6f9f080e50eac042405c555486d44 | 0.938303 | 1.87691 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_exp/flt_exp_specialcase.vhd | 3 | 19,078 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Ijw7mPXRT1pNoLg2oZU/N1EGzVifLbU9Cdn5uq+lr5L4PRcM4ABxEl30L70XZTF9k00TvnkF/u2d
Zt7NqyvlCw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
EnBPR1CJ+sKXHJQOWPpfUePtXRmoggIjQ+IqYHROTJFHS4eUt1oER1RJukmaXVw7jLTuRUPrPLhu
GY8TCXkJ3zcT1Fa9G8LSDdU30Dz5CZVYWTf4ovjsihy77YiML1+pjuOPzvLe6MRIa9zPGvKkO7Tk
/cwFVpYlJSoUy1a2eT4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
VHlBH82gZ4PaxcOQ9hdpymWj9HfxT4Ke1K+CiiLK0AS8i81nLkkkoqf6+VHeFR9ggzaheL1du81L
kxAvyL1QZoBooaZ2sOpjGOzgB0aNxVkhUbsiTEYUSDMQWWdjCiC2BSSogcGDhBvqMsI0b8eTfrTr
xwgD8mjq/8y5PJ3i/T7nECkXZ+dmRABy+LW6uxW8MXb1POFZNweuT5k7xL3BCk7/spD2KYxz0efX
62Tg5vrThJ8xrNvYplPhEDELRoV6rTxP1MO/+cjNkz+0a3gmKrlbLHqPu6WX2Z0ciGsSDC0Cywsk
RAO/mh1O9NrO7KUs8Vihu6t3ayCJBpx91dVw6Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
1BIwRXmQ1SbYxYIxh3JZQie89DbZ9Eu43SQR1cngSAFAumtiqByMxsH3sJrChTtiTEFFxTo3Y35I
LGJOJgpl2BW8QU7hpA8uzVbC90t6tnuF1cf9w4Zx3JuVfbew5nMjY+rvdYadfQjUIfRwngxNVRXZ
xv9IEghiZbHmaps9PV0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
lNJNjzPBDfPgGc+GwkA+4WmP+sjpdMoDH/HyI9njK/3GSo75c4vODsrKwhlQ91OyQEhqIWv0Kjac
l4KoBqFdFLRDorgTOJS6MMeyiQAuIPZBvNzYPax03sTAKMdvo+2Mmk79TYs/KzU7RoKgsHVRLJsX
4S2Coup21syqJfaLjEwhEWw9tSfIGg54DmONp60H0c55UxrRnERgVrEuOxEQDs4rJNo1aoeegOAQ
NAAK42TE/37PY/zybZevXypTcxKUcWPx8OtjIuPCDgam4FFEp2f916bBxj0AF070/6enmwZleDao
MRuZCcDhbvojq3Mq2lOmP6KbKYahIKTZ+9iRyw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12384)
`protect data_block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`protect end_protected
| gpl-2.0 | e4849d9c3b9fe072b97ee33f5234d987 | 0.941241 | 1.862904 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/r22_delay_mux.vhd | 2 | 15,340 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
mcluXfIriwMQMk2OQKxPs0TxsRGI6Y/FA80q0NopVB/bw/viZIXaAqqT/25817t0anFIO7LVINda
uqyrt3rvzA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OmGkbfGMk/iVCz0Izxdlv69AQzpcW6eJ2tuMr9/xEQpHXMuNIlXoPp0ptmyda6x+6ctQ0iofgMEY
BZsGpl6jfKJ13EaxZ2Q2qWTTRvUfC4lLJ9nJLDq+YmPyOL1x1poHORs6FR9sHJ0oVK9+q/lblujn
h4MsI63CmFljmfemA9U=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
0fbML1t1KwKJByCJw5pZ6a+tVaxzsu0nJi6H4kxTTQF3ENqaSdMwsNDhqtCy+wjMe4t0BLbCxnHI
gHx8m967PI17b02gRC5GBjf5Yco0TyXReyBIppkQZQZ+xkyERTKvxd/j1Pebyk+Qj8dXvsoQ6z4w
3hSmilrbcFv85VKCf+Cydunw44z1jhSjRQfePTONq+HbXGQ5CEMvctW55NjKqooWHwj0nUvFhMJQ
O8Ou5wGYXkAPjWyJWS1d/F4KNjmelfLWGo715hHGn8MPRjYrr2B/FY408vM41eJgBD8p52/Wcq/G
ha24naKPycIP910GeGGv17RrkzfXg4lMzQEa+A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sDNq338EzY+40YYU7jJ0ZOzKywFRtiK77WVed7ovggQyqFpkBZMWPKvvnVC1oY2UpwrOIPzASKmk
pyTV5QFjF+H07T9I1trMI7ArbjUuTRYdCV8/wgorOcYzWeDKvTGdrC6aMang+ZDxcHkpz6X2G8PU
nn9oduYgaackulO6Kso=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
SnGQ9BJQpeoqs7jE7tuQCCQWV7AXUncQLI5d3xhfvsCjfvQoqWRRPffaBaoYMyiYGnDeU9yj0CXp
iuQGqtgchlkOA1lpNt2Ynz/09WOEugQTYZSMY7783wMLrDtI2HMIPmM9rTqr4CBo8rIx16yVTcI+
7Bvfsl6ngLAyP/MrjyWb9U+szTtsRtySnE6SNwvmSWVqxFca3EerXRosCYcgI8+2oIBEiaJFZQAL
CJHl66e4UL4Vvx2q4vsY0V+mYvVW53IGS7bhnCyTuI3pucc37cfA3TEauYmx0g0JiCnTBKDjUGyA
qaW/XT8Od34bBvW5jcF1WG1F6p6StDvxQ74eCA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9616)
`protect data_block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`protect end_protected
| gpl-2.0 | 933a004b6957e9c22f3b32c4592dfb7a | 0.934094 | 1.869136 | false | false | false | false |
amerryfellow/dlx | alu/comparator/comparator.vhd | 1 | 1,672 | library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use WORK.alu_types.all;
use ieee.std_logic_misc.all;
entity COMPARATOR is
generic(N:integer:=NSUMG);
port(
SUM: in std_logic_vector(N-1 downto 0);
Cout: in std_logic;
OVERFLOW : in std_logic;
mod_op: in TYPE_OP;
comp_result : out std_logic_vector(N-1 downto 0)
);
end COMPARATOR;
architecture struct of COMPARATOR is
signal Z: std_logic:='0';
signal ALEB: std_logic;
signal ALB: std_logic;
signal AGB: std_logic;
signal AGEB: std_logic;
signal ANEB: std_logic;
signal AEB: std_logic;
signal ALEBU: std_logic;
signal ALBU: std_logic;
signal AGBU: std_logic;
signal AGEBU: std_logic;
begin
Z <= nor_reduce(SUM);
--UNSIGNED
ALBU <= (not Cout);
-- A LOWER OR EQUAL TO B
ALEBU <= ((not Cout) or Z);
-- A GREATER B
AGBU <= ((not Z) and Cout);
-- A GREATER OR EQUAL B
AGEBU <= Cout;
--SIGNED
-- A LOWER THAN B
ALB <= (OVERFLOW XOR SUM(NSUMG - 1));
-- A LOWER OR EQUAL TO B
ALEB <= (ALB or Z);
-- A GREATER B
AGB <= ((not Z) and (NOT ALB));
-- A GREATER OR EQUAL B
AGEB <= NOT ALB;
-- A EQUAL B
AEB <= Z;
-- A NOT EQUAL B
ANEB <= not Z;
comp_result(0) <= ALEB when mod_op = ALUSLE else
AGB when mod_op = ALUSGT else
AGEB when mod_op = ALUSGE else
AEB when mod_op = ALUSEQ else
ANEB when mod_op = ALUSNE else
ALB when mod_op = ALUSLT else
ALEBU when mod_op = ALUSLEU else
AGBU when mod_op = ALUSGTU else
AGEBU when mod_op = ALUSGEU else
ALBU when mod_op = ALUSLTU else
'0';
comp_result(N-1 downto 1) <= (others => '0');
end struct; | gpl-3.0 | 4b9501cfbe7fe7b6c3d17bfda2609b40 | 0.617823 | 2.576271 | false | false | false | false |
skordal/potato | src/pp_control_unit.vhd | 1 | 7,639 | -- The Potato Processor - A simple processor for FPGAs
-- (c) Kristian Klomsten Skordal 2014 - 2015 <[email protected]>
-- Report bugs and issues on <https://github.com/skordal/potato/issues>
library ieee;
use ieee.std_logic_1164.all;
use work.pp_constants.all;
use work.pp_csr.all;
use work.pp_types.all;
use work.pp_utilities.all;
--! @brief
--! Instruction decoding and control unit.
--! @details
--! Decodes incoming instructions and sets control signals accordingly.
--! Unknown or otherwise invalid instructions will cause an exception to
--! be signaled.
entity pp_control_unit is
port(
-- Inputs, indices correspond to instruction word indices:
opcode : in std_logic_vector( 4 downto 0); --! Instruction opcode field.
funct3 : in std_logic_vector( 2 downto 0); --! Instruction @c funct3 field.
funct7 : in std_logic_vector( 6 downto 0); --! Instruction @c funct7 field.
funct12 : in std_logic_vector(11 downto 0); --! Instruction @c funct12 field.
-- Control signals:
rd_write : out std_logic; --! Signals that the instruction writes to a destination register.
branch : out branch_type; --! Signals that the instruction is a branch.
-- Exception signals:
decode_exception : out std_logic; --! Signals an instruction decode exception.
decode_exception_cause : out csr_exception_cause; --! Specifies the cause of a decode exception.
-- Control register signals:
csr_write : out csr_write_mode; --! Write mode for instructions accessing CSRs.
csr_imm : out std_logic; --! Indicates an immediate variant of a CSR instruction.
-- Sources of operands to the ALU:
alu_x_src, alu_y_src : out alu_operand_source; --! ALU operand source.
-- ALU operation:
alu_op : out alu_operation; --! ALU operation to perform for the instruction.
-- Memory transaction parameters:
mem_op : out memory_operation_type; --! Memory operation to perform for the instruction.
mem_size : out memory_operation_size --! Size of the memory operation to perform.
);
end entity pp_control_unit;
--! @brief Behavioural description of the instruction decoding and control unit.
architecture behaviour of pp_control_unit is
signal exception : std_logic;
signal exception_cause : csr_exception_cause;
signal alu_op_temp : alu_operation;
begin
csr_imm <= funct3(2);
alu_op <= alu_op_temp;
decode_exception <= exception or to_std_logic(alu_op_temp = ALU_INVALID);
decode_exception_cause <= exception_cause when alu_op_temp /= ALU_INVALID
else CSR_CAUSE_INVALID_INSTR;
--! @brief ALU control unit.
--! @details Decodes arithmetic and logic instructions and sets the
--! control signals relating to the ALU.
alu_control: entity work.pp_alu_control_unit
port map(
opcode => opcode,
funct3 => funct3,
funct7 => funct7,
alu_x_src => alu_x_src,
alu_y_src => alu_y_src,
alu_op => alu_op_temp
);
--! @brief Decodes instructions.
decode_ctrl: process(opcode, funct3, funct12)
begin
case opcode is
when b"01101" => -- Load upper immediate
rd_write <= '1';
exception <= '0';
exception_cause <= CSR_CAUSE_NONE;
branch <= BRANCH_NONE;
when b"00101" => -- Add upper immediate to PC
rd_write <= '1';
exception <= '0';
exception_cause <= CSR_CAUSE_NONE;
branch <= BRANCH_NONE;
when b"11011" => -- Jump and link
rd_write <= '1';
exception <= '0';
exception_cause <= CSR_CAUSE_NONE;
branch <= BRANCH_JUMP;
when b"11001" => -- Jump and link register
rd_write <= '1';
exception <= '0';
exception_cause <= CSR_CAUSE_NONE;
branch <= BRANCH_JUMP_INDIRECT;
when b"11000" => -- Branch operations
rd_write <= '0';
exception <= '0';
exception_cause <= CSR_CAUSE_NONE;
branch <= BRANCH_CONDITIONAL;
when b"00000" => -- Load instructions
rd_write <= '1';
exception <= '0';
exception_cause <= CSR_CAUSE_NONE;
branch <= BRANCH_NONE;
when b"01000" => -- Store instructions
rd_write <= '0';
exception <= '0';
exception_cause <= CSR_CAUSE_NONE;
branch <= BRANCH_NONE;
when b"00100" => -- Register-immediate operations
rd_write <= '1';
exception <= '0';
exception_cause <= CSR_CAUSE_NONE;
branch <= BRANCH_NONE;
when b"01100" => -- Register-register operations
rd_write <= '1';
exception <= '0';
exception_cause <= CSR_CAUSE_NONE;
branch <= BRANCH_NONE;
when b"00011" => -- Fence instructions, ignored
rd_write <= '0';
exception <= '0';
exception_cause <= CSR_CAUSE_NONE;
branch <= BRANCH_NONE;
when b"11100" => -- System instructions
if funct3 = b"000" then
rd_write <= '0';
if funct12 = x"000" then
exception <= '1';
exception_cause <= CSR_CAUSE_ECALL;
branch <= BRANCH_NONE;
elsif funct12 = x"001" then
exception <= '1';
exception_cause <= CSR_CAUSE_BREAKPOINT;
branch <= BRANCH_NONE;
elsif funct12 = CSR_EPC_MRET then
exception <= '0';
exception_cause <= CSR_CAUSE_NONE;
branch <= BRANCH_SRET;
elsif funct12 = x"105" then -- WFI, currently ignored
exception <= '0';
exception_cause <= CSR_CAUSE_NONE;
branch <= BRANCH_NONE;
else
exception <= '1';
exception_cause <= CSR_CAUSE_INVALID_INSTR;
branch <= BRANCH_NONE;
end if;
else
rd_write <= '1';
exception <= '0';
exception_cause <= CSR_CAUSE_NONE;
branch <= BRANCH_NONE;
end if;
when others =>
rd_write <= '0';
exception <= '1';
exception_cause <= CSR_CAUSE_INVALID_INSTR;
branch <= BRANCH_NONE;
end case;
end process decode_ctrl;
--! @brief Determines the write mode of instructions accessing the CSR registers.
decode_csr: process(opcode, funct3)
begin
if opcode = b"11100" then
case funct3 is
when b"001" | b"101" => -- csrrw/i
csr_write <= CSR_WRITE_REPLACE;
when b"010" | b"110" => -- csrrs/i
csr_write <= CSR_WRITE_SET;
when b"011" | b"111" => -- csrrc/i
csr_write <= CSR_WRITE_CLEAR;
when others =>
csr_write <= CSR_WRITE_NONE;
end case;
else
csr_write <= CSR_WRITE_NONE;
end if;
end process decode_csr;
--! @brief Decodes the memory operation for instructions accessing memory.
decode_mem: process(opcode, funct3)
begin
case opcode is
when b"00000" => -- Load instructions
case funct3 is
when b"000" => -- lw
mem_size <= MEMOP_SIZE_BYTE;
mem_op <= MEMOP_TYPE_LOAD;
when b"001" => -- lh
mem_size <= MEMOP_SIZE_HALFWORD;
mem_op <= MEMOP_TYPE_LOAD;
when b"010" | b"110" => -- lw
mem_size <= MEMOP_SIZE_WORD;
mem_op <= MEMOP_TYPE_LOAD;
when b"100" => -- lbu
mem_size <= MEMOP_SIZE_BYTE;
mem_op <= MEMOP_TYPE_LOAD_UNSIGNED;
when b"101" => -- lhu
mem_size <= MEMOP_SIZE_HALFWORD;
mem_op <= MEMOP_TYPE_LOAD_UNSIGNED;
when others => -- FIXME: Treat others as lw.
mem_size <= MEMOP_SIZE_WORD;
mem_op <= MEMOP_TYPE_INVALID;
end case;
when b"01000" => -- Store instructions
case funct3 is
when b"000" =>
mem_op <= MEMOP_TYPE_STORE;
mem_size <= MEMOP_SIZE_BYTE;
when b"001" =>
mem_op <= MEMOP_TYPE_STORE;
mem_size <= MEMOP_SIZE_HALFWORD;
when b"010" =>
mem_op <= MEMOP_TYPE_STORE;
mem_size <= MEMOP_SIZE_WORD;
when others =>
mem_op <= MEMOP_TYPE_INVALID;
mem_size <= MEMOP_SIZE_WORD;
end case;
when others =>
mem_op <= MEMOP_TYPE_NONE;
mem_size <= MEMOP_SIZE_WORD;
end case;
end process decode_mem;
end architecture behaviour;
| bsd-3-clause | c07d450813b9f1fee29f8afd7b279b0f | 0.630973 | 3.27012 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/r2_ranger.vhd | 2 | 9,221 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
LP+FTkeYAf4SiilKJmUsseoiPZuVV5LvGxNdEZM58w1oJ40qLjtfp8dpLrnv22Afq4zKCro7k37v
h3WxJD7eYQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
cB0zVbDJ+Ms1BUgzjma+5RkPAeXIfDkLR6rBDIxJhz022PnHMbm4HewBPLmRHmUdjuIMujvGyUZ7
9x8t+FRV58fwj25KhVNQgfiIeQ9xpg+sZ7YEKuCwxPj10xG4wHiMV5ofw1w4aCvtDw8esNdzqZXO
WmdyAWJldCwpU0aj2cc=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
m4gSOWfDTUEoWg0Iq11KNTOa9u4pcUSkLJOFknBVGZvLyGpoYTEwbosizrixMgdUpZetfXZi7Ec/
m+bisllvChwS0+xqUZJrCSKwj6jEFok/GBDQkbnSdbBMH7ggLxIcfTzu9feYhc6ibS5plPTbbuC0
GIJl6B98GXROktT4nFjC6llH7ZY+uR81wsV0qYtw2mXk1wZI+g+Kq+ab2Ui3xrh5eJNJncynH0JY
E7V5qALJeHp/t82u40alNaHyUy6MQJnfszyKaET6GXw8zFUCbqr1mvkb52kksQNo8DwXP99H141M
gQx+6iG+f3zYQ8hVgcJaN7EoFCJ+K/SVUxCY2w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
dzlRyJL+cLuzh1qMCi9nELy1240S3dfetJ5k5US0orcV+QK/NtD7im5GrrTUStp1hMLHfd0dPzEx
L35YKfnC9mOO9OjS5DQguIoctFhHbz5ctix9dmyhMNAG5n4E0Z2Z7YDHSZkHGQgK9oMLUl6yUzbv
VXOGSEOXKphjHCPcyMo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Gk3iactDlBqDnZx1/r6rAMMO1wzH6HB8gL7C7syvI+w/1XePeMYT9FzT7YWSRzoeoV45NAOq6Eop
jEYVbgaC9i9ORyZkEd0B5MVYXgCa5DY8C6HzjTms+TsM7jH2vwGOnbvBMwC14qMZq9d0fFVReUIX
cVz0UINmlLjLRQ1J2/s2NTSxGLh5HBm6TIhwlznFY/FTndPK8tXEvpqSPcs2sdseB9x1QWdpsdHO
FIC+IKKd67KEGTjK8zakkBcWD8lUmgMrjjKzcvOYE6Dp14qK+YDBEQHUysxaP3x0Hk1RRs/yafpQ
RoPRyudlD/SaGc4kXvJcMSsyQJlFrXoyyaBIRQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5088)
`protect data_block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`protect end_protected
| gpl-2.0 | e3754ba32754e0a202e28fed83bae0cd | 0.923219 | 1.917048 | false | false | false | false |
keith-epidev/VHDL-lib | src/components/cro/cro.vhd | 1 | 6,992 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 06.03.2014 15:08:57
-- Design Name:
-- Module Name: cro - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.ALL;
use work.VHDL_lib.all;
entity cro is
generic(
vga_width:integer := 1920;
vga_height:integer := 1200
);
Port (
clk_250MHz : in std_logic;
clk_100MHz : in STD_LOGIC;
ch1_x: in STD_LOGIC_VECTOR(log2(vga_width)-1 downto 0);
ch1_y: in STD_LOGIC_VECTOR(log2(vga_height)-1 downto 0);
ch1_update: in STD_LOGIC;
ch2_x: in STD_LOGIC_VECTOR(log2(vga_width)-1 downto 0);
ch2_y: in STD_LOGIC_VECTOR(log2(vga_height)-1 downto 0);
ch2_update: in STD_LOGIC;
VGA_DATA : out STD_LOGIC_VECTOR (11 downto 0);
VGA_HSYNC : out STD_LOGIC;
VGA_VSYNC : out STD_LOGIC
);
end cro;
architecture Behavioral of cro is
constant xwidth : integer := log2(vga_width);
constant ywidth : integer := log2(vga_height);
constant vga_widthz : integer := vga_width -1;
constant vga_heightz : integer := vga_height -1;
constant grid_x : integer := 15;
constant grid_y : integer := 10;
-- clks
signal clk_video: std_logic := '0';
--video
signal hscnt: std_logic_vector(11 downto 0) := (others=>'0');
signal vscnt: std_logic_vector(11 downto 0) := (others=>'0');
signal data: std_logic_vector(11 downto 0) := (others=>'0');
signal fpulse: std_logic := '0';
--channel data
alias ch1_x_o : std_logic_vector(xwidth-1 DOWNTO 0) is hscnt(xwidth-1 downto 0);
signal ch1_y_ob: std_logic_vector(15 DOWNTO 0) := (others=>'0');
alias ch1_y_o: std_logic_vector(ywidth-1 DOWNTO 0) is ch1_y_ob(ywidth-1 DOWNTO 0);
alias ch2_x_o : std_logic_vector(xwidth-1 DOWNTO 0) is hscnt(xwidth-1 downto 0);
signal ch2_y_ob: std_logic_vector(15 DOWNTO 0) := (others=>'0');
alias ch2_y_o: std_logic_vector(ywidth-1 DOWNTO 0) is ch2_y_ob(ywidth-1 DOWNTO 0);
--index
signal y: signed (ywidth-1 downto 0) := (others=>'0');
signal x: unsigned (xwidth-1 downto 0) := (others=>'0');
--signed and last
signal ch1_signed: signed(ywidth-1 downto 0) := (others=>'0');
signal ch1_last: signed(ywidth-1 downto 0) := (others=>'0');
signal ch2_signed: signed(ywidth-1 downto 0) := (others=>'0');
signal ch2_last: signed(ywidth-1 downto 0) := (others=>'0');
component clk_193MHz is
port (
clk_100MHz : in STD_LOGIC;
clk_193MHz : out STD_LOGIC;
locked : out STD_LOGIC
);
end component;
component clk_108MHz is
port (
clk_100MHz : in STD_LOGIC;
clk_108MHz : out STD_LOGIC;
locked : out STD_LOGIC
);
end component;
component clk_182MHz is
port (
clk_100MHz : in STD_LOGIC;
clk_182MHz : out STD_LOGIC;
locked : out STD_LOGIC
);
end component;
COMPONENT bram
PORT (
clka : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
clkb : IN STD_LOGIC;
addrb : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END COMPONENT;
begin
---- generate VGA driver for 1920 display --
vga_gen1: if ( vga_width = 1920 ) GENERATE
clk_video1: clk_193MHz port map(clk_100MHz, clk_video, open);
vga1: vga
generic map(
Hsync=> 208,
Hact=> 1920,
Hfp=>128,
Hbp=>336,
Vsync=>3,
Vact=> 1200,
Vfp=> 1,
Vbp=> 38)
port map( clk_video, hscnt,vscnt,VGA_HSYNC, VGA_VSYNC);
END GENERATE vga_gen1;
-- generate VGA driver for 1280 display --
vga_gen2: if ( vga_width = 1280 ) GENERATE
clk_video1: clk_108MHz port map(clk_100MHz, clk_video, open);
vga1: vga
generic map(
Hsync=> 112,
Hact=> 1280,
Hfp=>48,
Hbp=>248,
Vsync=>3,
Vact=> 1024,
Vfp=> 1,
Vbp=> 38)
port map( clk_video, hscnt,vscnt,VGA_HSYNC, VGA_VSYNC);
END GENERATE vga_gen2;
-- generate VGA driver for 1280 display --
--vga_gen3: if ( vga_width = 1920 ) GENERATE
-- clk_video1: clk_182MHz port map(clk_100MHz, clk_video, open);
-- vga1: vga
-- generic map(
-- Hsync=> 696,
-- Hact=> 1920,
-- Hfp=>32,
-- Hbp=>32,
-- Vsync=>11,
-- Vact=> 1080,
-- Vfp=> 22,
-- Vbp=> 22)
-- port map( clk_video, hscnt,vscnt,VGA_HSYNC, VGA_VSYNC);
--END GENERATE vga_gen3;
bram_disp_ch1: bram
PORT MAP (
clka => clk_250MHz,
wea(0) => ch1_update,
addra => ch1_x,
dina(15 downto ywidth) => (others=>'0'),
dina(ywidth-1 downto 0) => ch1_y,
clkb => clk_video,
addrb => ch1_x_o,
doutb => ch1_y_ob
);
bram_disp_ch2: bram
PORT MAP (
clka => clk_250MHz,
wea(0) => ch2_update,
addra => ch2_x,
dina(15 downto ywidth) => (others=>'0'),
dina(ywidth-1 downto 0) => ch2_y,
clkb => clk_video,
addrb => ch2_x_o,
doutb => ch2_y_ob
);
process(clk_video) begin
if(clk_video'event and clk_video='1')then
y <= (vga_height/2 - 1)-signed(vscnt(ywidth-1 downto 0) );
x <= unsigned(hscnt(xwidth-1 downto 0));
end if;
end process;
process(clk_video) begin
if(clk_video'event and clk_video='1')then
-- if(signed(ch1_y_o) > vga_heightz/2)then
-- ch1_signed <= to_signed((vga_heightz-1)/2,ywidth);
-- elsif(signed(ch1_y_o) < (-vga_heightz/2))then
-- ch1_signed <= to_signed((-vga_heightz/2),ywidth)-4;
-- else
ch1_signed <= signed(ch1_y_o);
-- end if;
-- if(signed(ch2_y_o) > vga_heightz/2)then
-- ch2_signed <= to_signed((vga_heightz-1)/2,ywidth);
-- elsif(signed(ch2_y_o) < (-vga_heightz/2))then
-- ch2_signed <= to_signed((-vga_heightz/2),ywidth)-4;
-- else
ch2_signed <= signed(ch2_y_o);
-- end if;
end if;
end process;
process(clk_video) begin
if(clk_video'event and clk_video='1')then
VGA_DATA <= data;
if( hscnt > vga_width and vscnt > vga_height)then
data <= X"000";
elsif( y = ch1_signed or (ch1_signed > ch1_last and y > ch1_last and y < ch1_signed) or ch1_signed = y or (ch1_signed < ch1_last and y < ch1_last and y > ch1_signed) )then
data <= X"0FF";
elsif( y = ch2_signed or (ch2_signed > ch2_last and y > ch2_last and y < ch2_signed) or ch2_signed = y or (ch2_signed < ch2_last and y < ch2_last and y > ch2_signed) )then
data <= X"F70";
elsif (vscnt = vga_height/2 or hscnt = 0)then
data <= X"07F";
elsif(test_factor(hscnt,vga_width,grid_x))then
data <= X"0F0";
elsif(test_factor(vscnt,vga_height,grid_y))then
data <= X"0F0";
else
data <= X"000";
end if;
ch1_last <= ch1_signed;
ch2_last <= ch2_signed;
end if;
end process;
end Behavioral;
| gpl-2.0 | bfe3f8cc5230f8e25c91b60c7cab337d | 0.593392 | 2.718507 | false | false | false | false |
FlatTargetInk/UMD_RISC-16G5 | ProjectLab2/ProgramCounter/ProgramCounter/ProgramCounter.vhd | 3 | 1,781 | ----------------------------------------------------------------------------------
-- Company: UMASS DARTMOUTH
-- Engineer: Christopher Parks
--
-- Create Date: 14:48:45 04/11/2016
-- Module Name: ProgramCounter - Behavioral
-- Description:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_SIGNED.ALL;
entity ProgramCounter is
generic(PCWIDTH:integer:=16);
Port ( CLK : in STD_LOGIC;
EN : in STD_LOGIC;
OPMODE : in STD_LOGIC_VECTOR (1 downto 0); -- 2 bits long
OFFSET : in STD_LOGIC_VECTOR (11 downto 0);
INSADR : out STD_LOGIC_VECTOR (PCWIDTH-1 downto 0));
end ProgramCounter;
architecture Behavioral of ProgramCounter is
signal STR0, STR1, STR2, STR3, COUNTER : STD_LOGIC_VECTOR(PCWIDTH-1 downto 0) := (OTHERS => '0');
--signal JMPDEPTH : STD_LOGIC_UNSIGNED(1 downto 0) := (OTHERS => '0');
begin
INSADR <= COUNTER;
process(CLK)
begin
if(clk'EVENT and clk = '0' AND EN = '1') then
case OPMODE is -- OPMODE MULTIPLEXING: 00 RESET, 01 PC+1, 10 PC+OFFSET, 11 RTL, OTHERS PC+1
when "00" => COUNTER <= (OTHERS => '0');
when "01" => COUNTER <= COUNTER+1;
when "10" =>
STR3 <= STR2; -- Push to stack shift registers
STR2 <= STR1;
STR1 <= STR0;
STR0 <= COUNTER+1;
COUNTER <= COUNTER+OFFSET(4 downto 0);
when "11" =>
COUNTER <= STR0;
STR0 <= STR1;
STR1 <= STR2;
STR2 <= STR3;
STR3 <= (OTHERS => '0');
when OTHERS => COUNTER <= COUNTER+1;
end case;
end if;
end process;
end Behavioral;
| gpl-3.0 | eb76397420366c88be08b09d541de032 | 0.54183 | 3.405354 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/r2_control.vhd | 2 | 52,990 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
gI1P9FwGfJJfWvvZ7UMxsXltV5cmQxrX/f2lrIe0MnWaO6eA9EIXBVNuJS9aWlrP11mWuZraoLOT
q2GfdDdKtA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
fRsoPEGq6cklTlSArGGpJtEKiF5lULP7ztgPkWayrlpWCrd9/xppi6ikGzonpFfHe+hyJLWiu7Br
LSALgJDV6FMSOFgsAlC2pziSNq/PaR+EFAtLoNQgY6tFAeWOyafnhChdyQ7xIVP3K+yI8lZ/DnyY
Q+nMf0W3Igce0EPgs0c=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
heaK9Er2WwHpnVq3MzDRBvzlj6xI+bteDddzjsX7N7o6lD4GD7Gkk58wvRtsLGLA7ezOADUlP1bH
tIissVlok2WxeXC/kxZBM/dgubuKVHDWk5X2Bea50P7TEWpdrWKDiw4SCwYEJoVaxEAOUHAHCI/8
7CyTf+VxS0AfWrQuk5JkHTjC4m3ngym8ewTq5DY/3s50wVTDoOt7SOTCBs+rV3+El2QitadgoAbD
QHAkKcfKtBpZSld9ojmcjJ4jcR2q6ZAsdjhZQskQ1FshJHCRKP57GvpJhsNdVZq+Vz0m91vD3+l+
jjXcbnrlZ9hNDxs5PHJgs7myXU2PZNvhxCK5RQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
mt8ZwtkNd/wivm9AK3D+oF6EaMoXN/qMRbSCLEXpjAM4owq2MSl1O4BeSDpsgwuElKlBGfHaU1cU
eBXvGXlKdstaeyu4xJ410Tbl/Eu25o3SZ8V8Oe8pe9x/tq7wTqaQtze99P07NqwYxgUwtiKDrn2r
oTuIzvCbh68nYdw21OM=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
l0YTuwaVHkqQjXtl4pPhxWPciZ3CjCyDbol5EXiWClKdN3v942eRbL52evz0VY9/7EbWDHR9DfP+
sZMOwPRCZ6FJ3IiPoGcqpVDznWfCK0s6JoCDZN0VZUVQy4DdBRLQ++oHbHpAs6/qPHTtdMNuVi9q
SsbVh32V5yddSUmjU0SAKu6yMMZ518WVw306iZnzok03PuQXOBirQahiiFRnqcFz14Ld3/51iBs3
xJQxLM1UXws/0wb+pUfW61g31bL1ryxquqBoQEQaQKZwJ57qPeFCSIIzfbRmUv1w29C3OcbdQifW
5b/2YievrM7wo3Chljfs9305o5dhi5jifYfHVA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 37488)
`protect data_block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`protect end_protected
| gpl-2.0 | e336c86efae316684bb593a34617caa4 | 0.949613 | 1.823908 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_div/flt_div.vhd | 3 | 22,968 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Oxb42xZJAN7hgYiwGUP0IaaLI0mAC7lChU4YDRTX0OrzHSa3roPff5huu9Cfla98RQibgZJiDWWq
z3pPNBPfZg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
GY0CG2AOHHdceF8vPjgIQ8/6zd22AKuUT36SLqw1nIxE47SOgzFeqFYp3EcqSOqxskal5uRZSQQP
FzyJhhZUp6MVzHUxiC0ERD3cQB8or3yITbpS3EfrtjTOLrLmYMZQaQDEMipavod07DeTtW1Eoab3
SYcnCu+oQsrVLLS9Ljw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
PuYNgv9lv9s/UaGZDLAfQH9mAWNkymkIV4CSyvgjiNst+dKbi3p7wse0BjfZfk8C/0Vk4lJE+tFd
vXE4kjBn8q/TC8vBM4mnucCNWfWgqjX98oMHGEL1lYPez0tDR6CFxF0WMXpshlc9iSSmIm0kdlmf
6QK6f4Y7eiUumK7iUD5XfFHXqSvxWfehzrt6IBlPyYsk1nEckBGjTqHEaECKrMnAoawfgBfiT5H1
rs+sf0CVKW+0PoT2gCjQt+4x8pZBShC9f/u3KdGBn+S37byH0z08ccguJ7waWBws3WB34u31eeVE
WWL+0WTObuGsjhMznpMM0ofwL9LnBgL7kAp1Qg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
3Su7X4kUzt+750BJFTZsyPmjkyMBCylGDXrJDGpj39Zn8XsokAgwhzyU0uCqY9OssDc+dZHnZpKF
NDMBnnpVkIMLTFL8lwxsiLfH7uKblyhSY9cPMIb0n4s62LNW+5NM5wg4gjO73Udd0D2sES07Ya0T
f/hl62tv/+TrGlKX9fw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
M9VTKfU5hWA+a2tCk3bwE0UBo7QTmPR93uMNSBKu940AUFEMMJJT1eiMaT5SN1S7tzXt8H0fQH/5
2/0BJDHJaAQa9HXquqW9Iqc+XZSrtll4gO6u+AXnFFO751/D16ctdG/3IzksWMSRQbAeJ5rPyEHa
nsSd+UaBqzDuhC4relFlsD2wCeOG+GvrfSMAPDyH0ygGb+etEa4/ioGrFJGuB/F0K1Ch+BmyBtHo
C0xKZ21ymX1hPQGBc7jStvZFXbEdLimWPRrvZD6G6QuS1qe/zBDPeu2XDCVvIkxMs5mXbdKkNEkL
3xfK+FEiLCgwbrCesSxDBQBwdkD3FOwrFhtqVw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15264)
`protect data_block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`protect end_protected
| gpl-2.0 | 5a053574b095b29f9f2423a15d33f0d3 | 0.943008 | 1.8395 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xfft_v9_0/hdl/r4_ranger.vhd | 3 | 11,794 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
CrQvgJA4tvk359uo+fMzGzpGBdNnzQlwW/4pqGRSzK1nyG/+6R0BRKQDhT1eCdvQb5cWvjU6s+xu
3nTqIMRIiQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Zlk5ToN2SWo1hFed4PC2RXwFSkih7qw1km5Y4ru5xJMDjOqiLJwUpJ2L+myvAyGcEJon2StBHxJx
5Veuqa3RrWrLk6kmPv8qR+wn1bLqulUlcB1WBnL2Ub4+nK8u1oLQ0tMTDaqA55atiejX7dgLWsXz
jMtMMnwm7eTzFP45Un4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Re3G1oTWDMhaRk27OUXav0xy1fGnD6JsriYBBeVAaBgTr8fHuG81j80uM+cRsOfMPz8Ca+yqF8DF
25UILoVSTB/mq0AK++MTmXCwcKXxgWlERjmed2ITBoCQOFLnUq1bwLyOHuD4BWyvjaZPoCcTFR+V
kbGccDCHFaO4nxtd7WJTXtMAE2RWZqXWTIplbX+0n1oznIkeHjYCs/EQ/a4mtfA2jggPpGSQ55uh
mKbhcIF7EO/hyhKHB5BKrmCTxdLXEa2Rm3wd2RwQjTwEB9C7XRfGcoTS6ecOWZJCREnJIYhT7JXr
47so1oAk81E2js1WEDuMOToa3dMKBrtoMxf70w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
R1JnYPDcZDQK3q/P7Sxp8PgYkS3VGdQrvLLJGoJQ/2lZXHYwOXkwmtf8Ow3QLV3WhnJVAO1AdiJJ
j9scPlzFHEILa35IwxqVsM/+NXAIl2sLuo1wsXMOwM3oy4a2ye5s+ydA8+RUlwu+84h8h/bNDaDB
eNghlzH2+unG0TupeL8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
d152ofZRO7TGFEKnEFcfholsEmOU9I/sHHHXkkbLRvAMJfasbgoeJEPaCF2gSCQuVd9KSehyvLmy
JMushcfiXfkngrqNNh54MfgR01HQNjVAx+OX9XKHrSCattuwyxQXh09tI0rFJIm7OOK8rWfW64vU
tqg4LTc56B3oqSY7E0/nxNtxZei2wOHi4GJdymx4oQq8FkMcoLrrOyE52gu/HGVfjW2mXEiEuE6N
CtH/44PzVLl1gAdN++6MP/c/HsojNvDF1ixSPXh3r+fLYIQa2kp903MzsgFyB9SdBlKrCW8cjicV
9RGAd8ju/AZnvH+O4RkjLHoEzqs9jz1/FszSwQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6992)
`protect data_block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`protect end_protected
| gpl-2.0 | 7386dc55c4cebd34f5fdf9cdb5bb4427 | 0.930049 | 1.887946 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xfft_v9_0/hdl/in_switch4.vhd | 3 | 9,914 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
R9ZDAvclHsfwO6cWaFF4fK+tWtdU2zGXSUnWdT+zhrjHiBN+zEIxJR8Cbehibv93xLwDr+H/YqRi
Nj/RzxIwTg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
o0jfligQnQ5pdk1wP9HqJjktVjobHzrOOBS8rXby3c8FEneR5xqWo0wG3Y22/4k9Sm8GkX1g0zs+
ESL9kga6qePvRlvo37xVUIWVRu7H/tSbB6PuTu775xqvG9KVeU7G8K7VHIFwt0BiTUIaIDgOcIfk
HfKffLMU1AMpJ5c91T4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
l6VcAaeEKYypRgV+klGPzJ7Co8dNL36ZZqKQLX9ECFHIi3+h4fOFiZPP/V8Mw+iPYxj1qQ1JXyEA
SN9lz9K/mMkufaRimBOYxPzOUpqBhe60fDlUYY1+E0i6ApeFM6ILQ/akT33FNa+Azszegq3mnr8P
gGd4cGvcE/cU0+DhgTdG7+vJJFgwgGyk7BuHVp5YhR3k8VkKueGVA7Az3SjBvMuMtoXm/hfQ2ct/
8ctdXagRwmZfbZiclRTPNku543ThacrliTSVD0wp0VA2syhjYWhUZXnFWPC93A3Re3GaNw8yl02t
Vy5/wCRb/5Fcrx4tUNxKbPmarP6ajPM95OTbhQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
y8yITHmvtaNwkOMZ+Gg0HiF337/sdpCDy5K4UhMzgpLqjI1VzXuS4xSeJM2+5LPn4KN90osYiPTF
4WrFurQcvDzAni9ltPPuZWVVg4JMqy8olUfapqi9wrYAeMJzQjCaTKcJf0DKMfJVz9rZBX+QQrpd
vaPMNT/nwgGG7sW5JMo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
We4kZ71Cd/xuxUriewE02TokoDg+HUQho245FHf7UiYWLxDDo3kJn+U9L6WRueck3E/lZe9pu6+s
39QT13G/+vjm9XKH5oNkm18TBgkSa2ix1f42v4ZLd55fxFcVlxL3awHGDLA0usQ1wBUq6CM4syw/
TnJD3j257Mrra9ui0L1DW0OMvGDuyif4M5wgyjIDnAJitLWVUDaQOFW+nVPJAFEIQJxtMWDa9UBb
3kaWTCOY7uTFwe0Pk7tZiprxJO7iG3Vijv6nZ6dBiUTAv3HjCtVl7R3XQnipUFJ7oWqEJWJQKwNN
welpi4lux2Wan7Zh6heBJaYOMQk8kfQYtZ9xyw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5600)
`protect data_block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`protect end_protected
| gpl-2.0 | ab46c4516db056c19cddc0b078997538 | 0.925762 | 1.902879 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_log/flt_log_L_memory.vhd | 2 | 28,804 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
bZeOKfSLMlY3sFi7IlMRUTxE1aXWiw75eCWI4hTdrOvz6Xba8ihHh2GGGsxolN7A7Lht1+urZcMV
t4ZPmLILHA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
MCGUClpjYR8fzdsnoMU/Mu3vlF563xLdkYsG4pb2m/z7Yo/bhweo6CYH8maxYWLKz8baS11ysdkA
GdfgwasAwSnkd6eLIUVnwoETw/zxko8cjqou9xrDfsKe6A0CNci4DAQxoEPO2lPNxOrg0dN9KY6y
eQS4aIUm6cBQQHEaFFg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
secItQbu3fyB45HwdJDQVN+YwVpsNZVxiTWsJXMN5bpp2NgSirDDVDG32b9jrE8ZJFAGvFekdYn4
9iAPD88ZwhXqoxU5+jKz+5lFfM6oe0Lgx39DRqqbQyAPL9B2uAFicxQfw35mTknqpYzPsB+JDotU
oZ0hobvuEe6Certp7dq5fnAUzTTg70v6BbcS6q7s3WWnxjsbxXTt55MdrSvncXjLBf/gzf5ShV/f
Wk9hqYDFmtm18UWySQD2eWVSSnTwYdgLDDDyzQTT68yXNpOY7gvs8SDsNbXKApOIRv9C6Rg3D1rh
zgl3+YUcQFePhrWZ6ZRXXkpE6NrtAyUonnoMXQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
SNrvCBwi6m0hyq3lNwPs6UeG7ml9twvwEC6s8IHRisn61iORyAz5sTcgFCS6J3Jyx0eLy9k3kj4F
dUF1awCwzOD1tMUbbYn0afCe1T03SeMHD7on9meaPaCoknB07Bqz+ezgO7VGbn7v1unVxU6ew3su
CxBdKMa6fBn302AKAZE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
S3vqPeELdQsMRpyR8bL/5y6M5MgS3I/8MIN/jr6njgz6WieJ8fFTjA0bH5hPXV8pftJYvsJ0XkC1
q0XOuYIGA+Q/94jb0W3gZn8H+4AG99tLalEl8sZ5O3Azj9r4pZpbfQkz9IWV/kjz2csDHCOKPWXp
VKT2MaY0mbH9KeDOX4AUuv026mkgle3/w/FpJmN7EO7NK7aEQzuCDHa6IGnc4o2E8OmZycmbVnoW
2Jh+fiycvo1ZEW5pDV8x5NYth5yy3W1jwn4xdNPFPkefV7/kqkXkTlkmowvka45DIBunj+F97AnV
cXjjgQaOielfMpjc6xxfo+H9vN+ryERrTGYjZA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 19584)
`protect data_block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`protect end_protected
| gpl-2.0 | 9337a477f8fc27f9b3f829b95fed91b3 | 0.94466 | 1.844755 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xfft_v9_0/hdl/r4_control.vhd | 3 | 63,948 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Gr3iUyD5KvECwMGfyI2KT8Kopz0vAFc+291ux2rI4F6ff5vRgGCcpYAirK4eiGjo05GdPJk/LdKH
nAPFBaO2jg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OYqfhUu/9nLTVkN7KqwgqqYTA5GegCJdbzPht0sYTkOInM+FRLfDDvcGkBh0Y6YcWsjwla7SfQrS
fz1DLG8tbJ5JTtvKDgSu52GlHQadRPUktsNPODmeiM/Nghb7rXFLwZzRvXSz0EExSTlC5LiAh8of
E9GuYdt+8a62CDH6vGo=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
EFUL5hERnLSU6fiBmjhqutlsHjU8+6Y0t8aPH6eGJgnX1vJMcAmlta60tN3dPCcokxfNOiut15/3
vGX0g/N3NZ67cqEFi/xUF801ie4iPadqHJzgpH+2E4zw6DT1uaEYAk12WmLA883Fs+CwdtYHV/IV
SGcw15WpzwaTdh/t2y5SDkqew+1Bxf1cqHgzVuVvk1u/QBfEFkRhEwUIUUBK1cF8JLeyJwy98cT+
7kyA6+NJ8v538am6GVFVyiNpW1tGA0ZOlGPnLRf+RJ8VYRZPik7BxNLqtqc/HmDR0x6cnh6t1ctm
1MRDMeH8RlI/PVjs+PJUvlcrThucWLCER4MzJQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
z3YygYHX6T4EEADqkPnmsvUzpUSa8gik+VbWGHjM+yFJAjzhYKtnzngFnklL8Vjr2+I8tJKo5keg
f//Cqyby24Z8HJM7r1Vq3/wD2OLbRxTvQVoTba4XDGbbYG0tI1nlBDmHfbjATNW27/wGyliOhNq9
hGEB00Ac1ywkJ8aaXt8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aVkJQgsaw5VUSzDl242hvKZLraKrg1nZSI8qizRGXxkv4fD6XuYAHbfVSZ4CqOh2iLTzdheT7whh
WkfAH65W318R7ZT0t8yi73J2KZJm6GjYNwV1khKGu9qVkrEEM6lT4cBLL/dYigrp3XRFSj+Rpyc6
RW79lJc723ck349BqgNDTi8f4uBBtRoZ1Qcb1zn1+JPvrir9eyCRMeD/Wn2UnauYGIkVRvzyRomO
+6qtG3enVwtaTlEutZsD9zx+Eb6IRN6FMmWWHUG3iwno47/p7bCr5b17G6OtcThYGoJfVi/P14k4
2XMJckHpy3MS2m2UuyACdf6RTgjUkz4shpuP/A==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 45600)
`protect data_block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`protect end_protected
| gpl-2.0 | e7285870cb9d9e446c000fa9d9c90aed | 0.950163 | 1.815621 | false | false | false | false |
keith-epidev/VHDL-lib | src/components/running_avg/running_avg.vhd | 1 | 1,612 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
use work.VHDL_lib.all;
entity running_avg is
generic(
size:integer := 11
);
port(
clk: in std_logic;
input: in std_logic_vector(size-1 downto 0);
output: out std_logic_vector(size-1 downto 0)
);
end running_avg;
architecture Behavioral of running_avg is
signal buff: std_logic_vector(16*size-1 downto 0);
signal summed: std_logic_vector(size+4-1 downto 0);
signal div: std_logic_vector(size-1 downto 0);
begin
process(clk) begin
if(clk'event and clk='1')then
buff(16*size-1 downto 1*size) <= buff(15*size-1 downto 0*size); --shift up
buff(1*size-1 downto 0) <= input;
summed <= ("0000"&buff(16*size-1 downto 15*size)) + ("0000"&buff(15*size-1 downto 14*size)) + ("0000"&buff(14*size-1 downto 13*size)) + ("0000"&buff(13*size-1 downto 12*size)) + ("0000"&buff(12*size-1 downto 11*size)) + ("0000"&buff(11*size-1 downto 10*size)) + ("0000"&buff(10*size-1 downto 9*size)) + ("0000"&buff(9*size-1 downto 8*size)) + ("0000"&buff(8*size-1 downto 7*size)) + ("0000"&buff(7*size-1 downto 6*size)) + ("0000"&buff(6*size-1 downto 5*size)) + ("0000"&buff(5*size-1 downto 4*size)) + ("0000"&buff(4*size-1 downto 3*size)) + ("0000"&buff(3*size-1 downto 2*size)) + ("0000"&buff(2*size-1 downto 1*size)) + ("0000"&buff(1*size-1 downto 0));
div <= summed(size+4-1 downto 4);
output <= div;
end if;
end process;
end Behavioral;
| gpl-2.0 | a71d17265f8ddfcbf4910b59d8a0342d | 0.584367 | 3.111969 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/cmpy_v6_0/hdl/cmpy_v6_0_comp.vhd | 3 | 12,982 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
VAS91iPufJl9xnja+E4r8EOGfCQlkz16UvhrDWtL1sJ9pdjcZmaDuj/B2dLy1FT1hGYPlxJ72Y+2
HLwUIWiLwg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Zj3oHDOXtqzBvPHUEefYgeJQMMa37ktHwl0vqzeLL4fDzSpufFKKPtOeoSSKy9HlBMqkaSV4+HZt
pVPzPP2AzmH9k4Ph+ltAypP+4VlHg3jKI1xgpJWItUCluT05xdBHEszQFpH/bigl11KKFjZoESef
CN4PJdRLpzwNVpnARRw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
o1n7q1Ri4lcTIPknHTTwCMjmLeJiGksDN384a+yTL8VjepcHvTMJhijrpF9tSr93Gs+bH5VR3Zq7
4nS5PAyB6T1DppH/nl8hGBW2vOr5zX0uAWzPXnzuH+Z/F1olUWIuYQ2N3+I91Qaiqv6DcV5+ZdG6
cgtZNbSd2M6Q9JX7CVHBRlavJG8H4H2sRt/yKjWsR8bVP9X9EeJb4DFqtJ1WUgL0jLza54FUnsbw
3me9Sithc887AhgFw4EcYy5qzCsyYA/AUPAPvPBpquW6fVD7XiJUN2Vt3xC7z27I5WY6V0Eij8WK
uzxw55HCx0Ex1bbe60Vz0MhyRJqPv17lbP3KCw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
RuiFKrd0hQ+QzVFvUBMWbEW8u3A1k1lEOQn/Fjari+h8+FZupx+foWe4Cu0ln7FO4iO4Zdir/K0D
/EPjGyQr1TzzFVvdvqiin2R7BMbfPsnI6pw0PwucnzvL2sFim5bK5Fnqzg2HJcj4Ml5acvHgaNcJ
w22iLHt9qBUgb+KDsH8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fn3Saaa9YBUgS5+nuD8Nh4tPHnowknqIsj8KZ7REKJjc79aPkxRKWzgs/0e2kZ4vpp/FYW0Ktgag
L1v6IP5wOxqlKriTmZLFZamMczBX+cI/Ta4qX1iNoZfuszCJkgLzPhI0S+rw8xkX3duVi/y5bq+d
q+ubrtIf2bWkK1hd/oHE2eGA5PPrkaR07G7/8I6ccVcRFVXwKYl27yCPE7sMJ2pUTGzBtmavb/e4
hW3gAb0PPV1nDjbLj3sDc1eTSsbYAJOlHBS4fHyjcvvjnufJDwU96gPuZ7Vdob3SbUGzTp0g3HM6
Ox6eV42HOBUxXxIYdaRaJNQ7J7ODif6CNGb6tw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7872)
`protect data_block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`protect end_protected
| gpl-2.0 | 6009c29907bd2e6611fa9a3b57d6d2ed | 0.933292 | 1.892144 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_fma/flt_fma_addsub_dsp2.vhd | 2 | 35,852 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
hcwykPYcihN7byEACEHeuruf6kPrrQDS6e8qHatpJIB7EqtAEDm2NEKdNpGI+7XfQEH3xzFMSdpk
IdsWgCnOuw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
FRpyXycrA3QqUfQ0EA5+SV3rbHgv5VeUhVmFwJBML/jaMq/IUtgXTrjEtwkCtkL1JNaL40CQnwy/
G2oIPMKHP4ti/zCiSySkChnn+c2C2b/I1U4nONJCwayRvLZidtB/9bhy2XR9hoNg2kKPLBiRGLoa
UafoV8xvJN9X+IuX1s8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Pi4gWH9LQYSoWOJlXkNcNoesBsi4/ipy3Cd8vo7ig7BUNrO8EmQaXfZImanGrFzt48PqjGF3VMtv
teKztcbkFk4bNsY4aFsyVWDFC4xNbJ55cEnIcQDs8MZL5WSFmK4kp2hnB4vFt6PdTVTkoT9fqcLV
rYgBEbmcAwZFaRa+bAH7xPBR+DHs0a5qgmvcZzXJKKoF64AuoKRY5Mn1jv6NvRcHVji35Y5idkpt
MewoeMd2iT9ct/Jmujm1XT1BJIr/x0bCdQ4Yh8X6OR8TvIaL3ygPUjKxSvmZCdio96pIwT4H1e3X
7Bz4bozQWik3A1C283tzk1oVtrRkgrO/d/JIWQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Iuktymps1W/Qb5+NfEvT/w2nl3RCZLA5bV9H25yaVuS2HMFAPTkMBphAb7h5U+LvFm25p5UfRB1/
XPDfTiLrPgER4kFv0UYZmbn/V3ekPUH+C1wSshIlq5RhXLQn2abqPlnj2zNeFyiIJrUr8yzdQlQD
bVV55g5N45NbIYYdHlk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TPYIFA7uIhaP5hutay2t/jEYsiE2drVkc0rsEV6Eo6tw/w6wlr6obDNH1qUI0bbSsvyrVd8/CbjI
SLFYaMmEIExdKyJaGLfgN5lElcXm83ZOMyMXVRv57MY6/y5h5gkGBg5/zu/fWi7Isko5zep+n8zB
035s4oMc98i4zzM2uFzMYQY4xFEUtITrqHsE6mEzQR+3kF1CLqBaXg1VnQU9FK9uojvaCT7lG1yC
AlshHL7bTH2etd9+KmjdK1bdB1lnvmRPnvPqeEK7Ebfw5SRyWnMnlIRb2dWdl4v1GGNptR1av0+U
GlZmTEECqdDxLYbc4JNiEuZ6HIWsc3m0B7dR3w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 24800)
`protect data_block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`protect end_protected
| gpl-2.0 | 5c7f0181dbf682648e7ec7e7ea89e69b | 0.947618 | 1.835646 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/dragonfly_dsp48_bypass.vhd | 2 | 11,989 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
OSc3RX5vDBP6vT22HitKCx4sfeKsoSYV3FSMT6EkGBQI0kZ/EZeV28wmWUFlNM4yTu+AyAp30GO2
tucv9hkCIQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
XlpCo6zEhMyEN+EjdtU6yFic+KmIGEMkukh9b9rf2x8dAHoJAnUCVYz+KCFaGYwV3l1/TIxfDnQL
fsE8DElcQMRRkVDt60lQ951DzEfwfnBJJVVJeh7yAnzx19kz2LXCCaGX8FKW6lWFzbQckaPS+83E
bNhb0Zg88hvMQkhCHpU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JXE7mTWzOVn5e1xWvQHWPRInVTQotimPWmoO5gmvVUagUo6YJ9GxQOjvFPEV/SMZmoTaIDa7Wnud
fYJ94D3dsMn31hr6YAUNrVgZc4N7RSuQJRh9qO/s3qWHQmverlexYL03xnJ6PiZrRal9r48Bn9Af
kDI3SNOXO06JkP+juVBgL04EPkNwy7KUOiODDo0vnDaEWli1VzAqXwYn+IVFuBtCvefJcJgmlH0H
4030ah7HaQ/u/lvJ8lu/ICNzJh62SgDQClg25OpH+UwWD/oMAJjL5tdwUbiuaRgN4DNYj7+0l5bw
cmnE9kDD7S57IY8Dmd7mDzvm8e4oEpnA6iTmXA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
onN+uArKKusuF0ZY6ZsHPmsm9dkcWcZxxm8aSl19tr5aaazK/56GUkepk+VZwbRArFETDefGHEnH
WWVUt+p8+5Hym+FFrlPUzLZAzVnoGiC9n0QV9s10tSKgPnZgMtUcCK+nlnSiydk7yCwlO+GXkCOp
esAgKu6co7mHuzbHQR4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
f6VJ2plundXprDWO4hEt4Y7c5Y34U+EA4R0E42oTnXX4nG4nooQ2WfO62b6ys6FQGYqNQWimPSPa
/ofek6o4mfeoub4YXBg0Dllcx2ez1aAWtb0MGY3YANEnGbaaIVFzPSWEyYFqnsb0uRFgqU2mB2MH
tQNkvn6y4trOvPIcFE2d6QFeBzz1jwUViDwayzVRmzlKp863Kz3Le7GFVM5Vg8yS9bRkQKm5MFEb
A65Bz8Fu6rMK6R9eWpljODBeKf9cL9wWFDMHtYH7H6YMDWlGtTBZhMnwu9fWlUGgcOp0bklNz5JK
9lpvlwh6m2oMPlhZDembzRoEvblJiDt64m5kAg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7136)
`protect data_block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`protect end_protected
| gpl-2.0 | 09e80fe89b369bbc43f81dd69d824d08 | 0.930269 | 1.873867 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_2/part_1/ip/clk_video/clk_video_funcsim.vhdl | 1 | 7,992 | -- Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2013.4 (lin64) Build 353583 Mon Dec 9 17:26:26 MST 2013
-- Date : Sat Mar 15 17:45:54 2014
-- Host : macbook running 64-bit Arch Linux
-- Command : write_vhdl -force -mode funcsim
-- /home/keith/Documents/VHDL-lib/top/lab_2/part_1/build/lab2_part1.srcs/sources_1/ip/clk_video/clk_video_funcsim.vhdl
-- Design : clk_video
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE; use IEEE.STD_LOGIC_1164.ALL;
library UNISIM; use UNISIM.VCOMPONENTS.ALL;
entity clk_videoclk_video_clk_wiz is
port (
locked : out STD_LOGIC;
clk_193MHz : out STD_LOGIC;
clk_100MHz : in STD_LOGIC
);
end clk_videoclk_video_clk_wiz;
architecture STRUCTURE of clk_videoclk_video_clk_wiz is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal clk_100MHz_clk_video : STD_LOGIC;
signal clk_193MHz_clk_video : STD_LOGIC;
signal clkfbout_buf_clk_video : STD_LOGIC;
signal clkfbout_clk_video : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_DRDY_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_PSDONE_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 );
attribute box_type : string;
attribute box_type of clkf_buf : label is "PRIMITIVE";
attribute box_type of clkin1_bufg : label is "PRIMITIVE";
attribute box_type of clkout1_buf : label is "PRIMITIVE";
attribute box_type of mmcm_adv_inst : label is "PRIMITIVE";
begin
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
clkf_buf: unisim.vcomponents.BUFG
port map (
I => clkfbout_clk_video,
O => clkfbout_buf_clk_video
);
clkin1_bufg: unisim.vcomponents.BUFG
port map (
I => clk_100MHz,
O => clk_100MHz_clk_video
);
clkout1_buf: unisim.vcomponents.BUFG
port map (
I => clk_193MHz_clk_video,
O => clk_193MHz
);
mmcm_adv_inst: unisim.vcomponents.MMCME2_ADV
generic map(
BANDWIDTH => "OPTIMIZED",
CLKFBOUT_MULT_F => 30.875000,
CLKFBOUT_PHASE => 0.000000,
CLKFBOUT_USE_FINE_PS => false,
CLKIN1_PERIOD => 10.000000,
CLKIN2_PERIOD => 0.000000,
CLKOUT0_DIVIDE_F => 4.000000,
CLKOUT0_DUTY_CYCLE => 0.500000,
CLKOUT0_PHASE => 0.000000,
CLKOUT0_USE_FINE_PS => false,
CLKOUT1_DIVIDE => 1,
CLKOUT1_DUTY_CYCLE => 0.500000,
CLKOUT1_PHASE => 0.000000,
CLKOUT1_USE_FINE_PS => false,
CLKOUT2_DIVIDE => 1,
CLKOUT2_DUTY_CYCLE => 0.500000,
CLKOUT2_PHASE => 0.000000,
CLKOUT2_USE_FINE_PS => false,
CLKOUT3_DIVIDE => 1,
CLKOUT3_DUTY_CYCLE => 0.500000,
CLKOUT3_PHASE => 0.000000,
CLKOUT3_USE_FINE_PS => false,
CLKOUT4_CASCADE => false,
CLKOUT4_DIVIDE => 1,
CLKOUT4_DUTY_CYCLE => 0.500000,
CLKOUT4_PHASE => 0.000000,
CLKOUT4_USE_FINE_PS => false,
CLKOUT5_DIVIDE => 1,
CLKOUT5_DUTY_CYCLE => 0.500000,
CLKOUT5_PHASE => 0.000000,
CLKOUT5_USE_FINE_PS => false,
CLKOUT6_DIVIDE => 1,
CLKOUT6_DUTY_CYCLE => 0.500000,
CLKOUT6_PHASE => 0.000000,
CLKOUT6_USE_FINE_PS => false,
COMPENSATION => "BUF_IN",
DIVCLK_DIVIDE => 4,
IS_CLKINSEL_INVERTED => '0',
IS_PSEN_INVERTED => '0',
IS_PSINCDEC_INVERTED => '0',
IS_PWRDWN_INVERTED => '0',
IS_RST_INVERTED => '0',
REF_JITTER1 => 0.010000,
REF_JITTER2 => 0.000000,
SS_EN => "FALSE",
SS_MODE => "CENTER_HIGH",
SS_MOD_PERIOD => 10000,
STARTUP_WAIT => false
)
port map (
CLKFBIN => clkfbout_buf_clk_video,
CLKFBOUT => clkfbout_clk_video,
CLKFBOUTB => NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED,
CLKFBSTOPPED => NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED,
CLKIN1 => clk_100MHz_clk_video,
CLKIN2 => \<const0>\,
CLKINSEL => \<const1>\,
CLKINSTOPPED => NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED,
CLKOUT0 => clk_193MHz_clk_video,
CLKOUT0B => NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED,
CLKOUT1 => NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED,
CLKOUT1B => NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED,
CLKOUT2 => NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED,
CLKOUT2B => NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED,
CLKOUT3 => NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED,
CLKOUT3B => NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED,
CLKOUT4 => NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED,
CLKOUT5 => NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED,
CLKOUT6 => NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED,
DADDR(6) => \<const0>\,
DADDR(5) => \<const0>\,
DADDR(4) => \<const0>\,
DADDR(3) => \<const0>\,
DADDR(2) => \<const0>\,
DADDR(1) => \<const0>\,
DADDR(0) => \<const0>\,
DCLK => \<const0>\,
DEN => \<const0>\,
DI(15) => \<const0>\,
DI(14) => \<const0>\,
DI(13) => \<const0>\,
DI(12) => \<const0>\,
DI(11) => \<const0>\,
DI(10) => \<const0>\,
DI(9) => \<const0>\,
DI(8) => \<const0>\,
DI(7) => \<const0>\,
DI(6) => \<const0>\,
DI(5) => \<const0>\,
DI(4) => \<const0>\,
DI(3) => \<const0>\,
DI(2) => \<const0>\,
DI(1) => \<const0>\,
DI(0) => \<const0>\,
DO(15 downto 0) => NLW_mmcm_adv_inst_DO_UNCONNECTED(15 downto 0),
DRDY => NLW_mmcm_adv_inst_DRDY_UNCONNECTED,
DWE => \<const0>\,
LOCKED => locked,
PSCLK => \<const0>\,
PSDONE => NLW_mmcm_adv_inst_PSDONE_UNCONNECTED,
PSEN => \<const0>\,
PSINCDEC => \<const0>\,
PWRDWN => \<const0>\,
RST => \<const0>\
);
end STRUCTURE;
library IEEE; use IEEE.STD_LOGIC_1164.ALL;
library UNISIM; use UNISIM.VCOMPONENTS.ALL;
entity clk_video is
port (
clk_100MHz : in STD_LOGIC;
clk_193MHz : out STD_LOGIC;
locked : out STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of clk_video : entity is true;
attribute core_generation_info : string;
attribute core_generation_info of clk_video : entity is "clk_video,clk_wiz_v5_1,{component_name=clk_video,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=1,clkin1_period=10.0,clkin2_period=10.0,use_power_down=false,use_reset=false,use_locked=true,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}";
end clk_video;
architecture STRUCTURE of clk_video is
begin
U0: entity work.clk_videoclk_video_clk_wiz
port map (
clk_100MHz => clk_100MHz,
clk_193MHz => clk_193MHz,
locked => locked
);
end STRUCTURE;
| gpl-2.0 | 596445ea7e4a1b6c62baa2d350e829a3 | 0.624374 | 3.280788 | false | false | false | false |
skordal/potato | src/pp_memory.vhd | 1 | 4,770 | -- The Potato Processor - A simple processor for FPGAs
-- (c) Kristian Klomsten Skordal 2014 - 2015 <[email protected]>
-- Report bugs and issues on <https://github.com/skordal/potato/issues>
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.pp_types.all;
use work.pp_csr.all;
use work.pp_utilities.all;
entity pp_memory is
port(
clk : in std_logic;
reset : in std_logic;
stall : in std_logic;
-- Data memory inputs:
dmem_read_ack : in std_logic;
dmem_write_ack : in std_logic;
dmem_data_in : in std_logic_vector(31 downto 0);
-- Current PC value:
pc : in std_logic_vector(31 downto 0);
-- Destination register signals:
rd_write_in : in std_logic;
rd_write_out : out std_logic;
rd_data_in : in std_logic_vector(31 downto 0);
rd_data_out : out std_logic_vector(31 downto 0);
rd_addr_in : in register_address;
rd_addr_out : out register_address;
-- Control signals:
branch : in branch_type;
mem_op_in : in memory_operation_type;
mem_size_in : in memory_operation_size;
mem_op_out : out memory_operation_type;
-- Whether the instruction should be counted:
count_instr_in : in std_logic;
count_instr_out : out std_logic;
-- Exception signals:
exception_in : in std_logic;
exception_out : out std_logic;
exception_context_in : in csr_exception_context;
exception_context_out : out csr_exception_context;
-- CSR signals:
csr_addr_in : in csr_address;
csr_addr_out : out csr_address;
csr_write_in : in csr_write_mode;
csr_write_out : out csr_write_mode;
csr_data_in : in std_logic_vector(31 downto 0);
csr_data_out : out std_logic_vector(31 downto 0)
);
end entity pp_memory;
architecture behaviour of pp_memory is
signal mem_op : memory_operation_type;
signal mem_size : memory_operation_size;
signal rd_data : std_logic_vector(31 downto 0);
begin
mem_op_out <= mem_op;
pipeline_register: process(clk)
begin
if rising_edge(clk) then
if reset = '1' then
rd_write_out <= '0';
csr_write_out <= CSR_WRITE_NONE;
count_instr_out <= '0';
mem_op <= MEMOP_TYPE_NONE;
elsif stall = '0' then
mem_size <= mem_size_in;
rd_data <= rd_data_in;
rd_addr_out <= rd_addr_in;
if exception_in = '1' then
mem_op <= MEMOP_TYPE_NONE;
rd_write_out <= '0';
csr_write_out <= CSR_WRITE_REPLACE;
csr_addr_out <= CSR_MEPC;
csr_data_out <= pc;
count_instr_out <= '0';
else
mem_op <= mem_op_in;
rd_write_out <= rd_write_in;
csr_write_out <= csr_write_in;
csr_addr_out <= csr_addr_in;
csr_data_out <= csr_data_in;
count_instr_out <= count_instr_in;
end if;
end if;
end if;
end process pipeline_register;
update_exception_context: process(clk)
begin
if rising_edge(clk) then
if reset = '1' then
exception_out <= '0';
else
exception_out <= exception_in or to_std_logic(branch = BRANCH_SRET);
if exception_in = '1' then
exception_context_out.ie <= '0';
exception_context_out.ie1 <= exception_context_in.ie;
exception_context_out.cause <= exception_context_in.cause;
exception_context_out.badaddr <= exception_context_in.badaddr;
elsif branch = BRANCH_SRET then
exception_context_out.ie <= exception_context_in.ie1;
exception_context_out.ie1 <= exception_context_in.ie;
exception_context_out.cause <= CSR_CAUSE_NONE;
exception_context_out.badaddr <= (others => '0');
else
exception_context_out.ie <= exception_context_in.ie;
exception_context_out.ie1 <= exception_context_in.ie1;
exception_context_out.cause <= CSR_CAUSE_NONE;
exception_context_out.badaddr <= (others => '0');
end if;
end if;
end if;
end process update_exception_context;
rd_data_mux: process(rd_data, dmem_data_in, mem_op, mem_size)
begin
if mem_op = MEMOP_TYPE_LOAD or mem_op = MEMOP_TYPE_LOAD_UNSIGNED then
case mem_size is
when MEMOP_SIZE_BYTE =>
if mem_op = MEMOP_TYPE_LOAD_UNSIGNED then
rd_data_out <= std_logic_vector(resize(unsigned(dmem_data_in(7 downto 0)), rd_data_out'length));
else
rd_data_out <= std_logic_vector(resize(signed(dmem_data_in(7 downto 0)), rd_data_out'length));
end if;
when MEMOP_SIZE_HALFWORD =>
if mem_op = MEMOP_TYPE_LOAD_UNSIGNED then
rd_data_out <= std_logic_vector(resize(unsigned(dmem_data_in(15 downto 0)), rd_data_out'length));
else
rd_data_out <= std_logic_vector(resize(signed(dmem_data_in(15 downto 0)), rd_data_out'length));
end if;
when MEMOP_SIZE_WORD =>
rd_data_out <= dmem_data_in;
end case;
else
rd_data_out <= rd_data;
end if;
end process rd_data_mux;
end architecture behaviour;
| bsd-3-clause | a155e6270b2f57a6511be4024ea77761 | 0.652621 | 2.857999 | false | false | false | false |
fafaldo/ethernet | ethernet4b/ipcore_dir/blk_mem_gen_v7_3/example_design/blk_mem_gen_v7_3_exdes.vhd | 1 | 5,251 |
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7.1 Core - Top-level core wrapper
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006-2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: blk_mem_gen_v7_3_exdes.vhd
--
-- Description:
-- This is the actual BMG core wrapper.
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: August 31, 2005 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY UNISIM;
USE UNISIM.VCOMPONENTS.ALL;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
ENTITY blk_mem_gen_v7_3_exdes IS
PORT (
--Inputs - Port A
ENA : IN STD_LOGIC; --opt port
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
CLKA : IN STD_LOGIC;
--Inputs - Port B
ENB : IN STD_LOGIC; --opt port
ADDRB : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
CLKB : IN STD_LOGIC
);
END blk_mem_gen_v7_3_exdes;
ARCHITECTURE xilinx OF blk_mem_gen_v7_3_exdes IS
COMPONENT BUFG IS
PORT (
I : IN STD_ULOGIC;
O : OUT STD_ULOGIC
);
END COMPONENT;
COMPONENT blk_mem_gen_v7_3 IS
PORT (
--Port A
ENA : IN STD_LOGIC; --opt port
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
CLKA : IN STD_LOGIC;
--Port B
ENB : IN STD_LOGIC; --opt port
ADDRB : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
CLKB : IN STD_LOGIC
);
END COMPONENT;
SIGNAL CLKA_buf : STD_LOGIC;
SIGNAL CLKB_buf : STD_LOGIC;
SIGNAL S_ACLK_buf : STD_LOGIC;
BEGIN
bufg_A : BUFG
PORT MAP (
I => CLKA,
O => CLKA_buf
);
bufg_B : BUFG
PORT MAP (
I => CLKB,
O => CLKB_buf
);
bmg0 : blk_mem_gen_v7_3
PORT MAP (
--Port A
ENA => ENA,
WEA => WEA,
ADDRA => ADDRA,
DINA => DINA,
CLKA => CLKA_buf,
--Port B
ENB => ENB,
ADDRB => ADDRB,
DOUTB => DOUTB,
CLKB => CLKB_buf
);
END xilinx;
| apache-2.0 | 80a72cffbe5aac52ea2b1fd2641379a9 | 0.550943 | 4.45 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_log/flt_log_inproc.vhd | 3 | 23,187 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
kldB520mqnbfcwzuIVclXuW+6V5vvpmz91Igf7FoZKp/CUxyBKTRlVoTkC5cMm4Sk+inC3VxbZA6
C+YBKLNkvA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
nrCcZpijza+pGihtttn0LBKh65Bspd/ktTK6rnhBHuTyR1gvk0Im5SXcqyVPZ8WgUp0yTuoIoVoz
XAF8XcX2IeuQIAOZFx+N5frzc2N5jk7/cTz7sNQZT05dnokm8efDsWtlpLfR6QWyW3mPJPn/2/QB
WIXc3kwm9pBpDsFGLz8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ekodfm096P/X4vzRiut6qI/WIoqsCr6HGzPxYKhBAHEC3kqLsqqQb+XwZlwlN3NhrcvIVALc2jPH
CFpog7kG3oM6u7yUM7h6hWIIiPq2B0Mbvm+BUOlQw+5tjAsBOLt80wkjzVKAusoOhsCZPNYAjD+o
d9yE/E2OGIWy7wXRE9F0eYZ2cMxlJXr20NzHMjuMamYpMEwE4LBzSfKSsulZU3eh99ybmJjfmBCV
1Uf4zPyRwn1o0hfLzhqVx2h5NbW1dcWlSDPVlKK5FDY5juDauhyH3pIXjRy52eGQD8i5/ctQy7Gj
bN3PtEpCsE6vBbOb01ofrS/w1yov5YbyM7Aslw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LVZ320JLXoos22ehL2Aa9PPZx2obFhsZkCyG81K6yl/VwKj2/II0iAetfGf6kyT5H2USJv/+bFlp
P9OC5brmUKKWlJq5/rnhVvL3Ih7trf72UsxjKZdhpciq8aMW99n0F68z8W5pchOD/+MZ6p9JKd72
rbGAVG7aO25M0FaNuC8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
AkU+b2HNmw9LvkTlFEubh+b3atTxCs06yQLwOT5r74UOcxmyc2CXFHDOb74XDODsvhr2Cl8yGbpP
ilYvqub4H3DgGDVSVZaz2rGtBP4Zjr+9NGCOosQqgc8bS5v91t7pVHcCFGSZnoYiw27ZVahomB0o
VOQuIRxV3lwLLKTxJwz2faoA+mCGlhlH5U/E1si1sIJivTwoUYw4SIvNdwbVRyzhvlapnUtkHpK4
hTTrQOmHU71CBtl4s+eyGNylN3291cByt61YnzT+ozQVGnWuP1S1h0jX2N682HxlJMKTk0zTwaFn
WlQlepXDSv1yEQHm8/3lLkTSqP4EUMDku8YaKg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15424)
`protect data_block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`protect end_protected
| gpl-2.0 | 5c4dcfefc9c8c0f9426ab8db9e4d3371 | 0.942166 | 1.844924 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xfft_v9_0/hdl/r4_datapath.vhd | 3 | 48,711 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
GoX7Hkv0T+By6XTjqH9UdYQFtcVl+hjTTnxPXgwL65sp+tddmk4/sWhqDCdp27QZHjPmGiNnxhxi
0kVGpY4U/A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
b+/kc1Q6RjVE/NN4M97sa3QbOgFMZ3vNlonGqnOYQ28TTVuEvOvEs+k8u4rwlLkZsQ9vOx2vp99M
1P7rjQLTCIkNlld6f6pfaj9ecx/3rFSK54akSs5mN5u/sQpedDKQIvGNxZtTkRriJzplstGcBqA3
fqKyK6v3ewLCDNhAQ/4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rdAuj0SqBdzxqN9VM1njyyZzdts6mJKZ8h6I1OwMMjhOjrCk22+r45P++wNh+BvPWixqw832s8Tt
V5ThYJZu1XpZtmFdc7QnD1LkldG9gcsmK19w78bwv4uc63nusfe/8SFbxUjombZ1bLdLGCPTkHoO
TcHndNv3VVbObhCgGa1dGCCZF30Q+hZEwv4/bDtEwkWUbPOL8AXb/JghqbAXG8ZyA6MT9zpm75bE
eq4xezUdo57wunom+LBQ2ws2mq1KM+RYvSQGMvhjypBrIABtOy636ukq2+/KZgYElXpEy22XYNxT
LzoL4ByYzGakeeL38HtIDrKugWjRg+MKK3a+sQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
1LRXE4+s21yqqCratTMMIxRAaH5C1I7Pc5my7xNHQEVkhIyXY5h+Z6dRq+I4Wkgbnhzxn+LAo2Jy
SeaZ2mN1Vn5m6xfNaZqiErbLRJddNKmvGhYhhDSrMqUu19HXbISek1NA0ZAiGPgn/xsEtZf1e/26
HpDp7OyM55DWVKd6gVc=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
p/FBZJqXkyIfL+2VdtZmbw3ItXHtjWrDjlgS2CZoUQCdi6B6iHvAZfyXoiI65iR9ydwdqbZZcR/1
JHFB9qwKNkWrAVz52whGb77GfEMFvQGpGTcQt578XxCYrXA4Qf+UDFtUDWHW+wrn8u4b2lggWeR2
h4Pn4JVeaqu+xyREqX9HhSV++QxKfptRIa6p9WiOYLYPI/lkfhxsS6+9B38DpGAeM27n9gjcrQ3f
KjoYskYdnoi1zw5Up3sV6qDnGSO509V3EWiHh5y8pEFyuf8BAmitCUgNY/5dOZ1Aah1Keyk0Ylua
Tsh4k0tSOlb97I7B9vMpNicY2WhvOYzU1YlzLQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 34320)
`protect data_block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`protect end_protected
| gpl-2.0 | 3f2de7c75e5c12de9213d6f27a9552b9 | 0.949662 | 1.823016 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/shared/addsub_logic.vhd | 2 | 27,248 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
kA1Ycy2iHutPwp7/aGMO5vlCR7V7bFhY6uv8NKcz2H12I7ozhl3gSLga0yIJCMo6yhhd9HyeIVdg
vHJSX4CLDw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
FSE5Nl6SOmYUYIyci2DbYocJroVE90/i06YfvGNxrWF30di9IP2zW1SD/+Il/zyUVAaqQ4OVgm7U
mx+bYHfb7eYC8cgzPPCbix+muGpAl83uzU1BMiSpEKQrltSZd/3P45uotIoBRuCsxrIPi7q7Z7oK
9a7C7QLISA9HtnUXdKo=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
CL+bFiyA5VcAlp4KEkhLHPCdpGm2YxtQFC8/FXnOoQG7AlUtW26DQSMxYrEiYQsWfL7oTivg23sb
TuqKcVu5tccf+PHeZoUDCZeJwIPLrO6kmXWBT/3nnMfgcHkDRzjdyDvFNZkp17SKvBW4PsF+XCdB
PsVhOGTwu+Do6yqZ8fISe8N89x/OOjFZWGQAEqRq8RtGtwV/8SLv+NVZQ/tCPwENIBijP8I/7pAD
sz6QvtauYq77E2XVTezAvf2D6GOAUA4cqT1y8jQ1Zfqwm005W9i7jclTrsYQrFUa6ncvYcTrL8EQ
QVpSURYqhd/xFbNsz5qcWlO1Dqg91EWJjTrfBQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
hVvhc5QhCJjp1P/aI8v/ZPxfr4bZyMW5Ssjf9HhU+/5aQh3U832/HVvXyTMj0S8b8BJpprqwz5Ku
lM3CknX4EDCy4Rb4VQhPyW9J2afTpDbPWVXTzntyWaJKCNWuID+ZycGd1PTGRMLH5cyy5rU/9m6i
YeR7MriCl7D0tpmTZRA=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
OhcT1f9PUOBERhkzxAlf2w5hwSMwwnELvdWmlFUVttrE4G4hQUNlfFUuN21Xf6Zmx76veWbqg6P4
m6wJxPT3Hy24FAsblb6wUzbYtVfvkKjstZvY5jhWfj40FlzRymhDfc9Z4EtjvEgvKmxYRz2xn0KL
512TB2NZsEx23bvEFL3A9tBo9zlHnO5hZM+eqmiiXU4xNjMx9r4GbhP6gF5t0U0Xu8TBqSma9sQX
RD8H5DILbF/qZMAJyGXxR4XtWex1850U73IkXIWS/8lFnU3BdHI3+ShhJv85ArNCqQ3SZgATgZ37
OMvfYmepwUJwUcZ0B/X65yKuYROtF/1DgpfLvA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18432)
`protect data_block
KjjNTbwI9Df101iMvxtf3HpLAqOp5mnyahnKy7nA1Z+dlT3cZR6yG02hAK+cs9pBlrJ7fA4NQZHQ
Yn9FQSAE5+pfpL0JTpzt446p8s/nngJ/tuBECEBoxCDXrDxf+cdmQQhRwp7l6R9Pm7ZNf5A2RKiT
iZCFc1/+Obf/dQCeLtfdEhBkcPjn5GE/y7lkjK1ad7rO8elVfs/Y9n9PYdk7rstI+LUz12JZ9nNy
q3JJWQt4X/k2q+wMtMtOC49yTbeAGNPpakRK3ch7/Hif4sMX4NoAUIiWVKm2kdCocT8bBDAct2hf
GpiimSc0d+nKFHagZqOftotUB9M4caeBT6iyrSSg5wnXZN69Mkikie8VB8F+Sed5ShmT4DlpCt3H
IY2ReapZ1KfVtKCTTzpSHdLgWDnvBB1aIwU+rbNw4Xz33oGoybRIyHPYBnB/FG6zojuqkMv4afJD
Dcjn4FNW5kHsYHE+cBwnVGHsDe/cHpdKidXrWvR6RD8Abett4oe6LUKKatnyEeDhVLX5PBCb2hPD
0t4cdEd7V7GWTwXUWLRk4K6EGOYbBDcfp0PbbRHxFsUzLe9c4wANEls3RMgw3tV6tuZRa7Ak1ILA
Iul1Fanbu12hSJtJMt34XrAqBqGVzEFLNyp/ereGJynn19t5aXVE5WPajATfezwkooUe1FiQejm4
Tjmi1FIOAmZW1DOjB+OFEu2b78ww6WzWntD2lwC5HjEDNOjLB60hBBF71zUN5DorjqeAOEa9b5q+
/2Z/pLTI226mE025nLZQzADuy+BUdbAcm5ZbdHMS8Jlew+AS9NQl4LZIwUqX8FTPlwObds0lyjAM
Mzu5GXdVYlQc/+CT33AuYEI+dctfKs+S33ppf9ndJPBnDaSXJ+gr7VO7w94vn2Mo+weFjJ3Wm2PO
8z2REKLdmISdllgHhhSbjuSR+htI5JyokRb3+irgMqyDHX0abbQUbKOMtHfb0m3xMnEzTiI8axvH
tzJv5uRB9dUWSF1oc2Tm1x3+g7RBHPgioG+2+v5thSaP7zCOPo2xeFqE0EnWtnnNz6mju8Y54W5J
Idn6usNKIPQwNpuWJFnO8RHXvzZMSs+AYZTQYHSaK29iyqeBtNr91v40toctPJnwZLlCmeMKPM+u
XRqiqq2a8G2Zm+yTbXT9UToqXHESCN6Ozuc6aQcGRBjiG2ji8dkyZkvW4YbF9ZzXSX7PFuArUYsk
WTgbWYoT8YzBIQtXNf42g9flp93Dl2v25WU0N/DAo2IhKVJh1nQ3SSoR4pnr9wdwYPGUWDZk3UPO
ZPr/TfopR+L+xEbQen+zgYfianaVoj+S1OLDMEiGzLeSdHGqWdYNBakLVkoxMLbukTj+Acz0x/Hf
YbIP7MMjpKgbnI0g34Gqf9qAEi+LZ6Ci/uouhnpajus9rBI/qGxixS8B7nCOXn00Qe5wUsLxyr5N
4wgrEpCBaib13GyN9VwrvCPUNxIfdRNuqUuT5FAH9sxONq8SciYWB+N+pZIGVjOKtEG8Xiw7o+wM
MZU1AAYF+ne8+63uzNcKryb3FlGMW4Vvr6fXTWZmQTqhAO2IptCA9Pgv1CJPHbL8cZy3IinoLfYg
7ZzzKW82mQ2Ty9xJjYSgfHE4o3om0a3nL4N3/4WwNn54S0E+3ZqF3Jl68RQ5dvpN/QKUHjxRlY5S
EEFQDHi39a0v2+LbmOz/UjQbFxV7AHErhhdp+cX5dSi8436oXwyW7sDAegGsYgZthSVh8ASPusqZ
MpLoINUHSVJ4wtNYh5zDu6MsE63bHg2nV6epFpez18Iu58wU6l3VfWS16zVZVJhcF+aaXrR70Nur
rQFycVZKkAqMqf1HQiWPNV5vF1nBuBkP6rE3EDhSPvE+3ZzJ41L3/OGo7G+ttb5XF9iEpLRLnuGD
7rPv/JAguY1ieQfMLGPcilAQTuKSwBL31cNBWYm8ov0avyjbedUAshFvEdteN+ctQew/uJMRG/Ue
oqL+4O9qxcr1MYYVOcGcr4pUL/Y9nctP1EtnqLMoMXn1dzg/ARwkSao78RKbVT5Pj4B1xMDWD0oK
NRLcLWydwEWjePKbpAR3iASsJzAIOIBjoeQHZtcV9i9YKARjCdiCROP23AKXu9Yk5guXsSK4Ex89
hO7bKNtHk3M4hJ6tJxzNNkZTYw7aV89NJJk3sYxWctMK7ZEumycgmtMBOWpVrAwoyUXOY2KBtljj
1gbP9bsOS6ZjNXsKiUaQAhocG0Gl7MlDOsQ9bdjp4oKAAaatXPxlAq0hLrh2t4FRmN7xTsy9AB2A
olPdxwzHJnGqXbCknpF9hlesRr2skHMLthdc/AePYXtCPHd9tfTd0gDWFSMMJ4QDKB4CYo+6wAID
vBj5mfURWtgVOAgDF8jS1kOBg5c4XWZ/9IPzlBDeXMDGfh8ehEdUhHHy4sSXz6kgvPPJs6OzlqYo
jAr3aK2HUp4QkIeyez9oRiopNxGW5AuXjxyv1DUNlzWzh5mEu0yEPjhCNtnItdvkV9QssZPh94Zs
T6lBI4g5mPRCERnGsDfC8o3ZmZj3/WbAHKgDnk87NpSPwEOU82ZmN7rmOvz+jjGWcGNkqUzjtsE/
cc8Xe6gLVlQsRKDbt2SkJ12IEmqzIiH1R5qFV3qpLT6/1LnecL1HiQrhcdKRzl13fEubvRIutcMr
nDg3pspQIerwAvrxFd0llPTZq4W+kCVnGBDqpz1Kje0T1eNKvJaWa6iK5BlSFcxMhiMPcINgF1fS
1fdtUF8UmXj75kWDNeXCKrDvRiFovGX4RyK92V11izauWPyd10bD6mi7MLD0nq9yNd5m4bUBntGA
JE19sshOjkkLNx6/R7kWu0G8GJOO/zzRDe+5FlO3KytmzEDvVn/iaZq9sSBKBPpReMhg+0n6jnTm
7DX+yb7n3dLsKxjmAbphmUoYXBAXRi/biUAkS1NLLmc9Emhwc84syjZwt/cNnVie5HxWHB1EkYHp
pRRXuchGafnamIUmMZoyvFQ8z8ISIAWU2A0FXgEDnHlgO98BdvCGdMqrC7Ydw6dYiANb15mJ76qT
4XMS6j3aqDPLXAg5YMNc66CkvM21sjsC5DmbAfkxRRdOvsU2/aLI+Fe1eXQfVPWCBNqbXwbdM1Ax
a1EPk1+unDNxe5Hkbe682ao5J1QW0IajzauyQMiYRnSswfntd265hYYmkrwI5bdQ8QPOG1YBXWeN
dPPknmdzj9ucQANFkxs9pVjOfS+bw6EDve7ochBZ/TkaLiaThN28uV7tg9iNUTpOgn4L7LCk00n8
u1xUKir+4rU+Safpb87f20hONpktmJc/hzkPZgPyY2MWi4WHISZpaAKxDTm5mJOiqv+2b7Mm/hjO
uHsUd98N9p5AeK9DDzvuapm79PzJ2jrPV5ZAixEOKX3dT5ZYQa3zuyGU1aVb+2idWfdjCKNxS8aw
/OKnTDdsVJNPE8tYLI5Xuax3A3WQs74PLfh/dyMUfb4ZRagGztv4sx7AQNdDHRWvQ7TgTAo6t1Li
vhZr+ZZD2om73qlhbUkiocgLxbdvJWeG3KHEqhYu3Lppv/QkcsJu21GfZl29cneVvMs3RyDRK5N3
zrxiTakMEdF15ttVr+43ok4aF0zHdbTHTZOMHs6kdibRgdQp6lT5WbsjwkoV9420I6dlniU5pWtP
MEe3uto9azI7ZIKYnJmsi9HwJkuCrY3DKn7L5MxRKKJjw8EgySuNMhZuCV+M/9GKe9XMh70OM0mf
Pl0odYBC3s0RzVyc0eteheHoJFOywT2u06OWB2D4vwRo5xmkjGyXIvq6ISlaRf58GkmTZT8ApH0q
NzQsra9jTs2QxKT4ao/ZIktN3cB5ETtKv5URjgc/W0G7TnrW520FsynlHK6VwxOL9sR8J1OV5cDf
pKcxNP8rWtpwDCqS2GqX7XJjeh7YXz4WOM8lL25qmp5A+RdQtmz09iOIJCHW0z/qx19DETFBseF5
QQ61EnMzxiEdN0HY+7ABJmKK+oGfh+oj4+izmkl7LN8lMx4pRSoszzCFDyvecI++/6n6ClKVOh+z
lSiMp0CjeCfdm/GIctHDwXAfBmoF7WFdF+IUZK3Li47whe7e5RPrrnWQBNcfn0+ICca0Adurnnlu
+rxiA1xZA+59RjwBPwxc3f4TGBp2JUC49oHlQVpui4bOiy7qzibPRw/5jyxDN2yPY3xmq5Z6J+Z0
PSaVQ9t2IVui6+N9RXeyFf0axIioqAj4hEhaiM7Ilkdb0sSKgbO8FQR3UxB9Hg39DB7Y9t7DKWS4
oIdEJwJs7Nu6Hl6o9PiywWnFR8+0Z9gq2OPgKgEcdBEZZMASGmdCzhlOM5A9nhXkY76rGcOCTTa0
IKYbXYg4sMAwgRX7sbTuJ892/TFJj3CvtTA8X19SHKT1tEITXg0zT3cKo6WsdJoYo6hmZQ7WCi5z
Zd8UI5lRNU7cwlICvPaKjdg34tyy13dztT3mVOXRFMzvELw9V6Cu2IcIfyJW/pDwtDzqm0Xx9dXG
WBsgb1xSWcRAsOV2lDagm0me9n2yFurvn7hUuqMhJI4zfP1sVczIv4CJH6ZZLNqxh3prAcQSmTo+
kOObR/77F+fT9LIGz14Pz3tPT13uixZhkDDgKbLwGVRHY6cf/dOv6rLfnmPNHFbOWPE8zGSIBH9m
Ysu8PjUKdfqdn9wL0h4lcCHHciYtMwxWtZjzxzTWK8M+4bVJJPP1dvcyKF14e2F8WXyLbhIlHUb2
8+zXrqehiC1WPv1RAgZo599WWBOyBIRkTZDex1kk6+RY4XH5zrJoXbhk5lmn3zwD4d6c6EZte0jX
4KLtj5OmiyXc/Y0uSFQT9SBeehLGFrkorOi9S6ardp39AGPKT1p+9p+UVCdkC03y6flyUJRj/2p+
Pk0zEXiDMAEEqLQYHZA29KQRbXkKYEowpMik8qiWmosuFoP4BH9eHtrU/WelKLAM94XxD/VrWm2x
gJb1U36JXyI4xYJLWwO1yrGTGOEmk8gUD3o8QvpqRTNAUPbrIsbi0eq0MH22FQC6drd96qVE041f
yEgZDcUT0FKkSf+tpO5JtoXj/i12dZPfvzI8uGbg4iwMTFg+fcf/latLQgmkKuyZqYo8Xf5ey8Tb
dBGZbDMVqiel7D3unC+pJpGMiLp+pjlz0BINvb0Ov9kn+TNgVpcNwPKF7cMbJD3IzH4TWRzMkzkg
yFtaBI7vY7WIa7OWWXBeCofmy5Q2KYr3J83GptUACGbVgYY/QnYY+aWy4klKmxYfk61ICzHk7BHY
XW39mw9dJdvCnMDSW7HXf+SxYHAj7woLjaFMSuos1R+BiL4tiUEV+Fjr9DhszWQ+s2iOzFSgB+oI
7RNmeR9IbpHVA21xs0TD1LV7+Hy9jLP2m1Jf0uKom3YGnxlW7BPZ7YArGQZ9l1JMqQEC49qyeV/p
Ic8PDzU3bxL0rgiyvBGJwoO/gQGVdSEDK1ivE09b4jAswbI1ZXfMB3UjJowm6UmyjF+sjyyns4kU
nuGgcX+4vG/hJvfGh/EiX5FbtgOW6b86/yz6B3J8O/7bMzb2pP/wQ/LXK7dYHrVFroALDZfvMJKH
Sl5gJjcetdfrSDKq6SiYUr4Gk4CUndXCRHqwj6h2qv7mJXUAwHPgtJTLJzwNshvKba3iUFFTW31f
SBs6ouI4LfC7Yld974lFe6YOATSi/OMF4oSTpxhxhW2QMNinM2dvKcOi7Dl8TwmZWjw8mhvH1fXQ
BQnc6JY80z1t1Nek2ClsF+cm9P9Doz+76u47Lo1o5TOMjP/Reu78bewL1YHHpF/2KyN+oT9G/wui
unliiqIjP5TFupFGKawRhipRteW0edlKCjDsNNvrZWJda/5jkFgOpFExJxM/nShHZcLWxQy5vLcz
jA04hUJ5zPmlRQTqc9uR9vAMyfoHT1xHLuwCB8MKWnX1A/u1pf6IeBYB9+7N5wSS22aw3T6r4sge
7hTmiw/oDORhY1rvdpZzLGB2Ap8hYl5gq4gQSPrc7GfKc1UMTM4PpZwdS19fVxX0+A9yZM3TCHSU
8+B3PEbk3rhUjHu56FxKqQQd+5lrw4EVqkvEagmuS02xpd0WWrRh+r+LN0rbRYzTOG3iVtMFN5+U
O9JH8XBLZJejgoZo5Ps/MNGgkVEyqw2IfbVf8RIpCHpAXOXDcAWni72aH6rZo8QgG/Lhf5/z2/9W
3HiTRYEFrt/wAOqkCsc9QyLFI16OrmVMEE735hROieJg5fvXZkKVUqFuOZSwSSPYdPZWA79v5Kmn
G/KQQkrut/iJgV+cUKyPZSQUE3lnnuJY4taY340UHJfUqlzr4cQ9zakf3AEwEo5yEIeapqi6EW6F
kNN6HaWflJTSWYeasP78fn5P8RIEOboMENDRkJGgYFK6blM+xHdvjoII0OiWExC6sU0QioL9btPo
jQKo26usqv7dTl2VuzxSndCnMMgeGuiivyRevgn9h2qVWdA4ixeSsrvejjvfI83+c/6Mm13lOMgo
7lrPq3zB3JMX+KNWYv9XO4dG2me5Lo9hWLw0GBdet63sLhuPeGfeVoZuBySVOSy6x/R51rhUrAYs
vORmkAvfD+m1WupEx738Fa2SJhTVRRWnagNz77SZyjEIcUPlZQj0htcFrSKICHq7t40gQv2SyBP+
ziodwOyge5XYIKrUdMXSAx+EqusAPUfGQ3YJOAvETfX4fX2jCqhIw1S2FsyNTgWbr1a1z1G1gha1
IFKeRE71mAcsPQkWOR0C25RXqWg4CVLJgKYo23k/yhORk9Xax+cr2FXTOsb/1OzrP836U55N9Jz7
iY+88fdEAR5gYW/rauxvzV81h/Q/tW8vnid7LjWEQqW2LIH3Z7pegRL11scJlOfH9ekFfha9rSJY
ptKLN3OFoFwOr557OxhoYvNMabYLC202PaG3ygu6pMOngvxDdNpzu3Iyclf7AI8Efvahs52uCAci
3KFgez0u1REOy4OFDnPvuOIBmh13U1+KRE/ufW3XmFpSFATP+yF/Yz78A/Wso22RXtOqSx6XsvzS
OLHBWB3NumTGBoZGXiVx2WGcLcBkLMlfG9wfz05ozCguKlqMIB41vbfii3+mwUbK7CeZyNYqFRgf
x7ErQo5TBmlE/rBJHokx6eDTHpJnSmhNrzeGE5/8io0Iu5vSgmOYS5b3A5FMwqueOLXbGXGUk0LB
Ncp+ica+0cWvJJVsiinZlDpEOd7KHEcrdr2tGuxm7N28ivl5kVEKgotD5JBuCXWkkby1UPXLhooX
awDXYlovYTZqQgWdkXa25IB2CA7/6H+tRVBfRO+wILrgyVcoAq+bBlciuTfGj7U6rCjK2VSPwK8O
3GHy5YBsWdkoMmYBfAACUb3Q0uIMqUJWlNbi/ac0vbmVINWv8Cf2V+0pdq9+he7wW0hkbYqllB6T
+ih7/fHQ/ZQxciJlQbcquZUAvcn8ateIZCZpBygU5clWUBSb9Qw3b2VsXPyclDYwF3Sov1ET5Bek
njCfnYDXXLBPUajlMnaoj74+k0CttVKXTpP/7+It+/2gtFwPBacKCT4UwU1fYkJUmNYz7xbeMJyD
cyBHTTSbKWSScOZ9Mi1BsZ2hKzNIzm5vWk4E2ukzbRy+W6rOUy0V0k/9zTdw/jXmbByrWaUCLDyM
s80fzLN5iTcV48tjGqKswLWigWFm6HrRtwIpYmIgJcfBa0mXkocFG3PYwGx6urxMCIv7G0d0SxgD
5h/Z470xL1IBCUjFuPsSa8t01yVMG1wDjMaNGMW+an3nugdmmjdcJcLWzXhn9wB1/UEcPFtE0xf2
JdTjCwa+YA4bzQ9WCFc9TPmvL8riZxd1GbJZlB/FCK2Fajv8s/eilLqFyum3cu44OrF0xhCz51n8
HyoNKVwNKF52ElDGW8dezHNrmnM59B1ze8Ur6v6jLShypFqxK8l3ENXteRabntDwV6PJTd6zb63G
u/Z/ZvFI6y93wQXtZgBYmx1IuuvWU5sQOJi9zUc8N0S4npg8lJng+F/ypwQAJhAWOUyY2ynLdrVh
YjmjlNblTXCJI838aFJiIaASHR60E4A87WwiKsBiVDVSbXliWOwl3qGxFa++WR1N5ee4XuVPis/9
O/8L3r0DPoU8cUisv9Kh5fgBTW9V0j/Zzvqh5WnPV1bPZ3iJOmdJKzOmDBxHAutivDJlpcKCbnZF
7KYbNO+bEOQIC9EYTTs8MaRkJU0oG1+KqlBDEHlca7P96GPsQNg//Cpp2qRa+YYP3xDNgzYUmAr5
i6pg1dMnmEiRHa3EB6/rtz56jXVDHezmFb9X/GqXqvuJ667qGbFPLYNd8IfzEEwz13vLp76eWgHV
ZDE+qiiKcl0VmV351PpPe3k9Ttr7AMTyyGieJl1Ve9Hc6pHfODae58D5j7pQSSf39qNmx0/IpPl6
gNqLovAXF23KIoNCjve+IJ/D5nSff0RWRusS1parkzM4ewjNUaEoIeUCrDvn9I47SYn/ZyZNwSFU
BnIQdr9cuFRY3245yQaC1rP/lyjDkNK9qMWzxN+DVPi/0LfM/17MCXxp3PAbgwJt/4l1X5Nk43Ev
b022nKULRWVDoYSANFRVP+02SsBY79EZnzq+6gVe60/Sk9X9dlC8ONlsKzlLdGHhuDGKb1nB+pNz
5KEzDoEebf/DcA6OEgxKsPltFDp4mZuRphodurEkEOcb3zrflqKMl3ZTpQcmYNNTxmVeMVrCoXVP
e8sXErc3LlmfOmgocxRuaYlhjVwMV+oBo/eZKPu78EpUhY0jNZjjIZyvWIEBYoqzdC89X+MqISKR
GpN5tAS9XwPLFHEdc1jvgqsgviGgy3UYw4uB/2p6/wulo4kQ85Mbhcut7NzmlMg3MxiAdwSoFyhU
upyPrU70DpPfX+nXzcHGhd6oqIz4U5Eta7RNznwa6RQmBpB4XNGrhky0IMZtt3yl444IAE59opN2
beQb0jy3TdrIn3/5m4mttJVl61n3sujkYNmlM36Oeuo5dPbdVC1Kr8ut2DwvXGjDNcNKnCHp6Dru
qwMahLsBDlu68OOHnJuimoVCwOXVAqOtJx4kOcNJcPf+sCB+wv1VGqIhQz/i+7Jkscctc4fLu/zz
gFZV68BQI9ieA3F9+qHCvbA3IaQQw+fND1Djq/IRxLplm1e/k+Gm1EYZ4e9QJ0CW16bXdFbq1U+9
vEil/6zuB+zqigovsEhSqjPWpzq1d11rGpiEArwD5/odoQP7CoP5BceT7Y7FKAiBKdU/Z4fOc2IY
2LnjhmWGpt8O6KQN0jfB9rwfchZrtLRgsJIDchn1HudHZU90P7Q/9EMIjg+XBEJDAHnuxoDrSWtO
+abTA/DBQLs149P5h2Q0iMWY/cC8zbMh+I/J4XPe20dM31+w3YxDmkkAsCl6QXxKjOZF8qR7RB9l
WArYgHiZSKkzC/W+s0ehqjupUSozeBzswQau00BJtwYrz8gy9LmB0LmPNDYczDefO998n9YC+5gn
9rXdGg4l6Wgz/Mhg1il3RPyga1EjsQcG55CjYzdBOBv8LonAxbE+bgg4WJPG/FuP1ovwA3sY17/3
BFIS0w/fOJKOxAZcq88cIjVtBmuJPcQrz1mAGnHMCtq3NztnnQB3/osCu56QIbC0hMTz29NYBSIU
dTdWvR8k5dFZGIX0ILJmymuVnphuhqSNwvES9uM3Hb6u7vCRo5zIJjgaUPfv/5vdvXQS+zYIY9JF
VlD70Vnu3GlnwJCvRm1jGRcE4EGQ621z11/g7AjdfO05qg6lC325h7bn8xqIEbLi+oPa+wp1FCZ9
XwHERexLA7Ik7R5e1+DQaHK7DyO36CcviCO7n55Rg+XlqgjX69ztLkoS2RDGAw2ZJDoNFP+PGf4l
SQHNwojNpC4Is2BJhM+6vX3WEdgJkxTY/BVkVykwJ4x+qaGo2NkqnjkN3sP4KOY4LYBlZiQQ/A/u
MC0u2OLCNq4By3w1N2WjQyoa/6UIal83ravIfUu8Le9xrRalCP3k9k4atMA0v7dLX/6PadxN7v31
QZxTjSJUp8KJf+n/kYX3hGAT/rUkU/UvB18z62pFan4wHvnTOQVBfvnthmxlANOCavpNYxnuiUh8
EL4Z0wZwc8YDeO7a8WBf0OxUpV4gvLrcoR74hFliu+kFRdQBhkcJrm/LmRW0HshsqmXoGt+RzRvw
515WP46Ggl6BOH/Q74AELHOG7l2QdPDlThWLM1BtogG7HC0HIeZ9Oe/elNVFT+pcaZehenHsXrAR
OnabKL27+raY3oR+LPQmawzspzRwSc9ksoTy8TT5oFUdw4iMSpe/dOaphzfhnOLLLFv0nwVKhOUX
1zYTJRXf7X9RITeizYKaTxsqFdjxeOHbc0Dd4ZEIr9xd00YGVUmTrMWPdq2AVoMuuRP9NeFF+skE
dnN5NHjTg7ni16ZMmLWubuh9xaa7s+LHyULIrj12qTEnXFFLPUuo4o+sa12YuXzYlsevDHcle7HH
/8Y3l5MUnKYYPOVcahZDMbnCg5327aINcZdFXQAVhPjNS4hJExBrp8vPZckbK2vwtuVfdxtK4/yi
ml+FquCx8Io0tYtIA12DJ4mSnV/kHQhzzCdU/gaxR39W/5fn/1T/jnT/oCj0vFr7YTBIQkIgZVyd
c4yAgrG17+RrS41FQqbE93U7Q2jGmL4i3jS/V4631xiGGT2zRUwjiQkcI4VmdX93CHT8o0s8Wo6c
cX2obkYiP2z+vkuss67f2lCxtQHVxd1BHdkpERlQv/WQeYp42lNbjZEbTANCo/B+13lEthu9NL4f
2UTCUMH/rrRmTJyIkZEdF/+ig2cWDZApgeVCfYfoby88CNGaKzb0mkPeE2/WJQ8jyVJMLh/dR9/e
76ia5qBAaY0DonmrE5LdiuIJyb264S+V4O2h3gwt2z8roG3Pk/YLp1RD65BttWXXb/GXriVAWQic
nLjmpjf3/ijqauZ2eRGVDYMk6dTtuxDCA6lFj7CrzH1l8wlZRe1SfRCAbdqAVUkOqk0MOY07S4qM
U4GpSlOHHcAyzRkQ+U/onlfK0wfJu+l6uH/2lQV+dUrL0WrTa2n5hGJreHv9G5LJ7e2ZlSZUGJy4
z35GJQTxE3WPTToBkEHWBzhW2ca0wo9Nbp61X5chfb4MMof4QQhRODLLGTQj4HzXOXYE+QuGrro0
vR6fSEA21TWgCFOOOxmuTcPuV1GrdP9qhWuiVORU8zrssU+NVHEy9D7kyVfqREWhqiEe3OLD020m
yZY3KIjd3ZGCQz0jxz8Xg/Dd/H0V5PO9bdoxHIOQwfxJxGnbSQ18FcjMYml4amGzMuJqGkfOow/V
QKURwdOi6LLwEt2qQjbmYgAIn0kemtBn9X9y76Zklfub9YunFhZjAsHG+Ms4AHs6Rl23ThsTDkKm
rFpmXt9zXUUT0NIfA3BZXjxXJo1ZmEYGanzHgLB1b04idCcjDNRd8cYOLeNpUi+jqspstMwPX021
uAwjS3gjgVnJABaH38QbQNALrXYrbxLtpjYFZ7ckqxuTmpghO4CCTsiI+iOSTQPsF36yAPoooatC
4+baL2Rb5sby/YWYARtBBhJKzZZLGLZhDBBJPcytr3KcP8pj6FmpO8hMg+C6l5bmq5gemdwXztnT
PjBXM/UR6fqV8Wjfu0PeH9MX+Ml4jDUiQb+BgKE8jkIx24Nae2XoCTJvhXcuVjCqjFcPnvrs6kL0
TaZyIfvSKicjh/Y472BzmeZP32gnb82fmelKB48BIghLcpGjdus3erH/r3ahC6io20T4ZHEwULyf
mD8QsIesfoLNRCVQI/3vYNMo3eI3Yqu2J2d4/YwKfJ4B/b/3gsiSNLTn44STPCchs5lwxGOkgCJR
0opKGDALt1fILQ7SpIlVKm97ZAGt55Uc5iLgLheAViWfCxhBCKPdvWzD+1wJXVJD34FGtMm/ioh4
57fV5AAsaQ95ZKEKsfQGtdkHkZBtvRlzF6f0T7H1wxE6uOZ2iNBKfjOtbOW/Vbiz5ZThzVM4llfo
Z5LO24RirxOqDAhYBMQEDmj/uAfw3uKtgryTmOhTmXrshKbp51IYJPIculi9s9EIxAf4BcBDNpAc
RcTx2rPcLRtMwjlJlaV00RF7KXlledbBJwc12zYnW6Kmbypkt4rGTdN2mSjXSTLCn6HIB2R3i4Oi
9wMIsWPQa+ik3dZzhxBo3c3/Me31QpxfSlbuCdolnb3PaIjN/5c3jbGXf7Fliclh9+JSJnsQjKQR
rbE/fbc88sDZ/xTOo5ZRxpoqoM2MSzEpopZ+KuEUp878t3pznarx+yJmx5Mwk9gq5O4pRVu6gaC8
5nfWtzZWJk0pqkQsVf1bHyl7RJVqcZXzp5O/pbhxTXOOVjnwrM1reOJNeGdYTgEkgctJrSb/PiFB
f5W+X9aKpleiu8CffYO3z55BtEvVNM0Fe/Cuaf39rQEbyOM5lFtRy/2A02YzCaBPD/S2B6rlS5Dy
CbCJrGtcxOykv9fjKNv8yNkeWo+gxLKZOA2pl2yN67Cip1zcUsYjesG22FScUbtkLYPcREgyKBVq
TZR7qSt7RUAI26m9xb6SKwYIO7px1bv+NP26yq/hoUW2iAsv6Np8E/MlShrFgQop8SFxq5Ba5GjS
FY3pAdLCZgJsiQ1Cy8uwkB4Wy1tWX5yOSS+Y4Ui4wdTugbRNfD1VOVShpwBGoZc7z2HERRDq22JV
RgCOBt3zILb+XZDZYBlWLSrOUzENb31kR6kjcid9tyu7e16i8iWjpwAwsQly87IOBR8mLbVlCmR+
lJE0h2oXvzty+A47TvPQ02bMFipgyhw/i/ChekDcHZkm70jUrSE0i3PlVyiUtq0gl7nVTQdNw+54
3jBETRljIkQUpKp2QP5i+7/Xuuuhxlssz9WPRMYtasjmJ23KTeerAQ74dyQVzVGJmyNMq8NwPA7b
psDtEiduV5HgzgVkbtnaXK2HRz52fRrCuY7+hXJHTUD0+KnFfyzu+meN/KBNPIkdE5HFfO98FpRO
Ut/541ry+MDgR2yKh1y2GHjf/2GxVXExc6EPSe5znv87ZDhUqQw6c7M562jKbzelWyqq9iwYoYH5
QOzkFaF12Yxc+73b83lVgE7d87qvexrkyoMNWD7PuMOyuCFf6+l7qq54g2/CVtT6gURfdNVpq3Tn
GNfoM0kH6RQ1qKpXBQq0FLrNHo+cxAE/RkH2TTYO4i3BtsnXIhsNqZ0EZbgvvzslKCerKPNwdCyk
YQcXS0imOBunOaEjDGNe0rKS6guxyrwmC0tb9BZbSf1DCyAmpCLlgrfCjF99ogRZsXWWRyB9t769
EZaNCRaVbOw5toz0dfhkAvdy6ZRKvuermFWn6YJZ+rFLwbNkW/Oiir/PSFj4F2oObZbHQlQZIH32
teMJ3Ly+xQ0rGi7tJwTmrXCZBPHuRHQ14jSp+oumcA5Ae05Ky5CliaH2XfOZPaQ0zsOdI3jF029c
ZoYh3N3wuN1Fv4OoJPwDqpEWQVuRRguNww5+Fy270HjEys7YEiDDppH1onsPFpMtYzNg8YdmBxcQ
zgXJ5U4MjfYJFTuLxmS22mGcC1AYUsfpgOeaFzJVOv/cuwX/3VYS9wIAjEi+TePymT/Pwe4ZDG7Q
RyZftRzT0nG0RPvhvFYzO0Qlk4/K1suW/KlwTqVQJKQ7lsNWFUadFV1mBEf1w1x0N4V34o9Es6lp
xbPcsD7286n0F1FOe+f+++AR331Z5rdWeGgCBSlyqkxP2bbV7F8vN9cIZ3aynVpOoL5MAdf2XHCi
yCP8YpPy0CAoK8qdFRQdeOduUcVNkh/zPle8OPDM7deJfnTUsJXPYa9mqh2enaxgDwrewXOO3/Br
HfL2avCfDZ8ngtL41KDUkcrGv203fTQWq2P892vbZFH8ZbU+ULD7PcD9nyf4urPG2K2MTkP2miMN
fJWXiKh8qhswrvCr/b5TbY5CbfBui5uB5slfOwL9cMZY6LM1BY7io/O/KTjjz8Ip99GIQxuRBX5x
OmMAO9y3fewGKryuGNuALVOBoddK8UUMCcNzjKQU34i0hQQP6P9J6YOmSKxfsGz3Yvjwt7J8s+Lk
wAQVl0RB8pb5/VydmhWiLOGeS4F4/sZHSQOLKmBNC2u8UW+1rRlL8e6MNpid4MW58lk5zJNyE9UD
RhR385+YhKsqxuq2s6v/ovi6cmQmtI4CR2O4NsFLCzYnpuhIzX4fseXPhcY8wQIOR6hOjio/d3uL
yu5x0+ITnssLunYhb1/n9uHWu4mTGJVo2iEVywqPDxVKGH7Zp+w+K0XR4RU1CFbOhMWq5r39weFT
yOx4Ec25RlikPTmTl08vFXwlDC4hAxC/52KDseT71Bqp7Z5iLwmRSRLHB2E7xOHhhCUeOaFKKSHR
OOnD9LQNWd6S4FIbKbldE/fGcrGf1eWvkMhp+5VcC/UXElBLUmejQxAYmHwq6zAQHZIomuMFDq0x
1sbgJYxJiehxVI8apBVoVohPEMOH0H2E52i5weTxYKlCobsk3EqifWl1V2zU2Jmz2QiGCLOsCGOn
wRS+BM7nYCm2cnCtScRHeIR/9AdkTtn14f+GX5783vLiKalUhWKGHxPcJ/bXdLMnR+UzQzJq6Gvs
FvwiCpr27dAaqfb8DEvqjaqt23jNs6Oqu7d3oYflMgbtGJTGS4LKjGYmh06v1GJJ9ioRc6jpxTL7
9FomvfXS5aL2Oa0BsfGLPu/mpr2+jd2bJBYBgWIzOgioAGBOTm2uA9IJsEjcKfUv2pCuLW7YosxO
nqhvog/kYfEpDosprjvZDcTkR4ajSV6MXVLKQWR4xstP8sxrBrRB67tB/jVetPtzNrtdJa4i4V+N
B4yLY2h0jOpmS0Q0UyfnjT+NGFRCv62/CpLgOJBaplnesn9wKUCocE8t/phNmcSofl4BPXhKHNmO
908C7XWtXSdKXfeeTkL2124C1ykugJonTKqpKZe36zmcyWixw5cgpu18P80ylHBgeLLOAlLjO+YF
38X5PmICWtVi4HbeGLOWorZqqvUYLGpFOO6TlVf80w3XTTYoGFbThbtyiO537EEG/ENl1zpfATHF
nXUSrRZsGz2ZHmqNQ/xcNTdHCGDD8v7bHOUAmg+M5pn78Csgr9V5FdM5tg9VEI/X6ROVB5+0g9LN
R1wV1Or75VhqeMRnBvOREZZoB3kdQeoMUvWXo6U9fWFW1PJs/vXiMRpMnsk6VCC2EHJw6sMdnRPo
oBsR2opt0KsoI5kRKteKZfjb7VbsSNtaWuWOX8OeM7jR0WpUUz91FQk4SaKRu9efo7KqkuFBBoTK
d1jM0Rv9lw5+j84kLNfSCDBhKcLqYL1QkoOgRFuPmTi5XPOzI4cnEe47pdEo+QfqKNkezm8arvMP
DlCitks92XdmZueWWJ8hqBctrZFuzb741SNc9Sx00jvXdjhGY5e5azArtv8wi/Pu4ReZeUwnuxtS
dD88eJHRp4VaLIATBf1+IU3vyZ3TWU9kjx0abS16PCETx7o3IUcWV2J2HR4rq9n+F87aY3c/awAr
8PMFSZX389XWVdHK4IZVqRTL2eGnbrimC95O15rfCoG37RCP3sEFLukkQ8oK9bnLox5rHc+Lpbl7
lLEEhsWbQmFYlikZJN/Z6FWmykleiCZKzs3VXFCaSS4pA/wTOrK2oYjjhaUvOv2/ao9EvVW8d4+R
5HfICWVjZx1/9w38doOCZbpmQ/j8I2G77a7Tw/QXxKkWQvRU0veL5lAEM7HU80MJ0xcF9NAyxt8I
HDpmm77BmtsTKxzbcpUIej7LQUPfwqxpbZpwxmTDVem9ZSYevXfmLqHGVxfG7kXv7N9uywPHjteF
Ar95tiggbFKjBkAeKMl9HMbLVb5M8rSwN0kAHgxag0E0hDzEo5yDfUxQx2TeEBkmawDOv5cpYrtO
41KE9ZH8ZYt3mLpKP4FF7XFkjORAM+WjuMLMZRRP9cQKrglt48e5NNHDmNSopfwluYRaEwr3396i
y5HQurJSdag8ZL2w7rTuKnIAkatKqkOoieANf5EwBoVssRXbCsC3w/bvccJjRDmsJWxuhkcoAE22
0gAUkW7NjDrbSPjnxRV7oLGyXtxdBYx7eEwmEWRN158O/lvLNiQFujPVowOGxTPDieW7DvZJb4Eb
32Diqkmx0B6bnTXWKN2d84nNAoxzoYDXedFGvp8n9wol4v3ppsjW8nvy/gi65mha+mx+bOHiX6HM
xT0hzqAIHdfNq8m80HoLgLuJAmDAELioRMuFpVkqr6ZqE9khUySEyguGvsLpVwiRXFq5274wdfm3
jC88SJJHaAoZIpwH2e9u3J5k0gVeV0SC9O8S1QP19pnCoqLDTZyBfcsuNRIKFORvxFilzTwdyLwU
yBDK/2abgGPycTJSVYSYp13oSekbPToK4ljbuyV1tUUwgxaoXdbENqBZzq8DUHIVfYJHklVM6e5E
bvPnG6vIBijBS0yn0aHL/9Sw2q8WwhVJ+vhNJSFzJmX3sFeBxvkgzvhLryZk1WWPrEzCtqLfZnKl
PQ+2Qoo2RofEq493VTZpmPq87w2hw2M3wOPQPjTntYaREDZQ03SZ4PByq1s3fn/ZSTUB/XyqgbBR
xakvxJwYXrqlhzKDMRrrDODpy1W2wsmochJCJ2RLX1p62azcqPUcAzG6E4gEt/37NNBfkjeiygR/
+9T0ejN26aDcMP49ILm2OXBEbMX3N6b48WhJ+Oekt4E83aktYRl+61gCBveGE9LAu5axdoA30Re0
rhSckFHX0/2rCEim91doc6XaKfEjStWjdbhZE4CofUB2HwtA6iGrXJMDDTL5Nv8+nNjkuq1gYn2f
H6fIuOe2D1JczJcHnmNCdvZT19aThQQt/kn/WQpwBUr/kV2tWJms7Z3jPuLhjdkvPlhRlazwx9Fo
WOdNwINbaENDb/qYkyXyRqFSg+qzJlPR8RkWe9pDjZVATcFQtRVl7idx412eStTAe9AC7kvNa8X3
UddfXWraRF0DUGFMpG5kr6/hO4UG1kMMebWeT0QERWf0eI15DMeUzgeHgXxGuIdGStNFJW4I3LAP
rUzhe+qLtxogSZnCX8Lp9uWTD/i7szs8l0pqMKI19BH84ZBDeEtc796ffu6YQEYV+qqR1j1oxCYL
ml0lIa2KNUiqUM3LbtgxtdqFFwrbHipWWnWPdy8h0oLIJjZy1LCUajuyMQkp5Sd22lde0LVu3EXx
Qi3NEm+xoi6mwYTTCE0Ie15YLaNrWXHTlrRa9gdnF68tK8jmKBwi69Mgu4jtdNxsTD/vofViZsbS
QMzSBhKRun+H0oIzMtyuLyV/130Ez8Qhko2Rqa9DVjGrOKC5By8czzhuu2QNg536Ln5LXrPV1t/K
zkn4mhh8YXpTfzUuu5y91m6Po0b4B8sO3rRo9uDi8e1sERq8lfpWvOF7ZeZG91ukTV2DaJIGqRes
rzIHTBF31EAfIgRBIBLzNJjd+t+DuTmnQBcCl1uBykiaGNvZV04Okw1vWXf8pJJkv/A1yQBjAAnt
J67Uh+7XxbPWzZ1GUL+0il5ddZONd3g916F7tUxqmB5WL4UcnFDy4hs4iMCO7McLALFFs+kH/z8F
OOZQs1vq4MLVKoQsgDcrulnuI93ef4K5sDrrEmzkDPw+mtTTzm5ZYbpg1V6erCiAAvGVwHNPbEbt
00zAXymMpM2c4UC5Fa/lVQbL/oBQhSb9ZLptm58mkVBr6Upj7vRBPYp/lPDZhPh91lQCei7u9tuC
jAZXlDdzfZEYQhl/iwPCRS2+HWhx57yDf+SeJT6JkDoAK2K1mgWyEHUEUg4M7LOiV3OQQEWE06vd
20CRE/bEogW1PZBYuGkm3IHtBzGx88GaqqexzR4x0q0IRw5d4HLbTHQyBStOdTVmvjxYYfU84Esy
vEbkGcgsl/4i2SskWs9dZyOpo8ahom7L8hrpBKBf4GKoT4C9Mk5VXZLnA6sDFbMR+V8DRLJmQ/1u
LlUSIvGnNXLjMR6D2Aw7pcpSNDq4iZGcnD4X6Jr1iplAWjRyd6veIW+e5cnCqYHOpggyRp5t9XCU
SHwktKBktBYb1NhrDDuzmY/JwIQX+gwkPQDBgh1Dv/SOY1ijkT0Qg2AOXpS86MbJib7Pq2yK8cX9
R41foa2BiE1f8R3rQOjIuRVEXAEVsM+WVzygfYOmjsV2ECSzphV2KobKleWt9GB2UcUGMFQKF3uv
tPi3CslO50BIqDMSwbNNZMpRkQnfRFBczMGhDKlXXHHhjyXFTQWC/L19g0kqltlj+sXliMPn0JuL
dI5vK/iRvk2LrVL3HotUjXJJtxpkbvzdCiaaAeGe8rzrPW6tgBcTybhGpzd6lAN2XIHXFFnobYp8
E+3f6wxgxrVVh+zLgLwCF7mExGQhqdg7C4PXJ/ivX1Bq5OWkgQk7ebcjk58DKfkRO11YKRKki7tC
vSxFr9McASMZXLncckRS7aMYcr/layjxx7M+gaF/8soKSSuG1hd0X57csNGDFjHdRivyvbmFKp4Z
iCDDJWrOM9ivx35BaPSxyDJBXysTxhb1ncNglelrXASUkUf8q+tpqE1WU8pQiqxoeZLJ4nnX33iO
JptdfTnJKH7yZvZrIeGjQf+QEAGy2fNT0iPfnlQqj6er0oNG5rPowMAJimLRD9gwIpsCXRAPt4Ue
FTgWYo/pTUG+MWzEAKiAqea8u/vcEbRGHKi8/eTLTJMSwGnXe+SK3ebrRkxuz95xE6qtj57tcdHm
LM52kdp/roO87Zy6FS33WhxFoA6jDAQOQNV10/oeDRsZJoJcf8Sv9HbosLV2PFX2dbQYkjQiYpMk
GwZC7aGXMLEvEqGawiugawawVW/+DPrd0rwuOCGmRXYhbR83rdgw9MomjIIsN/xRaqnK9vEuxZRb
5pPueVXPbHOHaYLER2ObPbkyjh29y9NpFU1TG1baTBUXg0PMbsKzJF9utS/W/AFW3hymfRtbKn/Z
hAJ7a1nDeIJaboaMf1kHfKWXk0kyj8VIzR9jEJY5SrecG3NXaz0t5EKa9ujRNIVngYiLIbLkUdzB
HDcl/cnLbf7+SgfIfEiSqBazhzdpZ5MFmOYPGl6AJUmTVxRgEUfvbs9JBqcRVuBZjyw8+/w40y7a
tt7Y/AX7c83XwsPJqY7Ue2ubwarbVTtGrKHBqV4MkVi0pslBVHMZPuu8DhUiHZhZCuv/faMtUOUF
HtLlyU1/o86aOQWiVdd9AdtZPvRrYY4R8mce8wRMCj+jpwFOADOhJAR5aX9fciCvjuUleQonNE+A
DNwodBSrXtEzPOKstI+ZYAQPYH4/ekU6G0HPJWD/GIN2ME4J05SQUAaZFtBHuiU1zBULsJO1+eSD
odWxMOwF+VC7vZLqpDmT1MORubG5nLDeeTzYx2C84Va2kreEtN9vvgDczFcRID8imDIrNm+JNKWo
pejcuoaGlZRc+0HbhJD5IYqUpInWWdU2/+U6VEAi0F/nivCiSMvdGNuoPT3HCHqULiOegiBAkSjW
Rv7D2I4EcPWDY9bibXwcBgebsnKuSIaSHk7ojgEGn1k6zLq3UtfbkA+0FsnGBdl6wc/zDCZU+zX/
Hq6yYNaG03q3WCGg14No8/JCrS/+b8Nh1xBb1iwiffmkv0Wf5YLs+NQfPrf7ZPvf6w3u+VIRXlJo
dWx47Gsdwa4YbTR9/ntLk+IBv+zxEuI+JocgGKpdgvSQ7Ta2gRfts6gI/3UZhtXHuSH1MhlY37eP
p7a1g5+nxMHCmiPOAer+nCXyf2xOYoKevFaTAoY9WSwXU7WWWEwMknS3hwSpcS3+Wyv3hErOTL1t
28d/YDCjKb2VssqLNvOxGsyh2sc5tVEMBtooYKT2V3MbOWF12oVp/T9/I8CEl6Yysma/465ZWayr
M88V/YbB2cdV43tCHgDnBgxF7nbYvdj4KJJs5UXRSYO1AfqNAnN5IfxYk+nxQR7h86LojM/SalYg
Wecr4eIEgmgQJ5nrXtBo83uPAG1G0C/r7OdcazZNwaOKheVqvUtPJR4bGHgqZTUqOhA1aOXf/ml+
0zXy/W3N/igcWGX1lM3Zwe6haEbN46m4ilzXLPq7/peBOf86z7RUfLaIlolfZ92WsJfR8GfxYR/+
iSBNaZ3Gchz+8tpv0JzoVneefmC8xk9OO4nKA4gRETOO/UXAcjbiP2OJKNxwGCSNHeTbWPFwi/Hy
qKrC6UKkNLtcw5d0yE57HeGlEY+mqncwe3JhQXOE6OPWcmfXtkCKWkrYJLiuS0O1Hj3turmizpRU
Tof7hMy9Z8OHLWPA6F78R6qLL3R/eHwb5IT1nBceKFVo/YKIYHUYQEFIevncnv3S+6gAaXpbjooK
VI0ot9GtC2pOf6SwsfUlIuUFv34NbsfcqCKsNtfsdXi2aVkHhYWOWNGp4GvoTJwroVV4Eg5kdiEx
UxSHFBZhgf19mi9FX7PKb2hSFx03Pb6eNa48KghbUcPf1xzfFKOelh3Pu008HVoBokaRL/+wnnlD
Bj6UMTebGsQvgLTkULZ3U1GARAjLJs8iOVZXFvj4du8DcjIaoU2jGNlmqAwQo8adYVzWw+bN/DcY
S1j57Khm/WQAoVbh2OSMU4sXn8VFDEdRkXTI+soYzgeTyENp+dupPTNDXPN9EysKTDmoV1WBUiXy
S0tLUSzhUROGCdLlOf+IElAPbaQ+s/3FFzO7mABzZcofhV/zJddgTLAA6YTvlMVbWcBKxRtC4+8Q
jPOv0ggC44ArCTE8oz8dbaaOZfrXpJbVaTckyGCnP5/cE+chQBCHm6tIBdTg8V43FbDAG+WAcO+3
iWO+k9QD4NF6maIxgRol0QUnwRa3TgeweCK8yXiqusaXbYWC6vOtB/bfKaUSgCmYHDoUyk5qqNM/
nXN4YotxzEet/fRbZbUn3z3y+3hZPZUxsf9LK7XakU7IWIznq+vLJHNmC50QWFF7YHuVewcB5to7
uoiCye3szsXEJ9brH7tq5N4KalTc7L18B9fMavBGclU19PspIx9YBRB164g6JxgB40M4gnAuH1BA
NCPRDkXOVL0LuUaAWCEoZiUSqz1VQGudzacej9VSt7SseVawhoomMG78yenTXQ0D+wbBeARqV+On
xPBePfEhlj5M559TndzoQdsuyTpwN8VkVW8sFSUYXYEILxeEqe4xugcFrLrU8mxOFOHFW6KVhApa
B11iaZNTnIZcWDBVeuF8O8FKc81PBP0O6HseQ5brrRBPJokxbNpPOuGV7+gf8iHoiLGjKBBFXoI0
rEiw8BqWb2C1hn67ADK+elDfNoRThfF6FYoCc8LIglFQADZNdA0wBMF9963+pvWjYs0ZLXvsMVBI
3tLNWQQzNeajCRLYe0JL5mGUDsZPnALD5oj788epgGXqB5JLQ0vjjzYTJETagL8pnFlpsGU2g8lg
2UQneyo8PLKlw+48TysitjDf9sb+e7oqmU39+RX6i+eoepV/Jmt02wh977Fiv40f/GytsR0q7rre
8m46ImPoEnl/qI/iSCEDi48i7cOQwhYf+p/EU3scykzucKLCnBJnZ4h0ay2h7fi9AdhLyOmdoFz/
jJDrt6e3gx2PaDLetZGqTn4Q95nw4sq4KYpiKk/mIjjXfkfadq5HcXMphf5YnEr+CUtDsNkx1jBO
0rP3NLTEDvb4anE2bC/uT8JgEDofyqzz/JbFt+KWxod6ReHNxBOxOJcjnc0afs4cn45Kg4mYpqmy
g4bDi8j82L+il52vKq8IunsP6EFpbU9tLn6xXpNYFFJ46GhQMslltm/3Aub/p4IxYuR67c0oEL4W
JICR3vpjhvPC3CEmVpV7gMmE3k9Pv1xTPryMQobjJGdjvoMjLSi2vdEccr8SnZ1l4PibV48kdxiN
CjZHAkWuAyVLmHG70jQ38xIM1/uzSzyBFh+xA82/Z3mST9/akS6aeWSECfBnfQthyEIbbBvWtmMA
9EPheUOJBEjrCH4GfMrFM817sbHile2FV1UeUwCEzh9VivN4yY8yehLzA2AonzWMiRhyFNcloAip
J83ImxFFl0TdnMpikiwcit+nIuneR859FmGA7WfnqoJ8V6SUBYpWc3c3kBUzr7QvCS+M5cm/zX7F
AlZBYmrMD3k8YGhYwqpO6y9ySs3Svr9P7KorycetR53GeUMIC6VKF0PAGgVidvwa/BOanJbf1XZ9
XFWl8hDCH5eV7g1bBn04SFmOs6+mfyRJybKS1thg6KGp6tKSgpq0U8WUAvmxNT/1euEXsp2UOmjN
2vCTz9FQjKqSMnoWrwt4pMoq7TiTIj4jBsXIk8u2WOSRbTvIsb6dVnvlrBnWRGeYcma99qCeIzU0
BsUICZaGA05kAC71SIxVH3YrUnW3gmKnqOPhQbiNb8xZChk1FovEiIG6nXdMnhu2zrC+SCEqSrjg
eOiwtDJvTi7bLC608DbUYlPjgjQnC2huZb3ktg90Op4RBlHQPgLVmmZQHRNglhWruUIIvfncpkyf
+KZBmX8zdkZ+ieu821QC0dDu0K7FlpinZsFivcK6U7YGHdlx/CH8fH3sMsggVRdoEhf3J0uvzyHp
0T1tGpguF5FnKkJSrV2vXjdmFW20jpgp0bcXp9FMEm+xOkp7XgkTpIGNE+h8czvmDws/nDrTKLze
l3y0dtvLF9vT0JR8LCqw7A54ml7dpkvyQAtWhfjxsJQuIT7D2ewpPrj1q5jWGWQXxYf7IPx7SK+V
7rtVz9OF711USf0rysAirO2TcPXTlVdkHWxhPUFR24XPppCl9CIllXrff/a5gw8pyKbUOld4yxET
bYw0Os8H6H2HlHKuHS9WsDrk/KfDxW38BkhXRsVPnSBJwfZcjZRzYJHWsAkDcEoKs9tWHdhY1ICq
Tf2k7LxBgGqihpuboBhI2x5p2SlFMiJjI8sw9KKQ2rQWGAF71Y3t3m0N4ZswAsjYX4mTe8mhdAYG
YJ+BNsm79Ct4yntVhDDCmLhSMTczAMD5cQ6KtsfACx18ENEGpBB5WwTBNggBQb/4YCZn/4ftHTZv
4CqIW/3dTeWleiwDbtTA+0PRvTNef0OFMqIRsVFfVrgQJDsrQ0GZH0W3rGb3qeV+RiO1DSrx9cH3
PJKzXYMo9yw/3xBsLlYRjBdx6OUh2oQB6pSK8Q0KPiinH4VP0dLUXYKrmXVaf3vKqoZllmhB7UC1
gJkiBPLwh9o955UUo2acFYQHL2K2muFbtf5G3wNDH9UwGH6l3nlI4sPZmxdCd77CtrbpG2qQEh/w
JjqatZ0Ypm6gqUqFMl47+4mUcYXYn9oQrGbhyLqj+orNtKth6CGJTKGYDW0WelSEwbs7SxgxM/Nt
Dod26+w7OThQVXX9yOztjVY+VgJ5ATVvu6NHKn3mdMmklARYYKtpcWGEpbNjGfpYRZgLybywS4Xc
pZ0cS9wr8yPEe/V70a7PlLnvH3X5KGzq4bL1SlbH6S65nHagSXBNonkMsVbTny260lKypqoJn35R
BL/il6zrN2MbapdFzAaXmAOr9FqMx4A2I4YaVT32yTZB21hNudfzqyrTpzzly6P5gDsqa541c9Xw
rHE+NN6Ef+zzf/lIo9O8ekOak3t1koArfYY8flPZDrtmXg5l1BBfGb6At855ac9MKtskVYZ5ZWPd
cVNTl2IGvX4RhwUpfaz6iGJvG65jX0i0wJnuRZFFfmkM7W/vD/7Qld9PKmA2FiL99d08oNmDzrfu
+ZH3HpxUwLuX6/zROP/Ti3OpPN1t5StVvjjOvGjBz/iY++0cpYh5Vp/B1uHUvF/Zec4tPF1voGFU
Zpsd0thfeQ8skPxrjg7oePi1aM0vI/V+xa23Rp4656MwwAAsVECR5+po8qKoRjV0rnUBX4Fi/BeW
cb/Q6yhmx5JeqPeX8boTK9M2I6KemoNsuW1T7EP/YS+AM7wTUAu0wC5SMt7OAekxGSF9wwwqR/nM
z3W2tkXKXJ6JuPqRLA5f0vkSdH0sp+vKV+BldhLSzrgubKE+0nW1u2h6WClhqB3JBLbfnOiXdIdi
Y6r1Oe7jqqOcpSA5CQ77GC0FoFfA3I0x9P6u5lFUg36oFtFTApp8yk29ji0faGFPAzsuqv0MfKnb
8Yo03VEOaTHZqskYEM81ThPdTrJbYlhK9PLoYpOivXssH9GgyMnzZ1OUn0qJ8GbZCOHcvnKdoKCQ
5TJNNGETB3DnvhKoJ2rFzcikGa2vTy7INSxp15u5GRHzaahZ68cr3VjkCS01W9ml4GjllwTEPI5U
NkwphOJGajMdpvihfOPQmoymgMwxw9GfsF8MdhZiS52qGiqYLMf5Hc1ulj+qfTjC4VngzLMtDvve
fdETOrrK3HfibXzrBOQq+eMCS7zfLLvyN3YZByxHiv9RcyJcgeGLSgcHERCmJwiIYI78iDBG4Wh5
gYNn799OVRqk2at/ZM08xMwRANoRLhubWWSCQ5z4xdhhq23Ub8A1Ey5dCltwpRuUc4E4+Li8FhL0
3ccQt50EDTD+9/49dC8B1az4J3QqS1iPuHes4YhW49T7Q4qygVT8/+nmTrNqXlb5Rdotj3YVjXFG
S9keMv2hofgwP9tUW1/6i06DWgEXC/pBwXneHENQQIje5WqEWbcEcpFA7NmeZLlp0c7Ijtc6Zn7F
BaQ+SC0JYiGEpb2QGpYmpfRVbxr2Jo3FxyMHynCiA0kfj+/pneq5o5OJK9aWFOSzN9mpnkav2Xiz
duHDdWmNZ6uL4gLYCARZz8ePYkjQ
`protect end_protected
| gpl-2.0 | 30e5ea70797e993debdfc1ac92b7bb21 | 0.94473 | 1.843947 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xfft_v9_0/hdl/butterfly_dsp48e_simd_bypass.vhd | 3 | 27,532 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
EXrKQiDb4wFfXzJBoNcJ1Ts2cJ2rL70WOl8V4ItJhUjhRgZXG6jqz9PbdV0V7ajhxJhq4i1VZK3/
xW/gJUkW4w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
hqNbeUE340ZviVAAY+7dESbwZCQHZVnAaUWfcxpcE2VLYOjaXRT3ofdlx/OqQjxepRLmySOK2dzM
hwLG3MFYbNdZkgjkBN5gJggKk8BPI2n0q4dVpvkfJ0clEGvlbjoPQAsEdDfgqvIkjc5drV1+XuJD
J/2dlIh3zuB30Dqhj7s=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
CQzfwVwZx3oPkjiIJvw2hQVB6JPa7tKb+QUTJMY09Adz9OVN9/WFEDgAhB9WTFt/iUqt7pSQwKL+
w7lRigoGHP8ey1S5erGz9qCymaeUTGsFuWa9ZHBtIfGriW7PHLyKoZ/9pxuAF1ra6KrvJMl5LewR
AtxDHb1YwajvqYvEB2NVBIleyF7ZIhzqg1PUWBpkmlvPc24q1PSs1rKcwgQoR3tmjRA4+jwfH/+F
BqtvK4/E2v7sNpCOfJILBkwmUPDtOsteizXsrlQUuGaeDA3qDCxQ2EauCYxNHCO6A5t7NzinKLu6
z2qm28X7Rn6m3NOEC418EBrDXOYPwXec7f0cXA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Y+2kko4+3WdteylczM4q67fEFiDerFPqW4/BUanMRXbL8Pv/bVgTTBISnsPz0oUD3Iw9K5ZozcJQ
I1vYtYBwlH/ZrO4xy1Et6UOVwfeUzqhU8+p5RLQjq4twXVbISFQ4SwbmhHi2nCWcvAOcRiEcrmvS
HJhqaqdNqYwshf8bP4Q=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
K0Yt9PsOUprS3ZQgvDb8Rwck87Y8aNY7bAfcRK00hMFV8qDNlaronjJDNOqwswb+lsruqqvfoIQ/
Tz/faW2oK9M5dLJbQ4FW1hqWaKxmzqsDsPv2tWilshHF2Vmt2UIyNItN4HGQnzUZuHaiG2i9UvlB
CtgjG5tzX1bg+KS2icPV+EI+8pftgxi5kL+z2DMyS2QXmhhEkH4X7/JRlf5H057UYW0ne0fru22j
gVbUV2IX8n2qaTbgMpVFeQv47Uvp+JhZ16y1J+fIOP7bbGdccX58p5gDdUyhDezizY2B6tRzV01h
cH5EmvmfzKjx9FjM1yYLBUUD8LZuOowq3aE+lA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18640)
`protect data_block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`protect end_protected
| gpl-2.0 | ce3fcabfc0b0c57ebb20bc3c659c5f14 | 0.942794 | 1.843332 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_log/flt_log_recomb.vhd | 3 | 13,695 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
U5fR7Ly6jiSnzpxVpLVxdeAF5t2+COWyOUR8htcQsU4ADoQEM3sR3zhZU5JjWl4RatPYfsbeTYft
wYNUBQTaaA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
JcrSoLMVnM1/FW6D3akIb2to9//yc4kk9QHvtUmHBR/geBPupjNJC2ERoNhO+F3zmHiv4HuIEogz
r4Q8RzHSOq8YxlWIgWjTw6TMYbsuTIFEOwo5AgAT1p085bqstd8Dle00LtV/SPqQJtpaicUb+bcf
jKhn3/vwrkAixLxKMrs=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
WGswdTKoDvzBb0OdTFrc+G8T9pgH1IlCvte2o1yWS6s9T8D/L4lWwasMR/dq/Dr2RXhhmRgHrcAg
8YiyAOOh6JqhOQNiyvzcKKnYiMW3zQfM3RAwS4uFveKnHtiUkiTmv6patQiXXriS8XP5eFuBLtmL
eeHByW9/bblqbgDpbQLXjThT9YwQvMp86KMTG0ibeC3CbD2jHUsDMUFBeq0GSalmibZUJ44lg2JC
GC0CvwFvXEgHRjZUHXTTDhaeEB/Q80/P1rV35NQjlkBnEg9n7RcXjPwGSg5iM1RApbt72XVi10Zp
ISS9lMY+AhlJk/9gVUqxDoISekQ4V3NPK/qDJQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
nStovpGU9Go+xari3N8GyyGoDvYVeJB0DthM/29iK2C7jagufawZn7elM3IVigG9PBHoiscywZsL
98Tdj26Yk3GhrzkdbppY8cMejlZrDEqyox08hbdyK8qXUMjaJjAQuWpH3ol2bO0RTh8J77Hwpk3R
iltKLX1a0kqcoYF15ww=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
nXo15/AHkpYGC5tcNXbzPzFA+jd2VnvGSS23YFGlpP41iLfXCzX2UaYIOuHkNc3w5VGh8nsjdvMy
8zvKotY9TuTnjqYl8CMwVtmYhudnyfdwmJY7Yr2ftgmS9GzFOel1yvIy5+64uWTbQoN5wa0wEjjn
7X6aBmhyF2p3tXsGO4weXXS18oAoklW/MPedEODCOqnyOPUWgA+TWTb3QWtxaw0yctE16h6PTCxX
UE1JyNqFpyu/mgzWB+0UIFNXnlBlE2z/AU/25Zc9JyejzI2JJGREk0IABbAi/Rs0w3oX8HDTDwoq
jYbFGaTav9s0VEz/ljyptjtGzvv2Nfq9kXoORg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8400)
`protect data_block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`protect end_protected
| gpl-2.0 | 50ca84054afc14f265d669357614dabf | 0.935159 | 1.884547 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/shared/align_add.vhd | 2 | 29,303 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
FiRDw6U5z83hiay+R7/kE36EBhu9NTOgbGEuGmX/l+AzJ9q+qLxTSGaI44CZ6gJ2ITLhLIcrLjy0
Gj3sMAAsrw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
XxJIyOmQ3LRxBn0+7R8dX7VuxfJSZ3WBZjmI23g2Kj6M4ksvO3qfawS3cUSKO2ZpMKJWu3KESMN3
j+FrdJOZ2T4yL2w1pgTVaqYCd1arF2PWvZ6S6ZO3eYJlyl1XgUoevC2qxcDypQZB8gFmnjjrVypS
0rO49wXStZs5nqI3XBo=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Md1t2sbI8hjvsD0SKBXkLqSAPhLt67LGojsGIZYmYJW2k46K8lwTKuuy9g9INqIzmVoURRfd9Aab
F9paMs4Jj2RW9iVypsrctlRZH7JIMtb3I1GA7YdKuIObWSg458evRgHlDnBkNLeKC4rZ9DiwiYvb
LleALbOvq8+NLhUVpw3CwqlDwdqTxylVJKRks+bIhjSgQAeKBt7gmUFCB2+Y1bDNWY+gZaMKOez9
9ZVUfvbPZTyiDV8rhvvr5wk//Zs+sTBVwvPaTSoKQNLQTYVmac6T3ECPju8PAnygz1ZJ+jbEcBun
xAMORCFN3oSNaxzB1Hn2PLfFjarv9TrJYfNzow==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
KR9qweSer6wqBlOJkDXzgy1GQ5R1ZaCiKgJWVKTIjS/iOvu5ikSYJXoS6PYj45LRnSNOaZt14pzQ
LMDZ+P55I42iOCs7zl+OfAL+PPO8i1VRB7GwDewISJ0+g7nJIIn4bAjJXGtVcOBR7u2mfpDMiMsb
cj6Mi7JdeIJBVowm55Q=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
IPrZTgrAaJEBXoqcbPkPvtrRJ3QY1Ba8hdSzZw0JL3mt/wn++mf/eEuARjlbsCIOUSmficaV3uIo
xhgWWYFxqqyguR6bIHh8u7OGe4lL3K02XLmFLaJry0GkqkNsNszmeUYfr9CmcDd1PxrNgpKUTd9a
yU+HhFAYaesIqLcw6/UugLHbc33rAwC8DsflWLvTXhmy8iy3e+kcCWbKDU4SWMRPcy7FZTLvsko+
4y6iK5XDiaR6zWkcM10EltYq5oSuHrfawTzecX5JqJi86Izn7EPajzvYKfSfBFdcHT+oejr+Xnmo
xwjfBkdU7dtoSs+HQwIoxTeIyA+YvP3MTuj9uA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 19952)
`protect data_block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`protect end_protected
| gpl-2.0 | ea10a8e3b78a751fd623a1e788545fb8 | 0.9455 | 1.840063 | false | false | false | false |
FlatTargetInk/UMD_RISC-16G5 | ProjectLab2/Shadow_Reg_No_VGA/Shadow_EX_NoVGA/ProjLab01.vhd | 1 | 14,928 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer: Rob Mushrall
-- Timothy Doucette Jr
-- Christopher Parks
--
-- Create Date: 15:43:26 03/25/2016
-- Design Name:
-- Module Name: ProjLab01 - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use work.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
entity ProjLab01 is
Port ( CLK : in STD_LOGIC;
RST : in STD_LOGIC;
--instruction : in STD_LOGIC_VECTOR (15 downto 0);
ALU_OUT : out STD_LOGIC_VECTOR (15 downto 0);
DST_ADR : out STD_LOGIC_VECTOR (15 downto 0);
STORE_DATA : out STD_LOGIC_VECTOR (15 downto 0);
CCR : out STD_LOGIC_VECTOR (3 downto 0));
end ProjLab01;
architecture Structural of ProjLab01 is
signal OP1, OP2, OP3, OP4 : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0');
signal RA1, RA2, RA3 : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0');
signal RA4 : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '1');
signal RB1, RB2, RB3, RB4 : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0');
signal PC0, PC1, PC2, PC3, PC4 : STD_LOGIC_VECTOR (4 downto 0) := (OTHERS => '0');
signal IMM1, IMM2, IMM3 : STD_LOGIC_VECTOR (7 downto 0) := (OTHERS => '0');
signal GLOBAL_EN : STD_LOGIC := '1'; -- Determines whether things are enabled (allowed to operate)
signal IMM_SEL : STD_LOGIC := '0'; -- Determines selection between immediate data and RB
signal PC_EN, PC_INC : STD_LOGIC := '1'; -- Program counter enable
signal PC_RST : STD_LOGIC := '0';
signal INST_EN : STD_LOGIC := '1'; -- Enables instruction memory
signal RD_EN, WR_EN : STD_LOGIC := '0'; -- Enables the register bank to read, write
signal OPR1, OPR2, OPRB :STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); -- From reg bank to RA and RB data registers
signal OPIN : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0');
signal RAIN : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0');
signal RBIN : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0');
signal IMMIN : STD_LOGIC_VECTOR (7 downto 0) := (OTHERS => '0');
signal IMSEL : STD_LOGIC := '0';
signal OP1_SEL, OP2_SEL : STD_LOGIC_VECTOR (1 downto 0):= (OTHERS => '0'); -- Selector for data contention
signal ALU_RESULT : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); -- Latched Result of ALU
signal ALU_VAL : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); -- Result direct from ALU
signal ALU_OUT_FLAGS : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); -- flags output from ALU
signal ALU_FLAGS : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); -- latched flags from ALU
signal RA_IN, RB_IN : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); -- Values to go to DC Muxes
signal RA_OUT, RB_OUT : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); -- Values from DC muxes to ALU
signal ALU_DC1, ALU_DC2: STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); -- Data contention ALU values
signal RA_DC1, RA_DC2: STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '1'); -- Data contention RA values
signal RB_DC1, RB_DC2: STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '1'); -- Data contention RB values
signal DATARD_EN, DATAWR_EN: STD_LOGIC := '0'; -- Enable reading or writing to/from Data Memory
----------------------------------------
-- Project lab 2 --
----------------------------------------
signal SHADOW_DAT : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); --Shadow Register output signal
signal EX_ADDR : STD_LOGIC_VECTOR (13 downto 0) := (OTHERS => '0'); --Shadow_Reg + IMM output
signal EX_ADDR_OUT : STD_LOGIC_VECTOR (13 downto 0) := (OTHERS => '0'); --Shadow_Reg + IMM output
signal EX_DATA : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0');
signal ALU_EX_MUX : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0');
signal EX_WR : STD_LOGIC := '0';
signal EX_RD : STD_LOGIC := '0';
begin
ALU_OUT <= ALU_RESULT;
CCR <= ALU_FLAGS;
-------- Debugging I/O --------
---------------------------------
--ALU_OUT <= "000" & RA4 & RB4 & PC4; --ALU_RESULT;
--STORE_DATA <= "000" & IMSEL & OP4 & IMM3;
--OPIN <= instruction(15 downto 12);
--RAIN <= instruction(11 downto 8);
--RBIN <= instruction(7 downto 4);
--IMMIN <= instruction (7 downto 0);
-------- ALU --------
-----------------------
ALU_UNIT : entity work.ALU_Toplevel
port map(RA => RA_OUT,
RB => RB_OUT,
OP => OP3,
CLK => CLK,
ALU_OUT => ALU_VAL,
SREG => ALU_OUT_FLAGS,
LDST_DAT => STORE_DATA,
LDST_ADR => DST_ADR);
-------- Fetch --------
-------------------------
Fetch_UNIT : entity work.Instruction_Memory_TL
port map( CLK => CLK,
RST => RST,
RA => RAIN,
RB => RBIN,
OP => OPIN,
IMM => IMMIN);
-------- Control Units --------
---------------------------------
-- DISPTCH : entity work.Dispatch port map(CLK => CLK, -- (in)
-- OPC => OP2, -- (in)
-- RA => RA2, -- (in)
-- RB => RB2, -- (in)
-- RA4 => RA4, -- (in)
-- IMM_SEL => IMM_SEL, -- (out)
-- DC1 => DC2_1, -- (out)
-- DC2 => DC2_2); -- Dispatch control unit (out)
-- FETCH : entity work.Fetch_CTL port map(CLK => CLK, -- (in)
-- EN => GLOBAL_EN, -- (in)
-- RST => PC_RST, -- (out)
-- INC => PC_INC, -- (out)
-- PC_EN => PC_EN, -- (out)
-- INST_EN => INST_EN); -- Fetch control unit (out)
REGCTL : entity work.REG_CTL port map(CLK => CLK, -- (in)
OPC => OP1, -- (in)
OPC4 => OP4, -- (in)
RD_EN => RD_EN, -- (out)
WR_EN => WR_EN); -- Register control unit (out)
DCCTL : entity work.DC_CTL port map(CLK => CLK, -- (in)
RA => RA3, -- (in)
RB => RB3,
RA0 => RA4,
-- RB0 => RB4,
RA1 => RA_DC1,
RA2 => RA_DC2,
-- RB1 => RB_DC1,
-- RB2 => RB_DC2,
OPC => OP3, -- (in)
OP1_SEL => OP1_SEL, -- (out)
OP2_SEL => OP2_SEL); -- Data contention (out)
DATA_CTL : entity work.DATA_CTL
port map(CLK => CLK,
EN => GLOBAL_EN,
OP => OP3,
RD_EN => DATARD_EN,
WR_EN => DATAWR_EN);
IMSELECT : entity work.IMSEL
port map(OP => OP2,
SEL_IM => IMSEL);
-------- Pipeline Registers --------
--------------------------------------
----> Stage One <----
OP1_Reg: entity work.PipelineRegisters
generic map( dataWidth => 4)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => OPIN,
Dout => OP1);
RA1_Reg: entity work.PipelineRegisters
generic map( dataWidth => 4)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => RAIN,
Dout => RA1);
RB1_Reg: entity work.PipelineRegisters
generic map( dataWidth => 4)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => RBIN,
Dout => RB1);
IMM1_Reg: entity work.PipelineRegisters
generic map( dataWidth => 8)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => IMMIN,
Dout => IMM1);
PC1_Reg: entity work.PipelineRegisters
generic map( dataWidth => 5)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => PC0,
Dout => PC1);
----> Stage Two <----
OP2_Reg: entity work.PipelineRegisters
generic map( dataWidth => 4)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => OP1,
Dout => OP2);
RA2ADR_Reg: entity work.PipelineRegisters
generic map( dataWidth => 4)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => RA1,
Dout => RA2);
RB2ADR_Reg: entity work.PipelineRegisters
generic map( dataWidth => 4)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => RB1,
Dout => RB2);
OPR0_Reg: entity work.PipelineRegisters
generic map( dataWidth => 8)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => IMM1,
Dout => IMM2);
-- OPR1_Reg: entity work.PipelineRegisters
-- generic map( dataWidth => 16)
-- port map( Clk => CLK,
-- Ena => GLOBAL_EN,
-- Rst => RST,
-- Din => F2OPR1,
-- Dout => S3OPR1);
-- OPR2_Reg: entity work.PipelineRegisters
-- generic map( dataWidth => 16)
-- port map( Clk => CLK,
-- Ena => GLOBAL_EN,
-- Rst => RST,
-- Din => F2OPR2,
-- Dout => S3OPR2);
PC2_Reg: entity work.PipelineRegisters
generic map( dataWidth => 5)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => PC1,
Dout => PC2);
----> Stage Three <----
RA3ADR_Reg: entity work.PipelineRegisters
generic map( dataWidth => 4)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => RA2,
Dout => RA3);
RB3ADR_Reg: entity work.PipelineRegisters
generic map( dataWidth => 4)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => RB2,
Dout => RB3);
PC3_Reg: entity work.PipelineRegisters
generic map( dataWidth => 5)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => PC2,
Dout => PC3);
OP3_Reg: entity work.PipelineRegisters
generic map( datawidth => 4)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => OP2,
Dout => OP3);
RA_DATA: entity work.PipelineRegisters
generic map( datawidth => 16)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => OPR1,
Dout => RA_IN);
RB_DATA: entity work.PipelineRegisters
generic map( datawidth => 16)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => OPRB,
Dout => RB_IN);
----> Stage Four <----
RA4ADR_Reg: entity work.PipelineRegisters
generic map( dataWidth => 4)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => RA3,
Dout => RA4);
RB4ADR_Reg: entity work.PipelineRegisters
generic map( dataWidth => 4)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => RB3,
Dout => RB4);
PC4_Reg: entity work.PipelineRegisters
generic map( dataWidth => 5)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => PC3,
Dout => PC4);
ALU_OUT_Reg: entity work.PipelineRegisters
generic map( dataWidth => 16)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => ALU_EX_MUX,
Dout => ALU_RESULT);
ALU_FLAGS_Reg: entity work.PipelineRegisters
generic map( dataWidth => 4)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => ALU_OUT_FLAGS,
Dout => ALU_FLAGS);
OP4_Reg: entity work.PipelineRegisters
generic map( dataWidth => 4)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => OP3,
Dout => OP4);
---->EXTERNAL_MEMORY_MUX<------
-------------------------------
with OP3 select
ALU_EX_MUX <= EX_DATA when "1011",
ALU_RESULT when others;
----> DC Stage 1 <----
ALU_OUT1_Reg: entity work.PipelineRegisters
generic map( dataWidth => 16)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => ALU_RESULT,
Dout => ALU_DC1);
RA_DC1_Reg: entity work.PipelineRegisters
generic map( dataWidth => 4)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => RA4,
Dout => RA_DC1);
RB_DC1_Reg: entity work.PipelineRegisters
generic map( dataWidth => 4)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => RB4,
Dout => RB_DC1);
----> DC Stage 2 <----
ALU_OUT2_Reg: entity work.PipelineRegisters
generic map( dataWidth => 16)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => ALU_DC1,
Dout => ALU_DC2);
RA_DC2_Reg: entity work.PipelineRegisters
generic map( dataWidth => 4)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => RA_DC1,
Dout => RA_DC2);
RB_DC2_Reg: entity work.PipelineRegisters
generic map( dataWidth => 4)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => RB_DC1,
Dout => RB_DC2);
-------- Immediate Select Mux --------
----------------------------------------
with IMSEL select OPRB <=
x"00" & IMM2 when '1',
OPR2 when OTHERS;
-------- Memory Entities --------
-----------------------------------
ProgCounter: entity work.programCounter
generic map(PCWIDTH => 5)
port map( CLK => CLK,
EN => PC_EN,
RST => RST,
INSADR => PC0);
RegisterBank_Unit: entity work.RegisterBank
port map( RAddr => RA1,
RBddr => RB1,
RWddr => RA4,
DATAIN => ALU_RESULT,
clk => CLK,
R => RD_EN,
W => WR_EN,
RAout => OPR1,
RBout => OPR2);
------------------------------------------
-- Project lab 2 --
------------------------------------------
Shadow_Reg: entity work.Shadow_Reg
port map( RAddr => RB1(3 downto 2),
--RBddr => RB1(1 downto 0),
--RWddr => ,
--DATAIN => RB1,
CLK => CLK,
RST => RST,
R => RD_EN,
W => WR_EN,
RAout => SHADOW_DAT);--Goes to "Shadow_Reg + IMM" MUX
-- RBout => SHADOW_DAT(1 downto 0));--Goes to "Shadow_Reg + IMM" MUX
Shadow_IMM_Add: entity work.Shadow_IMM_Add
port map( SHADOW => SHADOW_DAT,
IMM => IMM2(3 downto 0),
EX_ADDR => EX_ADDR);
EX_MEM_REG: entity work.PipelineRegisters
generic map( dataWidth => 14)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => EX_ADDR,
Dout => EX_ADDR_OUT);
EXTERNAL_MEM: entity work.EXTERNAL_MEMORY
port map( CLKA => CLK,
ADDRA => EX_ADDR_OUT,
DINA => RA_OUT,
WEA(0) => EX_WR,
DOUTA => EX_DATA);
EX_MEM_CTL: entity work.EX_MEM_CTL
port map( CLK => CLK,
EN => GLOBAL_EN,
OP => OP3,
RD_EN => EX_RD,
WR_EN => EX_WR);
-------- Data Contention Handler --------
-------------------------------------------
with OP1_SEL select RA_OUT <=
ALU_RESULT when "01",
ALU_DC1 when "10",
ALU_DC2 when "11",
RA_IN when OTHERS;
with OP2_SEL select RB_OUT <=
ALU_RESUlt when "01",
ALU_DC1 when "10",
ALU_DC2 when "11",
RB_IN when OTHERS;
end Structural;
| gpl-3.0 | 69ead1e5a8ad18298e7731c9b89dafc9 | 0.518355 | 2.853756 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_add/flt_add_lat_align_add.vhd | 3 | 28,958 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
o8aPZVQ0BxSqgbNenLKYaM8OG9BuMpMLjosc4pM/4XokwsnXiWNYPCiIqtdZXduYAFKSLbO/JcIy
ely4DWOpVQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
X7RLnFiGs7YNLCpniO3IFa8dL0LL6kXh2JuOv3Q+ks9pDRtxM0hyteUB9OxaSXAs3et0laoVU732
S7YBeuKMdcbMKG1glHY+nTQSwcAg5ySd1uCpGFnLiryeciaLwPlqMbkaoCztY4MU/5udJj92CsaD
5m4tdotJuY7BJtFbmnY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Iq1KtT1oizXJuvWScrUC8AGDrBQHT2l66zYfHcN2c1dKrLCgBcwpXtcpqfrBjAKa9Fe2nXVUNBxP
xiLw7RCN81PI9GX5KfofCPQ8S7gih+kSZuf9SExNS934twFM9YoL96eVPCCNzUinYkhZ3eO2oPIV
M2RLffKZio7oTkGAPsuk7msJ6uYugOVmQmyyEala7nWDXYOF1DN7ISVltpaVsfXdceXx0ISW/puh
aSRVtoE0JmOLo1sNPp6Ds1gu1J1XRtCJv/vO0gssiv7Sn30aK37tj2dPYZ19kT/ZGmJRhfBm16yv
Q1uEUrkGZIucqC4bZd+kbO9THqy1FEmpWhs4+Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
vXBQkDW8IPd+SAbz+VT0oLGc8e5BDDXxreZAHG0Mbk+5edp4Jrjv4wHf7LfYiubYwrNzWKQpWCVF
j3Z5BAXsqTJIuASxy/xBV89q8TtlsQNQkbVdJXd8Zaw3qcZAJHkBLHeHlhEnbboIgmug1ePbJ6pq
9eNKMHh3jipZj/Oqo8k=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Lrse2qNm+82AMBhVltdLqPRTQzgawu35mrgainArfeF6W+wGJyR2qr2UjVe16wi8IsprVcTQL7yF
tIE9NL1gWdowgTDZQs791L2vVykzuw1cw1lquy8wZIoYlLv/OiLXeTUE/fnh6DAnQBPNY9j5HNRz
GVuikrNp725qYKLHHpFAErYyrMAHZQKl+ObE35GfgGvaoS80yqt8EPAofkFitjinvBRuGMMcT3Ba
UPiK06uKMdQ1VD/WiJafbjSLysUWJJZJ4lu/IH567yv2ULIqcgCWt94wcmL9xJr/oBuidZYpvIDi
UNymE7sBRnQrfldWcWVuBmHxr+j9GYjGqyNh+w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 19696)
`protect data_block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`protect end_protected
| gpl-2.0 | 8d10a84b7a9c289d90274bf36ee2f0c3 | 0.943781 | 1.832437 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/bram/blk_mem_gen_v8_2/hdl/blk_mem_gen_generic_cstr.vhd | 11 | 136,312 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
VZz3gA1OEAo0GderLFN1vAy9VjW6GHfNIvzuIsiYL4pObhfFuD92v4JXddeUi8Mb9uiE9mWeniAP
6axurY4i4Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
V/FHqGcuHkuQA/mXo5Z6hby0fYqv5HdbLwzSf6RRkitLROmzZ7kuem6lbOkkdKPEq7el37wV7LLB
lS7Z0SpN6+NFFxvpJwmAXsxAlmNILJUkYM2qy3RGTd18wZzOLOD3LW/CxHw6U/8KDCIE0QPR7gPZ
MonMc1sdRumiZxCH6p8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MhNvJyoQvuBNKA9BY4qs8iuN6gsubuCwSLP9+9HALR7b3OaTr2NNpcJWL5Z2I5y4M70GpNsDLMPN
9x6xxl6dDjiJRZECV9SwEWPnMOiCoaB+AsXeT7vUUtbJNztLP+IJM8m4GxoaXa82G7GmovIGBV/w
4I8s3WETrKiD+AzsZGw7+K2Rv9qW0odg0raG3Nf3cg0Jj3QVcFHpKgJBjvYUZ5EulGHRyU9ez7w6
y6RJfN1K1RY6s4t66rCqdUJUgL6zuBnooS8J3bfZMDJBU02FgqcqfaGv3VkgxhqebXTwUHH6dt05
XvK5nC5Fa8ivOVaKTCai6uvlheV1U35wjc4UaA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
rQ7j2Mp14x4heUZNN1sxi590D3svKV0yVp+Er26zbuDVzZY2es4L4i8KGLZDZgWNlJ8KygSbSSmu
AMrgF/9J6L45adeffFOhMlUSE3dKMc9uVglmHX8+NJzpjX5dYFIRPf6r2tIEsYL669q5VkCA/E1w
OYrkIhxgyBWc5KA4bdA=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XSzu0gCO60UCezzrasTnl1P56lBSmB5WoyDnA9GcGthkxKlRJzbd5G8JQBmzgeQ/X31AQOI/hSSR
0KBXcFTa4p7F8sfh56g8EO9ICdlNGlmK5lwucB30KgGIDn+D16gBT1WrdmuBInOK9hVfZpKxtH1C
vNGY4AZdGokYQo2eE7iEU2Odq01QNto1qz8mklUCahoHRhjnyHMerh56TbVU4kjgnoVqlDhf67S9
1gulUL5I72iVvZt4D9d+OrnhSSYIHIsZQNCxGgLPpQh16jelRUvUb8ApjVQqxWWD45oYQxzToKeP
cjW5Qj2B5xwGT/QE3ivcnwGi9iOvHlavt386EQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 99168)
`protect data_block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`protect end_protected
| gpl-2.0 | 709633cd0586142bd5939e8e2730f693 | 0.954039 | 1.81621 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/r22_ovflo.vhd | 2 | 8,402 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
TV2XFFJjKe0jaTjAs/EQo2VJ3TywTzbpxvlIaNQjg60ylFLuwhxEBk9qed2M0AZwzEqqFkuW6t0x
WPViSyGgEg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
dLKlpdQ5m8v2QaliwIC4cgW+nKHsZAuQfM50GisqoI1iNfLhNQJC9IoaoMNdIT55JlezzguKsnhY
pRcd8/tL64SId03V7G5Mn9GpHe4XE+q+BJL1oIcwHZJ5cPJl2OihozZ7yGKmKj5X3rHOcF2hpYY1
1quFufe4NmmQc49gDnA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
X/pUY6DHdMuv8UZplDFUD5HkhLr78D0ZYk4JNSRiQ0rTlZn6Fihmo8DgXrh4j3arm0IIY9cSgjfu
10YEotuuIjVvKQ5GU+KB/lhKrHNeWM+qR8FBa+PEoAF/lfHcFjwupF4za/E4xxlhqYiKKuVVzPzC
Q9czCF3WcJ0KP+Suq4cdojt4a8q2X7YdkBLJT6F0N+MoI8YmkxWNRoD1EpLpTGPVoY+NkKvc7cvA
GpXPpiakm0kZJ1BxRB5Upt0V2QPAwHcThPDGfOEEs+8/a8e/sZECcjJfLfNFdIiJdHQpBs/HyM3S
1aJ1vHeNsupETc0oE/zKiCzSTCejRamhr58NQw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
t6GJHxHks7sdRx/S521s/K2zpUxpOQfHxzATOV8KLnNymz7YljV5m++1ma3rmYA5PJhe+jRcz2kL
No8vibIgSB6As7iujW6F3HjA5grnIS493cDwMDJhQckPiAuRsTB5A3zRFGQpF2tUgi0bUepfd7aB
gZSK7bZyAw4zXEPq8UM=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bBeXXzlmxLeqUWyBKORCy1TsPfPgqe9f+r+jFOpb2tJB7GVJ5lTWfqqZtZem2IlNopzb1SPY6rgg
sVNVy9z6A2dFk2ZfXQv35hXht014TEaH/gERjXn8bygUghqjq9BW2DhEgpVyrfZ8oGQ7sXntap3F
yGR3dkbK6jY0e/Tor3EuYZooT8bqvTqgec2FJphkO45mctSeBCofcygyRG1PEyDHNdJau65KHACx
Z3DOHFErdSlXVJKPjqx2dSJB7CyERx8zJql4pZ8ronQPmilCfZi43bSVL1POlc+u/IM3SoqWVP3g
2L2Hn9S73yXiu4gxxkVnOoM9hB6WMyQo09aANg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4480)
`protect data_block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`protect end_protected
| gpl-2.0 | a174ae304118ed8fb881ee0b5d6499e8 | 0.918829 | 1.922215 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_recip/flt_recipsqrt_dp_recsqrt_r_rom.vhd | 2 | 28,674 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
SRDqyMxYE6bDZZP48PXNTJWMIhcHZt2NADzxKsqRiGZYWi/002oioag4j4OZ3t6qeoP3P0bXIaNC
uYoA1uew0w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UCn4cjD8gq0PgvPvKwILYMx3sZoXQPgSpD5GGH+2ApvC96JjCckJjLZhEWZSGZiL/lzIsXJAPiXS
aSXm/vOuzn14QpWd/TlsOtjpROomwxQMlg9POxXyXvy8MMwsaDg6xbx6otelijSpEcJRYu/Up2f+
CqBrsqcx8KQCUw7KHxg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
tOiWHPQ0OIXMUtduxV08ZJh0RADCAguRvIPIpbLfgc9HOSh8HDu2tztG0a5Ta30o0dsWzMiO/myZ
hgBsBGq+glaXZi8QaBCts3eIDd6l/v5Bc5ZqHnXxyCbR4MvN0gE75NmgK/r2JJVBymmFSAML8V7o
5PoZxHX9QGKlI+vfGjjZByNp+xjzJb0yGNILrv1eX92zQ1uu2cQp0NBvcxWAsdTaJrd+jKBWKTu8
3jOgylUFv2W/RGGXUJNDttR+MnbuGB8syML69/++1Q0g7t9YXlVN57xEVe72i6m5BGZzJnpiB14U
KfelpbRBXphw39lg04FyUD4KJ5qgS24y/jc2TQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
g+z8kTkZUEoPBVueYXEF66e0rx5fQYQsLNdtdwy9zFey99OY3BoIZszbbWpWkF7VoLHSXShRcwIB
mZzYEcbcPW9oEVhAE8zVx6JGs4pfH2LhRXJUoPOodhsPtPc6fO8Q4aCj7j8rHjDsZESlexwifgxo
TItQdSjA8A0z4GRcxck=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Ar1wbTJFP90PDWEYbsRSwGhcR+psEIqQCDFFIo1OFsPM+68z6q3+U4BrWsEIOMRosX0yAjJpcooL
zVnIliM0sGLRBOyXWWsM3FJwZrR8JKDazKNI7GcXsjJvccePMICbTAhew885Alam88hizdbP5+A2
wRH09VjvcfkQGUZl6D+ajUr41Fjxcyau6jbM+6XdykHENA3Wweg4ZKOt/HIe2nCwpoHi1r+3/uRY
PnLMJlc0T4xQrJVz6t3K+DPVxspfcsM07I50w+ksfV7+s9oXzi6QBteAqmaejzgPtg/5UjCFxUwB
RWwiyJrD8nWtCzqRF+34g5EpHICdgCzQXTlsLQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 19488)
`protect data_block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`protect end_protected
| gpl-2.0 | 0492ded430c64dc6d44c538d01d7e5eb | 0.945421 | 1.840673 | false | false | false | false |
FlatTargetInk/UMD_RISC-16G5 | ProjectLab2/Shadow_Register/Lab04/font_rom_ascii.vhd | 12 | 53,139 | ---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2015
-- Module Name: Font Rom ASCII
-- Project Name: VGA Toplevel
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: Output ASCII value bit by bit
-- ROM with Synchonous read
--
-- Notes:
-- Character ROM STATS:
-- 8x16 char font
-- 128 Characters
-- Size: 512x8 (2^11 x 8) bits
-- 16K bits = 1 BRAM
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity FONT_ROM is
port( CLK: in std_logic;
ADDR: in std_logic_vector(10 downto 0);
DATA: out std_logic_vector(7 downto 0)
);
end FONT_ROM;
architecture arch of FONT_ROM is
constant ADDR_WIDTH: integer:=11;
constant DATA_WIDTH: integer:=8;
signal addr_reg: std_logic_vector(ADDR_WIDTH-1 downto 0);
type rom_type is array (0 to 2**ADDR_WIDTH-1) of std_logic_vector(DATA_WIDTH-1 downto 0);
-- ROM definition: 512x8
constant ROM: rom_type:=(
-- code x00 - Blank
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000000", -- 3
"00000000", -- 4
"00000000", -- 5
"00000000", -- 6
"00000000", -- 7
"00000000", -- 8
"00000000", -- 9
"00000000", -- a
"00000000", -- b
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x01 - Smile Face
"00000000", -- 0
"00000000", -- 1
"01111110", -- 2 ******
"10000001", -- 3 * *
"10100101", -- 4 * * * *
"10000001", -- 5 * *
"10000001", -- 6 * *
"10111101", -- 7 * **** *
"10011001", -- 8 * ** *
"10000001", -- 9 * *
"10000001", -- a * *
"01111110", -- b ******
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x02 - Smile Face Invert
"00000000", -- 0
"00000000", -- 1
"01111110", -- 2 ******
"11111111", -- 3 ********
"11011011", -- 4 ** ** **
"11111111", -- 5 ********
"11111111", -- 6 ********
"11000011", -- 7 ** **
"11100111", -- 8 *** ***
"11111111", -- 9 ********
"11111111", -- a ********
"01111110", -- b ******
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x03 - Heart
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000000", -- 3
"01101100", -- 4 ** **
"11111110", -- 5 *******
"11111110", -- 6 *******
"11111110", -- 7 *******
"11111110", -- 8 *******
"01111100", -- 9 *****
"00111000", -- a ***
"00010000", -- b *
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x04 - Diamond
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000000", -- 3
"00010000", -- 4 *
"00111000", -- 5 ***
"01111100", -- 6 *****
"11111110", -- 7 *******
"01111100", -- 8 *****
"00111000", -- 9 ***
"00010000", -- a *
"00000000", -- b
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x05 - Cloves
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00011000", -- 3 **
"00111100", -- 4 ****
"00111100", -- 5 ****
"11100111", -- 6 *** ***
"11100111", -- 7 *** ***
"11100111", -- 8 *** ***
"00011000", -- 9 **
"00011000", -- a **
"00111100", -- b ****
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x06 - Spades
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00011000", -- 3 **
"00111100", -- 4 ****
"01111110", -- 5 ******
"11111111", -- 6 ********
"11111111", -- 7 ********
"01111110", -- 8 ******
"00011000", -- 9 **
"00011000", -- a **
"00111100", -- b ****
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x07 - Circle
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000000", -- 3
"00000000", -- 4
"00000000", -- 5
"00011000", -- 6 **
"00111100", -- 7 ****
"00111100", -- 8 ****
"00011000", -- 9 **
"00000000", -- a
"00000000", -- b
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x08 - Circle Invert
"11111111", -- 0 ********
"11111111", -- 1 ********
"11111111", -- 2 ********
"11111111", -- 3 ********
"11111111", -- 4 ********
"11111111", -- 5 ********
"11100111", -- 6 *** ***
"11000011", -- 7 ** **
"11000011", -- 8 ** **
"11100111", -- 9 *** ***
"11111111", -- a ********
"11111111", -- b ********
"11111111", -- c ********
"11111111", -- d ********
"11111111", -- e ********
"11111111", -- f ********
-- code x09 - Ring
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000000", -- 3
"00000000", -- 4
"00111100", -- 5 ****
"01100110", -- 6 ** **
"01000010", -- 7 * *
"01000010", -- 8 * *
"01100110", -- 9 ** **
"00111100", -- a ****
"00000000", -- b
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x0a - Ring Invert
"11111111", -- 0 ********
"11111111", -- 1 ********
"11111111", -- 2 ********
"11111111", -- 3 ********
"11111111", -- 4 ********
"11000011", -- 5 ** **
"10011001", -- 6 * ** *
"10111101", -- 7 * **** *
"10111101", -- 8 * **** *
"10011001", -- 9 * ** *
"11000011", -- a ** **
"11111111", -- b ********
"11111111", -- c ********
"11111111", -- d ********
"11111111", -- e ********
"11111111", -- f ********
-- code x0b - Male Symbol
"00000000", -- 0
"00000000", -- 1
"00011110", -- 2 ****
"00001110", -- 3 ***
"00011010", -- 4 ** *
"00110010", -- 5 ** *
"01111000", -- 6 ****
"11001100", -- 7 ** **
"11001100", -- 8 ** **
"11001100", -- 9 ** **
"11001100", -- a ** **
"01111000", -- b ****
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x0c - Female Symbol
"00000000", -- 0
"00000000", -- 1
"00111100", -- 2 ****
"01100110", -- 3 ** **
"01100110", -- 4 ** **
"01100110", -- 5 ** **
"01100110", -- 6 ** **
"00111100", -- 7 ****
"00011000", -- 8 **
"01111110", -- 9 ******
"00011000", -- a **
"00011000", -- b **
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x0d - Single Music Note
"00000000", -- 0
"00000000", -- 1
"00111111", -- 2 ******
"00110011", -- 3 ** **
"00111111", -- 4 ******
"00110000", -- 5 **
"00110000", -- 6 **
"00110000", -- 7 **
"00110000", -- 8 **
"01110000", -- 9 ***
"11110000", -- a ****
"11100000", -- b ***
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x0e - Double Music Note
"00000000", -- 0
"00000000", -- 1
"01111111", -- 2 *******
"01100011", -- 3 ** **
"01111111", -- 4 *******
"01100011", -- 5 ** **
"01100011", -- 6 ** **
"01100011", -- 7 ** **
"01100011", -- 8 ** **
"01100111", -- 9 ** ***
"11100111", -- a *** ***
"11100110", -- b *** **
"11000000", -- c **
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x0f - Star
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00011000", -- 3 **
"00011000", -- 4 **
"11011011", -- 5 ** ** **
"00111100", -- 6 ****
"11100111", -- 7 *** ***
"00111100", -- 8 ****
"11011011", -- 9 ** ** **
"00011000", -- a **
"00011000", -- b **
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x10 - Arrow Head Right
"00000000", -- 0
"10000000", -- 1 *
"11000000", -- 2 **
"11100000", -- 3 ***
"11110000", -- 4 ****
"11111000", -- 5 *****
"11111110", -- 6 *******
"11111000", -- 7 *****
"11110000", -- 8 ****
"11100000", -- 9 ***
"11000000", -- a **
"10000000", -- b *
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x11 - Arrow Head Left
"00000000", -- 0
"00000010", -- 1 *
"00000110", -- 2 **
"00001110", -- 3 ***
"00011110", -- 4 ****
"00111110", -- 5 *****
"11111110", -- 6 *******
"00111110", -- 7 *****
"00011110", -- 8 ****
"00001110", -- 9 ***
"00000110", -- a **
"00000010", -- b *
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x12 - UP/DOWN Scroll
"00000000", -- 0
"00000000", -- 1
"00011000", -- 2 **
"00111100", -- 3 ****
"01111110", -- 4 ******
"00011000", -- 5 **
"00011000", -- 6 **
"00011000", -- 7 **
"01111110", -- 8 ******
"00111100", -- 9 ****
"00011000", -- a **
"00000000", -- b
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x13 - Double Esclamation Mark
"00000000", -- 0
"00000000", -- 1
"01100110", -- 2 ** **
"01100110", -- 3 ** **
"01100110", -- 4 ** **
"01100110", -- 5 ** **
"01100110", -- 6 ** **
"01100110", -- 7 ** **
"01100110", -- 8 ** **
"00000000", -- 9
"01100110", -- a ** **
"01100110", -- b ** **
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x14 - Paragraph Block
"00000000", -- 0
"00000000", -- 1
"01111111", -- 2 *******
"11011011", -- 3 ** ** **
"11011011", -- 4 ** ** **
"11011011", -- 5 ** ** **
"01111011", -- 6 **** **
"00011011", -- 7 ** **
"00011011", -- 8 ** **
"00011011", -- 9 ** **
"00011011", -- a ** **
"00011011", -- b ** **
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x15 - SS Symbol
"00000000", -- 0
"01111100", -- 1 *****
"11000110", -- 2 ** **
"01100000", -- 3 **
"00111000", -- 4 ***
"01101100", -- 5 ** **
"11000110", -- 6 ** **
"11000110", -- 7 ** **
"01101100", -- 8 ** **
"00111000", -- 9 ***
"00001100", -- a **
"11000110", -- b ** **
"01111100", -- c *****
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x16 - Block
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000000", -- 3
"00000000", -- 4
"00000000", -- 5
"00000000", -- 6
"00000000", -- 7
"11111110", -- 8 *******
"11111110", -- 9 *******
"11111110", -- a *******
"11111110", -- b *******
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x17 - Scroll up/down bottom
"00000000", -- 0
"00000000", -- 1
"00011000", -- 2 **
"00111100", -- 3 ****
"01111110", -- 4 ******
"00011000", -- 5 **
"00011000", -- 6 **
"00011000", -- 7 **
"01111110", -- 8 ******
"00111100", -- 9 ****
"00011000", -- a **
"01111110", -- b ******
"00110000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x18 - Scroll up
"00000000", -- 0
"00000000", -- 1
"00011000", -- 2 **
"00111100", -- 3 ****
"01111110", -- 4 ******
"00011000", -- 5 **
"00011000", -- 6 **
"00011000", -- 7 **
"00011000", -- 8 **
"00011000", -- 9 **
"00011000", -- a **
"00011000", -- b **
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x19 - Scroll Down
"00000000", -- 0
"00000000", -- 1
"00011000", -- 2 **
"00011000", -- 3 **
"00011000", -- 4 **
"00011000", -- 5 **
"00011000", -- 6 **
"00011000", -- 7 **
"00011000", -- 8 **
"01111110", -- 9 ******
"00111100", -- a ****
"00011000", -- b **
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x1a - Scroll Right
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000000", -- 3
"00000000", -- 4
"00011000", -- 5 **
"00001100", -- 6 **
"11111110", -- 7 *******
"00001100", -- 8 **
"00011000", -- 9 **
"00000000", -- a
"00000000", -- b
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x1b - Scroll Left
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000000", -- 3
"00000000", -- 4
"00110000", -- 5 **
"01100000", -- 6 **
"11111110", -- 7 *******
"01100000", -- 8 **
"00110000", -- 9 **
"00000000", -- a
"00000000", -- b
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x1c - Indent Block
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000000", -- 3
"00000000", -- 4
"00000000", -- 5
"11000000", -- 6 **
"11000000", -- 7 **
"11000000", -- 8 **
"11111110", -- 9 *******
"00000000", -- a
"00000000", -- b
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x1d - Scroll Left/Right
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000000", -- 3
"00000000", -- 4
"00100100", -- 5 * *
"01100110", -- 6 ** **
"11111111", -- 7 ********
"01100110", -- 8 ** **
"00100100", -- 9 * *
"00000000", -- a
"00000000", -- b
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x1e - Arrow Head Up
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000000", -- 3
"00010000", -- 4 *
"00111000", -- 5 ***
"00111000", -- 6 ***
"01111100", -- 7 *****
"01111100", -- 8 *****
"11111110", -- 9 *******
"11111110", -- a *******
"00000000", -- b
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x1f - Arrow Head Down
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000000", -- 3
"11111110", -- 4 *******
"11111110", -- 5 *******
"01111100", -- 6 *****
"01111100", -- 7 *****
"00111000", -- 8 ***
"00111000", -- 9 ***
"00010000", -- a *
"00000000", -- b
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x20 - Space
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000000", -- 3
"00000000", -- 4
"00000000", -- 5
"00000000", -- 6
"00000000", -- 7
"00000000", -- 8
"00000000", -- 9
"00000000", -- a
"00000000", -- b
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x21 - Esclimation Mark
"00000000", -- 0
"00000000", -- 1
"00011000", -- 2 **
"00111100", -- 3 ****
"00111100", -- 4 ****
"00111100", -- 5 ****
"00011000", -- 6 **
"00011000", -- 7 **
"00011000", -- 8 **
"00000000", -- 9
"00011000", -- a **
"00011000", -- b **
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x22 - Double Quotations
"00000000", -- 0
"01100110", -- 1 ** **
"01100110", -- 2 ** **
"01100110", -- 3 ** **
"00100100", -- 4 * *
"00000000", -- 5
"00000000", -- 6
"00000000", -- 7
"00000000", -- 8
"00000000", -- 9
"00000000", -- a
"00000000", -- b
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x23 - Pound Sign
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"01101100", -- 3 ** **
"01101100", -- 4 ** **
"11111110", -- 5 *******
"01101100", -- 6 ** **
"01101100", -- 7 ** **
"01101100", -- 8 ** **
"11111110", -- 9 *******
"01101100", -- a ** **
"01101100", -- b ** **
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x24 - Dollar Sign
"00011000", -- 0 **
"00011000", -- 1 **
"01111100", -- 2 *****
"11000110", -- 3 ** **
"11000010", -- 4 ** *
"11000000", -- 5 **
"01111100", -- 6 *****
"00000110", -- 7 **
"00000110", -- 8 **
"10000110", -- 9 * **
"11000110", -- a ** **
"01111100", -- b *****
"00011000", -- c **
"00011000", -- d **
"00000000", -- e
"00000000", -- f
-- code x25 - Percent Sign
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000000", -- 3
"11000010", -- 4 ** *
"11000110", -- 5 ** **
"00001100", -- 6 **
"00011000", -- 7 **
"00110000", -- 8 **
"01100000", -- 9 **
"11000110", -- a ** **
"10000110", -- b * **
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x26 - AND Sign
"00000000", -- 0
"00000000", -- 1
"00111000", -- 2 ***
"01101100", -- 3 ** **
"01101100", -- 4 ** **
"00111000", -- 5 ***
"01110110", -- 6 *** **
"11011100", -- 7 ** ***
"11001100", -- 8 ** **
"11001100", -- 9 ** **
"11001100", -- a ** **
"01110110", -- b *** **
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x27 - Single Quotation
"00000000", -- 0
"00110000", -- 1 **
"00110000", -- 2 **
"00110000", -- 3 **
"01100000", -- 4 **
"00000000", -- 5
"00000000", -- 6
"00000000", -- 7
"00000000", -- 8
"00000000", -- 9
"00000000", -- a
"00000000", -- b
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x28 - Left Parentise
"00000000", -- 0
"00000000", -- 1
"00001100", -- 2 **
"00011000", -- 3 **
"00110000", -- 4 **
"00110000", -- 5 **
"00110000", -- 6 **
"00110000", -- 7 **
"00110000", -- 8 **
"00110000", -- 9 **
"00011000", -- a **
"00001100", -- b **
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x29 - Right Parentise
"00000000", -- 0
"00000000", -- 1
"00110000", -- 2 **
"00011000", -- 3 **
"00001100", -- 4 **
"00001100", -- 5 **
"00001100", -- 6 **
"00001100", -- 7 **
"00001100", -- 8 **
"00001100", -- 9 **
"00011000", -- a **
"00110000", -- b **
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x2a - Aserisk
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000000", -- 3
"00000000", -- 4
"01100110", -- 5 ** **
"00111100", -- 6 ****
"11111111", -- 7 ********
"00111100", -- 8 ****
"01100110", -- 9 ** **
"00000000", -- a
"00000000", -- b
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x2b - Plus
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000000", -- 3
"00000000", -- 4
"00011000", -- 5 **
"00011000", -- 6 **
"01111110", -- 7 ******
"00011000", -- 8 **
"00011000", -- 9 **
"00000000", -- a
"00000000", -- b
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x2c - Comma
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000000", -- 3
"00000000", -- 4
"00000000", -- 5
"00000000", -- 6
"00000000", -- 7
"00000000", -- 8
"00011000", -- 9 **
"00011000", -- a **
"00011000", -- b **
"00110000", -- c **
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x2d - Minus Sign
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000000", -- 3
"00000000", -- 4
"00000000", -- 5
"00000000", -- 6
"01111110", -- 7 ******
"00000000", -- 8
"00000000", -- 9
"00000000", -- a
"00000000", -- b
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x2e - Period
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000000", -- 3
"00000000", -- 4
"00000000", -- 5
"00000000", -- 6
"00000000", -- 7
"00000000", -- 8
"00000000", -- 9
"00011000", -- a **
"00011000", -- b **
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x2f - Back Slash
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000000", -- 3
"00000010", -- 4 *
"00000110", -- 5 **
"00001100", -- 6 **
"00011000", -- 7 **
"00110000", -- 8 **
"01100000", -- 9 **
"11000000", -- a **
"10000000", -- b *
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x30 - Zero
"00000000", -- 0
"00000000", -- 1
"01111100", -- 2 *****
"11000110", -- 3 ** **
"11000110", -- 4 ** **
"11001110", -- 5 ** ***
"11011110", -- 6 ** ****
"11110110", -- 7 **** **
"11100110", -- 8 *** **
"11000110", -- 9 ** **
"11000110", -- a ** **
"01111100", -- b *****
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x31 - One
"00000000", -- 0
"00000000", -- 1
"00011000", -- 2
"00111000", -- 3
"01111000", -- 4 **
"00011000", -- 5 ***
"00011000", -- 6 ****
"00011000", -- 7 **
"00011000", -- 8 **
"00011000", -- 9 **
"00011000", -- a **
"01111110", -- b **
"00000000", -- c **
"00000000", -- d ******
"00000000", -- e
"00000000", -- f
-- code x32 - Two
"00000000", -- 0
"00000000", -- 1
"01111100", -- 2 *****
"11000110", -- 3 ** **
"00000110", -- 4 **
"00001100", -- 5 **
"00011000", -- 6 **
"00110000", -- 7 **
"01100000", -- 8 **
"11000000", -- 9 **
"11000110", -- a ** **
"11111110", -- b *******
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x33 - Three
"00000000", -- 0
"00000000", -- 1
"01111100", -- 2 *****
"11000110", -- 3 ** **
"00000110", -- 4 **
"00000110", -- 5 **
"00111100", -- 6 ****
"00000110", -- 7 **
"00000110", -- 8 **
"00000110", -- 9 **
"11000110", -- a ** **
"01111100", -- b *****
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x34 - Four
"00000000", -- 0
"00000000", -- 1
"00001100", -- 2 **
"00011100", -- 3 ***
"00111100", -- 4 ****
"01101100", -- 5 ** **
"11001100", -- 6 ** **
"11111110", -- 7 *******
"00001100", -- 8 **
"00001100", -- 9 **
"00001100", -- a **
"00011110", -- b ****
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x35 - Five
"00000000", -- 0
"00000000", -- 1
"11111110", -- 2 *******
"11000000", -- 3 **
"11000000", -- 4 **
"11000000", -- 5 **
"11111100", -- 6 ******
"00000110", -- 7 **
"00000110", -- 8 **
"00000110", -- 9 **
"11000110", -- a ** **
"01111100", -- b *****
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x36 - Six
"00000000", -- 0
"00000000", -- 1
"00111000", -- 2 ***
"01100000", -- 3 **
"11000000", -- 4 **
"11000000", -- 5 **
"11111100", -- 6 ******
"11000110", -- 7 ** **
"11000110", -- 8 ** **
"11000110", -- 9 ** **
"11000110", -- a ** **
"01111100", -- b *****
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x37 - Seven
"00000000", -- 0
"00000000", -- 1
"11111110", -- 2 *******
"11000110", -- 3 ** **
"00000110", -- 4 **
"00000110", -- 5 **
"00001100", -- 6 **
"00011000", -- 7 **
"00110000", -- 8 **
"00110000", -- 9 **
"00110000", -- a **
"00110000", -- b **
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x38 - Eight
"00000000", -- 0
"00000000", -- 1
"01111100", -- 2 *****
"11000110", -- 3 ** **
"11000110", -- 4 ** **
"11000110", -- 5 ** **
"01111100", -- 6 *****
"11000110", -- 7 ** **
"11000110", -- 8 ** **
"11000110", -- 9 ** **
"11000110", -- a ** **
"01111100", -- b *****
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x39 - Nine
"00000000", -- 0
"00000000", -- 1
"01111100", -- 2 *****
"11000110", -- 3 ** **
"11000110", -- 4 ** **
"11000110", -- 5 ** **
"01111110", -- 6 ******
"00000110", -- 7 **
"00000110", -- 8 **
"00000110", -- 9 **
"00001100", -- a **
"01111000", -- b ****
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x3a - Colin
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000000", -- 3
"00011000", -- 4 **
"00011000", -- 5 **
"00000000", -- 6
"00000000", -- 7
"00000000", -- 8
"00011000", -- 9 **
"00011000", -- a **
"00000000", -- b
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x3b - Semi-Colin
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000000", -- 3
"00011000", -- 4 **
"00011000", -- 5 **
"00000000", -- 6
"00000000", -- 7
"00000000", -- 8
"00011000", -- 9 **
"00011000", -- a **
"00110000", -- b **
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x3c - Arrow Left
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000110", -- 3 **
"00001100", -- 4 **
"00011000", -- 5 **
"00110000", -- 6 **
"01100000", -- 7 **
"00110000", -- 8 **
"00011000", -- 9 **
"00001100", -- a **
"00000110", -- b **
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x3d - Equal Sign
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000000", -- 3
"00000000", -- 4
"01111110", -- 5 ******
"00000000", -- 6
"00000000", -- 7
"01111110", -- 8 ******
"00000000", -- 9
"00000000", -- a
"00000000", -- b
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x3e - Arrow Right
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"01100000", -- 3 **
"00110000", -- 4 **
"00011000", -- 5 **
"00001100", -- 6 **
"00000110", -- 7 **
"00001100", -- 8 **
"00011000", -- 9 **
"00110000", -- a **
"01100000", -- b **
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x3f - Question Mark
"00000000", -- 0
"00000000", -- 1
"01111100", -- 2 *****
"11000110", -- 3 ** **
"11000110", -- 4 ** **
"00001100", -- 5 **
"00011000", -- 6 **
"00011000", -- 7 **
"00011000", -- 8 **
"00000000", -- 9
"00011000", -- a **
"00011000", -- b **
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x40 - At Symbol
"00000000", -- 0
"00000000", -- 1
"01111100", -- 2 *****
"11000110", -- 3 ** **
"11000110", -- 4 ** **
"11000110", -- 5 ** **
"11011110", -- 6 ** ****
"11011110", -- 7 ** ****
"11011110", -- 8 ** ****
"11011100", -- 9 ** ***
"11000000", -- a **
"01111100", -- b *****
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x41 - A
"00000000", -- 0
"00000000", -- 1
"00010000", -- 2 *
"00111000", -- 3 ***
"01101100", -- 4 ** **
"11000110", -- 5 ** **
"11000110", -- 6 ** **
"11111110", -- 7 *******
"11000110", -- 8 ** **
"11000110", -- 9 ** **
"11000110", -- a ** **
"11000110", -- b ** **
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x42 - B
"00000000", -- 0
"00000000", -- 1
"11111100", -- 2 ******
"01100110", -- 3 ** **
"01100110", -- 4 ** **
"01100110", -- 5 ** **
"01111100", -- 6 *****
"01100110", -- 7 ** **
"01100110", -- 8 ** **
"01100110", -- 9 ** **
"01100110", -- a ** **
"11111100", -- b ******
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x43 - C
"00000000", -- 0
"00000000", -- 1
"00111100", -- 2 ****
"01100110", -- 3 ** **
"11000010", -- 4 ** *
"11000000", -- 5 **
"11000000", -- 6 **
"11000000", -- 7 **
"11000000", -- 8 **
"11000010", -- 9 ** *
"01100110", -- a ** **
"00111100", -- b ****
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x44 - D
"00000000", -- 0
"00000000", -- 1
"11111000", -- 2 *****
"01101100", -- 3 ** **
"01100110", -- 4 ** **
"01100110", -- 5 ** **
"01100110", -- 6 ** **
"01100110", -- 7 ** **
"01100110", -- 8 ** **
"01100110", -- 9 ** **
"01101100", -- a ** **
"11111000", -- b *****
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x45 - E
"00000000", -- 0
"00000000", -- 1
"11111110", -- 2 *******
"01100110", -- 3 ** **
"01100010", -- 4 ** *
"01101000", -- 5 ** *
"01111000", -- 6 ****
"01101000", -- 7 ** *
"01100000", -- 8 **
"01100010", -- 9 ** *
"01100110", -- a ** **
"11111110", -- b *******
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x46 - F
"00000000", -- 0
"00000000", -- 1
"11111110", -- 2 *******
"01100110", -- 3 ** **
"01100010", -- 4 ** *
"01101000", -- 5 ** *
"01111000", -- 6 ****
"01101000", -- 7 ** *
"01100000", -- 8 **
"01100000", -- 9 **
"01100000", -- a **
"11110000", -- b ****
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x47 - G
"00000000", -- 0
"00000000", -- 1
"00111100", -- 2 ****
"01100110", -- 3 ** **
"11000010", -- 4 ** *
"11000000", -- 5 **
"11000000", -- 6 **
"11011110", -- 7 ** ****
"11000110", -- 8 ** **
"11000110", -- 9 ** **
"01100110", -- a ** **
"00111010", -- b *** *
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x48 - H
"00000000", -- 0
"00000000", -- 1
"11000110", -- 2 ** **
"11000110", -- 3 ** **
"11000110", -- 4 ** **
"11000110", -- 5 ** **
"11111110", -- 6 *******
"11000110", -- 7 ** **
"11000110", -- 8 ** **
"11000110", -- 9 ** **
"11000110", -- a ** **
"11000110", -- b ** **
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x49 - I
"00000000", -- 0
"00000000", -- 1
"00111100", -- 2 ****
"00011000", -- 3 **
"00011000", -- 4 **
"00011000", -- 5 **
"00011000", -- 6 **
"00011000", -- 7 **
"00011000", -- 8 **
"00011000", -- 9 **
"00011000", -- a **
"00111100", -- b ****
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x4a - J
"00000000", -- 0
"00000000", -- 1
"00011110", -- 2 ****
"00001100", -- 3 **
"00001100", -- 4 **
"00001100", -- 5 **
"00001100", -- 6 **
"00001100", -- 7 **
"11001100", -- 8 ** **
"11001100", -- 9 ** **
"11001100", -- a ** **
"01111000", -- b ****
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x4b - K
"00000000", -- 0
"00000000", -- 1
"11100110", -- 2 *** **
"01100110", -- 3 ** **
"01100110", -- 4 ** **
"01101100", -- 5 ** **
"01111000", -- 6 ****
"01111000", -- 7 ****
"01101100", -- 8 ** **
"01100110", -- 9 ** **
"01100110", -- a ** **
"11100110", -- b *** **
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x4c - L
"00000000", -- 0
"00000000", -- 1
"11110000", -- 2 ****
"01100000", -- 3 **
"01100000", -- 4 **
"01100000", -- 5 **
"01100000", -- 6 **
"01100000", -- 7 **
"01100000", -- 8 **
"01100010", -- 9 ** *
"01100110", -- a ** **
"11111110", -- b *******
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x4d - M
"00000000", -- 0
"00000000", -- 1
"11000011", -- 2 ** **
"11100111", -- 3 *** ***
"11111111", -- 4 ********
"11111111", -- 5 ********
"11011011", -- 6 ** ** **
"11000011", -- 7 ** **
"11000011", -- 8 ** **
"11000011", -- 9 ** **
"11000011", -- a ** **
"11000011", -- b ** **
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x4e - N
"00000000", -- 0
"00000000", -- 1
"11000110", -- 2 ** **
"11100110", -- 3 *** **
"11110110", -- 4 **** **
"11111110", -- 5 *******
"11011110", -- 6 ** ****
"11001110", -- 7 ** ***
"11000110", -- 8 ** **
"11000110", -- 9 ** **
"11000110", -- a ** **
"11000110", -- b ** **
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x4f - O
"00000000", -- 0
"00000000", -- 1
"01111100", -- 2 *****
"11000110", -- 3 ** **
"11000110", -- 4 ** **
"11000110", -- 5 ** **
"11000110", -- 6 ** **
"11000110", -- 7 ** **
"11000110", -- 8 ** **
"11000110", -- 9 ** **
"11000110", -- a ** **
"01111100", -- b *****
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x50 - P
"00000000", -- 0
"00000000", -- 1
"11111100", -- 2 ******
"01100110", -- 3 ** **
"01100110", -- 4 ** **
"01100110", -- 5 ** **
"01111100", -- 6 *****
"01100000", -- 7 **
"01100000", -- 8 **
"01100000", -- 9 **
"01100000", -- a **
"11110000", -- b ****
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x51 - Q
"00000000", -- 0
"00000000", -- 1
"01111100", -- 2 *****
"11000110", -- 3 ** **
"11000110", -- 4 ** **
"11000110", -- 5 ** **
"11000110", -- 6 ** **
"11000110", -- 7 ** **
"11000110", -- 8 ** **
"11010110", -- 9 ** * **
"11011110", -- a ** ****
"01111100", -- b *****
"00001100", -- c **
"00001110", -- d ***
"00000000", -- e
"00000000", -- f
-- code x52 - R
"00000000", -- 0
"00000000", -- 1
"11111100", -- 2 ******
"01100110", -- 3 ** **
"01100110", -- 4 ** **
"01100110", -- 5 ** **
"01111100", -- 6 *****
"01101100", -- 7 ** **
"01100110", -- 8 ** **
"01100110", -- 9 ** **
"01100110", -- a ** **
"11100110", -- b *** **
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x53 - S
"00000000", -- 0
"00000000", -- 1
"01111100", -- 2 *****
"11000110", -- 3 ** **
"11000110", -- 4 ** **
"01100000", -- 5 **
"00111000", -- 6 ***
"00001100", -- 7 **
"00000110", -- 8 **
"11000110", -- 9 ** **
"11000110", -- a ** **
"01111100", -- b *****
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x54 - T
"00000000", -- 0
"00000000", -- 1
"11111111", -- 2 ********
"11011011", -- 3 ** ** **
"10011001", -- 4 * ** *
"00011000", -- 5 **
"00011000", -- 6 **
"00011000", -- 7 **
"00011000", -- 8 **
"00011000", -- 9 **
"00011000", -- a **
"00111100", -- b ****
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x55 - U
"00000000", -- 0
"00000000", -- 1
"11000110", -- 2 ** **
"11000110", -- 3 ** **
"11000110", -- 4 ** **
"11000110", -- 5 ** **
"11000110", -- 6 ** **
"11000110", -- 7 ** **
"11000110", -- 8 ** **
"11000110", -- 9 ** **
"11000110", -- a ** **
"01111100", -- b *****
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x56 - V
"00000000", -- 0
"00000000", -- 1
"11000011", -- 2 ** **
"11000011", -- 3 ** **
"11000011", -- 4 ** **
"11000011", -- 5 ** **
"11000011", -- 6 ** **
"11000011", -- 7 ** **
"11000011", -- 8 ** **
"01100110", -- 9 ** **
"00111100", -- a ****
"00011000", -- b **
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x57 - W
"00000000", -- 0
"00000000", -- 1
"11000011", -- 2 ** **
"11000011", -- 3 ** **
"11000011", -- 4 ** **
"11000011", -- 5 ** **
"11000011", -- 6 ** **
"11011011", -- 7 ** ** **
"11011011", -- 8 ** ** **
"11111111", -- 9 ********
"01100110", -- a ** **
"01100110", -- b ** **
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x58 - X
"00000000", -- 0
"00000000", -- 1
"11000011", -- 2 ** **
"11000011", -- 3 ** **
"01100110", -- 4 ** **
"00111100", -- 5 ****
"00011000", -- 6 **
"00011000", -- 7 **
"00111100", -- 8 ****
"01100110", -- 9 ** **
"11000011", -- a ** **
"11000011", -- b ** **
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x59 - Y
"00000000", -- 0
"00000000", -- 1
"11000011", -- 2 ** **
"11000011", -- 3 ** **
"11000011", -- 4 ** **
"01100110", -- 5 ** **
"00111100", -- 6 ****
"00011000", -- 7 **
"00011000", -- 8 **
"00011000", -- 9 **
"00011000", -- a **
"00111100", -- b ****
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x5a - Z
"00000000", -- 0
"00000000", -- 1
"11111111", -- 2 ********
"11000011", -- 3 ** **
"10000110", -- 4 * **
"00001100", -- 5 **
"00011000", -- 6 **
"00110000", -- 7 **
"01100000", -- 8 **
"11000001", -- 9 ** *
"11000011", -- a ** **
"11111111", -- b ********
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x5b - Left Bracket
"00000000", -- 0
"00000000", -- 1
"00111100", -- 2 ****
"00110000", -- 3 **
"00110000", -- 4 **
"00110000", -- 5 **
"00110000", -- 6 **
"00110000", -- 7 **
"00110000", -- 8 **
"00110000", -- 9 **
"00110000", -- a **
"00111100", -- b ****
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x5c - Foward Slash
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"10000000", -- 3 *
"11000000", -- 4 **
"11100000", -- 5 ***
"01110000", -- 6 ***
"00111000", -- 7 ***
"00011100", -- 8 ***
"00001110", -- 9 ***
"00000110", -- a **
"00000010", -- b *
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x5d - Right Bracket
"00000000", -- 0
"00000000", -- 1
"00111100", -- 2 ****
"00001100", -- 3 **
"00001100", -- 4 **
"00001100", -- 5 **
"00001100", -- 6 **
"00001100", -- 7 **
"00001100", -- 8 **
"00001100", -- 9 **
"00001100", -- a **
"00111100", -- b ****
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x5e - Carot Top
"00010000", -- 0 *
"00111000", -- 1 ***
"01101100", -- 2 ** **
"11000110", -- 3 ** **
"00000000", -- 4
"00000000", -- 5
"00000000", -- 6
"00000000", -- 7
"00000000", -- 8
"00000000", -- 9
"00000000", -- a
"00000000", -- b
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x5f - Under Score
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000000", -- 3
"00000000", -- 4
"00000000", -- 5
"00000000", -- 6
"00000000", -- 7
"00000000", -- 8
"00000000", -- 9
"00000000", -- a
"00000000", -- b
"00000000", -- c
"11111111", -- d ********
"00000000", -- e
"00000000", -- f
-- code x60 - Single Quotation
"00110000", -- 0 **
"00110000", -- 1 **
"00011000", -- 2 **
"00000000", -- 3
"00000000", -- 4
"00000000", -- 5
"00000000", -- 6
"00000000", -- 7
"00000000", -- 8
"00000000", -- 9
"00000000", -- a
"00000000", -- b
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x61 - a
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000000", -- 3
"00000000", -- 4
"01111000", -- 5 ****
"00001100", -- 6 **
"01111100", -- 7 *****
"11001100", -- 8 ** **
"11001100", -- 9 ** **
"11001100", -- a ** **
"01110110", -- b *** **
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x62 - b
"00000000", -- 0
"00000000", -- 1
"11100000", -- 2 ***
"01100000", -- 3 **
"01100000", -- 4 **
"01111000", -- 5 ****
"01101100", -- 6 ** **
"01100110", -- 7 ** **
"01100110", -- 8 ** **
"01100110", -- 9 ** **
"01100110", -- a ** **
"01111100", -- b *****
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x63 - c
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000000", -- 3
"00000000", -- 4
"01111100", -- 5 *****
"11000110", -- 6 ** **
"11000000", -- 7 **
"11000000", -- 8 **
"11000000", -- 9 **
"11000110", -- a ** **
"01111100", -- b *****
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x64 - d
"00000000", -- 0
"00000000", -- 1
"00011100", -- 2 ***
"00001100", -- 3 **
"00001100", -- 4 **
"00111100", -- 5 ****
"01101100", -- 6 ** **
"11001100", -- 7 ** **
"11001100", -- 8 ** **
"11001100", -- 9 ** **
"11001100", -- a ** **
"01110110", -- b *** **
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x65 - e
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000000", -- 3
"00000000", -- 4
"01111100", -- 5 *****
"11000110", -- 6 ** **
"11111110", -- 7 *******
"11000000", -- 8 **
"11000000", -- 9 **
"11000110", -- a ** **
"01111100", -- b *****
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x66 - f
"00000000", -- 0
"00000000", -- 1
"00111000", -- 2 ***
"01101100", -- 3 ** **
"01100100", -- 4 ** *
"01100000", -- 5 **
"11110000", -- 6 ****
"01100000", -- 7 **
"01100000", -- 8 **
"01100000", -- 9 **
"01100000", -- a **
"11110000", -- b ****
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x67 - g
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000000", -- 3
"00000000", -- 4
"01110110", -- 5 *** **
"11001100", -- 6 ** **
"11001100", -- 7 ** **
"11001100", -- 8 ** **
"11001100", -- 9 ** **
"11001100", -- a ** **
"01111100", -- b *****
"00001100", -- c **
"11001100", -- d ** **
"01111000", -- e ****
"00000000", -- f
-- code x68 - h
"00000000", -- 0
"00000000", -- 1
"11100000", -- 2 ***
"01100000", -- 3 **
"01100000", -- 4 **
"01101100", -- 5 ** **
"01110110", -- 6 *** **
"01100110", -- 7 ** **
"01100110", -- 8 ** **
"01100110", -- 9 ** **
"01100110", -- a ** **
"11100110", -- b *** **
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x69 - i
"00000000", -- 0
"00000000", -- 1
"00011000", -- 2 **
"00011000", -- 3 **
"00000000", -- 4
"00111000", -- 5 ***
"00011000", -- 6 **
"00011000", -- 7 **
"00011000", -- 8 **
"00011000", -- 9 **
"00011000", -- a **
"00111100", -- b ****
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x6a - j
"00000000", -- 0
"00000000", -- 1
"00000110", -- 2 **
"00000110", -- 3 **
"00000000", -- 4
"00001110", -- 5 ***
"00000110", -- 6 **
"00000110", -- 7 **
"00000110", -- 8 **
"00000110", -- 9 **
"00000110", -- a **
"00000110", -- b **
"01100110", -- c ** **
"01100110", -- d ** **
"00111100", -- e ****
"00000000", -- f
-- code x6b - k
"00000000", -- 0
"00000000", -- 1
"11100000", -- 2 ***
"01100000", -- 3 **
"01100000", -- 4 **
"01100110", -- 5 ** **
"01101100", -- 6 ** **
"01111000", -- 7 ****
"01111000", -- 8 ****
"01101100", -- 9 ** **
"01100110", -- a ** **
"11100110", -- b *** **
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x6c - l
"00000000", -- 0
"00000000", -- 1
"00111000", -- 2 ***
"00011000", -- 3 **
"00011000", -- 4 **
"00011000", -- 5 **
"00011000", -- 6 **
"00011000", -- 7 **
"00011000", -- 8 **
"00011000", -- 9 **
"00011000", -- a **
"00111100", -- b ****
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x6d - m
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000000", -- 3
"00000000", -- 4
"11100110", -- 5 *** **
"11111111", -- 6 ********
"11011011", -- 7 ** ** **
"11011011", -- 8 ** ** **
"11011011", -- 9 ** ** **
"11011011", -- a ** ** **
"11011011", -- b ** ** **
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x6e - n
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000000", -- 3
"00000000", -- 4
"11011100", -- 5 ** ***
"01100110", -- 6 ** **
"01100110", -- 7 ** **
"01100110", -- 8 ** **
"01100110", -- 9 ** **
"01100110", -- a ** **
"01100110", -- b ** **
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x6f - o
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000000", -- 3
"00000000", -- 4
"01111100", -- 5 *****
"11000110", -- 6 ** **
"11000110", -- 7 ** **
"11000110", -- 8 ** **
"11000110", -- 9 ** **
"11000110", -- a ** **
"01111100", -- b *****
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x70 - p
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000000", -- 3
"00000000", -- 4
"11011100", -- 5 ** ***
"01100110", -- 6 ** **
"01100110", -- 7 ** **
"01100110", -- 8 ** **
"01100110", -- 9 ** **
"01100110", -- a ** **
"01111100", -- b *****
"01100000", -- c **
"01100000", -- d **
"11110000", -- e ****
"00000000", -- f
-- code x71 - q
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000000", -- 3
"00000000", -- 4
"01110110", -- 5 *** **
"11001100", -- 6 ** **
"11001100", -- 7 ** **
"11001100", -- 8 ** **
"11001100", -- 9 ** **
"11001100", -- a ** **
"01111100", -- b *****
"00001100", -- c **
"00001100", -- d **
"00011110", -- e ****
"00000000", -- f
-- code x72 - r
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000000", -- 3
"00000000", -- 4
"11011100", -- 5 ** ***
"01110110", -- 6 *** **
"01100110", -- 7 ** **
"01100000", -- 8 **
"01100000", -- 9 **
"01100000", -- a **
"11110000", -- b ****
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x73 - s
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000000", -- 3
"00000000", -- 4
"01111100", -- 5 *****
"11000110", -- 6 ** **
"01100000", -- 7 **
"00111000", -- 8 ***
"00001100", -- 9 **
"11000110", -- a ** **
"01111100", -- b *****
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x74 - t
"00000000", -- 0
"00000000", -- 1
"00010000", -- 2 *
"00110000", -- 3 **
"00110000", -- 4 **
"11111100", -- 5 ******
"00110000", -- 6 **
"00110000", -- 7 **
"00110000", -- 8 **
"00110000", -- 9 **
"00110110", -- a ** **
"00011100", -- b ***
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x75 - u
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000000", -- 3
"00000000", -- 4
"11001100", -- 5 ** **
"11001100", -- 6 ** **
"11001100", -- 7 ** **
"11001100", -- 8 ** **
"11001100", -- 9 ** **
"11001100", -- a ** **
"01110110", -- b *** **
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x76 - v
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000000", -- 3
"00000000", -- 4
"11000011", -- 5 ** **
"11000011", -- 6 ** **
"11000011", -- 7 ** **
"11000011", -- 8 ** **
"01100110", -- 9 ** **
"00111100", -- a ****
"00011000", -- b **
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x77 - w
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000000", -- 3
"00000000", -- 4
"11000011", -- 5 ** **
"11000011", -- 6 ** **
"11000011", -- 7 ** **
"11011011", -- 8 ** ** **
"11011011", -- 9 ** ** **
"11111111", -- a ********
"01100110", -- b ** **
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x78 - x
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000000", -- 3
"00000000", -- 4
"11000011", -- 5 ** **
"01100110", -- 6 ** **
"00111100", -- 7 ****
"00011000", -- 8 **
"00111100", -- 9 ****
"01100110", -- a ** **
"11000011", -- b ** **
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x79 - y
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000000", -- 3
"00000000", -- 4
"11000110", -- 5 ** **
"11000110", -- 6 ** **
"11000110", -- 7 ** **
"11000110", -- 8 ** **
"11000110", -- 9 ** **
"11000110", -- a ** **
"01111110", -- b ******
"00000110", -- c **
"00001100", -- d **
"11111000", -- e *****
"00000000", -- f
-- code x7a - z
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000000", -- 3
"00000000", -- 4
"11111110", -- 5 *******
"11001100", -- 6 ** **
"00011000", -- 7 **
"00110000", -- 8 **
"01100000", -- 9 **
"11000110", -- a ** **
"11111110", -- b *******
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x7b - Left Parentise
"00000000", -- 0
"00000000", -- 1
"00001110", -- 2 ***
"00011000", -- 3 **
"00011000", -- 4 **
"00011000", -- 5 **
"01110000", -- 6 ***
"00011000", -- 7 **
"00011000", -- 8 **
"00011000", -- 9 **
"00011000", -- a **
"00001110", -- b ***
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x7c - Bracket Bar
"00000000", -- 0
"00000000", -- 1
"00011000", -- 2 **
"00011000", -- 3 **
"00011000", -- 4 **
"00011000", -- 5 **
"00000000", -- 6
"00011000", -- 7 **
"00011000", -- 8 **
"00011000", -- 9 **
"00011000", -- a **
"00011000", -- b **
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x7d - Right Parentise
"00000000", -- 0
"00000000", -- 1
"01110000", -- 2 ***
"00011000", -- 3 **
"00011000", -- 4 **
"00011000", -- 5 **
"00001110", -- 6 ***
"00011000", -- 7 **
"00011000", -- 8 **
"00011000", -- 9 **
"00011000", -- a **
"01110000", -- b ***
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x7e - Fly Socer
"00000000", -- 0
"00000000", -- 1
"01110110", -- 2 *** **
"11011100", -- 3 ** ***
"00000000", -- 4
"00000000", -- 5
"00000000", -- 6
"00000000", -- 7
"00000000", -- 8
"00000000", -- 9
"00000000", -- a
"00000000", -- b
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000", -- f
-- code x7f - House
"00000000", -- 0
"00000000", -- 1
"00000000", -- 2
"00000000", -- 3
"00010000", -- 4 *
"00111000", -- 5 ***
"01101100", -- 6 ** **
"11000110", -- 7 ** **
"11000110", -- 8 ** **
"11000110", -- 9 ** **
"11111110", -- a *******
"00000000", -- b
"00000000", -- c
"00000000", -- d
"00000000", -- e
"00000000" -- f
);
begin
-- addr register to infer block RAM
process (CLK)
begin
if (CLK'event and CLK = '1') then
addr_reg <= ADDR;
end if;
end process;
DATA <= ROM(to_integer(unsigned(addr_reg)));
end arch;
| gpl-3.0 | 464035ffe5273632b060ee703c2d812a | 0.39408 | 3.258462 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/so_run_addr_gen.vhd | 2 | 100,155 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
AkMWULGOcvzjfdy81wBeWoWKXpiCk5auZJ4t7fu4fVrVZFdqW1m+kcTtTbGJh1H/9O7jSy6hiHgf
Y8qayU8Etg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
lLfHLJTHEcLug9pLyObtZljdHUtaD7/EhExrzGsp386FP/vAVj7JG7YQgpW8kW2a7uCwx2W/z+l9
cHDeliP8whXlddCW6+BVlX5f84cTPX5DfirDcSuwMBL+6BS1yTfHb7UEwY43Me+L25/Z2t1jTwNt
g5Mn47vDqRVTJ2Cve7o=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
RT8jbiXS6EdKU5SyEckiH94VzEnUHEVJSJtDXP7fxDgC1+K2XNB/B+g4dpZSzNFQTDrqK6Sqm+jn
kWUkZOUxF6jnw3yoS/tCe+k96Vp/MhRWFSKMED5QtOmupC8JvS0pKoBfK7iturF6KO5wZABkzkGh
gv1+tIAQ0M6+BBOCC7jLSNTYUuYpZTliD2vPksmEujP9WeUVGkGeOnHebsbbNWwP/7cxjH4WkHVE
/vqVRdGrzUJrDfi0Orvfkdrf6iP6mWgHcWL18lt8NUA/8US1Y3hsXf26TRwMQiLhiH7Ze9FD8N10
s7ouoo88KFY1QEhOSykF+u+uTMkE2O7CYI3qrw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
onS47+/Cv6c/AAF+KcgC9aoQZRAu6XdayebRZfDC8na7YJ2w0R+f3MW9Jdjgem5osdd/RTRjgR5q
HH5n9GcrxAbffYvBQ1jZrpDi7aVBGoLeK8cyolkInr+Tu07Z4NEb8GbRnhmX7cWNr7oH1Z0+xYHM
y/ErTYNNvWDyFhgfrkA=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
V/8HdFmqDsx1W5mc55v32afMLlcW8XOD4VhHG/gtN4OHLkfsbTjzAOYrOQnJesIMPVIOjOox9g4r
xfp2yEKmcV/+873QGv+76rW957c0O+j4cu6gi1pE1t85EJe7u5XpdSBnYxB8GmYUYoh+f6MI6EMR
ELe6FbYA7wEkNL1fYRDmRlAP9duLdzRp+B/xHM3xSxvTHu4hrvXs0eBxQ8JjamJ5F4le5UR4YrNv
6oMsvDuOVV9LWj6e8OSo+Bz4ar862K6FKqqmfVPuboXc5KS34woZ1GAjAELQXKeEMWz9dT5wc09p
hAIHFI+DTVuHxswKBrtwjEuJtpC3U8RVf7TPRA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 72400)
`protect data_block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`protect end_protected
| gpl-2.0 | a37ee57e2e42fca090561894326eb45d | 0.952304 | 1.813154 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fir/fir_compiler_v7_1/hdl/delay.vhd | 2 | 27,726 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
dhWXGbeGJu21XQF2Syt19EDMGmvJI7zqqlg4/falzuKK82XfBIYQTM0iwM96dJZfEZU0WFcUScGU
7UrjlQJVMQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
PzALvCzIKJhjKdgHils+ED+hqpJ+7nBxMZ0txi8Fd/tBb7VClJ6GmLLC1CELOCIJHnbXEstn4URT
f//yRb+ew8gIYG95dLmwfgL0JoJTc7BiPEvBhq7JGCN5K3rMXFsEwkF8Ou1b/Qv6OmHE0sTmjzut
4WaMQzh6BZgMXC/C4wg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Tg1+U9Lp+bk/zOITwmGjbT1x4dxTDrhUMiCom1CO8XD3QLQ8GidI61rkfmawb71wAGY4KJze5H5M
slg5houkiDPITQmEFGTTCKA0uHTjqRpb60N//I3ccyqlClz4yXBGQMe5iCvYJcwA/fkP68ntZ3+l
XrZNmqeTxyQegQnj4kzaHZ0i46wcmplv6F+5D2w8UgkofDRZFp7sTenu98fSp/ccvcSPSGIsc1Py
tz3S1IoMROh7uERFB+hMAn3bKRyZyyU633RLrHjUAzMuFT+ZSSVXkDn0x8auzGgWE5m0YxCQtSiQ
Ce5TQT6AoQICV3uLAmVA1eoQghMBWB7pw9KNMA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Nc7ivirc9xIq2LPtHQAzQZc/PVNmeXwn4oP7JRxH0VnzeUJ09HnuphPbH8Y18hVusHee+7KIebfP
YaBBEhE17QAH9HXkXKnR3vfWjt62lGs1+Z3JrWQ3iarh7bIH07U9oXATFesqvlCPhy66lRtU3hNs
YizJvnrP1VdgGfwiRT8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
L5l9NRmXAOP0Oetg9b/bm6rNGu492Weng+RBAhSAVAc9tR5j3Zlk6RckvQhTPlhd5huUbSDvm6Sh
l3Vjmr3D9mpbG38y7kAqfQo2jeaX94R2k7XVbi3quOWPOUqGhTTBHwMTlxumplBxA7gZyLriwKim
ILuILyt6McJlGgxy9B02AM6BAE2NPJ/9GEFNZgsfiLrJ2Qit2fK9WP0I9WgWyaPE5jMsip3qwJ1s
VxoeJIek5aKbXJ4ziwIUbar5DL+ucHSZq4xywPXjPJov2HYir0veSdjaWD3RJ0HeeU4Vs+9ZQk/j
8lM95D7AE2p6BjpR29CcdklsblKblZtfpzpLFQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18784)
`protect data_block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`protect end_protected
| gpl-2.0 | d10b9c4b51a60bafa3d5432e688482e8 | 0.943988 | 1.832882 | false | false | false | false |
FlatTargetInk/UMD_RISC-16G5 | ProjectLab2/NewCombined/ipcore_dir/instruction_memory/simulation/instruction_memory_tb.vhd | 5 | 4,382 | --------------------------------------------------------------------------------
--
-- BLK MEM GEN v7_3 Core - Top File for the Example Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
-- Filename: instruction_memory_tb.vhd
-- Description:
-- Testbench Top
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: Sep 12, 2011 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY work;
USE work.ALL;
ENTITY instruction_memory_tb IS
END ENTITY;
ARCHITECTURE instruction_memory_tb_ARCH OF instruction_memory_tb IS
SIGNAL STATUS : STD_LOGIC_VECTOR(8 DOWNTO 0);
SIGNAL CLK : STD_LOGIC := '1';
SIGNAL RESET : STD_LOGIC;
BEGIN
CLK_GEN: PROCESS BEGIN
CLK <= NOT CLK;
WAIT FOR 100 NS;
CLK <= NOT CLK;
WAIT FOR 100 NS;
END PROCESS;
RST_GEN: PROCESS BEGIN
RESET <= '1';
WAIT FOR 1000 NS;
RESET <= '0';
WAIT;
END PROCESS;
--STOP_SIM: PROCESS BEGIN
-- WAIT FOR 200 US; -- STOP SIMULATION AFTER 1 MS
-- ASSERT FALSE
-- REPORT "END SIMULATION TIME REACHED"
-- SEVERITY FAILURE;
--END PROCESS;
--
PROCESS BEGIN
WAIT UNTIL STATUS(8)='1';
IF( STATUS(7 downto 0)/="0") THEN
ASSERT false
REPORT "Test Completed Successfully"
SEVERITY NOTE;
REPORT "Simulation Failed"
SEVERITY FAILURE;
ELSE
ASSERT false
REPORT "TEST PASS"
SEVERITY NOTE;
REPORT "Test Completed Successfully"
SEVERITY FAILURE;
END IF;
END PROCESS;
instruction_memory_synth_inst:ENTITY work.instruction_memory_synth
PORT MAP(
CLK_IN => CLK,
RESET_IN => RESET,
STATUS => STATUS
);
END ARCHITECTURE;
| gpl-3.0 | 635b5218fbaacc568cc815833fab467b | 0.624372 | 4.711828 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/multi_fft/mult_gen_v12_0/hdl/mult_gen_v12_0_comp.vhd | 12 | 8,098 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
V1ATaLss3xL+7IB2K7seDA6yi9RitRYz53ag5qdvrQJdCjIFqYUaJId5dVVtHD0DOYcDHABW7hIQ
v8c+Q92fqg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
JSgxjyegQBWsi5MRQkhMNsKXlZ6aVsW2ub0eFRxWKuDdjpxDskXdFvkkPRW8gfb/7zn3yuR0wSAM
GRXuJE1RwoHwaBtkHWGsaNADdwQwJVtSblDHza1Np/UGohmWNs17KQ0KoaRUseontqKY6qmQdoWc
aBe2jeMSzway6YmBYFE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
okGB6ig6tnImIMifoibsWZF2TZuLPRk5MnlxuXDT8N/UFnb2XGKRllM2NtrttpFYLKaUCZeE/WtN
BCLOFNHvLwo2F0d10U0ixtH3AqSpqBhiwSMn4U9Izi3T4B8Jd+wIosHFUL6by9off8V5fFLlgFM6
cTMYiMb4Pyw22wYEZLLHaJN/PgLb/mvesOoNmqI+uTe6FIw50G/trQFL6HkaeY1UuqiEkC+2rozX
Q1z3Mjr9WnzI+3xk/Ec1BuE8dElSvpwFvJ10vjPO6Vpt5wEywOqf+/vAojVlos5DKq/OWdb71bLO
aZNwi3Dc/tmBefQjd9VY04VZ1rZehiZfi1ZCFQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Z80u1aw4Gr6ZmqYa2b/TV0ebH4AlJzaoxz1RaRxAjpASOJ9MQdPchu0mfYKmBjBAeMMa2CK7NyUW
LgNnY+cl7c20qN8VH+9rmIxjwdJbj6dnIZ+IDU985ac7xeV3oikYZQ+KYmIC98Z7QnTnEb4rZZo1
j917qQchgNTxm+HE+5U=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
a9BikCfoqPGhBJNz1f70Yiyj7X7yquoSa26XNvA4R6IzF2fcnmtk249Dm/vT04PGLbQWDjNHwCq8
AbqMetBSvbFzxnydx0xjkALn8kJMuB/7fwxxdD3xEI7iOv6S/cJ2YQBdEHvChtFHvJLV4WdZXdAX
ycHq7luSjNxqnAdnOwRBLcj9p3YWyTD7Ecu32x9Vr2i4pmCnnm3hhjtBy+vPiMsZRfRF23vDPg1j
71P153vTLFNnf6B+LcEJL/9SuloOpe7tygMRCt7LNn0FvYfaso6IQxcv7ZBFsm38MbuIhfRi5heM
8+dySuuo04y28LTeOegW6ronewu1sDu5rpt85g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4256)
`protect data_block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`protect end_protected
| gpl-2.0 | 0268d2be46c67d96c7b0371e1eb380c2 | 0.91677 | 1.943365 | false | false | false | false |
UVVM/UVVM_All | bitvis_vip_gmii/src/vvc_methods_pkg.vhd | 1 | 22,442 | --================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
---------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library uvvm_util;
context uvvm_util.uvvm_util_context;
library uvvm_vvc_framework;
use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all;
library bitvis_vip_scoreboard;
use bitvis_vip_scoreboard.generic_sb_support_pkg.all;
use work.gmii_bfm_pkg.all;
use work.vvc_cmd_pkg.all;
use work.td_target_support_pkg.all;
use work.transaction_pkg.all;
--==========================================================================================
--==========================================================================================
package vvc_methods_pkg is
--==========================================================================================
-- Types and constants for the GMII VVC
--==========================================================================================
constant C_VVC_NAME : string := "GMII_VVC";
signal GMII_VVCT : t_vvc_target_record := set_vvc_target_defaults(C_VVC_NAME);
alias THIS_VVCT : t_vvc_target_record is GMII_VVCT;
alias t_bfm_config is t_gmii_bfm_config;
-- Type found in UVVM-Util types_pkg
constant C_GMII_INTER_BFM_DELAY_DEFAULT : t_inter_bfm_delay := (
delay_type => NO_DELAY,
delay_in_time => 0 ns,
inter_bfm_delay_violation_severity => WARNING
);
type t_vvc_config is record
inter_bfm_delay : t_inter_bfm_delay; -- Minimum delay between BFM accesses from the VVC. If parameter delay_type is set to NO_DELAY, BFM accesses will be back to back, i.e. no delay.
cmd_queue_count_max : natural; -- Maximum pending number in command executor before executor is full. Adding additional commands will result in an ERROR.
cmd_queue_count_threshold : natural; -- An alert with severity 'cmd_queue_count_threshold_severity' will be issued if command executor exceeds this count. Used for early warning if command executor is almost full. Will be ignored if set to 0.
cmd_queue_count_threshold_severity : t_alert_level; -- Severity of alert to be initiated if exceeding cmd_queue_count_threshold.
result_queue_count_max : natural;
result_queue_count_threshold : natural;
result_queue_count_threshold_severity : t_alert_level;
bfm_config : t_gmii_bfm_config; -- Configuration for the BFM. See BFM quick reference.
msg_id_panel : t_msg_id_panel; -- VVC dedicated message ID panel.
parent_msg_id_panel : t_msg_id_panel; --UVVM: temporary fix for HVVC, remove in v3.0
end record;
type t_vvc_config_array is array (t_channel range <>, natural range <>) of t_vvc_config;
constant C_GMII_VVC_CONFIG_DEFAULT : t_vvc_config := (
inter_bfm_delay => C_GMII_INTER_BFM_DELAY_DEFAULT,
cmd_queue_count_max => C_CMD_QUEUE_COUNT_MAX, -- from adaptation package
cmd_queue_count_threshold => C_CMD_QUEUE_COUNT_THRESHOLD,
cmd_queue_count_threshold_severity => C_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY,
result_queue_count_max => C_RESULT_QUEUE_COUNT_MAX,
result_queue_count_threshold => C_RESULT_QUEUE_COUNT_THRESHOLD,
result_queue_count_threshold_severity => C_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY,
bfm_config => C_GMII_BFM_CONFIG_DEFAULT,
msg_id_panel => C_VVC_MSG_ID_PANEL_DEFAULT,
parent_msg_id_panel => C_VVC_MSG_ID_PANEL_DEFAULT
);
type t_vvc_status is record
current_cmd_idx : natural;
previous_cmd_idx : natural;
pending_cmd_cnt : natural;
end record;
type t_vvc_status_array is array (t_channel range <>, natural range <>) of t_vvc_status;
constant C_VVC_STATUS_DEFAULT : t_vvc_status := (
current_cmd_idx => 0,
previous_cmd_idx => 0,
pending_cmd_cnt => 0
);
shared variable shared_gmii_vvc_config : t_vvc_config_array(t_channel'left to t_channel'right, 0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => (others => C_GMII_VVC_CONFIG_DEFAULT));
shared variable shared_gmii_vvc_status : t_vvc_status_array(t_channel'left to t_channel'right, 0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => (others => C_VVC_STATUS_DEFAULT));
-- Scoreboard
package gmii_sb_pkg is new bitvis_vip_scoreboard.generic_sb_pkg
generic map (t_element => std_logic_vector(7 downto 0),
element_match => std_match,
to_string_element => to_string);
use gmii_sb_pkg.all;
shared variable GMII_VVC_SB : gmii_sb_pkg.t_generic_sb;
--==========================================================================================
-- Methods dedicated to this VVC
-- - These procedures are called from the testbench in order for the VVC to execute
-- BFM calls towards the given interface. The VVC interpreter will queue these calls
-- and then the VVC executor will fetch the commands from the queue and handle the
-- actual BFM execution.
--==========================================================================================
procedure gmii_write(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant channel : in t_channel;
constant data_array : in t_slv_array;
constant msg : in string;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
);
procedure gmii_read(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant channel : in t_channel;
constant num_bytes : in positive;
constant data_routing : in t_data_routing;
constant msg : in string;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
);
procedure gmii_read(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant channel : in t_channel;
constant num_bytes : in positive;
constant msg : in string;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
);
procedure gmii_read(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant channel : in t_channel;
constant data_routing : in t_data_routing;
constant msg : in string;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
);
procedure gmii_read(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant channel : in t_channel;
constant msg : in string;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
);
procedure gmii_expect(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant channel : in t_channel;
constant data_exp : in t_slv_array;
constant msg : in string;
constant alert_level : in t_alert_level := ERROR;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
);
--==============================================================================
-- Transaction info methods
--==============================================================================
procedure set_global_vvc_transaction_info(
signal vvc_transaction_info_trigger : inout std_logic;
variable vvc_transaction_info_group : inout t_transaction_group;
constant vvc_cmd : in t_vvc_cmd_record;
constant vvc_config : in t_vvc_config;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT);
procedure reset_vvc_transaction_info(
variable vvc_transaction_info_group : inout t_transaction_group;
constant vvc_cmd : in t_vvc_cmd_record);
--==============================================================================
-- VVC Activity
--==============================================================================
procedure update_vvc_activity_register( signal global_trigger_vvc_activity_register : inout std_logic;
variable vvc_status : inout t_vvc_status;
constant activity : in t_activity;
constant entry_num_in_vvc_activity_register : in integer;
constant last_cmd_idx_executed : in natural;
constant command_queue_is_empty : in boolean;
constant scope : in string := C_VVC_NAME);
end package vvc_methods_pkg;
package body vvc_methods_pkg is
--==========================================================================================
-- Methods dedicated to this VVC
--==========================================================================================
procedure gmii_write(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant channel : in t_channel;
constant data_array : in t_slv_array;
constant msg : in string;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
) is
constant proc_name : string := "gmii_write";
constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx, channel)
& ", " & to_string(data_array'length) & " bytes)";
variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel;
begin
-- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record
-- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd
-- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC
set_general_target_and_command_fields(VVCT, vvc_instance_idx, channel, proc_call, msg, QUEUED, WRITE);
shared_vvc_cmd.data_array(0 to data_array'length-1) := data_array;
shared_vvc_cmd.data_array_length := data_array'length;
shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel;
if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then
v_msg_id_panel := parent_msg_id_panel;
end if;
send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel);
end procedure;
procedure gmii_read(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant channel : in t_channel;
constant num_bytes : in positive;
constant data_routing : in t_data_routing;
constant msg : in string;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
) is
constant proc_name : string := "gmii_read";
constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx, channel) & ")";
variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel;
begin
-- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record
-- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd
-- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC
set_general_target_and_command_fields(VVCT, vvc_instance_idx, channel, proc_call, msg, QUEUED, READ);
shared_vvc_cmd.num_bytes_read := num_bytes;
shared_vvc_cmd.data_routing := data_routing;
shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel;
if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then
v_msg_id_panel := parent_msg_id_panel;
end if;
send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel);
end procedure;
procedure gmii_read(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant channel : in t_channel;
constant num_bytes : in positive;
constant msg : in string;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
) is
begin
gmii_read(VVCT, vvc_instance_idx, channel, num_bytes, NA, msg, scope, parent_msg_id_panel);
end procedure;
procedure gmii_read(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant channel : in t_channel;
constant data_routing : in t_data_routing;
constant msg : in string;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
) is
begin
gmii_read(VVCT, vvc_instance_idx, channel, C_VVC_CMD_DATA_MAX_BYTES, data_routing, msg, scope, parent_msg_id_panel);
end procedure;
procedure gmii_read(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant channel : in t_channel;
constant msg : in string;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
) is
begin
gmii_read(VVCT, vvc_instance_idx, channel, C_VVC_CMD_DATA_MAX_BYTES, NA, msg, scope, parent_msg_id_panel);
end procedure;
procedure gmii_expect(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant channel : in t_channel;
constant data_exp : in t_slv_array;
constant msg : in string;
constant alert_level : in t_alert_level := ERROR;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
) is
constant proc_name : string := "gmii_expect";
constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx, channel)
& ", " & to_string(data_exp'length) & " bytes)";
variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel;
begin
-- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record
-- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd
-- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC
set_general_target_and_command_fields(VVCT, vvc_instance_idx, channel, proc_call, msg, QUEUED, EXPECT);
shared_vvc_cmd.data_array(0 to data_exp'length-1) := data_exp;
shared_vvc_cmd.data_array_length := data_exp'length;
shared_vvc_cmd.alert_level := alert_level;
shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel;
if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then
v_msg_id_panel := parent_msg_id_panel;
end if;
send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel);
end procedure;
--==============================================================================
-- Transaction info methods
--==============================================================================
procedure set_global_vvc_transaction_info(
signal vvc_transaction_info_trigger : inout std_logic;
variable vvc_transaction_info_group : inout t_transaction_group;
constant vvc_cmd : in t_vvc_cmd_record;
constant vvc_config : in t_vvc_config;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT) is
begin
case vvc_cmd.operation is
when WRITE | READ | EXPECT =>
vvc_transaction_info_group.bt.operation := vvc_cmd.operation;
vvc_transaction_info_group.bt.data_array := vvc_cmd.data_array;
vvc_transaction_info_group.bt.vvc_meta.msg(1 to vvc_cmd.msg'length) := vvc_cmd.msg;
vvc_transaction_info_group.bt.vvc_meta.cmd_idx := vvc_cmd.cmd_idx;
vvc_transaction_info_group.bt.transaction_status := IN_PROGRESS;
gen_pulse(vvc_transaction_info_trigger, 0 ns, "pulsing global vvc transaction info trigger", scope, ID_NEVER);
when others =>
alert(TB_ERROR, "VVC operation not recognized");
end case;
wait for 0 ns;
end procedure set_global_vvc_transaction_info;
procedure reset_vvc_transaction_info(
variable vvc_transaction_info_group : inout t_transaction_group;
constant vvc_cmd : in t_vvc_cmd_record) is
begin
case vvc_cmd.operation is
when WRITE | READ | EXPECT =>
vvc_transaction_info_group.bt := C_BASE_TRANSACTION_SET_DEFAULT;
when others =>
null;
end case;
wait for 0 ns;
end procedure reset_vvc_transaction_info;
--==============================================================================
-- VVC Activity
--==============================================================================
procedure update_vvc_activity_register( signal global_trigger_vvc_activity_register : inout std_logic;
variable vvc_status : inout t_vvc_status;
constant activity : in t_activity;
constant entry_num_in_vvc_activity_register : in integer;
constant last_cmd_idx_executed : in natural;
constant command_queue_is_empty : in boolean;
constant scope : in string := C_VVC_NAME) is
variable v_activity : t_activity := activity;
begin
-- Update vvc_status after a command has finished (during same delta cycle the activity register is updated)
if activity = INACTIVE then
vvc_status.previous_cmd_idx := last_cmd_idx_executed;
vvc_status.current_cmd_idx := 0;
end if;
if v_activity = INACTIVE and not(command_queue_is_empty) then
v_activity := ACTIVE;
end if;
shared_vvc_activity_register.priv_report_vvc_activity(vvc_idx => entry_num_in_vvc_activity_register,
activity => v_activity,
last_cmd_idx_executed => last_cmd_idx_executed);
if global_trigger_vvc_activity_register /= 'L' then
wait until global_trigger_vvc_activity_register = 'L';
end if;
gen_pulse(global_trigger_vvc_activity_register, 0 ns, "pulsing global trigger for vvc activity register", scope, ID_NEVER);
end procedure;
end package body vvc_methods_pkg;
| mit | c4d40bad3e1f13bc0c4e3d2d8b3c268d | 0.548748 | 4.227161 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_2/part_3/top.vhd | 1 | 4,271 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 06.03.2014 15:08:57
-- Design Name:
-- Module Name: top - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.ALL;
use work.VHDL_lib.all;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity top is
Port ( clk_raw : in STD_LOGIC;
leds : out STD_LOGIC_VECTOR (7 downto 0);
VGA_DATA : out STD_LOGIC_VECTOR (11 downto 0);
VGA_HSYNC : out STD_LOGIC;
VGA_VSYNC : out STD_LOGIC
);
end top;
architecture Behavioral of top is
constant horz : integer := 5;
signal clk_100MHz: std_logic;
signal clk_193MHz: std_logic;
signal clk_250MHz: std_logic;
signal hscnt: std_logic_vector(11 downto 0);
signal vscnt: std_logic_vector(11 downto 0);
signal data: std_logic_vector(11 downto 0):= (others=>'0');
signal addra: std_logic_vector(10 downto 0);
signal addrb: std_logic_vector(10 downto 0);
signal dina: std_logic_vector(15 downto 0);
signal doutb: std_logic_vector(15 downto 0);
component clk_base is
port (
clk_raw : in STD_LOGIC;
clk_250MHz : out STD_LOGIC;
clk_100MHz : out STD_LOGIC;
locked : out STD_LOGIC
);
end component;
component clk_video is
port (
clk_100MHz : in STD_LOGIC;
clk_193MHz : out STD_LOGIC;
locked : out STD_LOGIC
);
end component;
COMPONENT bram
PORT (
clka : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
clkb : IN STD_LOGIC;
addrb : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END COMPONENT;
begin
clk_base1: clk_base port map(clk_raw, clk_250MHz, clk_100MHz, leds(0));
clk_video1: clk_video port map(clk_100MHz, clk_193MHz, leds(1));
vga1: vga generic map(
Hsync=> 112,
Hact=> 1280,
Hfp=>48,
Hbp=>248,
Vsync=>3,
Vact=> 1024,
Vfp=> 1,
Vbp=> 38
) port map( clk_193MHz, hscnt,vscnt,VGA_HSYNC, VGA_VSYNC,open);
bram_disp : bram
PORT MAP (
clka => clk_250MHz,
wea => "1",
addra => addra,
dina => dina,
clkb => clk_193MHz,
addrb => addrb,
doutb => doutb
);
leds(7 downto 2) <= (others=>'0');
dina <= "00000"&addra;
addrb <= hscnt(10 downto 0);
process(clk_250MHz) begin
if(clk_250MHz'event and clk_250MHz='1')then
if(addra < 1024)then
addra <= addra+1;
else
addra <= (others=>'0');
end if;
end if;
end process;
process(clk_193MHz) begin
if(clk_193MHz'event and clk_193MHz='1')then
if( hscnt < 1280 and vscnt < 1024)then
VGA_DATA <= data;
else
VGA_DATA <= (others=>'0');
end if;
if (vscnt = 512)then
data <= X"07F";
elsif((hscnt = 0) or (hscnt = 128) or (hscnt = 256) or (hscnt = 384) or (hscnt = 512) or (hscnt = 640) or (hscnt = 768) or (hscnt = 896) or (hscnt = 1024) or (hscnt = 1152) or (hscnt = 1280-1)) then
data <= X"0F0";
elsif((vscnt = 0) or (vscnt = 128) or (vscnt = 256) or (vscnt = 384) or (vscnt = 640) or (vscnt = 768) or (vscnt = 896) or (vscnt = 1024-1)) then
data <= X"0F0";
else
if(doutb = 1023 - vscnt)then
data <= X"FFF";
else
data <= X"000";
end if;
end if;
end if;
end process;
end Behavioral;
| gpl-2.0 | 114d8da564eb6ee2fdc2050b54ff1820 | 0.547647 | 3.4583 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_div/flt_div.vhd | 2 | 22,968 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
cl/HLzVgyRnD4dIDv8/w+2T7tlVTDQUm45+fEH3A+7B6E88j5IkOFVCNsr73Z11DDXlyasFCXXqS
YKiDoI3YDw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
YbmO4sECCQcFA6CNo6ibUPIpFsm2UaY69GSwxRywuhc2zyCzydUss4x4HdonLikyFoCO3fjPK3ON
MkDKGD4GfX3/HzgZ/vT9PjIcQarvaOafrWudLdsVOLndxq/cJC7jGk4d9lUqsIuCOP58/A5Lgx1A
NeizkE5BvudUm3QolzM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
CfBZsCnozZwMTjPsS0z/ySUuqycofIYuByOIht5qN2fzN0ZYQPovZ1mHK0Tbztwv269BOXytP8hu
Zb+GJmGMyueKt7hOIbo4OHxtnYT4pSTnk4zK/xJH6KkpLDKdDUY+nsOj1kH/ZYdCF9tldFxGHuRs
WPLnDbYmHZ5icVdbmBwRCuCtME0qYjr3G0ZLzLc8CKMAfk5HSXsE/ov8qHwx722fPxqLKKxyk7TG
Wn5ff8/fKbisWsHqa+88PVHp0NLuYBy/8B7J0kMTXpTmBoyHQMq7Bz/In3AdXxMRS9ORHicwYsuv
GY6kAC8nYkPhcLHuC+qCQXsETdZ1RwYXjdF2Rg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
h+lDuqsArgOvXGyYMSQkTDaEHdE12JjuyjDvwZdFstnMiXhoPzbq6aZzyvyeULhCzOsdi0eK1pwR
28eXfzXan8Hv+h2ie/u/c4VfLjZcX62EQAw8uCx3QwCXD0k5ef46fpDPcb96i6rhhQoUBmxWoItV
60FGrFiMER5kR+Kh+Yk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
DKlvRYNDRKD6C1wLyjDEUC8aH+uM6WI/b000ouzFaJuoU5D2T8/KeX7D+QuAqngPp/iy2I0Rgj3u
t/44OrSP6SAbe53HKyr2Pfvwal2et2jFIJMfsSeeEnoD7IHm/jiQeMd/IU5NXt6M5IH1NJenLfta
vQzVEmdUc5j8Hy9IzNyFTk8HErq0ql98ivuFHjOZr1KK8qR2uA8aEbVEFufI2P9y4VL71ytfZJdb
fEI9jr9G0dLYDNWc9QYFhBCGUGac7hiBlPjIrr09tRVW4LWJsFD1IXQ3OKLLRidGRUp648lBAXb5
2hClNdtBEVM6960jhswCO5abOR45UgOZYfycpA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15264)
`protect data_block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`protect end_protected
| gpl-2.0 | aec0e8bb27a0c3ab1de866483ddd7cd9 | 0.943051 | 1.844227 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_log/flt_log_rr_mul.vhd | 3 | 20,245 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
I1LTbze8BMNRA++++c/8osMU16ve3Rmtb+LUaNSqF56PtY2l9xRMAcPjWZGgVM6iOn0/demuje77
iTagnwrhGw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
AxGcSCMCIaraPQ6oVnJvxuE/TMjNbQg12/V5vWpQdcJSuZJpDpbv1d6d86Z+NSUsgl96VieZjMA9
ifmsatT4dQdI5u4ZhDTiZTITHltR+mqaL1+GhSiXYKUEPdH9m7YZT4z5noVigBnlMcTTsrnnupSP
gbI6JwdiLhmBq3tdL8c=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
RtxX0t0hJNghGIP6vw+olcdyaE7ToPeffDpGADdnv3LCVIDUWOdWcFp5dVo2jAq7kkSP+mW2FycP
J4iAj6yZWNFf1m0UJ5AJ6fr+ID5g5Oy1DyOogT7r8TDLtYmyxNi9wgY2c/HaqWsgQNQs+mu0nFli
lKflsrZQtXl3oATbakYLcB3htlw3jyifLsHHoDrBj09iN5SHZT1LwfOGqxYBUhn1bppgR7NLXcV4
rFC4ok9T2LXHMxx6+GXdcStmp2R3WQKf/DPKfA1OR/fM9xonKGSFU9WDNZai1QzQjygEkVWDVt12
l781/shzDk2+0NclTDkNJ6Nb7NgB87zQW9Q8eA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ZQjRhi045XS0r+MR7zpqbeIrE2bb+LCt340LFVWskHFE4ZkFUhcfXVQlpurnR4z60FXwviDBKmXX
g85R5Ko2Bxl7Ov7mMDchyQuk6yCjPS5cHOmTPx/q2mHaaQEae29tE9h/ljBh6kgNVB+5bmSGzVDO
zr0Fsv4HGp9o90H/1nU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
H7RHUIYP/Rq6XEN6z7N/Y/PwYWxcHMF6SMO7+CLL9CFpJaxCQH+UZx6tm3C1O+poZuCxmqtdYcz1
Bc7x6v35O/0bEqp2ntGEhE+SbmXacb2Lh2ztVv37YRhiDpoe0OF2rjOImmUE+Oh4AuZp80PsYnPL
tL4SLuY9dnh2d4+/1DFfxoXWOjq+h/lyMdeh+Ld7axs7J6TS0mKnqoCallH2BK9h1sL1FvCjG1hI
nuylVH4EztMi4//bXzJnGZIbf29SrR0VLWd2W8j9Gro0iGKeFA8bN0mytb/OXQIkq96L/Cm3GiRt
vktRsYoRRjpUegV0hiAyuk7ZQ18B3JnNbIdhFA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13248)
`protect data_block
MWtb2u/SyP71xGdALGjQmyivsCxueFxfU1ZcBRMTgfAtq1KJVFGGS+jjkMtOcLi+7eKxqhNwTvw7
yfehisJlTDMMVH7NssR2y5g6/gp++MOZ+T2rEp1TcGYAbZbJuzX/4YNSdobqq320lcmfR1IBsArV
WmkabobN5J1NSyP+E6ggL4S+6eNl0GRLnMpSlYKeX6AA9KsngIH+BIHg1RbFxtD6/GYRV+Za2qgE
IdTTSB8Cs3KTK0QRMdpAo2UTmu4eNJxX5/9WpL/oKAhJTTVyW0BI2r97wdwZXz5nPAv40A/0IxQc
lUqFC1xFoBak7ZLFtyF3tFmimZxz9vit+0TDV9vxZf7KRD50G6mIPfjp8cwDar1MrbOYP1N2yZSW
+1+juF5J6FQc87Vw6bhp6DWTBFg9QlGDfzSeuempOMKFEEfcs4p5YUohqp0A4uYLEzE5n7VXj007
vNO6JHVVjNbugp/CepMZWJqc3Mw3jVWIwhOMPLPxqsRSHyOGUBZzx5OnBcAPhmiwt+ZBwoHkJSXj
8ExLlkqXE7URXCScWhDWURRm2WHibRM8noThTLb9xMUrzpP9nZB1rikgjSynnyL0HUV4ey+EALW+
xTjmsKCMUK7Vk2EMje3zTbVCVWJe9Fb4CkNdASDTRCpEHlSLmMH/dAP/bojYl31vbmECCOTfTQ50
c9J9vwYg8rtahwoZptEVOnLmpQ9Y/Oh3qBY6/MxfONY1NyRMv93Xig5GKr5i4IQqX4SHpli49LD9
4AZl7Uyvjx+4H9UGDqTI1TAqZiJ/pd6CpbIJs1yG10wKcGpHKkJDwlLQHfEGdaYq1Ccf9dcaaPqs
G69HrtD6EdzpAlP7zyM5wJJStoMLsrYoMNcBh87uWriAuPPJuK2XBC+WmWstLdG2YbediTM0lOOG
jH0UtKiJhu6MgX9ZGiZNkNUHry7ADEZ1LZD/MeTG8egkiGNXlemVLd+xaqWCMlz4G8jEQfYThFd+
qhmxelSAfRGPHd1tz2NE/rI1BWm3ZxgPNc6oLfM+VU/QcJZmoHn3qRlJ/p7Z6gj9hZoOU9+u/nQh
sLSC7KtoFIZAAwyiW2ieuVHxD1zdhYQ/HLMvif5uIpu8pMQJj4yzraecc8d/XtRXp3EKAleyKSCy
rJqCnh9qihuNtxkqQVmO7T4nd68MXe1KuXArHrPGHOxLt36bdJWFpmraHJtN9/qvL+eWmkq29kTu
3+AugggyHpl8Huo6542qE2TAglua3mnnbnDaH6oQhiIUkEJ5GsvJFS4Ncjlt4gXib1wIPcH/BEBU
cZPjK7l3LQMDmqshDEyuaixWDr35cmROqqEPmyc4ugr3/jTL3QnYA8FCMP9JJunnPzatpHCVxH0H
MkNP9ybNpMI6s1YBQ0Uw3Pfu05ZNTWW/zV3KidqHyGGUtaWgrXomctkYhXyHJpok2NAwxR21DjUm
DoiB6CXymVQMVp4DpzpD6oytv/O/CBGKGmZ7ZDQxTNpTRwZh/CBNHq5X228mnDrTZvhAkqiyJR1g
eYSGjz4iHNlknW591cztmDS3A7EtgRelAf2XnNLT6vpqEBYmvjf6BsU8TKzMC8LqJdA/Tidcf/I2
bFnWIHynfZEeepUuJX1jg18q2UB7OJt55Ij0nmHDZKCsAqT48/VYtIRDqSuxt8wWB6GlNBacxzI+
nDOkCYsIxxA1VyElDHPT4zpNFebHSwVoHpSgjXukurnKjnN+hqIcD8Eo8UCtEc8mm+MOuXiM/B83
jDMk/saKOdq2BXgJZTQjlCVm4K9YbIrinzrRkuiNzjAFnaXSWVuGXC/OhXqiu5ugpQeCX1mPNz7R
Hkt44xn3lBlEOmrnwT7gjhFvo7Syz6R667HQWaKWurAFbt91Lv2v1+YwdFe3I0wnCMUsDugYm+se
jGgMdJ3satBzz2mdG/M+djJoxNR5Zi73mfrksTycUj5rv4gDxMSdlR6TRTICGylY21gU5tNvW6K1
aJ2ZOkY/fi2VohtTBvZUWDmo90U31QU+RycIl+HYXLj2gAi/ljeM3hKnvGH1fWnxq13WAZO/rv/0
H5Nnz1sx6fBHeCtmx8qmL/CLYwbPUEpage3qAS+1RGQRAFYfbpNH6ZPkNr2ICRFOp8urR3UvJjco
0J4tUMo2ufGzHWOcavAWRl0po7DTeR4iteEaSE7Jl2y/HlKcg1/g717k6sZKMj+5MG40cYadXBrI
CvCrC3fjVrOpd/BMGzY9ovFhv57u/4iKFuB+af+PbtDUmTP+7NsEaMFfUOr86Ujo041SGnKnUJG2
m6J67cx9ih0LS7lw6G69AJ0e/jleYPp7JV/Xn3Jrr5EvRzD9trcU5EndUOucgOuau/jP7MG3+3Jy
0FTAelOJD5mbnUDM3yid0Guf6vWEQhfHw081uz4rfjDS5C7ITsdceXtJJo5axXGQddBjAMX6Ut9y
9Qm2B1pZVqjaJONdIQXL5AF847Wvy0+mEJBWYJwRvdpACcBvBtia4PHwEpWEwL7eu9Gyu06sv194
wekaRw6nb7/Fu7WjVBEm6ITbzmgofC1fNaZOiHNfH8ekk67vQ84S1K2jbEAK5xPEwK+wtVaq+Wiy
xiccpi28pEPj2rGkZkdZCyXht1tp2j7NwbKP/0QEpSIhi9lECaBXV3pAj3QvabXazJ140yZI3jDY
LfZ9cXMALcaK/JY6MXsBmMn1iL/Gl9beRgFiEwcnbuiXWLsye1AD+n7ZupzgAxhQy6cr7BDSzida
Rp2bYbaUc3vlK89SNKQbw6641qEz9/LTL8nNyPqWAUa4Qn2IORxwud3jVcOWFAS6EOdEe+0wNN+K
5GqtMJFArKAC2RMySoWXQVQ5KbDL8XLTOphTAIFUjbgIzhEnce1goZVculmj/kT7Fx+6GY227FX6
9liUPIZnL/NPKIU/PfSDgncOx1png8/o7Z4QWgj+W0tMEmf0LPrdyEp8TRFSgnpvjqHyFB+kA87N
ffAvg3LhWAFwMXIvZNjcB/tlSgT+yyXLlZzqAVbIVa1IyoXQs0XdMY4TfAydTTiTqChcG38BYdKN
jQtJxUQ2OeQSP9u51az1RLi2wWeW2sqUDY8nbTSe6nGDmhg+s6qVVQuJd5RNzrmVfZp1RL/3pEwd
JIExQeLWcLJeEN2r0CpMT/Y2Iiw6nAWDB5ZPhfJ78mT0PSBYJsfk8HFPv7NieCiFxtTGGNQ5qyb3
lRvCGe0l1dpdO+AqBJJ76eGuGxcThkoVviFRrUgzvNLYpgREFXzw2NsUE71YxObHjd3bnhIDjZ6Y
qrHVZ926tuyQVtb5EW0Tjx0UoZ/8hQ2zyTUfKzCwdntZ0HTPcK54bF2AJVZOE+BYGF0HgBSCPAIY
AtGRho90Umpu9KceJmhtMI46ZrAwJrTOU4tQkAiBoES2Inb8bejPnJBONJX5Uy3/VtckfemTqRBn
A78M4ed6FTXb2ifP8y1WPyl29QrpGGGx8xUw64MZWTGNYs5FzelH5TBRMR1TGRimvyCinyRRrGVU
t2gm1WSU3UcXqE/pr2J4ubHNBRHOyOwn6zpXuh7y8hXxdrMLh7lCxrdrn4Kdl4DeX3Xyvqm41INS
JnxeIXJKA91Z7Yuhf6S9mT0S876+Fh3X2T7nE/MDWlRMQiwYl7k5kAoK9KOD215Lrq9o2iyShruQ
jOsapt18zsgcGxhXj/v5hKxBpgFa7cnSXjcQ17AiupGovKh7wdZ+8UQSozuU8jGuVSRu6obEbpgt
lTbGOYRWorPfZTSAOXcOjEmibuu7zEvK4VzVNVD21Ue/TYT7n8KfN6yCEdTuVGAB4CM3cG+9X6ko
Md62VGhlTPFWuofLZlzhueFvYFzpfskKDY0HkgW+OejcRvvdzTqHYjAfmLJTayBmBai1EtNrf9sX
5uES+ZDdvPBu+ZShbnYbWLB5juMwu5+4sLi09deopeRxEHeb+6xqnjcrnympiZFl8v+L4JF2NL04
TN3CXFUORx+ZDHqwRvqHUZrQZwDvFENqi2oImZKb0JniQvimzBDJVENYTBhr6lhhuR7+p+9sxswy
r6wEEKifVbsWwZso61i2rGf2dkxoqdfIB+9z3bXgF1FJL8N1qviaj3UaM6fS2NuLtRdN9T/jspSy
qMynnrzjiwQuyNHSeAniF/lHFKiXz5/mMqxufICbOy47Zw9y7xfMikOVQfOMu7MJQQRk1aRidEvL
lrwvdRJtMqtHMKGS7GN9ZfE6gWoNWLrirpxi7qF2O6CYXVnFxK6glBOOQRWfyzZItRKXj/9c4V9I
jIyTpG6aSValvz9OLsSRjFXK3POc4XjLsxKrOYnsYggl2mUtxwfZ0OvzQCRz/5M7Gqf4TNL/aE7E
puPlSriG+qcVLcuEmqbPtKoizQZPlEILx0glJPXUh4B4lmWum88HjbL/CbxmeynJxZL8NuxOBTGA
iwrSiq8Za1R84NWhdHLzIXsc0Icp5V+CAhwgkk3O0zaeXSJ8JJsLUSv8UUktE3T+U4Q+QnN9rURI
l9FuR8eLWDyiv2X4cH2pgHRAGKlWJ6/jsyxxDA5dTHQ/tQ50PWej1h6TqCpDBinqFqO+ZyeZh0J+
WknpH2Scb5VHkf49KNVrG573tDhMFZ9aYdaKkwfPKFwMJS82PoUVvGQytNMrDOQJxAwVc5ehE3HX
q+jOgT/SpR0yfFxE/Lwg4RDyz3FhP5jDtIFx7g7RewavgArBtYOslITZWn/0Bjm9jiwtGXMUqPxw
OaLpxdLuPFRI/SpB8AVTX3CvQ15YEozM9SqRzlbxpiVN+oi/mlGDXb7Wxb3FGkC0MSEl1SJzPRx3
5wigNrj1CQYTGgUaLcnf8edl4noYbl7ta1gy/6d6OTFuRc8e+u8f749O/+CJo2xqb4M9Lt7OL89g
p8thu/pGPnb4SU04cJ720aW3kr+WCjdjpi5gdHkiRLYBsLVrSU05h0lWoydy8qrjbgQhyYm/4/e0
SPRsCa/VPLeytqTFN69SLczzuBHXbdbUWcMP1yV1msOSsMLK6vDNX2+Zh8l8ScRaR1I/GNoU0wid
RKroT3uo41IQ6bIjAZgUmQoqx2oiU/bWp2uB6FmoeKu975aeUGHXzO6P8wA23+texVVfcTLLG++N
rJjVDjgRlh8QYnA91XWLO1f9gof0AqMx5pIzzTdrujq2IvyYWHmRVWZPx5gbz0zQoyfT34LfD8dp
UVK7DjR6qjCz0VUc7qbgj40+LKslFvAntq4Mk1QadSWopSwCSI0v2Kf2Lo7ioNdA3TBZvZU0GGKC
0wAMB+/ODEwiwusE6QgufXEsf0Kn9iun+9F16UV0gGok1f0vxLwqfpeBxTrgpyato7NX+zdTMEdh
rxuYFh7CxaxRXtEgaOWE8cLsw9ObdngTy+bU93Gqc2VvzG76Bi/TvCO0wibWoHFEX8nWLa0IAa2e
77faFKSaes0r4YfW2j3/xpmgqO/QoQw1J7OJk4UOTqojG8wiQwvukEa6wnrzgsI0Lj5njEQUs1XL
vrpvN06kDCywrXGNlsPtqWi4JdwzEW866GgtdcnNPgPjFyC3od2zHThEcOwRIvyHnX+Mgpd1sB7p
H+KZjlHaG60OKurLYW4XQBsuKAjye7xTiQPfaEGV9UxhSpDWG8NUSxGO+IgDX0CwkjzuMpuB5Il6
dl1fgngkKPrqESAb3nyT9jknINMU6KD6HBxUGxMb6/IDp1GPOwHKI/PsWT4PKw5sRpUwxCP4oOwY
vR/+xHNB3TkvA43GTC96fEWN1p2weJhGXJoJ3IBAZ1YjzF1WfhJW3PMaNjgEAls/yv7q+S/ieXG8
MPkO/adVZz5H2NPwWuXRVuQDTBoqcg/rqsxTLOXjOR8JCZKI/de/8N5gu0BDTVw72y0nn/qiHpx4
NUZrt7ecHnQPOwTYT3N0fcZQQOo057mQwsTfgUOsiL/PoG32LLXIID7Ed7i4Puu70sfB8Y1BNtNE
Rsdma8QKGw+ZPx9XhmV/Ca0HzmqWTKIUpSkHHKV/D9mksmjtLEKnsp5hYJrfsZ8LRKYbG2LKkvu0
Xjfmjvvj33bUkS1t0CPwSnLP36omL/QwgVlj6knOTmPXLon4MqhTFUsi+DdSr/TGVcLET7NS7s2c
heR9T4kFaQNei/sW1/yK4cKoOYFO6mXrPPtaOYQimOD0c3R+gMbasggQbnn9QBtR58geDFEwCcHO
Ait742ZrsiAu3NfO1lX7iUvgoWru1gJ0fjjLipwuKmLzoPmoO+Fnf6Y1hCfiL/8Gzw97WcHl5J+L
tRp0iLsZdQ9Fl9bVZM7dLb1tJjDEoSoZow+9ik5PoC20Rz/HoSVKiXi/KM0DDZ2wshZoFxmB77Su
JYh+7nZ8YJZkZW+cshmxWdx7eQe280fJwJ7Xt7AcakJS/DtUnvnZK1W5iHdEYKFLADjauWxKJ/WN
jT+IqgLnKKTJyAN3P43wIKRxd4MMzqTRfnub4JOO2QnoqsIFekpAf73M3jAP1q1OLE5miZe/MP+f
57s84sng5nZMx0e6L+upXHHOa+7y3sNRbix3JVD2XbzN2gPYgwLcQG/uT7Mv/PEMT0eq36vXdkob
bbxnFuqGCQQtRepCXeKBTI+hbnlx0RI6/JPcGFQUqExl68g60OHY6l+4aF2kqGvyfVDDrCJFpxcl
xnceyH39/dPhaqQ+ANY9ILHEeTGcGArn9kvbHi/cBsuYsNRuFGynbF96lL/qoaEcJb+XW5EEcwIK
mYzm5V4CLpgBsYXyhaGUK5vrRjSBYwSs1FJltY80GyTAXfCeZrA2pVbK+v2Ftr3UyGzm3iAwRsUH
hosgp58LnsjuooJzPGoc/W7jrWHcb8cfVuaask7s63aTNxNKqHKVERY0jUvGNzjiRAgvkRFZXAtJ
qy4dg1niFzVAzgQkQdUBfK90EXrKLZvgxWS8PMuN0sI+iryl+M4Ekzc/B8BAWqGPRnTflBXDg3w3
s0OP02Fn8m9jePxmBEKWHaR4N1/E1hm7T4bchvh4ihOUsJ0tul+X4+zs/gAFknth3z35+4HItolX
szPdkcF7CYBFPldCjofb3vwbNRw8aG0bApCC5RaoTXMb2V0nKBXXUqgYn4lcfy4RmQZxgKVwwfad
8a9PoJLqSBVJjR6R3UJwHKfzvUUZqwq8ZP5NuO3u+HI2fCSjdjsUNR0SPd61C2wPT5DcICl5sHro
J8qWmZhWsP4Gdi/eh/q4P6oaWg5iqVsVF8WuubvsjNA3kjB7AaALPqRmph5Tt+EIBwpFa1sr6e6e
rGkzGj1bX4AJmeghTLHU7ngLPClU1lBliTtMkl6k0/S2LaNtMIP6FiKVYonjTmlmnL9gC3ESInsS
COwEVbpJKpVdBdNvIKVpaHJ9MrMOOjxcC3FZl0b3dfI1RBI2ftKYJQuZDA6FSsde09+s6Glw6d+D
q9BiZW+XFqvd6BzPnyTQx8gHNfaJvoR7ewTZ2JiwV1b5NXa710jr/ctFHJ6RN9GDqIRsWbGqAmuK
GjlBr1wee4V0Usr9yjq+wr/gUPMVEolJTJGYQ0OYuctrpFBJa6pm+MawVPUelVekmZObTTExE5G8
52Sc1ClSb3Qw59LPzyQ9E4ELcu79P6/9O3nB3a6OiIw/AXMKqJ/YVhOnjhh99vQmP+GolNy9yehG
rW6QiNVfNmb6Yfi+DuK/a/fjKN/0fTTU4cwGUHKt0kxmZ5YzJAYT11vY8oNvZ3Bo86H+6ao7NLl4
/Afwitu3AIOR/5olldAQmwGTwvceetWCzEvZQimzwWGPoVWE2+1IGUeKOkwRU1U+y9JfCG/838as
uf5hEagLs8mMi7EhKglUhPN94+vbrmcE5Vm5PsSCqy/5tWoFTm/it+U/gGFgu6EfUXN22cuE3Saf
HVJlBY6V3KXLZfEeWVNX4d7QSu+1w5WqzvWqlHDbejUrrBm2HbzeXqqM7RcldGWGWl8jwdJ2Mv6j
UPxwrD7Sd8THVxdcenHA8IVq17aANd9qNWWNcN0LH9wdbdYKSLqf/pPKO2hGgyT5laEDnDYiRgsm
ZQga7BZY4/cOI7wDIG13JEaCsol1blGM4usMq8kvHG03qjp+VUasqvSMNXRjmQp151CdC/aNPqei
p3jdM1UBD0r9Hbud/UtA4eIC1mMTA2vkcEER3PpKLEcMhUIUED3T9ucWjN69xUlospWo9kxcoiXD
Bt+fOYvu6io9uSLiuKU0FuS1MOEvWqUVfuC5RbaKcVXe/7crqAkbYw1UZ9DflBbeOLhG7GwuTyiR
kpHXvvi+XqUa4iNmwH9QRIYQfmIZ1KGkfFS2ctfgke+guJtldZPcA6avQFp32jXnk2lITzNjMw3x
KguLUHWRaA3Kwr7RTK5PmTIiW5E2kkuquluXjl4RajWpbeobLCltc+kIC8QPaMJzfxjHKoXWuZQx
eJb2xnZcxeM3I46I5pMfvlvyERhQHMqdhP2Zpo+XB95BlwetPoXH/CCaiZqW/tNpea3onmDxFM+b
1B3BxWvvYXbjoVuTg9H0fqKddqQz1jTQRu9E+XsrS9qs6UnhrkLrqHEXgiMIZRsVNRdeGy95//qD
ZgXWRKcF8K9mjBA5Cqslwo/LQitGRdXKMUSLpSujQKOv+Hd+NZCqMBjKtAP29ZQk7CIbhiGXHgXK
oQjtAg+w+zUO8bB10gwEwafAH4gbjnHKx3S0x3RF7IhUaNH9u0EfriXJ3XIgMcfi++sIj70f08zY
6wiLIxwOV++ixNKTA+pnt3JaXcRGCWlOGpsc9hUCXvGH/o8qHnQJ1yKkLBn6M0pyIwPTGxoAEDqU
DREBW9snoBV+9bxteYmmU4N6KzBa0rm/UEb0UL/LyOfPrM8ST10DdqvVNWy1CLr2Yols01js7HeC
NhUvs1tnJp/gX6fDq7L310qJk9vmb+MX1W+7v7Jn7T64ydiPqPIerRupv1AqRptDgxDdgAKgtn1o
GluQaA7lOr1yc8Rk4WNkbDt+IPT0lFUiqjYnZViwHb+FUxYqbHPSJyeUVkCwVrJgBh2LLco8P77l
eTw5MhRXp523R5o/JtcQ/BYpSZsFa4vjf2MdKInoWExVFpzKq+HQ7PVlHWPH1P9zFd8ALdZcFsnP
eIUIKggAboFXFMQ8TFa7yqke+Tj2TcjJTH9Xltp1KYyYvGnV4hn6UxqH5sQYgKt68sqGM1BXU6ng
VcQ6C9GlS3IlnxLOHyjljc8BIyP90A9DKOu8EXzg/xoz6x06EkYi7GqOfS6k+KBVOUomXn2fxER3
N0Yi7gc+zCOzW41517mp0dRli4gMI6kMsUxJJ394A/GqC9JyLHnaCeGb+hwTs8cvwjtfNBkXjRN/
qKGcvWi1Zv53HMgyOgSd9RlKq+C/T0vwcCtbPIJ6nIGjEyXgU20kDjV7NRygEptH3ZrB8vKh1RZx
+eb3zMMOJ7sj2mtrOQMO0U3rPTI/7tITRTAzQHN70f0K+zMboudhSCbLAqX3EJPeOxhrRyOeOAq2
5nYbc1B7W2p4eCjp+2G7yQ3FhMP6xrg4BDWXXY1a5HghfouvsqRb1U5BAuYrlDp9ypDPKUxX1+uw
x6YlSmfZvdA/6KXYf5qsTWI1M57Ob5pcVeU6BFgkyj/KBwz7HYu2paGXttcGI3xm/w22jMisOdwF
LwLnHbf9kH75IJx+PAPr7Bh1TmcjkxvpV9G0lLhdDNUWtpJa6331qnMTtzQdwoyTAGxBoW0lp++t
2hgz+bVBc2QkYIn56A7KooaMoMX4T2IE6RTBVxdHrRceZUU5NBCnyxiCMDtStdTBlmEy1p+1Rn7x
accXYZl/ORR5Ly2bMafPH/m6+ruUGLA/lYOpJcAoyBoN/D91ncYwm5Q1LiTScJHBKJ6JJiZmbx0U
T3SM+Q2Ly0StpbYERoTS2kWkzmZPz634ORNrvectuDfWPTYALETvfpcrQUhkxvqZhOBu5PpyH3UE
ZzYkL1cV5/wp3za+45l33ldMN9qXlLR8pFwF/m4c/oarigEjMmfO3bxYlzzo2DYuI4Z8LF4PqeJi
ziMVarFC02DKRFC5+Eq16nqhup3Q6FrsfFKaO9SSJdFXfN2tGlhZlEIfsVgRnEm4YQfPu+HVnb/L
qngSyR/Fp8vwNoAAXEINhbtlpN7Qnc+JGpKhuHgawgoqUPBJqwIPgwCEVsKkScTSjRKjAEuMJxQ5
GJE0pk+CmrpBLUYUiaw1bDwEb2vSSowEEd3MPAEyx+/b5AQm118qXKo+LZ5UkwTnN+QFjn/eh4oZ
fl1Q04Ki+5Z65dLdPZFo2jMUtAVCHvIFhMpMHO+rBGeh8S8ENzHB+PbrFFMUlXW3jO0Lu0EZNBzv
WxRGvbpYYB29ALJEBXtgdMwAH3VzTs7O9y+pR8Hfwu1jafN9dQrU997dvbYblsMZHfXw7PxZ+8IV
XhQsS9VNHSdYxseYSDoNbgGctu5Ir/PIJ79u5OEgtHEFlozSfLPWaVqN4skatMKporjNBN/pOtT2
CmURresYD4um4dnNr5ZsxrQ8hBKk+1RjOTU8s1UMHfJN9lEtq9azakyHOVyKP5gYd5qsu3ZJOp4t
GQylmmN2ZoBJf2q4q3WCDESzOb496PSdsWsZu72sz0DGozgco65wrJndtaYpHingidPHGdKwsh9c
YZiVoYFPpQNvzg8YTv2zNCkcLppnIjX7LnSIM7rX9wdstDIhKxKshxoY3KexE43R90kyiQt7wpiS
OPh5oU0ZkryXUQPyXQNMI2ifPJP+o/Ld6Za+9pZKb0v3QFz6FcvY7UmWlndrn78txoTmnI1SwY/L
MT2OLbXXNR/7vkNXmT6PXGkVZjbf0xBE1T6IvwL2KcxiboFfH4nJEXhSXqK1Nk+i17APtjHwGvkJ
wvO95Skn+ACQFKimYYx5/YxT1zPWC8Mw1Ze0qpT9nXlR03l4Hq/e/FzKSDzGoT2Ur2HEnlacOYtP
eCSPzLj6aUKzX/Ves6ZGoOpUdRhhf9gLoFXRxBqVfqxzEHpxt7sMX/x6XIyzrMmR5ALqzr0D3knM
mxjyX6hYaG+QNqJFQguiqHFzzOb6BOMFw8kp5/dDnDeXsgoS8HcMcA5/iZ8aI1WdLADH/hLmd6e9
1nVPz7IjQ4jk7phJr5ywf5QAmgqNXZFmk/OQOEkHqSu6HQo/zXWgw5Vs6Pdpbl7j6Un/HTsEuDLe
g2IqracyFywITC7YC8B+YWw0bMQTpQaaIId8LqCVx6Ga0TcNcx7Q4SRH3fYDlaE6HwnL+P6ZjRYB
Y1C5hKaoWtPL+5+Gu+s5vDBEitHiZfbiSV7fkj325KXSS8CprKpU+qBjGkMVMzDLT4wuKH4oH4FM
byHxbBQh7XTtw/TzzExaMGBpsXedq3CssjWqpn8ZY1RgegG/pacTSnabd8gEWMbCduTwT35MXXVL
CNA4f+kL3r4heK6ohBPjpNa2LOIGdP13TDEdhaWbNjQriyguIW/423adklKzpGQ7D7ZMYkfQ9CIL
7rzXQYEQAptgBi8U/2kpOR0iMTy/oZGvkf1tFjpLTN4jGCA2Q6yw06kOzoh/1y4S96jDDoBjtC3A
utacLdcxcPKv9AfT4GuIpdgUClzPvUwz1bSqgXzUUFMsKIQxNB3O1WdCbCz/Niw03CdFC9SniJ1h
wNjgIS621/1ZZuLrEkZkjyj6QlFAz0LRIo95pvMfSggqRn002u4x/ZmhqWAMk22V0Eun5lWpd5z4
w+r1ee69UjeEQ2h3+iDpC9Ghd+3KTtlrSrHFQsP0WxySAR4SDF+wV80ec2ZstrzLhZ6DHxpFGZ+C
fveppP1pe5tOMKI2N1Fj5CFF4LAP7XJTDDEaUSy9EtaXg/fLmqdVVo7ntPcMuGy3hANC3Lq4HYna
JPJbnF5tI75CanhxCxPQwxOAazWh1AfsMxJmbdZMm9pWok0D9gP+jWV1ApcqnBYHGKVRjvrBtbeE
YLzBL8OOcsReCFFuHkqebm7LJxczFHZbQ1JmMIQVURN8LOJt+APvXJ2wbeJxQ7e+ivrB8VMq+HVz
7byIGVq7gsaQ5WFhXBMKHm6W0jqfj2W6wzGGUPVnjM6xgG5ui4AsKNINBEbMNkP2NfMyzKUtAVKh
aoiqqCWDyWmOHOPr/fhlhasIKExmj4WEnJMeO5TpN88T2nUMRaHfPASjxtaV5JoZ+2oOETScfDzp
+nOea2P160w6xDZzZkfAXYS6W3fAuNlgz2fIR9BxMNWe+losZsnPEUbCrfre7ZXJODJSzo7cKdEE
BNU5lIBoO6LPIktqutCTwdH0HcHLIHKQJVoCBqEJm2jXMRyuiY/S+gaeRMYskvGlJ7SP85LYYFls
feFRrabaNnXIleTG+lCXE7oIiXgJHLvj/SjJj8gjMBVc+lXdot6xUfik0TOzDxLqvFkfvF93yI52
l1tbGVbl2zSulZ/qTaHOxar8E6TYKduFOUiQP5pHpC2+FcIhPCZcynwOXKFeb+uB9XVTuAoh6klI
VUaavWANgXz5Y2/1TIZwBwZJhCumtealaRDPLqkx2HXWXlUvsQFEdIc7Ki1TKHq5jBLlDiKXTMOQ
5If7TYw9O06QpFfz97Wy7s18GUXcSjRsli9SK/PLSCayQISnNU6cOo6sbhEUFDRDI1NaFJiKTbzi
A6By3mRqlT/8JG2eK1mbXLLh0tlN62rtlmJXkKKTd53Hm6u35BYArU4vxbTnnSqvKZnYDBc1UwJG
Kr+lk9ugf6Y7djC3tbJ4lW2rGMJQKQ7qzAZWsfBuOCXRDeDWZQXZgxv5YSe1PLzdwl1QPYW054qi
Bk3QgPafOVXXxSSvUY7omALCh3Q7NiSuFGgrgKcNhstb4QVQmHvso6Qthp9wTCJFAfK/xTMpc037
TFvWl8YkCc7KQxQBFQa6S/2UjZg8kpNwQXi+8UgwQhPE5BX7dBQGU1egS6qVt+HpuQywncIpyHwP
FSX3j9UTEMx9vNhcY/EFJPCHVWRZ1UMfY5nmNiN+gPzJ+4R4nuDGHm1hkoZqJ2IJZzYJDvLPnUFw
Kobu2ffMmF4YlZvOZT82/aKmV0BFKXjNb1wwJbtzKKx/0h5a4eWx207pHVmPl2t1P15c+aHP5BGK
25MuhPcNu8xBhxRzKIozrkVjjIVcRwuiXXIKop5z9RYq0Ym5i3evkHOri0xzIAb8tMxfYVxyg+uP
L9JTSPegKaDCCkIYgnVxnozQGIKNgM/iOjp2Ip6HJg7o3obLSbDSyAFFsUhrWxmb//JIV8EKFrg0
pO/WJX+qdSqhJlBGl2ciAkq2yxYLi8exPrjC5JChGaUAbM0R8Z1096vSrxGF20Yy7G/ajwDFATgO
RH+L1WwBIEIKHjuWY7TSs3/oz7KumLc8wz53FL68dbvfZtVqFTgTFIQc2A43Lkh2GBrhyz48ww1e
uO3qgJbzO2PlmsRHz62+y0IXTkLXiO3W2j++pKMM4lQ3IpGf+6GyfJXAaCPHjAbMsfY9MaoEN36b
BJSJyUdYABedZUVT0uHL+kA2YdnfwHoJk8xIS7o0ejqXkOEMT0hCc1ZAqZo50mpqZ4pgdknS42UX
AJB0fsMgohl0NJMPjqB0vmuenImRa6N0NlmJdx2Dy33DLYMAI2s0F2GPTUb8ivaaGqVsOAza2A1h
ZWnqrTdLwXUwCj2k4oYNILAC9tLWern22+EIcGMLvdN7ryNRnf2jVTjWkUYrsnv8AxiTVLOmiX3V
vFL43c7fSMXgj2tBzA96tqQMv+deC1eovGtW5c7xMXmwuczqlf0mm2m24/Qw4D3mc4s6Nh5OCum9
NpVfsH9m2+yTUDwoLRbSoc6QayqlsrlNole7q2HpiIwuZDLYYj4VdbXph2VC02pQWxLNrnIEGaDn
T/5BOwttDMIijkfub+g7hIKWsIxQl63JfDMECKuajj0h3c07uaXnEo7kf6iBq1TkNf1IdNov+VF7
eWF2OjynChR5fYtDUAAdtmDtVP3k1HNBkHd7nP7E0sMLVPbnW9yPxb+zd9YlI8q2id3QUFm+5DN6
SBCcACtDcGlVZwzmqNz5VEoo5rKMyFQN+bRumOND9qkMzl2idNJ6oSTby4i6mW10OxrNoVLyNv66
W6fU/hRNILvkJm8nDjGPbSd1NbWUas8yxt/CoL+c9WxffAxYRA7cOz0fQ6PzIkUoo6MzeH3OT8GD
uJQNDwtAzb/lFt3VH1xdCjZjyVgQQ5xgtOvBxncV2YfxYCirPyGulrX4u2vb+n/jYEW12vnqFbGp
ajYg+1xIHQi/K0Xqp7GJFiMCEhpsyX+lf0DedFWZtfwE65frSJFOXaFUDpVkmNpyqL+V9Y0jhvga
3cvAFAHo/HbNrR2sQ3e5D4hGMeotMLZ8UN7sAk2EiGY4bz/sivlLvWnT+hyuefWa/pix/ZM6cA+h
YBXrEo7mlh8j5ud5fXljnkUtJ2Z+uXEcaa/ipWRfX9Jv6bYv18LIcMqQ66fxxKXrXsZWFm4/WGm9
EsBaPOIWDT6y5Fa2MFdH02Hf9wRLk7MIjkFehAKMPqnGp5K8PhTCBo8b4aQxeRPnCkzd0wcVknKe
OdHWffQWQlXutMMTVlCr9b53TGubGElaOTIx86ZQGVIP2wm7FblwXXoVK7xo68mxiVHjYePbUkYf
nUurZhNVHFnMTWEIrYs8ON4MCoIDwaTAhQaWDDZrJ9FlhHnTUTSnrLSN3CRZov/Y4kfiRQ93oSOX
coYLfEHDz5feesz3cAz99wG7aFuiBGUg0If1ETCzlk+/gsrxO06/9gw9D68ufLaja389sp6iQH57
c+gP5pqezL4u3PEHu14CHHxFNYzGZ//Ts/fdWRWPvkwk9DwgKFwoNk/7JylFn9cciuDsIL42EMKh
GpqLlNbV0B4Ror0mWjOGI7r8dpwNoI0xIChj5+8GEtgiYNyy/y+ohhvDXhzIyV8eTCElDwQGe36m
HlaXP+8vi1/P26i5kshbEtfuzYXVKqvVI4YId24/TvjV9ZMxv7G7rWE0N/hkSSC9iIDkAJ3JFj+7
n2ekzsQ4zTinlZwgo9Xb3CLguN5ecukXd4bRwZ7J1MuqB/kI6KNdfA4Iyn9EKs2pEN+ztT2B9hNL
OpblyuKPM097Aq0UHxFkdN/5X7Zlb8bC5UTeVAuyAFq7vELw1kfmqpJifloNNUDpTNVnSO5W86Bd
eUzPNnllp5l+Dp3EuDYqP07CFTMcRunyqKjALdGPPZDjUTZaYQ+cCNjv9RV0GrLMER6ngs5nYIcO
PTLRWg+MXzGEnLbHkPEavKNYnCTFO88TsKNcS1XXaHNG3P9Abt8xiP497e82U1pUA1eRpbgrCyk3
BPAFtkaEk+UElYhMSoNCDh6/fQHL2i5gap8yUNl1Ovwj/lmd77pfdh2csiWUTNc6Kdrq7MAkVf83
GM/e6Y12FcnBb1ZHxhcEAHmMkDx5pQnydBh4ha2m5qxnf9MKjZIyEMm+n3yCcV7dwiHkhiIXphIw
XOdGIV5HxT39HrIBflKe0W7RrBdDGqMN7F7hzg41bTGHvidW6oKG8EA3Yt/qDhI0H6TXNS50Xnv9
b/IT51puchtfEcvae5qQBVsdTWnqE5gwmXk+OI//1UfxjVF4E1Z4qr4fGI3DaBElPEDUhUvk15KV
qN45ICDHEy5Flq/sZ8lSYQMzod04eEdtOd/cSsLY2IOObPmFnYldNjYQuweP8h/El3ZBwHDueoQl
g7czh48t3mU/efu156jAfwRQLu6sc2BagFf4hWghJzUCSsgxRxB2T91khsGmEe1QaMZt2LfILatG
DeBiN7Vqt+1mc0aeboQRkhqAqvF0rfoPg99cmT4+sS/LXkVF4CWwORXtw+X/Z815zW3kq8chQcVj
FGrbRBLrMm26qvsSN92wvxE9Tf3cTHJvZFiW0Wibfbu2WQBgMAFpuhUqf7IdmnAajw1HmDHpeWXW
D4IIT1Nw9QWAJkcgMbA9qYkFuKjHHg2g3srhQiAqqxHL+ouds+7FYyBwsgzvD1/Xy/6hEefxFHuS
klc1PXjtPuM1X8U5nIpP/Zt+ZHOMeApgFGQ6sjRD85KvgrdrMOFTeVw/HdpPZpv628IDL2pgPIG0
EmChZDsto1rX38Bph15Wnp+zSktLglozTprOPokNWwrGkG832PH59NUBTsw1EOFZryqXBq5kwC/r
Kz2eEe5dhZTXxm9LQsnRh8zVe+xYBa4LIYVf70p4w4ZRTs4cnR80EjJJXs970LshXCITL0ra9x1/
yI9AWNFSzSnArFGNdYCP7qg9acL9Kz5PE3H9MexOgr/FK4GNE7v1JNyDH+po1KZct2IR4i9lu85i
gKd5ESFQ8l4b9xaR/jbcAN70Trci309qprhMQSIUbHMBXxbJKtPwmmFXtlThSY7hqcVhxNSqgvii
317JPapfs1RRWcv7QuCwdmqhPW+zJnR2dZThPBqJcf1HzYVDpeSEugDd1ko+xzNOMTZIOJ42fi96
SmCMAfqkiNKAZXPJ6AzR+3K5FDmsTXrGye0vDHa8ok+WdE77HTJekuEal7XvXhl1TvCVZWUMXdGw
Z2+SfQ1DeBXl0rWEan9hL6BGNjy82LWgp3qx75E2b1zsAc9YOrc/bZR8cOx2ZKLxzcQbcx7t1BsW
4zTiuyZ1e/vHyzBYluOVwMt9I0yKaF+l9OKKt7h+0iibElke1Zn7tMG0XBqht90IG/LPSv1+Xj4T
r01msbkxCMW9TCqzhS1rhceeEwwLRW+hl3VPf+VeLaKZsQwCn+fliJiunC8NL363PR6qCPdBnxwQ
R6kwUdPGe9tlFD1MMMQk3gZ1dht9ArDvqR+4BnishyGTPxJvtTZ1FQjj8dRKPEigIuYevo5TAj3v
ryrIsaGiVa6D6Ger40ajw32KhyFjuUELzbNlldWmamWdLNBJRCdhaInZfDBJFJY8tHKeE0LBQ7vV
6loa48hBhJusQBol/i3lB3AMX5BnmjKfQ025kqrvhpRc1tSo7Cig7ZIsXuGYWO/WnV+FuCt33KqH
ziXxNvxKCdIqPA99QOfN5xkRNsAbWlXYeSM6CcJlX036pqlX/X7pddiIQ9jG1ABa0LA6vpJWoY9M
D/W4Fl1V0Dz36977fHDI52TsIZJKQTxj4YyogdYLBIgqK68YF4feQBz7pqUVsMYj8bisROgzBXED
1CJEG+G4hYj7ZWjqMwYIF6e/jU11+S6dWAAYrzIcu2iPjjhYXCejfk12t+qiRud8W8znjBLzGiHx
0BeFLX8jKMUu/KDoN7DyZ7cf/XBJr8JckpbqsCv0jFhmFXeP4Hcsv9tc9RhyQomTbfD2l2sqjkGu
s+3TeJjnzvXCgqjBV2sSBTY2QVDRhQYC+8kfwx43OGwO3RK2FIN941zRWlKfdhuuF5lBDXsAsk3V
GgTiM/wAIYXGBenPiv0EipIt0OImCu2GCe67uxI44e+mXI28+9Uf/h/e2EbwdftlWuqfQ0n1TNOA
BxU830Bwh+OprX5T6xMwh+eD5AWU4h7Zo+GkpBPiM32QGyBJYbxodGodSIACrI5o5wmOx5ojlgs3
8hHG+oYAAea7xE7WUOTkTC3ycWC+fCCfAE3rS2RjRSoqT+OVpK67d00bxVRXF6pGxtYgsQWfsVTm
NJtaWEexoTJvgPwEyTDUHRCLsEGoeXPH8ntFdpunEllWdJZkZ/gmYk66Uc/Sl0k5yQ6UJ/oIJZGh
V8fYtURuq2MdFnfDpY1cl/0n3AU2I+Z8/1WZ3TTjCZrE7VH1fPM45Fb4igFrFW4dLXXZ1V21xH5N
1ugCHOPToUKSE4E3ttdCT3FYe5oF5Hlq
`protect end_protected
| gpl-2.0 | 47b70fbb4a12c6b638062b7b2a8846b6 | 0.939195 | 1.854617 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/mux_bus16.vhd | 2 | 25,153 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
h1YL5PV3BsVJq4M4z8qF1SLrlvLzU1AFWujZlHupplZFNcL51h/q4YVgR7g+WlKLMvsGbFnRcoLz
QEBv//obXg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
A2Gkw3TP4j1rEkfm2BqZ7I/E5D9pyXAVjWrKCUme/LR5b1i/jMH4E25vW1Tds1cF33p+xX024Xuk
8FIqfNhV8Uif+XXerRzOdnn4fUuWSMz/ou5tMMf1ututwbjeWOUkzw7qxP7+GiRWYFiaoCUwlB3A
i+oiP/UjX2a2jrxeBbo=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MOniJjpp19nvAvVLBppvIIgwb08eKeIxT853SjCgVdOEKJ7dwlnV/19WUJ7wV+ddcivJNNPfBJuh
Vvui0GbKb94Do7jXevdXRC5Hs3lEBzVzzx4qP82XVI/MQ7EkYEwN1BYGNbYAjYvjdVf8ugYJFdan
U4WWDA4S1Y/jNFUOs4gIGxSQqNoAizXGSnm5RSA4M3kp2/wQj7OjvF6oq1SyYXlpHz2y8ISy4P9w
8SE5TDiaw001W2fBPltNmV+URijz4wQ98996N1IckoIPNiawWYId9I8V1qmK0A22M70mKb3Gv3UW
E5O5AZcexPRob1dtm7d76Kqxi1AF/c9ImLjRRQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QoUaZF+ONMSHfErFqUaxLhBV5Ry3veO1gT/iczrbL/GgEPKCvQOclSmPfLBjEpLoBodxzNlG41t7
E2DqoT5imHSI48V7Ji0C6DcZ3wyav+1GRwNLUJGDX1ZAaKyDMgibUJgfaT3Wa72s8nFOoauCk7Xc
L5+irmELZpwx6UE+mSo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
GJFr3frP8p4Bwe3i25SOB5VafkoW+xKvG8gjh+aXVcT2dd87j1X58NLKzoibcFjVW+K9zmzB7Iqk
zU1/oeU7StOwIp3EZsAhfd7V56Qz1XWvveOr+qCAKWn7yEGEXyu4M8gr4Jfmw5Dr8H92bOx2QSay
8YwJg27n9nuwNrTr4XyjlBqhycUZV1NqeCWC5ui5Vyz2lcWDGcP31qQPjI9y0AjpPu3MUH+nUWQ4
jwKbOM8FtNl46R7J7hAC4BPCxrYVJV9mr0MeTGKFzMNkZ7PyTt7OhsvU2e+NOUCZeUiNFA5s+NTg
Bw42b4w1LBhPGQMxOPcC01wnk9y5ahv/y41Uyw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16880)
`protect data_block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`protect end_protected
| gpl-2.0 | c02cb04ed8945886aefbbe39a9106deb | 0.943108 | 1.842711 | false | false | false | false |
amerryfellow/dlx | basics/rca.vhd | 1 | 1,451 | library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use work.constants.all;
-- Generic N-bit Ripple Carry Adder
entity RCA_GENERIC is
generic (
NBIT : integer := numBit;
DRCAS : time := DRCAS;
DRCAC : time := DRCAC
);
port (
A: in std_logic_vector(NBIT-1 downto 0);
B: in std_logic_vector(NBIT-1 downto 0);
Ci: in std_logic;
S: out std_logic_vector(NBIT-1 downto 0);
Co: out std_logic
);
end RCA_GENERIC;
-- Architectures
architecture STRUCTURAL of RCA_GENERIC is
signal STMP : std_logic_vector(NBIT-1 downto 0);
signal CTMP : std_logic_vector(NBIT downto 0);
component FULLADDER
generic (
DFAS: time := DFAS;
DFAC: time := DFAC
);
port (
A: in std_logic;
B: in std_logic;
Ci: in std_logic;
S: out std_logic;
Co: out std_logic
);
end component;
begin
CTMP(0) <= Ci;
S <= STMP;
Co <= CTMP(NBIT);
-- Generate and concatenate the FAs
ADDER1: for I in 1 to NBIT generate
FAI : FULLADDER
generic map (DFAS => DRCAS, DFAC => DRCAC)
port map (A(I-1), B(I-1), CTMP(I-1), STMP(I-1), CTMP(I));
end generate;
end STRUCTURAL;
-- Configurations
configuration CFG_RCA_STRUCTURAL of RCA_GENERIC is
for STRUCTURAL
for ADDER1
for all : FULLADDER
use configuration WORK.CFG_FULLADDER_BEHAVIORAL;
end for;
end for;
end for;
end CFG_RCA_STRUCTURAL;
| gpl-3.0 | 4130dba9576f4f52a1b068e0db3fd189 | 0.618884 | 2.769084 | false | false | false | false |
fafaldo/ethernet | ethernet4b/new_eof_buffer.vhd | 1 | 8,300 |
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VComponents.all;
entity new_eof_buffer is
port(
DOA : out std_logic_vector(0 downto 0); -- Port A 1-bit Data Output
DOB : out std_logic_vector(1 downto 0); -- Port B 2-bit Data Output
ADDRA : in std_logic_vector(13 downto 0); -- Port A 14-bit Address Input
ADDRB : in std_logic_vector(12 downto 0); -- Port B 13-bit Address Input
CLKA : in std_logic; -- Port A Clock
CLKB : in std_logic; -- Port B Clock
DIA : in std_logic_vector(0 downto 0); -- Port A 1-bit Data Input
DIB : in std_logic_vector(1 downto 0); -- Port B 2-bit Data Input
ENA : in std_logic; -- Port A RAM Enable Input
ENB : in std_logic; -- PortB RAM Enable Input
SSRA : in std_logic; -- Port A Synchronous Set/Reset Input
SSRB : in std_logic; -- Port B Synchronous Set/Reset Input
WEA : in std_logic; -- Port A Write Enable Input
WEB : in std_logic -- Port B Write Enable Input
);
end new_eof_buffer;
architecture Behavioral of new_eof_buffer is
begin
RAMB16_S1_S2_inst : RAMB16_S1_S2
generic map (
INIT_A => "0", -- Value of output RAM registers on Port A at startup
INIT_B => X"0", -- Value of output RAM registers on Port B at startup
SRVAL_A => "0", -- Port A output value upon SSR assertion
SRVAL_B => X"0", -- Port B output value upon SSR assertion
WRITE_MODE_A => "WRITE_FIRST", -- WRITE_FIRST, READ_FIRST or NO_CHANGE
WRITE_MODE_B => "WRITE_FIRST", -- WRITE_FIRST, READ_FIRST or NO_CHANGE
SIM_COLLISION_CHECK => "ALL", -- "NONE", "WARNING", "GENERATE_X_ONLY", "ALL"
-- The following INIT_xx declarations specify the initial contents of the RAM
-- Port A Address 0 to 4095, Port B Address 0 to 2047
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
-- Port A Address 4096 to 8191, Port B Address 2048 to 4095
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
--Port A Address 8192 to 12287, Port B Address 4095 to 6143
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
-- Port A Address 12288 to 16383, Port B Address 6144 to 8091
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000")
port map (
DOA => DOA, -- Port A 1-bit Data Output
DOB => DOB, -- Port B 2-bit Data Output
ADDRA => ADDRA, -- Port A 14-bit Address Input
ADDRB => ADDRB, -- Port B 13-bit Address Input
CLKA => CLKA, -- Port A Clock
CLKB => CLKB, -- Port B Clock
DIA => DIA, -- Port A 1-bit Data Input
DIB => DIB, -- Port B 2-bit Data Input
ENA => ENA, -- Port A RAM Enable Input
ENB => ENB, -- PortB RAM Enable Input
SSRA => SSRA, -- Port A Synchronous Set/Reset Input
SSRB => SSRB, -- Port B Synchronous Set/Reset Input
WEA => WEA, -- Port A Write Enable Input
WEB => WEB -- Port B Write Enable Input
);
end Behavioral; | apache-2.0 | b7265051054a45cd8ff65d37428df9ed | 0.751084 | 6.053975 | false | false | false | false |
FlatTargetInk/UMD_RISC-16G5 | ProjectLab2/ProgramCounter/ProgramCounter/ipcore_dir/Instr_Mem/simulation/Instr_Mem_tb.vhd | 6 | 4,328 | --------------------------------------------------------------------------------
--
-- BLK MEM GEN v7_3 Core - Top File for the Example Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
-- Filename: Instr_Mem_tb.vhd
-- Description:
-- Testbench Top
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: Sep 12, 2011 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY work;
USE work.ALL;
ENTITY Instr_Mem_tb IS
END ENTITY;
ARCHITECTURE Instr_Mem_tb_ARCH OF Instr_Mem_tb IS
SIGNAL STATUS : STD_LOGIC_VECTOR(8 DOWNTO 0);
SIGNAL CLK : STD_LOGIC := '1';
SIGNAL RESET : STD_LOGIC;
BEGIN
CLK_GEN: PROCESS BEGIN
CLK <= NOT CLK;
WAIT FOR 100 NS;
CLK <= NOT CLK;
WAIT FOR 100 NS;
END PROCESS;
RST_GEN: PROCESS BEGIN
RESET <= '1';
WAIT FOR 1000 NS;
RESET <= '0';
WAIT;
END PROCESS;
--STOP_SIM: PROCESS BEGIN
-- WAIT FOR 200 US; -- STOP SIMULATION AFTER 1 MS
-- ASSERT FALSE
-- REPORT "END SIMULATION TIME REACHED"
-- SEVERITY FAILURE;
--END PROCESS;
--
PROCESS BEGIN
WAIT UNTIL STATUS(8)='1';
IF( STATUS(7 downto 0)/="0") THEN
ASSERT false
REPORT "Test Completed Successfully"
SEVERITY NOTE;
REPORT "Simulation Failed"
SEVERITY FAILURE;
ELSE
ASSERT false
REPORT "TEST PASS"
SEVERITY NOTE;
REPORT "Test Completed Successfully"
SEVERITY FAILURE;
END IF;
END PROCESS;
Instr_Mem_synth_inst:ENTITY work.Instr_Mem_synth
PORT MAP(
CLK_IN => CLK,
RESET_IN => RESET,
STATUS => STATUS
);
END ARCHITECTURE;
| gpl-3.0 | 1cb3cb078dd9d241cccd54fa342b49fc | 0.619686 | 4.653763 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/dds/xbip_dsp48_multadd_v3_0/hdl/xbip_dsp48_multadd_rtl.vhd | 4 | 18,040 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
NDQmFrd0neS+znXO61WKTkkyFwGb30eUXh20zauYzhPq903FnYFn6iqCfTDu1wsVs1hZCksh7F5g
EojEBshQ1A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
JJ/PaNr1NekoGDX+vDUbgtS3URjWvctKH2UkONT/GQK0btPzH6H3UG46yydTijq+RLGJ9iX/g1of
OVDALbvNr7Aoklle5xtvtHMNm0LXIMArCDXig1h4Am2JkY5YZCcLSVAma3FM7QH5WE/V7Whn2YzG
1YzVtWV12G3BZMMhf4E=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gcR7E3poZ8oa6Dr2ZZ7BOIwu5tYNOgLl6aZ4ctyyNg083SU0Oo0Qjz11n+5IxuIJh2tbHP9/8X/h
37a0rCcmAs5zTtJvzZDNTOAbDW1PfDrBrG/P1q0Spe27Bz5KxrYxRUoRG6MmwgMKhhg3/8AJYRh3
I+bGagtCgRd80hbtLr7WvTX4NaUMxZFgPj3sdHouUKjzVL+MSXjJrkPA9xDbvl3EUFfR2cJ6DoIW
shhgGPsgIoUCdMfag3uxHP87TaGqiTfcDTlZDC7fokdVSjWkui2WcMfywXbtt6zaODdoIjIu7J03
7tM8nRu8mQ1SUSEPGMCEoH7BvG5TSqcB8Gl1Ig==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
p5eRvn291TVqeA2HIdI/H0PStfMNSKqo4XDrzymYYz2V5CFdOVe7WP0Si60DAqcRCaFbqYyaVAaP
p3RoKXCZ+cq7IvmwGgSLAUb2oks7C7EqIGMW2jgArk8543VluPVdQRWBra9HelZkjZ6jJTEs0ax6
j0kB9VhjgkYQdA4eTbE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rC7o757DC/fwdWNjyT6Lcxh5xqXtixJf6NXEKvS794D4knEVDsZT2Ne0JCAiHq+BEocAz5tkVwac
Ikm9nsE+Cm8U/koLV5h3DOe/oGFtvcw5x2QXDe4KjK3STXT8FHCIi8amt01f9UPregiZndEPCNeL
3kJipW2+MVIhKyVwNGLIcHe9LllgDe+v8m7EchAkkO3woUV54I+p167+EoqqyFcESuCq1iNzeQFJ
9EqDAr5MyCFGAhANhewPssWlul9QFHwy1a87DSmHLiGK7w34/L8fbH5K+F65/JxZemIqtUnKIc3Z
RqgVpjwyNUeGO/orqBAmoP2eu21qAAd+ZDumnQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11616)
`protect data_block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`protect end_protected
| gpl-2.0 | cc1967b8d30d72fd40c840a8640a5be7 | 0.939468 | 1.872535 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/c_shift_ram_v12_0/hdl/c_shift_ram_v12_0_pkg.vhd | 2 | 43,807 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
QdFrne9dm6MmXtzmDuh/Ufd8PcjclhUsIgMELxtJqLike/6wFs8cpK7MezTgjBO3lGYCb4dzNWn3
Kz3ttRQW1w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
l+w7DvcLaAVzLCfbsf/ob9oFSZNEB8H8V2PBKOSUgvxKpeLuGAr4OvaUdGOh9rYHVm0Uhj+8XUFU
ui1Oavb5PvdTytAHGRcbWmFp5Mutw+PKvbcJbsoemH8KF0NzefKz7Mxq7zeSIa/LpNS4Jpai5Ni2
LVqGxBsKJhGGzCXaIeo=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
hDgX79mPMoDv9UX72NTe9WZokZ679gyIOk2i54aa+8uXC4gvvQrCflhQ4um+Icb175+g9erO+p3e
MmKrnNQ8tnDqN3OvTl1LsfHVESuO5ZJaSACnPwEMQq06RIVXP5DdK1BxVfga6+l/jroVXi9JiIgW
FEzD8Z8VaamaGslpnsrLZpYCcDlzrY+wtxAv5jxuvDAVyw2vkv5KBMC2wAW9VxkFc+F+yGfQaKwa
NxdY163D+cdouYiqAUbiaCGbbu11S0i5A425A1inyXxzvIgI8WfbhqufFiMvvMQ3iwPMUs5P5guD
uuIo841rpFy/54R3aazccEpsgydXQW8QKoggxA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Ok+IC4p0WtOLz4b8Gp3aKtdiNKfJAp3VYNJ+mXpJCrXBBkcyQghrlnAL1056ucTOm1Y3bsjyYkkI
5EDp1FPsR4RmPJy5ybUNTdI9D7tZ7a8nHeHcRKul33m4hO8usdTv7BBJcWSyCS5KPwLZO5WMoUY7
krIcJ0NWxUK+spJ6Qcg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
W5ZfvRTWN/Dsv5jpWlIkub7B7LqnbYkOKTeQdzy4cpue1RC8IcGt6havBqKfOpBYqygq9XjVcDcf
hRZG6t/jNjaV4g14dWQ+oT1yClyp5B3iEp4DYbq7g4RNqH1S+FYXy+hH6yWgyLNDDXTdxNCXLVcb
6aG76giD+d9qI0xkzeeVhcvl8pOkQhkxnqA5q0jLAd/EMqiybBHCzVaDfZIZTo7GvKnhfXqK36CI
T0VoxZ6u8ymR9xZHX0AWrHhjAU2AlnxQLkMVzkM1uBp7JQowH2P3TS7wIlOixD1wgc4U5k3wUbPw
iKt/xB3IbU6ZTFO31wpEYuz/MPC/9lbzDa2l/g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 30688)
`protect data_block
iIzPAbKPZHoJL4+NEjhgNQBVNR9XrR2dyyY6RjO5Jo0XtPsdexK5NL7STIGTdTANCWRl0YR3JO9f
Jmqbdwym9K2GMOmyKX9ig2poFFWDrCAqPGRsbK5rF73B0XO9J4woKIdRlVvsxNsySaIM6kIkoQsQ
Ko9bWkKsi8qG4TYGCMUSuP1/4eg7xMc7JbGmpTKbJzlgImbqPukzvxVOgj0IcEAWe2xPzVV6PnMX
7qv4XT4PoakD0eYoiLdzlZezvGZhuKepda5ZdTChuvy7AeVDRM8d3BTKxy6BFc1EFfc76FklOf3A
N11L6b5V/MH/FO6yoWpJNHTwtRKkgfMQRkJ9Z9sz43SYBwHXivXOOrQqG8lf4cfeec9m9pBrTKs9
R4yWrW89rp7Jl7VpnQyi1eguhX04cltoo5cmRB33xAwfnfGkOkhLWTepNezjC3zRqsKpwDil+INs
huQpEFvD34cQUxZ4jxu3L2qbJDUY4r0SQ7zzpO3JDvVn11kmDR92W8OZ2VSNty2ymsWYbT4xdjvu
xPqyJLy4uBIu8I64sROLwrxZtBCRn9E1ULAqvSha22HE7uvw/ryAKZjE7WXANJsETHV9do9qrPPm
krElnIh8NNZTDSSLP3wbEd44IXjPBqx1k64X5axGw2MJtUxtiK0sBgQF4DN74fYX+nOF8xXxf466
AcMh62G8VF4WUytwOe7EDom/V/6M4vUA7fFapt6gQj+PJ8eFvlCF9sUEvNIRsyA49OAoDxqVbeQd
YUb58k4rtuqfQTPZCGgpuEgSMPioGHU1ARo1STii+7CRdEAM2acOiE0U7HIH6BCz78oK6OIzSbrn
uVujkJEvnTqHp+j1sOHTaOiKdZiAvzdC70cdMISIZ+fpbumD+h4cfnCanFzeyHo5ndV3qHjfMhm5
6pgGmdN6SKRQfDIurQJQtakCmg5Q2uws4rSlLUFejR0ET7svwtFfo9siMHE5KfjbHnZ8lN67u+qw
//EBFUNlBxA8gEQH7+dHyxPGJCQpB2Ggu8InCJLZ9SbvhIibV4F0nhEUEQsfKUXLtSiMN2B+BrZr
pDqHgEUh+wojTKXhwm8H67QNnBhyx6Rb46dUFouP9P6B89mLu+5tdcgnqqzeBOhb6uAC11y4hbaZ
fQLNW5+xC1VjdQO5G4xp2Z9rPmC9EsYvnJP0VuyVJMegrlJKz5AHBGXPFnYzG+LLZAq1FoF9VB39
gbh59Ajsy7BU5YqoVpIdsm/KyZ8G2zGSTgdTut+X9vTFHz8EwtdEQL6anOD4A/z5l6hmZFhhG38U
kgbhoWlJygKB6rZXMY4CB4OcMhmvZkcBStnsfT90ZqqYQa2hj8xMadQQeaQxvAauav+el0Hbf/Ak
Oe9P4zxh1eD7bmV+JxDcS/3c4+MNHbA8xY5Tz4f2tjjW3mymSeype7eFX/AduWpRCqC+vLtaaUOp
r2aDHiL/LNZ6pcEDJpTTYZoYB68/eUw2CHCIQDgL8++ROV4bnkCEYbvYSeqkX4BdD3lzTVA9OOH9
8ZQbIfWNa8yK3jkKyt3YQUqJDo84SinGwZ8GcNJL22V4gNsK62CDbHmHk7to1f+zQeX019FzfXdi
QrQFJ+Nu8vU9IxUlgXzROcJDTaHEU8SuxqHC1bGQc7oI9/of/JP1ZWCukB3eiO20aXgdyBI/whkP
2D2DkYRj0obz1gZHzsUf9+ave7HoV31JPSx3oKjT1Gc3UxLTig5JMNCGJrFrP/AH+B9mmORg18h2
2/XM3RaU/a6BHuvtySKFDJNwpOsp5hyjjMgEjZuwX4rrjxRU9RPeZRVcIRLGwLIhFqB8tXrUdlfu
pVmIgdFu0OFeQ7jir7/BoID2JN3ueynRBHWuPOiQFLqo4US23BNRQZBMq+IbrDeeuQB//zkuBmES
l0pIvp5ok4h6Q8aJh/GADdsQVCyBzdiSEOh1pU1P2LSrg66FNkMikXa/Z8eVCl0OlvD9/XHIIt8r
AZUboyM6r62tiYV45CCNfCbOuTjpEUaUT2ftG4xM4laCPU+H+zYnSFBGuhzseUoD/Ve2WxCRuyCB
4us64eX6Wsj2nMDbNFt7ovY3M7HE87FDipH4w5sjWvetX3gyLLjcFfvKEVgdOj5ldPkPfa9uEP9h
adbrEtrDr3avZqlAybfrYdl+gpjJDBDuXHepOwfoVR2YHRVNPgNvUn7l137z19vetogv9rTYzm1O
gmwRPztyF/U3Ivk6zRHgQ9Wuhd98d8djODKsv3teIjImvvNJcMo9/sEgHXVbe0dqduwyJ7zOhwkw
ohnZ9g2TU7MDNG0nQmpBLhSTopwdWgp1e/2PHmqdDwIdokp3+/+mYXRngVHVVWyGiryGnYowLp6b
OzdC05o/QhN2iiCBp4Ot42etlpRlu54EHu1W3ED1vir1Ukkp0fijC0qNAKSY2NE0To5vGhuEExW7
tbs7gS2eF51wd+THv1CCn7QJcie2nPC+HAy/2QDimAZoOg6QX35xFHvJqcWZ4Qef2gm3mcUU/j0a
Q6172MD8Xt/cyOMFIleGqbJyyEEtk7XpeixXfDM5e1HEBuMYDM6hEZELj8D88xxCnTrw3+SDik5h
/hIMSVg9Lw80PvX0tQ//xuiwF343GjPD93DYt+552b6JZme601LsrnIu9h37VnHMolE+aD+JR2lR
7ZJEn+YHM0KkDANrOHIjOCfROfHJsaGZ7EJUgFMNuWdA29S5V/5qNlXLJvX1g2WNxCl6R8hCoPiW
NfT5y56QEnjp/WNf9i8G/oGp7+b/9U0IQHXF3F8MTstIsiJjzehAM5E7CsptEKBr/G6LHExCyf2c
oAA0o6WiaFdtoOIr6QulxgTTUKMwubi4X0k6RtwNuu/VvXZHJHe/HtlTCrbTCLi47m+HbyojYOtV
qi5YN45osDWWt82SbODRjMgLB8lXLnZA2E06pyUUOhYfTFjSjJLgp97i+nVjg8RjcTrxq71zRWjB
pNAs144x1RqJy19jATaW/+u1IVco3h+yEUleQW9akHVFuqoufFt35kY3ronKo+sb2UKUvAQpR0XU
fAQD8sS6wrcDVQLj8j6u6iDCrh50fAc/NdYYSfiJ5o/Ww45WY/XDZeKw18b4Toz16rgeDn7lWYGm
oV7d8KAnY2fAZ20Pd+J8DyzRCMD0i8FIrwK2ZMYhlCQL6YT/BHzSoQ2Q55DemG3Yd2t5yBBJ8EjB
Srk5MbiirNfmxA9MpKgmC6e5JN2p9kwiDDLC4QO8pC4CAd/+mArMr+byJE1U/nuJBaU9zL3C7EES
S1Y040RD0iAqeiJRQ2f7lhdiOG5duLM3j1JC9cGSM9WDOCnG7MFFQYpCgRvRDi72mE75q77WcvVb
hmbQ0SKrK7pDCNnyaI57bphgD1DOINj91kgL5EQkfSHrs1Pjse0lofErDo/QMBczYPl4CAbdu3c1
qX+cDYssR09yyeILk/2KQ25CBezpZlnhpT+LaWGFFkasP1gVpV9HSfqJrfyVJ6GEbStD9wBaVi0I
B9ysS7vYoK92a577fe7vGT6412H9mhusVSr7JCnQbfMaMguloP76mr9m4Q3jmlCGwzIGLeCE6a0n
Xq3OygV3nbc1imNylGlVooKfWz37dLTxkeM9ph4gGp+XJ/uyo958T8HxWAp7xnF+tkPhwiCfMYgm
ANMmZRQMj07COE/P6smjem5E1X95CTn71Rb13DNSZHhF9odemCeHqbZmVL7d5HEM8HDPbiQz0o58
oIiCBQLNBZb4xnJj92SPxF1HMuOLrTB/uzRFJ+5J9LZLCNe0Ub2OqR+4Gkg3TrRHucDIB+tKAHFz
Pl1HYTKVhX7bz0DYTy2/i7imRENg5iii1OiNKt457pyOMAkgT4GYByYIdrR/ZjCIOUJS5K9izaDg
xbH8jSH7vOcc4rxcySJlKswJbzh975UNG2RgxzKG58dfGphmzbYKwbmJ1hFOKP83/mlU3JO71IZo
11OhvQJTemJTNrM1Ze6212FzuULMayB7JplDDB6nPcgyPD+ze6xeR8RfPtc6Npqu5yHZlMtOi5os
Mhw1W3UcYclZQaHzjLTsInAbyOvHcgKV4QPLXYohSWSvQTojcGV3e/BoDj36bHwOihFgyMnOj0XG
4/EU78s0b6II2iBTPp0y+b8eCd4RwV9BKcpkNfgulwXcVegFXy7xw2VwQ3hrBVPvgyhDtQ0iaVhu
5rsbjWt6nMkOuXg3YHvRhN+NLVrA+VolesKcA4u354tKaDNg8o9zR2hhxVLvpuzUx7zx+uUm8HVs
x5IfjK0tgRvWCzG7n8w0xGdnTgVGprJ/wA/8uShUrHwezlfLLRxfqcDL6Flg+uApcdy+P0vXyutm
EX4poOVFCi42Q1v6rnDVawmOuJ/ho7/VFt6eNs4t1m/kD9BQWi+mrZ1f+3KCV8SEBzAw/hszQNzz
YJO/5plCyVpqpxKEcBZC9M2fj4Ps5ZICWVMYQPHIFX2aCFrtgjQcPqtaRUJjAZs8lNGotcVBQUGA
P9QaK6NNGzdP9nJIbJcl1hra8IdNLV89fSUA84rXWZOUAF5PwdeIo89fw7Ian6Z8kSaEaAk6+sI+
NDqCXjUB114LThzoYVUZZ4cSVHF2jizc8EQ2df/lBKHZOMMeWZaxaQSvz6jb9j+KdIUi08upNl//
0cUuvI5ZeebyD8VNRByYe59daXZ4+OXjngDNSArIRoGeHtBw6r1Fg7xp4kAoZotBz8R4ouNzXdqb
mLHZxqoF+6bWaF2YBZ0xWBKRx62QIjnyidhja3sRW+A5E67wW+RNEjtSITlM0sIF247hr49GvxeI
BBIcALAXwaNXW0F7KNx+LTs/RWdffihEWL/stxUS0t0P6ym5LHJDaw1aD7iWK/cmkPkvpw1Ym+uL
SVJ10XtUPTyvMhADfyjeeYgjw1yfwJHaDI9W3lrkTfHewgerbLroQmSTRMu08F/ZWSr0xpqUeTbV
E/i0pld2xFxBlFrvg/mtA9CYsdRQoMGvLiIL1Map4ELJGB2kjwljBs1h85RWNY76BTvWtXy5aMd2
jp2jZa1CzIjtyaVZSXAvWrjar7vGTf/jvQ9nDA9fObjyyJ4XKUq40cPKMZ9r8Lhk8Nz4aU38x7Ti
/tWmltWkE0L5WhHXN6NWqKXTzMGAIaO5ikA/9itj3fO86AdNfG4vt8mYgBCkCyU99xiTI0sGliCN
qow1M5XXW/NjVF2Jyv03h/SBJ+6mKo2fwn7NkhzINoJGv3Alz86LP6uXLR0iInJDe3i6b3WXKeKS
vc98zNXjo/wgy5NdFFa334Bk/s/sYyyuNoNL6l2VLhaJ4REa8RtTD3J/nKIKurnxMDtimMWkE6jB
/2jhs0RLev8QMY4+3r4KnzImmJq5gFDQuR+soK6zJNdX4olrbGYKbiYMhkxUhkaHokHzLjI+vSW9
xIQ6SA7X8IsoHaKFUXhEtCJblHz05vEoP5TEyiCHTXrCNghPhpSBuyZ0+p95UVGhCFO3Bf8w1V7a
tdQMTzqeb6GC2whafk4aUgP9Bmkq0Li8yWg0+IvcLC8u1ONUvuD4MavUB1NVyX9DN9wUVBLNOl9W
omBuZERMuIu17dQj0ODKen7duU7pk0iOgVltxUaZEC/ut8vzUqi0hdMj7U5aPNvkp0V2PiLE5Dxr
AT74ekmNOcjvyGL+oEHQbTQfLq0heXzUE827c/ADT+QnozKNDfRkY629SsLXC/dnS2SQKY5LWMFh
e5dVCvi5bcLBs/cuX5CXIwYRVetvl70IMiHVkYs1sg5YyNwldy5FgPuH/ZBUjE4g3Lv4nT6/v99z
hLMcBTZo17z7TBxauWyc6qmd/0Z7D2IqwfOFee4hy0mWygjdZh5pq6tq/ijRUCmJs51Od8xV4Q+A
eYL/7cuZ4OYjh2X20BqSmqcoktP3v4YhafdL/lZJZKDLtokPAwDohB5ImeBHLWj6tpegZVC46hHv
9fPpcMTw5VIUQHAMsLDpU+sLhhGpcdlDNIychv9OXXwX8o05pnBQaThmozaX7v3n3SWMBzjrXODx
hEO+n/Y8Bo5KVECumaovP17aw1x1FFF5fDz4q1cbnkiVzpEOdWL3VKIUfMWddZOZ5zPKZ2pSpqi0
kgGumZp8Palmip3ITkTmfubEEG9DzgF1VKQ4ngFw7SYBfgMOSmaa7vzzJt+Mrbm+mxY+tMhnVcHT
bDLB/Zlmmuxx14AFlFUPSsW8/kDmTCnC7BDuJJYtWiYpU0HjI72PYqnXgMF3HD6CY9KtGNqN+4JP
I/YN4rqiE8JFzx6SCzJlUYJlqsF5kT28COr4I+jE1NbnrAs9sqCXgmS/OtVjH08LThtVtLA58+K+
Ed/voRQbHjuLQ7GEqyqSAxLTEz/wHPfO0nH/aKlDte+0/Ff5nqcdDd4n1phPlIyLH4aI1Cdstlke
6bkYxLA/yWCG1+Y8eyC+N/Pu2sfVAOT3hhmDXEopWMXK19tSleJXYS/HUX5t744kojml7lUh+Tkb
rkK+SsX5PmP9kJtBz78JnMndy7RCc0Z4ny4ddgx/pHfT0sUzVVEyRcbGpCl/pvxrTEfG3YtUqfrC
LLm1XpskpbWXNQgywzLCTxApWpwrfOBa130XoZZya0f9rfnhcaZdHeCBlXzDJj9mtUeqKV6o2O4W
JuMfcHv6nvFd6uKlUm/8jzeXXTcPdZjDm8MAGvqOe/27vMBHCdwvwNjupUGEYZl2W4RYabugonUz
7jq1QQ0n3XfCSnrqGkuMo8rD5THdEa6/9m1595A2shVOb15diBvA/UQenbYzuTpyMd2hbOpYjieB
5cbO/8/SVu9qPqGVPe/5PwPCl+3iT+t9qMripUH0eG5pheBiomp9PSbKtHB7wtiWilHte925x6hQ
Sab0OiGc9IvgLdTfEJ6VOfQPdvsBbFtp6lxQo402zh4I7uOPivEYi4q8qGD8QZ0DeQMMsX3sK9FO
ZGBSNtWZOTFEFi4Bhbnmho4dAVIvx5pe6S0TAoGELho8eS81DPw/CFtOLSI/n8OdNfWoNr7bjO0U
kJoryD7ID1WtPx1qPJN3CdhPNk8qBBEelY6rh8/JXuRmHMYDLAK2wdjOHMok56lDl1fQFT7a/osY
IKm2uNDn49sKH0aAnfcsc7Sl2anYkuWiAGXRktrOTZh37fRGbBTw28Rwjs6y9Y9wHt0ultGGa8pd
mpvBTz6sR7ndKETbQTu/R9wnDgULTlutNQyGxNMbMpi8xZeZDLfQMTdr575cJX5z7V7TkXWPm4Sr
uX1atudJFb/1fh8vfgY699aJXcHdIJo+odpjGr5LLGBGVITkDj9x8gCOzyK2RScsmkMYJgo5Js54
hHYBHfSLz5NZaR4pY6PoyblTeWAtna/jVSZdJpIR1GIWGrK+FXal2XmOQuWE+Wio8XZ1s3G5XSCZ
mj9DlAqj2LqvKiAT927zY8TzpCf1Sa3YyetOFSn1bL9yhAbUBBhnVU/i9iRccrLHQ2sd9zR3AdjB
ySbEBN2ae/M4l1veXvViL9If2wHN1qvEV5WwLyHxbtlZ9CYcc4ofWsYtOr56nrT1d8+BJapmtLbW
IiLpyc3H8EvJMg5v+OWgR2DZ3+eMq6D+8hwsNmRTNi3tQIOlOM+3ImTO8efrprm8j5VTRsx8VWxj
+thrE1IV4zTMQZkEPqbw7HY1p7P+tiFonu5F6cyD8GewFjY9BNjKZPlv8Ij5PlxEjpc/yT1CIkEG
fxpsJhDIxE7nxT1hFVY4ZJECiwIr5GuJvK7l1Y1X48wqeOKYWE1TrY4VD5NmdUWfkqr0cDOwlJSL
DIj00LIfrMXQEwengYgjldQsDuOdwe/zNSDooyF0ByR3dNx5eMUWAfaWqIdnQmNRkFUDY2F6pA1v
JpKO9HtMFwvcgs3PauhZKrDgttHXhyPNP/moXYuNRQchA4PpKwCFPyhS6Acm0u3ICbv0vKpK63UQ
cDlIHAp46cR3w8gFfGyMuGN/Z9cMnZ5aIzVVM0rC7mMBzZF/OfO/z+Cztc+4pgCvxvdEXCpnb7on
DU2LYh84G4cfsVzEUUBB1R1/ywGg+W+LuNqrpv/rJulVGgT+djic+axHbt4mQ3vOgtwVi17Ly3ro
Z789Q9+Upr2SQIgbiRwgDpmf4DOxJsTs8HXuOwqaRSnxxcnoqdQWy+gwFcsScLEiLl4eCmfe9ooT
nC63cGgrif7TjgtW5lk5jHXCitU823DWYGXBvF68Lzsa+aFhu2qaWj+3B+AM2+0qRXJq7sIrgVDb
kXFI0R37PBybypwg458+EaAa9xwAhMfWFpNWoCpRCaBd239Zwr0U81yBfH7ui8JPHCaM6bZErAxY
IfyclXQanP99pb/Nb23N0Xmf97UNHU+aa7J0RLnH7xHOihTeVhvowWseuRy5VNL2gG23b0jOFHFJ
7Rlviv+LQnroeJtGcAbwXjiF2getOVchbncDgn/P0AGvDxEEsPRV9uXrHtR/PhCpWt//uaVpmkEo
mhfDCzjlLIc7M+2aIa2TuxqBOzjLLno0I2jOQcJT7rE+QL6sXcN4NWryjPYloKF0dKVmd0qQFK5o
yaw6jFA/c9pG5h2ryDjwwJZRTXjAPEPIph3JD4KoIIHnErloexYFqJbqTJ4YyQmelG2EAd30fmk1
1qzTvB2LeRd6ze8UobdtYLO4q07nMebAKdxm60AN5Sb+xTW7I1WtfDxFI+DYVdzz+2wCCXOqqy0i
mt+hvA8V6H4WQP8KdM0R6tf0avBkQa5Usq0fqHlNCAWSRZqdc43mhohitctPGniItvbIO+vc0TLr
1HNH/5C9UZZVbg6ZvLIkaOIE+2f4OIDSfTFfQlIQJT6vtjnS02MiEsvOC1JJVexTzC8AhMwmJpFY
PY/ppRrSkPfm9zFCkxzPr3HFeLBvNbm3RdAcfkT2Z9edvp5P4/WcvjmspungHWOFdmKPSGiYcIBj
tSwB+6u7okJGYQ2t9EU06AXwLgHRKZQbG0D+BuyG5ZywK25IU/4dqTLoYDpCTWZMBZOBKMISl48l
cAXmot9a9YwHUKBt3RSd/KW/bOzEGG+p7rQy1QV83CaT4xWNBpNa8ga6+itUO2OUo/2HsA2LLQs2
KEwN5VO0s27Ypwuh+Fn+NtF59DKiVGt407XJAZib8ssxYKZz4wBQWBT3tpXTCphI9bYd5uk2OcpZ
Qa2BPWRu8vKucLEzKQvwIwJ62YcVMRuEPR4AfmXEVk6CAsaa7T+sVMIhnpsCkcH4DpPvNt4LwJ+z
hg/unEbLqkCwvPl6kA0y7ewdXdPHY6ZaX0aXYkI6cg3DKFcD1bV2Ya2kkt1QGYRLcwcM1QAOHE1C
1lMMTNFaFUUpjsfD7c819CfBiShPWFjWD1heYET6t6QIGUIr7Oi4nk4/CsbwWgNY1VEPizpoR4mJ
o7hLN47bU3Ly5w89pmIGeffGsXyCfx2FWzjJ11z23rZbmIppUKwL51bdmyKQOwZvg4sv1PsfXBhf
Ed/+nbVUf20O+c2YVM+6fw0UBVD0o3tjpdRxsb8x8Nvbdgstz/Qb2sM0qbWEOSOEyc854Hr40XBB
fE8urRTRZVNvN9FvhK4VPB5zg5qFzK5SgeVPeAZavbm2z6RQChow8uhoqryq9y8S3/23A3/Uo797
OhZXXYtRCoTAzRYGVFFP3Vzc3PRQPiAxidq/Da4HB9hVzg/MC+13W9Ug6hS3oWCHE5xFEOBhE7tG
IV+kbT6F53XwON8WdIvd20L1iwlEs5pUeyEYrw8HJyAxf+XnfcVLcYoLcarc0eH58JogoI416ciY
r+du8IlpjDny1lIcJkhSAJCnVdmFEXxHUQfx7gCx/HPQkbBabbZhf0fu9ZPvfF+ZSt3BKl2VVt1K
H1Okqx8zvWkRLn6zqzEDz9QhE3OH8CQ7L/eUuKzVJ9yQJlPQdPjzr6Qs7vpRFgo8dnxBv9wWXqX4
qp9FbaxPQwnLn2XJ7RWJMyepqbX8aiSQHEH6Q6GRZkkkTjx1cpHSkzmGb7cfvqaWThZhPqAAfrAH
3ud0TwJFkf7KBTXuNqlF8Y3xrAlJUcnbj3AqQh3+W/hiYxkjMarrcgpKi0ytTuJ37vlOEzx0pbE5
L7a36ShoxGt3rV8HeTDLWHZpCarBYnoblyG546l+ZLtXIS4OKBOtQyCqWWGjhDZ7wY/Onqvh0xGw
p9MMswC/dNk0XAxy/Ch29q2AC7VFx589a2jC8UuZczoILhtot7Zr0lvFh+pijWOVxrf7atHl37Lm
N/XLbyqHcZGZ4NwSyxECUBL599ULHTJ4ZIOG6JWzfd25idjLHaRTbjV6uJl5IyoM+VYJwRcfaWUL
NOeodmgr04l7O/lCW6NIGyUicGmMid+pt2voxoqtWeWSKrnql/qvn1+AieZ/y1ho1dQ9lS1Kapju
n3YjDWlgl3mnTp9oTLVUknNnyemhJrph6mOByrbJYcDXZawlNCnQyIpghuF6H0xCAwqoBD9YpoFX
+zH9WVSw3bP2f9gpNeOSsl3Gcf14qyShWiPwaxe0DAWwVIzaWoggFvPtpBqrfteVcWcHjAuHmHqI
FpH+znP+U3pAC+Y2fb4tB/JXPJvjqb+nCH1j77/6ZBJyGVhQnIa3mus572mROqrk2DikKvlvRDLP
vuUTp72icrn8Xltc5SdZDGfoDJ1YwZZSSlr4I0h3fMUKUK1Vf9wS1nH4u55Vl14tB8vk6O0Ar0ZB
xZOsGPDa5WiWHt9+ssmVxreoR69yMz9hSoyUZzipWjpRgSyB912tG2rrF/Vnn4jOKkJdF6+Wf6K2
Qbbl9Vpq2PC+0FsXAr/O4JL4mZU9iRLod9XyqVjEj/fyLc5aDryt2eBQC3JToVUJKIthIpAtKt5L
fyEgiesuRsnVvq7xQKHUCM//5lVoP5oHNSM5EdDKDT5I+vtlMlWFzGG63Q4qmhfVVvEpApNxN56p
+xtMJwZBH/iXMWEQF4n3DVjoMkqJjgL4OABgp29x5BvA+zpU7LjgjzhYO+FGU+9krTTBkxSB3zri
k8SrQpillgo2g7ZQaOB7MB4ZKvDuNyq8tF8KlEcF32Lvljj8oXHuz06snJDCKc3p/8O4MVuuw7ok
z7qtWW3aHqhx2ihPY7LTtKyfU2oUoLKu3v4ZNLxrgSzGR5PsAxhd5GbLzowcJ8fihZ2KTn3rFYRJ
/mVQtduP9DaTU+N1K3BV0Oo4zjNh8s9lBbdQ8jCLUcOy2VEWvCsYz/b5eNDK/w1qQEb54YNjldkQ
zC7cM+Fp5jCzOn+6wVxC0PKmMTbIPlcuX6myhg7vP7TY5hLWadyu820fVToUqLCsxg4hB5e0f/4o
AWY8OsOmJO6bLfs7Ccqu0IcjQNG9qIbx0Bw+2/lJxgFUDgGidFS9s78KW31Cdq9i5KMJpVSvuqNT
MS1FKv7NUIh330x5TLQC31eolVCxU4VwbR61NE6NiDIUcS7aZ0w3hvf+7cUFX6xxDXak7mkzOrL2
ERsLD+rQ0XvGOzTDv//kMpjm+PSBH/Ncjjh7Q0kWsnGzgceTg1h3/vJebsA+AKtY+m9Lul2zqRy+
W2Fc7CWq75mKnS5wzVyLpOhtV+/JiaOW8wWWHsIN90FJmRbfnhxsK3trZ3zDkbMYLC/W153Ghpi9
GMB93hQuM4Iy/9CqZyE844Dz0IzymmXj0b6kVjJmth5qAbmVqPw59vVjLA5xvQlZfkiVTaZ6uJNb
UJ4gfP/MHvcLm6jL7j7jj8qAZshgF656PqUTXNrVuDWP0wecVLklYWY8dNwjT2p31R+Xi0rNUtG3
4e46y195COWP38aXg8ubyGfamBzuGm+P1ggWmZgf9ErBxu+7Y3W9L9vihJyZ5G/+G5MygTbKDYPN
DbTJr9jlwcEm1RI0H9FMxOWvwDApNRNDoRMUqOoyM2DGrFYCrJpnRyOpFLv9IDq9lKWfFXHUrgMP
/yfiPf00U/M+W/CV6Mnz5xjPc37H5GOVy0x+9mfE0jBN6qORuVHQY6aWkqRWyNeeNf3HquoNdCQV
7VKhtWC0EiDACbHQ9ATlIFX9wkC/ZlURsuEF98u/+TODG6OL91T/y//3uj1A8DJuaWpjlewODATq
Xk1hM9GsLzVPAtBH0YrCuuFtfkQTADJlfwA8KQRfIQgMTcnuGptKmFeypCDKx7AUmQE8Hkxy2Jq7
KcD+Dv5cdyaxvM2W+AIcGenIY1fZTWDpbg816+WjEeyysZ47qExSUM87snIUwUohAH2t4DJVngQ9
jEvpUXyb0vUn9MWmOzxOenL+5E2eaMjiWW180WTnuT7YLjLG9VgtBDzXoFf65/o/BTde1mnBS6b8
pdJyGI2CRKE1xqYSW8zCHOByOf+4/ojO5vze+GUikJwfcp+7grRFTRLHCFJP29JDTv2kdGHlpE6P
cBwL4/dVcR9jM2tI6L9qHkRhSeeg1/pBaPe+gWreoaeuYW+/6KFiNR7mm1+AXJ/6VdOmdFJiqAS6
7WEbLX6OoGNmBCSWOs3Se1TZ35KNmRMsijhdMookhW6ofYQEKZzlaZZbTVYuxfe5vZbQ8EgDSDgD
5EeTWkz4bXW6LGtlp71KrWmbsGrNO6JX4nBI+ndoC6uZL8S/8QlQMAykycJY4WbUktOYcAKRwx2K
ZO2A9KLaN9vQMkFD/GqTZl3+6iJYlmXRy0Whtx0GXzRmxF2l9XLL/abi0Ip4NGxSBq3mhz67IBGP
8k1bs3YWaa381ITT73K7P1qmrEP1R6uJdwhCYkQdLxvaXS3hRP3q2wS299X0PvjyyIjrI9KmBmFt
5fmD9aSt1+va1fZIA8UAW2qD1f9ddSAqzThdEfcv95ouqTv4oYjj2ipZaJCUBe4ogfvALuNGJbSi
hs8Q96wrV3UoR/kk7hVsw7eBrKGemdPdcOFE/mOjVDdlO/rJOHtOv2XAI3dw+K+5uJ4nR1WGUHP9
1tAuzOD9sc2SbQMtnuWw8ZWeQY9wNpYKRkd/4H+1gP7prKditgsOzQ5EcQvvt1wiMEpvEwsrxKfD
sc48E+E9n35pjbZ5IhPOeYXnT2B5rIPTGxiloFIlt4mjALTHvy0sXWmMpDO0ZZvEiuv/SNLFSo//
7ZLbZIqcB1kulM1K5D5k+Pe9ksaTKy/YFnRksAEx6vgBjAPHX1nYY6NOde4KWa/tHkOU0UEX3NER
3YYjkWq2ffsjeoB00LVz764T7BHlw2RXnP/mb9Ms6y8rMcfaUUI62io7AHzZuOD8jcyg5EF+Jy73
coZdnL5EQet3duHTcsdmiD27bQ9AQWL2mQ7cWWyoqdrEDHUawB+/0ZNt008qbK81Vwia2JDKnsjc
pH2omhnKuKncboPcLwCoI3acvsXDvoEPFEhcUPl6PveKlTfghj90LOk1gK/btsst1EkJFknVzSqe
Nzpn/TJ0VRJZynb+vzZWEpXfirG5M8ijCT1SmQDMvy1RDnp3uqV0lpqfCovcE6ogFjFGgddhglFj
PxHJ5uQ/jnx2YbUg2TkS6oReSU/tcinSaDwP1QXHVi0vOA2pQumUVB5lujbd/oDqfCA9EdILas/Z
xgK4vsrXPYgZhhIME36/8qShqxePXfYkPq+kemWFjnmLIVUAKOtWWxORWd+MDdR0mNagq8gh5mn9
r7OaosQzcDtXOPMuBnQd93btWa373ErXYSDdizeQCgAaVD8iwV7dy/uKffCNCx0sbS/J1rwxXM+u
8kQDfa895C7+OWgbjHHJKfZ5OQNrI6nlnHUTtooYkYRTBMCLwLcJvv7FqPrerE3v78jkpiBMDaKX
Iojcqo0NW6/oojMtXKVSRumoBLtDJRKAd0m9ROvrP53mEVWYMqEpCJ6k11BaCsYfFZSmGvRyrmAs
bPe/FuNQLZkIu80HtcXQxOVKgR5+DwrdfN+1NXPsmmHds1nNLNi4FU41L/xz0TIhUE3Z6Lf9BZBO
CE/S0YAC4jUprTfqLUZ1v2bE+RBTkD2HMA1wOYdvtjIMzM6+vlIQ7IN1p7BU2ny7b1/G9ZDnw7A5
7qMA7BGCY1qWxzDWbWql7Uwsmh/ubf0ToL4TR5wAOsm615XeUqpkZVqDgZcqgSsghW+fAQwJWUwC
ibTraDZ/AIPd1LEKebT0M6qHEAyF1rfSloZscRGKYxHtBd4f0AYBrJz4ovsdwofgKBdgAGSM860l
qj6f9f40wV09QatD3hTxE3zzJj8mzBbEofAM+6j6nTNzD9OxVv5uTi2GIrvooUHxrYR+d1Ys2SJU
lPPbPP5zcgd7cg/ce3SEcl0DaB++saXDb4gPYIQsT3yOb8kOvBiNtqjeQDTkkBMDjIgi8W4SACLp
hoHK0wya7lcIuz+kftBo2PiG0oVM2u348aUyvLhPFR9iQLXjIURmRbmMHoO1HCjwJ9DNAfsOnggN
LSSKJcNf+N3T1B4/SqPVhItOJKwK7+9YV3CqATCUeOiCtAyeoY287RNq3QooFMrgQSrqZC1rhDJu
jcLBKzQ9S4Kv6u86Zyv27xNxnQXaZYCBGrXRo6ocvcGMgWVvRik0qj8Uq/PvT4wy1rBDrkOt7r/J
xr1jBmOdcbatmUHlkIhAAjh8OpJgZBI6Z2DZRoYN7KsUJYKFJQW86HcWQ83ypHr9zGuvwpQS6dWz
UuIl5nvuIYHVjc7pi244+OUte/COh+bhlgx+bzYRJN9+tOUd0exGhEFF1ErTXXm/sF+xzT4lYiXb
Sfo4iYL5TF9cjC/J5oQJOo8h9FhOw51iiKW9DbDvXz4fA4jzK+DsyK8UNK4SCj14hv0JBbNzLIP6
GW+fPy5BNs/C1ic/NAgLsnlbtt+xGh3Kf6miJe/R0oJx2yZHtqK+m8MLCYQhY4bDHbA3bLcayKQ6
cwUKnatXZN4dPeeLSUr0KEQX8iIwuLfoa4FLCUdK34aH2Yg5qtFJQv+NnFZMjx3N83lYU4KBTCRu
FxQEKHu8JU/dCSkTqLYe46O9a4I21CdfiUiC+FGWq1piySMhUy8tcLAOmPN5ZUHGRcfUmHD31cVD
wBOMtcAoLQUqqPwOj/tkTZP1Kp0OqPRA3oI9gvONGX8OmVFyZlMr/LFVzw2TlSo8VVb4kPoL/btK
54Lz0uEP3fj672cvwwJxPmuUiMHWNpaoo++VnDB5lYekoErcX1tynMkooLUHEcihkqSUkVwWn3Fa
2nfG0aqTlUw+X6OdHv/IgnnzcXc4bFw2WVTNz8zI/pmQ5a2OkusnJuNNMMsyxviiRC1fCqYoSRFX
PWyet8mzr1RtLwUN4SPfsX3eRV6aeAT3ShbbE9ad9g9jg88prD56OuM53/TSR8Sg9/09qJMPiSF7
MnEqz5yqwGw+nUvPVMo3RXZv9fwTq4scjel193yWrxrHgfk8BPOYtaU0fl+EYIuwI5vFQS5AvvYW
6mG4FVcdN8ZqpovlMqasbyP70BFaoWgViJ2Vrw1CLlsg3tK7lUcl+3eBF0bPyDn8kfxFbKARTLrk
Ps+G9Ivne77boIEafWvB3+OqsXreGrlopxFVh0Nps8ec1EXL4CSK00EHmLby0OnCV/9TX522RrtA
/mCzOW/BrRoWauWsyQ3EvIwFqzSfwNKOSkwudZvswTdWA4yXrcMViOHngapRK7+ufPv1vEifQ7EX
MVXpFA0O+eZeRChPwWN7qXk0/wJKppVdkTNE6ftUhu8Fc/ysN1JCS9tosESYa8E0Yd1GD1pK0wsc
Q8sg4UzxaNkHLQ/A5Z8nwRmhWyP37o69SsGH+bQlJCAZmLdOg8afrESMFMo6TNe9sonxuUuBbD/B
Z0tXmrfuf5gQSR9s4eAKyLZvkIRaV/7qnwxsI5gu/XLE6O/Gtqlr2B5YxlTWV5zT2/ygjmugBI/7
m0cveaT1HUFQY+wXmlDhEdrBVz5LWrG+8rfYjAz6x/uuRrAzO65n3CVl0zjIxDd/14okNf9wqOw2
C+yLH3Bv81nbjgJY3AJqVZ+n7QZ8yAk/skv8/TnRohjKFUaa/9/IYlCoEbR/ZAaRnRTbCQycwC0f
FvvZhQJZCDxcJRHVvEy0n8886pr56xaEJsxb7FlE0Ygg252PvWrO9kQpV74vvjGNi6GCJvIo2lDD
DJHjl4WYTXQtmz0PwrjrZrTb6l2/m3SUl3ZjP6tB5FbFDHjpVqSTHER1idNzlJIyyXOVd3WeTOiy
ByU9fQv4Fz6P++K6k9wZytxqAc0Ed3tTvCLJ0MaHK7ya6yRHOIVXo9743v2lRttvb5D3/X/dfeQx
jpLjDbUMmmCODXtSoBNaNQSMpTvFotJQa1GhlOmaNG/fFRBCYiVsvKFmc26CICkeZKeQ+mY75yoR
ESy4tVdD9yXisAOTRcAOTzUvK09EUtjjhkWhdv+GXToIViejT0gWyOlUqr2FzUsd65GJ43U4gIBl
2z6Kf6Gs/4icDECLNrl6wnIT6+qRsLR0kHFm7Vi+Tby/oDaYr/w0DsycBWu1SSEKYXPVLSpeX+tJ
hx3lhqbP6OI7GnHijSo0w+RXP4KQ7gwtWiwwLFfQdiH+AnpgfrisspLLUz0yMPWKMfZa9AwwfjMl
s3NDxEmyQUpNwQodPI5bhk56+O1iETKu/AR6NTBxczWo8XK59uHzfhz1EdhN8xhPGcnHNsRpeMcy
g+GUtwSU/6yNsIH+onZfc741x9h03oAvi4CcHOmP6L7jCEpoFQO4G0raIoaUfCDA2fnL3jj9ec9E
yLa+eVWaeFkm+0CIvZhq2lNeBCnVodNhbSI1R8VFTBEKjKFovTLap4u8IvLmS8JW6HvvCRVbbZ8y
y26gfZpLAGP/K0GGM9R+2u8l86bl9ezedilbjB9/J7aVcZVASNwfhvlcxkdw3GZPiU1nN7huBzl1
t0vgrNGyVfjm/QZqPCFDWc2en/LqLyQHFadCPJAsjVvLtc9oi0nQ0nUcFuQf+5r2n80lwIlLgLlB
Pr9U9/zO4V5SErh++YN2M+NSZahVG85jnUYZY2Xo4N4o4EfLu5CFq3n8tNW4mAPk+Xn6b5c5G24i
JUHlmDmUY88Dr/4FtUz5BIYqDIhEqbhXe065DssC+RlFjXbg+p+GBa2RaUQAMbKF7UhOM+3S4dV8
CC2Nk7rBWBbJiUqfwqWTMf4q2loCTEYIbehMlsqVA9p0PYkAsCkXYOrgboP2FTJwSsGgj3Mr08F0
T/I8k/xcf43jdzw56CFxp0u0Hf4+rlvbyr0oBEPnbZ4nruIQ+zs6+dnFHn8rWWp+i1Y0OOlhY7hk
l4ARVw9s4X5n7tZGL4OXtzTv5frZCdW37jEAxsyU3bm5HJA+fPwOAr+VjAEbFWHT3S7tAFK0Llwz
/oB7eqUIBfUKVCBjJxFo+sKnCBxhqGhMJ9E+/v/Sevck94rEbQMvqPfVOwMMUxyUWYsyDRVfm6A1
4Op/QUIJoGokVwSCQXvNwyiA/0fSkA/LsjY9a2bTcdXpdwQaDHWzbgPP+Tl6Q+xy4cNDOWpw6Hwh
z1tM7hKVv0lQioESlUXqw1jOsSWyeDCuNuvib01Mq/MsA+SJu1N60phgFVZmh0eiKWsAE+CKbPcL
npSyd8cWRcuZ4XNRakzvmuSeVICCyr0yBomj+twlIhJMMN0TGPLlKPfLszAIVwPVqkh4N/NFzQT+
TlEPg8BEpXkEuwmhT4EtHc1DWockv+lecBi1tKncYJ3I2U2OHPnhMJrgnZc2SRAAuo48kDXN9BGW
DDk0OCTudnut9oB4FnimEiJZ+F6Od0xF/fu9gmgyzKniWx+AD983bgMnLWI0teYd8V5E0H4D/vGi
PZv7xk9gJsynhoG1tdDx17uOP2mNVPIpZW/OJP9Mn/rzsIfLLNEJlUT6+uyjc90YyNX1p2ZlXyr4
PR3s3Yfd9vRUYO1sr4EXnzTLdiJ1r4ymnnuWQp0gEVuzmwgW2DZOrb8+7vMkt/fj0JitMnjsnzF/
TXsNvuawtmH08/ZohA6bmqXfZhUpU5rA4Yutl7xPZpg19bN4z6RwyS6xn8cHqyBWryb+GJ0h4F94
y0pH/FsIqpN6b3d5BbflvNaZMLvRsLlHdj93jtz4dgDZAgYwV0sOzBRvdq/NFKIpArpbClVdkQ/x
fvY95+ENqkcjoQX6K2BdHqyYLs4Y8hazzmnoPurZ1g2O9AmjnytvjnArfnTuLAHNhLA+HUJ+n619
13aOS2syBzjq7/IaDiNf2//D39pBUjVPKzgNjJnc4YaObBamKrnu1WLk7b1ifR9dNPNujsiM/v7L
jmJ0AlX6U89+l6GTlSTfvzdSUSh7F+2XPcV17uyFz9+bFD6zSMe5SPK42y6BkScQktTNoNdd3rY0
ds9gNxEMs993X2o0oR+7D206NlJ2ya4pxTXDaKIMLab/JvVOoTqrRAfK6Lx0jSoug4piYkyqMU4U
OHyxH2a24SrZ/pDn4asxK+3P+lcmTMlXFkfqFWV1drYepq74W2xhM34JE2FrdaueRNZweULLTo8H
+7otsYeCCOWZtO9Qj26GKDdbCK+VQX2LPzaP1aKDUg8dqxhmce8Cw2Mf7cQHx45K9Yl8jNCNf5AW
ZKjsrZTfRTSeZNq7sXFie3uoNszGDtSyf1BMsRMNEr9+mVZ7NeTYxqD8tTKXLjmgw42mx0FVQA1M
P1Ghc4cvqKg40VBwe2kwbA1uiYSOaDlszulQFs3SD0MnyES2mlSGy/vvBDTfh2NT6/DQfOcVmJLh
0z4VEJfzdMQRDxPyyhYKdvXUIiqwe6NW1njcdeKpTbsjpqzLOxmx2gqC9K+nmmkAPoL1IAeSG98m
gkypZKUnwTsTsZgtGNfWnUBm2Q5lcpxPB7hciiEUUIydgTirkNIn9E80JmOpcJU5OpQYflz6wzop
1hqgQCzG6dVZzsNhG3RnGvyMJ9yAMYEJVczhgk5ZMd5JJvKIu4DhZ0qp8Q1dX+4uas5Poy7FYbod
FZ5TPAYDROgKqylS5MuGEjGmMtMBTuqCFSMUqQMy+UDO/VFC/qd4y03+E43+nmLzLYvbXWFuiCv6
Yt8XuTPR4Xmx3FRMCNL+FByv/LuWgYiobVDHLtFJmcGWS6cQMIktRYzdqS2nbGL6lLqVOd+aveZu
bw2/ObkWNCsMPonp3Fp3BSnYlzoVKjmlWEk8X8+dHEAnhssd+29E2BcHiMSvqxgRt1LiAHzlpmQC
MTpwmRnJJlGiRvszlW3WaCU9gvuborELnWzO33HIBVHdn5vp5LG1KavqbrB1kS0MMcKysxUy8cYN
ECTJ7YamBajahJ1BjemGC/SxVADomQdKt0XBIGUEuAZ7RJNVAuHQSXsFOch/LBteu4Ya6UDUBFE2
NeVhlZOSxbQZCFI+T1nHb/iVpIUKVIgVq5HfdFSMgfzODvIQTd/ar2PPjuaEcLB88rVp8fXPH/Sk
ifRSVqnqeaLsGkCk7s8ra1Hj7NERxcjjkUIqkLECIOboptNM0MPEByGMRVzVsIJjD4O8uoNRpVWz
bATdhHA60+JKFHXFaphBwbq6nyeBezCme1XsxuvTWJ0Vvmx61tGIvkmL0qK4oO8B7F9LkdaYkcL6
K8yAOqgatTP/X0O42TzGYQXb6n9J4Tw2Fk+GwuH3wk6BxRXsozxWnm7hVfLFFd0GMjLvlbB3MnYK
4FjxSSlMWbQl3cyfhUHPPpANILZp7dzHsLWyCOL0FFgNvhhKDA0D2Gk8soYtkeodZTKopvFpy7TL
f9nhawbLv/dBZlq7SJQpgq+Vvti60Y7ah6SfeJJqgpjnENpokD9fe+k2mZo5+XPRsRqlVUl83E09
hFzRd7XUmp9nc3ZTVYrrh08IWg9Lf4msd1MlNTriTajQHDvJ/AAy0Sam0k9DFB4UJto/BPHlnEX6
BeYX+Nf4j3hGUFyp9ebHqTVVufCklf3bTJofF/tKp4FMmdJTJlLqAjC/UuYGJmj0P2jdtoDnmrpM
1rgM+8+LbCMbfP7v+ASEE0FszCKVQCvAbgnwAl7PEDg44un/VahZQqAzbT1NdlssW2xZlCdpcJvz
lc8EBfD6sRlJb+vdVG7Ob6k//SBvAsyAAnmBdQbVzKaYMYKNE97ViOY+KsnUhKq7+afWDftPswm/
K7esCi6Mj2+5nRUBnQ9HKhXn57KMsocGmOH/HEqA/8iZr1wVZX2B26xql6ASbdckTgrFfeTlvPMt
E+ZAdo+hmjXJydXbLIpPfY29BdJzRw43WSSd2wMmZIZtJrHXGIz+fkrsRMUYG0PvPJcggbo7szrx
FyLz8ZIqltYID/idEp+M71XpleVw2F/p6t0Tgb6FQJNuvu/qCbzJY3/xxsmNLKPOF00QAFuhTvr7
mhfsiXZAqxmv6yyTcPa4P9EYKrIXQrRr4ghPCjTVGpKd66nO2HP5BeH4lsopLxgVqhqK9t/Eknz1
ckGbHSJTOvZYyIOD6/QBlVElzQTkQM0BUdmbZVwW5kJo+tynjw9ysxTPN0wa7M/Y0v6DWxYOH7cS
zjyqorFNAsUOfvrkaQOmxF6tzCB7+KCWCvd4PZ233FL8cMKzfeKEfkWII6xPYdlHBWGWXQs/QOdv
+kf6OUFaDE0/Iu1R5qssmLD3Yfkru1onKEfPpdeHyZaGpNLO4O7HVcam4Xqhy5nBBkUZVENZEo+E
Qjxe6XTzdSXJVFQbrRlUjNjaEkyAjNJSesSYmp9YX4t2fEAhf+eIOdi+Ycse0EyzpERepju6/EjR
OfOP7ogslmIQastdHabuVV5vcSrLe1gimXHCkleucoYK7OQi4IzizSslqMHdSAsqN6FoV5gAck9I
H0enW4xKBuwZOs8czlvRxYmSGjSjbM0PXAge/kQESrRkjbSPcj+V0tcAbj12HPhSLC3kfeoYB6wU
yFyK6OT1o4VT2/I2X9ropJ2OlUHu+0vFNhmi3HGpiWMEzCe/9iqddPPwDrDjOi53onK8dl9yyfjj
Wq7eajrgLH1VE84JMfw8LSDydOKc2mrDUFDy4l+Yq3clwyWEUWvf5xipE4tkv2yLG6Y4FGoAwnkC
n/4dEOx0XVVzL3MT/wqGn2BpBSX+6x1tWkypxzkfiIq+HRQy5AXljhDtv4373kE5bpJv+wxlvq19
dC4CYB1fKwORgOkvcJDvLv5bshzQT84EsKfqcUES6EzbDBdvUX4A0SiovY0jwc2s2cTv2lqai5+C
CThEGtJ67Hr0Ty1L5zyR0TxgAJSJoiK9VA2fB+faq3ZEMie9uy1ZqSXYwOFSls9wV9LH0zAeqfKk
Ec8iqGg60kMlTeTqZYAst34KW7ttoV/kF5SMwDKGyQlyuo8+CeuK6EJCEAmwIYXQ9mg7RwEf55Kz
5+pOc+s1dbwfI3CmvFltmVopf3S4irzD5NY43aZGpkeEljHGofSJTPd5rDLigryvxoe6m9l6Acqb
fCI2iQYNahJrTUgMhWRKUTHSPFHZrqXDDFkRw4Yq37VQ8M9n1vaf5r5N+5T9bhA+B8IULklK7dj9
kPY0AD8sWYG9n2HCfth+xilKKwmiZ86tTROcZuswKamYSz/tqNKGKJ66PS9dKmnpkY0kNt2wI/du
OIb4NgtvDaWZq41a+Xak22VB/bfgRrnP0skey0SRDY6O4EHIwuCXKb/ZAW8f9itMGbPwg4RtRCI4
tWHfab6yYjKdciQiNaNllk/uxobcAEPxZX3E8bjBujY6D3h8wjrRj9QmOud7DBVJOyOA/bOywjFf
AUBOgJv8OFj8ove0zlD6w84jDka8Wj30SxpF9h8JdztW/SHWZXrbxB/N6z939BFraQteSgSzb6IQ
2nsfrm6QR9ygU5K89r/HPIoHjB9UfFDqjhVez/qN/XE5gQW39vRy8DnFN0jgHiNgocFNC/krO2X+
Pha/2O2nUydO9qJ17lo92TUoOgkAaThUody6MLyufcSACAzyLVLaEWgmHhQMwOoblB8gUizHHX1j
1PxvExndax0+FXDP9ZMhNhQL/eNCKYNZeJ/Y4eO+soSoj7fRIJ/sfBKmbIR4MKwiGOXlilehwQof
8ziLNeewmqLo1T+08s0iSMUTFQn+u9HfQvV5cLMTJAKY/AV3J2HV8gtHYiL51/8vUrHEEHn0qTwe
+YbHSusIUMnD/nalpKHM5GPPG0Q1vAR+36sWfVMG3oier7tn1Y+pdGijaYpHtlXTGyk/QPxm5PMM
S/zHMlwGZboSSz/h+Fvb5zqr21sBXDgHcg4uvevP8RHWoZ3wvp6zGtCo8nycNGLzg51csEFPveTk
Je5XOwtDLO92BNehMYYgHTGDJUIp/S4IX8YzboGM/Cv7qasWyWdCeoE0zo/rvi+WmP5xIqkCcY38
RMeGMkp3msCj5yscliS5RkGoQ0ZFbPRSCf7zxviZ5Av1fNlIwtZMP6qmB802R7C0nWOllWFQEhFB
HMmVpWyvCor6mAW0KUK42WoM8fP4bxh6V2lGqHP84xAos0W40IsH1pPCgVTnOWv9FkpVpwQm6hBv
jaqoooEwmFp5cWnBxzgO91TGb7OUjsqb/4sPQhJUCNI41CpKgMdTi4KWYG84xZXskFHVYyOVU5+D
2fSQPP8qu8mU/bd1D9rkdg+WXuq49KC4h84cz9AMiYnLM8IFtN+1lPmQMyaGjp69KomgYrt7uBXO
R1BxTdREc3mmghdX3vAPXaCjnImo6MsMRxgrwpWks1E7fCOl0J57wD9OU6+hVTORVoJpF51mHwKL
B33xYUmfWNQV/azXCCSz1HCdzGkERdfJ9U+K/kC6NeDTrs00lLboPmk6ld3NREXbXrwH1qc3UIMb
jOKzYyC22hmFWIxuY0vfzOgWB05qS8K7AXNqBkwsghuZ+8/wc1UwT9iVOOoPKxCIj+mHayob/TH1
uSnCC/pm6DWIaSAjpBYpyQGu3/lNlGo9g5OoUh7iFdsvMF7+VP2u98pz/fVTrANjH6VZRWLViSwF
GT6jfUyWgfUBexcNO1dg6paTodbN35lP5mMhyesRgwXKhh3VVo9lKX9netIH6ZRnUNtU4iq0OZsA
mXJYc96GEGwDkRgxsOFU1RAWK9bLxNdAD7KHDuoJrx+sEhWkW2R9rmKLwToC1ucvBdNHobya3aRA
inPRXdkNPl/wsobdrXBHmLVl2jCt9tcqAJncaOUrOGrDevzKwVmjQFAsItpt9eAYJgzjt2dSHi2p
gwnfC63y88GVIiFT3f8yXqadT/1nWpeWZFkXVX4KE/i0lrSpTW6h0dcDwDFhOBg6LH6G+xpuH8wY
Ggz7oiTF+ZTgIV1JFFiAbgBI4Nk4AKfrVgPX9Pm2v7cXjQKVHCBXdw7KSlQs68191KJ8u0wGhe96
m9RRVIGASkPx+Xy+XdyyZ4ZRceBsUvUoM2qj3N95TLPplxYnEs3xRK6TE9LUldCVdOa11ncOwYpl
t7sZhFw6MWuhjrqJwAkkrVNBdhi1YEeg5DuJS75u/pau5sBu+sjzGiqOCYD6yCXi5tglmWsk2Din
r7EL4myCjQhBlusgxXKXrZ8qZsoucfr1raAoFcItVd7MzvK/QJMMcL7uZ1uMcR7Q0BL/pxTk+2m5
Av3t8xDykxtt19pjFjCRuaUnec3/446HXfeJU98dUv/DfuHGENR/LLBrrvlBBJgxcQIpDhAORq6D
204QCe/yOI4Q6aiRdvYo4m+gSLaEiesoJRIYeeje3vj55IbOC91fvB5wR1Qj75FZztUaLO/gZ6jV
tyIKvbk07q8RtDkGrmUmCkXZyYRFc6lK+uo1V9J9bNTmDGfiYF0Zuh1QeOPZOJrqfKegIZOEjj/S
f6fiW8kFn/tAJCItBc/BslovN0U7UMiRHcfMTHB9rCpHBsXO9miQ+rnqbrduYHY/7LfXo+MkMueC
qmQkdA62afAy+0389CZ45OGM6Gx3gN3qLKtTRNttMydee3LzI7ulTwHpeWqsbdFMNSJg2Bbnalgp
A9UJf3syKQiKwcuyBn3CUbO+Zrwnq2M+1sFrt9W1rGpQL77MA7ExzSx7tWtdIZbj+wkJcG9LGhrP
1njIWUmLsAGbVla0H1iMCDi2AkMpOm4ctYNj/AC3hb88NJsKRxZjER365KmzpEpZ9DWqOhpIn2SD
nXlyaxx7eSCXvOX/dEmdb3qX2Vt6R9o/5fqxBMaM9NdVvro5ZUWCO5rHZ7pGR9cdgbkMzET7KHdW
pKGhfsNByvjag2j2b+a/MO4SNr9dXC+l9m4Egzsc+KSMVhCGXukpfejr1SveFwrQoTGOV8CgLD/i
yL8O5e0dh/MwegyXmGKYAQBpA0lZ6G5AcrwhJiDwCzZWELSCz/vnsXuu9ztvPsx4W4mlNWOaq3Jz
y240Pro2mg6tk1bDLc0z2HpeaBrCWt60eDojUgWszkVfxKsr0viSOLz9lk7u8g6ZZYsGamni5wns
+fcGOl9QT5vwnSf6lvWgASAvnsvtfC8N+0V2yWq2OC3PZ5rJaJmD/JoPMC22C05as8oWr9vlBtpe
Jk6Xp1hk+yyE91q42fAfCIeI4arj/nl2IGSrl05aVibgL/0jwbLwvU/ID5ibpXPrkDAfTPNdlXzl
vJufrR5bU6dAH/j0kWSYHNO4BdL5KfJd9O96d8sW7ATwcPIzA2OBzMllNPpqy8/ZxBbFJ6nAsti7
/LfF/mrByecyKWleKQ57RApnDIvCv/PfN3pX2CU5glHIOpZDLcDNiUui5289UYDLJBYp3ut/UsFZ
VMR4SjJknxpIp3jbnlEjVRkAwWrExNcEiIeQhI/UGsDHV7/0U148Ma1wKbXPhGdt8szZ8qjDNU3a
P43t+bNAOB3ntjt5zmeKwXG8L3VD8PkMnYRfIrudi59okCAQBnim1SmO9wLEbvgalMlU9T99ySyS
/QwSbFCmCJqS194XRWOP/OQWaDPCMUQA4HiQ1TiLUIsc5q8thrLOCzzR4jS2qelyPXyujRhRnXVx
oko3sMVSiOcL+Jo/sdMEWJ10OaLTW7VoHXphIoauJKgVNEU+vdqYil7sKPgqlrbZ0RYO73BBUlNG
RyUiNJLuq5H4TwfaCZfl4F6SVHYSizMDtzaddLb6jwQk5lV1Anm9SSANZjEhKnxW9FD0kOJqT5l4
Gd/uFjZu62ZnmdGje50s0mYOki8AC/W4ke7nRQJSPo0dW5oSWo8yQyYPTyLQ0PQorjHiBHl/UHe3
TeQ4S5Bj+bGq1Bdb4sI/ehOvFh+ziIMrcFkuscUJxGZRI5NWBKPU2aoVA+/OP1fFdnQclpi/ySqV
pGf5NNLh/2hJ5oZw34iCuVGTQkrZe1xWiVD7aYlN8J8ywzfpkOydhfzns73tBIYb1RWS2NjYo6ZD
KAoWoC67ah5Ge+7ZAQrC3NIkQ8ruw9kavG3EP8+IhrN3M+rIsjb12Jc/vUdr8CD3XPDO6EE5+dIL
vFKD9kUEuyXrNSWcrt3d9tWF423IoQTU1rZNJIjDldjJH9KddpSFjGbaoQP0IUcamo2bU7PvaWe/
fSao797n+RqTQ2aCgmx4Fp9Yzuoa5HN9jNVvwbV92yPhoxjANdQnb3/VfJpzrXKzxLU1a20OLzmU
+91wSEpGd4yf1tLSehXV26wWlCWQ4I+2UyWkRNKFCOVgjCyW+EYxEOKro8p+wDS/5r2GI9YYl4sR
yXvWpJYKkjwLfZ0HYzPw60dgQK1qdds4DHXcrF1qYwxex3nbBN3FDmBKpvS+CoaG6YdKhRlpBxT0
i15nW77zOUxyVL2HGzP1hJ/Kl9vqeo4wNd1ZNfInIDDfzaU/kFN74TEtYM0n5oS6SZXseVDYsSYQ
fSN6Dlla29VedxA4eSe+9p/dk/8CxP5HMbuNnnsIXlBZnP0WvD8GSloPnSb/JpKA8oXL/fK2pwLf
Sw08bvEzskHh1BzDGCNiyAsp0RU5fJWY8cUaXHggMNW9hSeYlLrifH3pcYne208Qm/9NoKGKRUlj
pTsv7VJkgKG+b+557Lk/XrGtJ37UY/wdLQ7SXomTeef8Oq+dngoUgGnwvCuVZcyB3GABwk+6W0iL
EyDdLFSYQKIW+jpapc/jGsh8CnEwXtzvj/ExMd6ZR8GPQ2sTODsV1+4NiOfCbiUM8Lpnei4mnUuS
ie/1FLjrodp8U5ForZ+x7KupVZj6VutMyt1O3zFeJOStFF9+eI/Eg+IQV9XEjkv2P6tHPcaqrq4I
CZ7RAByqrD5JvjX64zPcTG7OTRpxScVNW7GRZfPSHO6IpKhymX9RFPp2vPOnRliwG5f2WVB7pNql
10Bo9xdLycoLpmm4q1sA5Z6F73DlACy+5Qn641UroyBZr8Ze+G3zcZucORmv6DPpqF5wJfCcH0h8
+8Pn91WgV9TZ0fomhBPahgp4Gf0AlQdJuvTNbpXmp3WjJ/qcgoJ4g61jWQ6mAsT1KVKpEFFf66iX
0DTI31Zf2ImFKn/N8ccEimdvn4c2tcdhe4KPvaTRKyUodfY0tXxJGaTVfNxdhrmOrqctvaX1Sd0Q
zvjFGAQPbqWs/j/hkrJnxC/N/8Wz2cwh//ab69oEmY2X6Z/oQJll3B1YdcPyxh4mOX7ks92wO5s0
liBtz8+ckbR7zewQfqh+5EdIGfd5Dq9+U6mZ+nnav3W0oYeydvJBMdeNiIbxn2qRrwuERjVDqmZS
D8HbwYLsqW6UKOwDwpR7I9FPsbaYhDKcmXExeyDOASPyAWs48OtVQBtkgkA96Z9jT4clODN95tut
bbGwvcOC3GOC/rpVitsY8L1/92Oxn5UMPpzzUuy+Eevd7/ckogPQDCaGtg2CjnoxubJQI4ELrHTg
IzBOSp0ILpFbWjJFNtXm/lmZFTlyOI/B9obYJRJs5y43A+PLimU1+VovNoBdEFzqr4aindgbRE9d
CQ9reDcRy6ru8WTldIKVpw6dMSEYez7H2iRsv37WqhyvtyMi1P+gqy0XFTHpQMonN9XTa0KGvmmw
iNPJa2KK4xkwo8iBZYoRJjjcfdtfKBtgL/g093t/+GRBs8iBDVuH5J/BLtb6XoP9X8KUWO65EY3I
3sosGIPWjkLHhK0G6suQc6Z47ukT+h0QuE0PIvt7xH5cmt65dvWp3IM8bq2rRsb8el0MrwAqvVCS
c0wIwrtJVpNgOpTNNCRdOMr2fsQjUisxFADnEQKJbcOZUvIYYfDEGUBCq7HJc9H8KZs47ybI8iLd
URRqbiux3PrRc+FiRHPxkfJIFJ/s3ngUOhIXgmfFsRnS/wBE1+PTtXNqfvHs50bx2GL5zki+FHaM
re0D0RYCv76d2gcg6r2Deou/J18b5+dKE680Dj4owMiwEbdQdXgPPIdmN6epsKnco68eJ6maV9Ku
JaUu4Bir1626QbAM8RomMIvFDMn65WzCP36koVBNtxr2sjegl6+jiCVIkes0K4WArq5dlSF8rhOj
KFrSF+zi+lTut+NB0RbPZGOzj7dZziUmOy8drOjfoFvG41fEXEZ37SvnuHT+swGv4Eswdt4b1fyb
gOQm7l4h0K7I6snA4mj95fcDYz98ZPmJABx/JKsqCYdjZaTYKQym7GZS9s7ScUH4uj+do74BUD/T
sxpAlozDol6yZeLWg1C7zmaRsnGBOT4FBqeIdkQrBnp1NWmmgfhvMKLtGXudmHeDkzzuW2pBk7hJ
1eVqi4/jDw4CZTCKkbIHHKaQRwfZrhKG2oWkrpqvUWaYiFjCHXCeoJtgTnzkATuDn2l18d+e3lz4
rERivoyCrKMwU8GztwqUDOllM0/IRutim7u8nnYy9faUTQhCOCGHW/hTsNfrEAt4VHjfILULdXsi
LbiEKrMsftTm69+y5PIbqGA1lTE0uQAZRrxy8W/Z5SjGp/K7BFfciDlZoSs2JC5vpc4veBrVI4yK
k6hlAFn6Gzn+3GynwRuevwKyet4v7iigZWGB/mSYgf8xeTMsZBIQhAFuFQJsdT5TyIK7GeGa+aX9
mpK5wWVhifYixo2/UZuwsa8RGwOdsNZs8ybrvFkgc1IMMZfYQ7YENqQRRWFwojxSaHqgPlmC2RL8
nGs0USx9O1J2Kjc+lt3VW3Q8JEiBzPK2YsIHrrs1p4fcaaElOoOmhxZptljoxPId0i3SWbD6SeKG
UEGzjcuHWVdg7+zWd0QjQ0sjOrX05Am5vZBQoy6DiQx99GQoKjYvaEFXC+yqQ27Dw+/rx/F9P3f1
0a9Pknscs/AYFb/bXfWg7qZaeMIXRKLuOgdCPJ5uQjJa7L22wXI3Q2FaxwJUe/8lox36/k1w035H
OM28JpZ7R/qC9VhmO0c08PzamXFT2CGREHj68md7ftSC8Mg0aQFu2c2SEInLtW3AEnRHSwIZ0tIu
oBqlmyqsP8IiyzfwSs8Lv7f8UEa1e2N94dRvIUe3xESBye3Tw3Y5xYJS4sjlcxlJJ5N6ikSL7NYT
UgKEawB/3frl1ef4vBqsLXTKC9bRsali0NauhyII0FDQeBkxNzEQ6Buqz8LtdVnLNdyegmD6ADI6
srn7wJt+i73jHz5iv4TWC/bScNHNbCuJHZsEOp27FUvP3/wf67XwMkgH1lvr6inHj/QAsLDSXwVO
OQte5cvSC3pHYx3+9vL6V65z4DEVfJ8sztPzDwOUZ1QOqJf9KYjTxzbofNvB7Z0cZFjcgiiKTdx2
hpFwfg54xXCvAfOgwa1xdq9veMTxXbmz3mF56i4dZau7PxxGEEiX+0hljoJ/u7ylusxWKvIilPPG
ySyWOQyklRINj+tXHsjA0cGsO1USeHnan0GZemBmoXH1NElg5EM4X7w0Sirn7AkvPL5QUbNR7r1g
lcl/EGOKe69bjoYLtDstJe569c8px/zDrYnFPq05/c/TtpxGQZ/iPjMshLtdYrnchAUyZLRxAEdt
jHlypskzCDp4MOEO+GOkUV4aV67/gqtg4KZ67jX8lOzOP8Mopqeh1JVXXCeH7GMlrSBjF+YRwjUM
DltP7qGctsnejmydE9w4MTJm4QinWAVILo2rrUPEEffE3+H3j13N/C8IsbvnzKlzXQ/PsvEhP21q
5C7YsLeI6Dc3nAfypNdZxw5DCvaDzmi7HuOooYWm1iCm2me7oNS/pE7yODbHUOxw+G4GRaZrlWgJ
6GDc0XrEXobARsEX6v12iWCcnIiuE3hwUV+5q8d9FNZc+AYeqOtid2z2Ww9yiSlhvd9TU0ONxl3L
DAckYTEyb1J5ZstyJQOkI598Q3GPhlQeFyks4AQ3rg5vyccSuyP88Xtek57kd0JR01Ta6gUX+BG4
4nxzrtFD+13vN0RRw1H5sg8C+EE8aW6Z4eKA3FrovScpHthTfdemp3XVwcgW9IUYRkie2wl/uPpB
IlXip2bAbUvmxLi/VHdtkFmfVbN4BjhIINRXNj8MoUw/Uk0aeDVUSbpSyxUl0EUjaPofl2LsQR1b
EhOHMF5fEx4TSRFmRcn+BKbiJCnT6hsUnmxUOU/jN3bUlCaucyaRAjkjUh9yaYbKIJyYWuHLiKUy
3CVcjzggBsBCxJTo1nR3F7yRNkq8/UmE5JO2eYVvfIYPWFLCO9Ml/aBqiMcRdPKhvmeaxhtRvTnh
D+H6aiW8FznPKNBpyOntJLtR/Eti4E2jzCAVbzjliIuGqQvbbxKDA7oXbljzjBBaM3r64OKApvBi
bhVtxNdVEYRlvzCdg/vwJtF0WREGyGg8ts1LoWjEkiqTQaVsxDHaztpYvmpPvHGCUfe3kxxDWs/J
4a9WSVXHhL9Vd2+52GQWqi++SJWqEPIAcNvS+5qwpS0TPT2SDk73F4VhCzOhsLk9OlFQAImiRyKZ
KF5mrIWJh7P4WbI8dXOKeFIOHoSMv2sYGcV50PSkVR7sfluCrVbAawVLA173vMYSsQYSeQapSl5/
Jm8Z+z/EDSGH2iKkkKoWnDUxYrHjgpveg2kGMbu5NO3BDwoaNqRJO1/4r+fd4GBoP4zHPpiLxMwA
dGLlBKM5M4XATSnp1TYA+6Efd9X1DQT6WVk5OOsbOE70mm/74YrNfpAcRTsRRHOwvVvS08ASn4Ep
Aave7F2qfOpn5ITOsrncD2MRjjNeFAjPLP3mEl8zVFV2iC7RvzIzIQQAmFjYNR2zDjom47VvdnqZ
idNdoufVKCmhOyNdKGWBhdPoy5Nx8+kGCfgJmO9rD1bwLgMDD2G16OOsy4LbxG6GqsYWutjYIFrq
dyCiGsTwWoJi8Iy1ltUzPuJwb/LnTWURcJzZ84/MxCTdwi+kCC50FRmVVgVzE01E1ThO9k22KLer
4OqCasAQbUgvpvWjtMTfU7uJtsmnR03s3nUePl2uT0RK2g/PYHquw/X05ot0VMmQf59/X8gSRVst
iGXAtbFzDKn54rIxSarReShp8cQkO2LakwhpOz7Ty7sEtNh7RVb1eS4FCnlX1boBdijp2CrXvHDf
XODRWRCWXSoI68DV4zXdiJOg0VdKRlAc5UtrFV95mDZzLkUfFaJZDLEvqXG3HO/A69/+OPAjqM6H
pOoDlnP5kzQqTRJZdTi32Vru9PyhFdzlZasTGMHOC+FuhBgQJ7LTY4pTXAKbpg5CIrZnDa3aeYnD
DSic5OyOa+qbuppTyM9TwxOZFltTBLT3mht/SfN7vLHY5ymqBRNSgZvy3kSpKZhD9cNw5qS1qVOh
UN2tVRPFBmc/ENkNcGQm46GmYqQEYQWxSNAN1wAudCJsqCLPKkrT/JwJrT9wc/HaYCRdmZ7kGGta
OmSWIUs1k6yJd8Bck8yLnzsPXSWuTdaddoEZiLQn6VLSUfdUvBFcOb1m1qsrdgYUVZKaGcCeWiZn
dwlRnXIG9JAigTCa4qIgDqeD0gGS/H1ERZG01R+0Ah2lb0ONE/G6CtdNu4rTWPClfaO9PhmwJbAX
38Ylk2aQUM7bEsbmOqHoyvf1vhNy6nyah32329H4TwlxbulgDmpBRZVoLfZmVH5DYqbq4bQ0E0qh
RyfRalBr726u13KZyYfm3sR9qqY5xaYsoHIqJ19HUSlbtB4UFtO//amKlkCKZh31ihPHRz7R7AYh
8O0cOdbQd+z7OYBSyjvf65a3l+uewYUDStA9Hyu7N26Ijj9r/m4ggO11V7jWm2ybY8pG4T5OkhLC
2ZJxEiAkhOEyRU26nVg7MVxJuvwq4nTAj0A8KJPDm/LPAuxiaAEsXK9PKYm/lZwjAEEhFH8U6KmU
rWYGKSemzPEDWs9OrvpSxyjSoBYhjRKy4jGMitpnibBdnntEAFy7GSINSzpsIuTP3qgxXUtuOZQA
pP9pRjBQlZu/B2q6Nc1aBo2h6HjMIVICpGWgNt0hP+Jw3/P+wPAdgr9d9WkvhLFHGklLte7lkV3F
mZrvM0Pz9/wECpLUYVusZqI7gbyHvzw3t11xXEUnJgIyt0WBXmmN1Df2URxxA/Jpwn10H9SP5G38
YRDfMELYXvAG523kjPsvvEMcY+FJIm/iHWR+Ig6bDKNunmxED5mqav7PRwvZv0kVALSNwSyKPlm4
qtAiJ2vdu+vkw7TPhetrCnH7456OnfkogiloJ1sSgrZj69WXut+rV+dBryjDOmoUlK1MJQ0b7TsW
TUYkDR9yuMNEYcCy88D2QAkHEInrViIY5z8tqlWp7+hSTVnseVT1Q2bBkdWviAlW8N0xsFHxoLre
wBBD8yup30Dk4K5gN8A1T+HuXof2Qc3gCCY/fc+tE1/Dm5I41PGjaiIHTyMqhYQP/U6DSWxLnzFh
BzdIDnGgnMvG7I1Uq1bMOpddMt4+djCRU3LhyG+cnFbX3yx9TJ9oGrWOgjkC+cVIwHMF9+TXHYhq
oXYI1Es0N6IP6u9j0TAGumC1PTTVWqexzemYBMPyCl0ZC687LKVhvPu/VP5UIjSUyEqBu5/nGruM
munO75Lc5UE96qVdaDL16TFg/3K6N2BhBQTWx+43ngdptrUFEoyd1AwPd4ARIE/FvnTs2JHJrVDQ
YLbb0HtGeT3Sk/i3gwj/xxaPyxcq1JU02j0F0BdaHjbKU661noJ8BcWGpvbzS7UiRLuiTGEpPLAT
74pXszmbdIct3UWI/nBnBAaWfIH28TLAvEbBKblOZaNXAq5sMetCjlMopsmYT5sp9DLhvBVbcdDH
9uJxjkVBIdCx2YHaH2Hos+8qe5/sGlq9G3LogZW6EHW1KloCdyrPbuhn5qPwHafim81xKdLwqh55
nnHvOT8NGUy36QkNDIEoSzMCLYzBZnY/kV34QefZ305FRTJ1qGDhs/43Yd4pJ9uzBvTQ8n1bm7HN
G0SlANbexGKmUNjCcZbHpXTkvSUwMAuyurtGaJVPBx4hVlcnqPEzOGQZ/o0tCWrY+R3MOVyYgwUD
eO8gya85eo2sLk+vfKqBm47ls6d7rg/Tl/87gpk+S2nqkCXZ+S7yhLsxcm2lni/vmMFL9P3ibeY1
sDfX8tOBlUnkNVI9efn/Ffly1WL5zeIoxmbgbyFhXXQ9/QKYkbkibOxoc5EbpxzuH2rAewqcRlPf
wpDto+Eiw3q/EPOpNdHL5yfdqpNLW1OAcj7zmbUM2so87AzxojlguZJ/v4KmtmFysXLFSsjVEAIx
dwXGQVghgFET1D/qNeINWDJh3XO/tMPBkM3KX1pRbDLL/VqjzkRmsr9lAfiC2FCw3Cn+ygyc+FlB
iKXxszg/am6oPu0OTzKtpFBiHoJtT5hp32tJ3d3GrkfwKmvfFtJoBzBiPmnNFeU6/XnE49yWoUDR
p/dDQYLXV45F3iIR64vBD1loJhm6dYpb9eqKP2sgdlUjj1JYfQs/5AaP0xgIraB2PK69ODVqxOYL
IGC1J0SOkzJbHLESKLtiXqnoZFvcx2Oc41n/oSg1pq3yPxi/PNnJmL3OrQpJi72oNvLjT8HH9L6W
RtdazU+4JXFKFp6ZQzx1kUqq+CCeXexNNfflXqfQMnlqQOaG5nbj5gTp3eyxt9t/sPoIw1yRyFot
Xg/iqkBIrw3O11j7zWk52VOeb928ktDy68X3ggqUuoOHjm6oriMR+xKM0tpReZj9D5GKxZgemhkY
n226BmzBYZwr8FwrDi6FsEJze3Su6ZqhakdknyDGMz7vXu0kXGMHmagNT7p+2rdFeCQC4FlskGVo
TBp6t9JNGYsrrlu7bRyiHwlJdfu7nmBSDAagUyEy8xtngCll7yEfz+apZzmPjux9B/66fm17LWVz
1HLl62IAAJvWtWis2tCYjM79BbHI5TAHPQFIgW8Cse0c3T3p9SKQMV7mGR5d0mxuvdHWgNFuqJh8
mUOKQXcvo8XeLE/uIOAPHzXz3xiMz9FZ5cUZGS1z+gfViy+Tk6/YExL41adAwER498xcOqka7+6I
eJQl01uj+Goj6MMaosS3S+TZVivXkR4wwOHofNR5W/tOvfigzQBFDTxWPmxm3LLZ0m2LYg6VvV9r
lSBIE0eQVgNcW5XDcczoKPgeVPjTfWhOTNg3I+Lch7c2NYI53X1IGUdzDM2UqmNkmjLs9RhDG2tR
ffimBKCcsi6e9ThzYFgf8XqpWRJZ6B6q6/iEgAq5ukI7xYYHrrOiwHWVwZm9JP6h1DX8+uaRm8+s
TnHUofGZ2i04UQrhQQluZAnVi9dzXIBIpiwH26b1mozRm2l7eoandNNbI0o8ZvJcTl60bn/LmBAu
2Gf1MDSvrZY+yg+TqK3hb4EdSaSikF9iqsQ+d0MlnHHQezaiREmhT8GRhQt+CvJRzftFLJVLTN9C
X+fbJSaWGTfBlqJSYE5ltwr5CSbIuSWcqqatu8mckYqD5J8Njreu68XuBF8Me9L68mIcPYkICjHP
9SrdNcDI0yqGJBBDG2NP06JdYZ8Rf05oqdZnL1oZ1zUtXTZ4kLGaauQiel3DVe7xJFWY6x4Kyb80
ZzFqQpzB2Qiq4JYSFj0jdxdtHCwr6voDklJQmjhFClWJyGayGbEwCuyOyuUGwWiKFhgv6OfxaKSJ
tvBg/CjuNwmteg7uUwKC2vzcF9frbrazSjZD7uUHCPZCaoYNvL/koLTWmrxrmHSKfhDvp2x+L/Ar
/JITR3RdG3Eyhnm4i+2sVTkplwusBn3OdMzpgCDy71vzSVnGiW+S/hRfIx25wOJLFABM01scewvY
DUpv27+iVQs1VpSt34/ma+PmsTZ1kuVYFlNyVbbbtyzJgy2vkgkZhFxmKNLKbP7g8/ku6qc5yhUf
qvClik40hfYEv+PUWsE6QCpFXldWfe1RZzwSEmnp/DiXbnBdOcRLnlNwZvPcYZ4V84j55c+EsWo8
FHeer73WXfvNMUPxRSR/jOu4ep4PoSVM9YTipK/yvm4rTp+Y5haj3pGH0I37X2GOLaGKz9CAMkNq
0Srek/ozsNwV30rtQG6L8hZj+KIjEBhIjHJVj9YneJhncsArCSSjNCfvR/XRt+/BdZU6GJiUBDPu
WyS3/jaFIBHzLCNtX7/2PvB41wjwt9BwBZkhtv7iCljU9Xy4uQBqog9BOJudIM7F9+RRvKSu+vtC
3gUWBz259qf5DCu9cBaiQavyFWn9PhIKUMTCgapVMYrEyh9J1hRM86M4kQiLKDLtg5PS1hPxT65H
nq9gAOxdWY7WLGgLO36DiAsB6hsO4hnFZ8vMNE5ByJg8yIA4EdBSRv6wok/uYuEezvNSM3xb5wLK
bpsTMc+bYg98T9Vvheh0Hkl9o5jWQ9syEZkY2+3J7qvsrxhzL3g8ah2oViV67TOxLWXz3fbxi6Hf
GzuMvGmDDTrHzNecLHZ3bTI4Nut9r6RiJqbgdH5Z9FKFX1yDlEJl/684gPIEZMYdgQOtBfpYLW1i
odATCMYsESlnN09T3hAI1jb/2CLFhQuXz6cWRdOcjYHNHAE1+7yRo9gkbFoega0eAZSp4Dw6DutT
em73+KvqTjSCx7TWtyrwI/T44cs0OeQmzvi1L2NAXuvpy+AfsZ7mqWHvn1tpt+rJxgHTbBAlxkS0
jbtqr7pBxww6/SqyxTgQ/QNEBWGFQ+dZgyALDqWO4KDYa9ZfPiVDAQ4RcYUaxm9/vK9BqIn+Tq2n
Cgg5jBCysblrDV1Rbn/5jnVIPj7MEnDAtgVMpiLKdFoPKal3ykf6RDErxHZEGDpPcJ+GTDeIB9Nr
eqQtcf5oo0d9keJGyQfszH4pn8olIE5X610WfB/e06EdxLEGRsWAwFUf/9msOBfO4lLjeaF8/Vre
qSSAmHHoF3cNP5mQGYR86CpaEYcaCQ6T7dPaJ/irv+k3pK/v0YXm4U8iNMaNw4K9tQF0w0VowQ6l
RGxkGdQYYR5A7i9uyeD79TwWTn5WidtEQk/TtrRiZZ/ZNGeDBjIm4OelQEEYCzLt/TPhPEjZ5rsO
g/qAGpDbaTkIw/EmI6M7JcJl2FRJDckZJ9yJzedjNrUgj900D+dVRH/0UyKPTEXq+FcxgEsTbFef
WXxkeaU3yU2Uziww+yWGnJtZ+kK9AoN81CasN5Vr/yVuQX8B4e+eNMnfh57G13JFgM0MGBwQI9ER
vDUL7V2B7aOLboD9+ZEhCl90nib3urm5MufiAJWzdC5F4FNtwB4pmreQ4ma/XD2gR7MveApxOe9w
tL8y17lOsZUcP4rMg+UZcuuDncTDOKRCW8U6HRvrPzuJfLNY8vRxMMyEZ3yRr87KDXshXpSJWIg5
nUivUPVYYSCr+chgbIo49Vg9k8rMf4tFZ0M7cWUwfSnthQJFdndxN7ypGhCRnFjywwBLCg7IumD6
jJrVdZ6o95SPoTn3ALqURJzqeNGJPSer0gzJwn/EVABNIf+BhG3N9HVc2Pj8Uo8x+TDhiFNfrJ7i
iRKH13YPAdTJ/uyLY3qk7Alsr4GhQPs4+End+ljqN1EkoIf1EV8tZ+rRRxXshiiszHhj1+BsQlw4
MJM0yMLPLLG+FxY6Xeg3G2GilFzfD1deX2+JRDjnPUBYwAnOGwyurGGjas9cTd7iOegGOZwj5ELA
glbBWQpnRaPIRMrbXY+hlZQrJxs2cAKyWX9WgV/bbBdvGFjdKXsfkXtMGSHm8aWvXLM6gkD8Fg49
B74IC2O9smD3rwDc3kTEn+iIK5dJOTFqTSDhceHKU7aVysoAAobS2WRPWuQ53vg6xjuUgInT8H8k
AH17YuFX2J/btY6pClENSU6HkxAzuEwM7X62Ey75MT5tm5/Hqlo4/S5fJFCZlvYvCEPemAUG982y
2fFwlacAal9e4dv0IdPDzGnawobtHn0PN1M1mZ23UyhfZML/KE5RwWjKCZZrnpHfnrfaJsjGROdl
BzC5OBla89qX7wwp2HlrUooPAQ1GXoxIxGzCspW4FWsZTFmVMED2WBJLWXSodleiZEG8ub+RtPIR
OF8GGd0R0pKJQhtd1jouZC3GuP+fwgSwjH5TQSyvgRge+aa4fabfCePrs36caRXrjXif/adQlpG2
a9EsN+pKpD7UOIQKfGqZ+LhiJJh6xf7jW1LLeLKvbx1JPj2kenlZ1xJC/TMsLL6Ra1LMZLiqty3e
jOOhXDyrZ+AEW37Dxc0SsqQjOO6nUbt7QiynNaKbKgXbwMSvIIUsQgK4zdkkJq44Lh5HTFNdNEb/
FSz4n6GD8o+byv1AoyVYW89O5OvVLyTIcjX8y96vnr5rinfO0I3iCSQzsTbSohERpJpVIj1fkQpH
gOkFq2KkRMMvuMcmfUHGkbENx26lGKywAUITy0Ls8AX3ZmfduWfuMS/OKV7jtfynIlo1OqeJQdlr
PWp35eg2MUUSfLfTtK25EAPRyXR1T7cTESUv+O0nyba0yNpT9awuHKxjycN4eWG1zH44MjfeBYCq
DrmPabPeCq4+pdxCHFS2v4EAp9UHKVIYOsnMRb59HjfmF5EmyhOKSyaa1zVt+wQtpk1EGyh5zVaj
+PEh3u6fVo8nD5uPb5LxsbjIl8GrEImZFA0VhPjDFNsV5oUGsGyd4Jwxf4tj168uiXVjWasmrR8u
kCu6xyBSdeyIiEcdF8vUnA+c18HTxtFpjWhhTyOWsbQP7CFeK+YO/OHUfXvS5/UGakIpCmEfJs6v
wbqwFzTbBWMThlt3Pymm20CspS3CsjmENMTlbC1OIigIgoLqnE169+rwWGPxQF5yPZb38RNtkkLd
vy2Q5LjmQU2VuhsnP/UzLc78NK3Fnfn3/HvyoO+E3kaQlfcClM+/9J1Z+dpTgzOLD/mSi8daVDVV
9aH7UFKRw0pyLrPInXrZdka8SaYVUeVRU+3UzaoJ+RVg/o9TCF15S3B8bXcHl/TJodO6CuIXac61
l988srE8ADDJASivy3oc7PMlxwDg4f99VN33uzNgXpGMPlu5t8tDG9tuTu5QVSL65HqD80CtF9I0
hGLkb+0bUvvfSbi1XnhLeg0BHhk/wy8rxdbxwqGFbzcnxrpIXExcgvo1L5lO146xk7O7ETWv52/W
+6gu5ONKG1jQPIEutbx0yecEAsbcVT0wFtX0TDVEjRfyQMAl9RC19dg+qVPMjif7OnGHy3dqWMta
zS0USp7QLrYxz/EAy2IWY0l3CvovhlzWei84aJXENI3a1lb7dEKT3dC83mA80VUOHqBOR2yquDQ1
YxKhF27gk1ZTqls9FG/ST9QkwtLiA/St+9dUj9oxKAJuaIUGlpe9v2jCnNUluHlzjDGxIRqaZaTH
Kij/QLANrSs7cpuVCWI4Nwh/GVPz08FFYwSwEk6Gw+WADBh0LOckpG71WzvVZcERYX3eGifjFKR5
HbtftXgEByhhVUu+WBk89x1kmrruOGfQjRoox/T0U2W/uuGkE2y406aPoLKoP0pg1JLCJmHGMHDR
WXOzFXFvualnMYLcawg8qd7wTx7e8bxX5pQ8pObwn5efnNBqgQ2bCDaeU7spStrNgHDaprTQLJ3N
LJCs/AaoGepLLFHJM+btl/MLr6bpBdu/zcpnCjQ5yVn2GPHktAua6OaCPnZhVG4ZmCJb16p1OolF
Z6208XsY2GzBiq6d32wfjEkJ5YXhLX/rV/gLuZXRKqQ4p25Cdl17kbtvD515QrKxXc5WVsY2dRfo
oiCXRxyq/+8o7LfyaB847sOzxuidsFCJ9ooOIHL5MbknARuolnevnKm8O5nquVuWpCxLqbcphsbk
66bQhemd3zNqqdeApzqqzYdvEFUbiXQn7zvN+nx+Bbl8I6XOkelV5WAAQuPFBVa8ZGSu/4EGfsgs
BTljZSA34LEIVU7dc69v4pqfcgXWJhneT/sjmeIKqLqezCbstDYGvBXLjiGIVprMfaffiuNdOoPw
NeQISJTS+5BQFnKiHfyJQRaevlwShqxFvp7AZDxb0fc9ex3ah5QLaNnxY7LdOgrzQ3NEVZtGM1do
nu8x9IPBSiLhRpfSUfSfD6VtI4+dclhctpY4bC+s6Rqt3ZJLAiC4MmyLsRXnweL1ljcdik5evvr/
KaaKKVH/+injpJMXaLfOFNmC9oNASgQ1nJfkEqDg6fEtoWfGrBfnMQG99/ZvjYhaJ0Y+eQmjy1XS
nmxASVPM5WarqkKBVM0Q4DD2MZ94vIpPAHJXqISHdjGlFOdHcjfzlcRn3poa23bBdpvPt6IxeoNB
SjXBg6GqR66oxLOTtDtDndKYTrsYzCDSfEcn88dfxRselRmWuWWoG7pw1n6aOmllkusPtx5Wb6TJ
lNg8X7NwQS2FeHiyI6yTR/MsDinGQYeMtjm49X6t9JebkmkJXtY6I+GgHpKcGQTW5VbJl3cwa340
nJiMRGRTWTXadgTV2KnkK3Lgqg75Rxrom4BZi0TCRy7u6vmPAeu0/rHboyxue1qoagRGlk+UbqK/
8PLBXWVokKkQ6XC6lNrv5mkffdAc61bSxvIWbTgG6Pp47wtwe78yyZnDDo+LVkPx98tdTaG18JPy
VtvWqFwpuJzUIeLewa8hlgWCNPHPwcHoLDDpCbIBlRDJgb3++Fwb3vfUV6K1unlG2gJTouKcwZd6
V1CfbMuXkWn98qfoA5LxHc6iiNNnhmEX/9CMBAl9uCDEsNuSsmv6pgHyDZz5G8sDL0Xhxf/DdwKC
ArwnPqXRFDI/gsFPkHy3aSCF31ekLYCIGDVIwk+4ubBUehu4LJ8a3cINS3jriujtGU9BM5Ixl962
2U2VhSuzlwLbUvuqylto1f//bN53EtCzRHaSq7EJXxf4p22vsditxbDjMOdtfNVonF9b1D1jgQEf
CFzhEYIeo9TaaxHyGGsoJA5afu8H5HNcv5m9d7GNQeUZUQ7rycaYEp4aQ7uDiEHlPR6xoepYSG9X
kNPzaw5BMifZm+bY9RT60KNvcvrBLIEZdcKouK0HNAzWBLwlUqgsvSYvytxrAp3TPQ7gVjqXQ/VG
qf/P47fPpRBE5G9G9SkMcX8bOENpx0Z2OIYvy2xEXH3U4fYUzFvpL0bHrYnSO1NtA1HJepgqYsBz
CpCM85osZ65fzLnaiqqYf+6yyeMfBTzmN42PAJeUl4gOu29torybnZvD/HL+r7374EEMIwzoO2Du
QZFFr8Fv+NgPNKjcCLfi6asMRKT1VqEX5I8OtvFKMPaquTWB4oZpRXCqD1L6THPFHzZd57uDJWGu
IjSGH5OYSxcA+ckjXfzkoAY/um8g6VqzTPPkT7sA0fdGjsD1rNi/oNrH7B9QryM9Q4UMG1Z2pTNh
uNFzDbG9eNzJi/OK1B3UYZfmKrz2c7gEfJqZHSJwOGJkXOyrtc4VB+Lo8LKoEzQJdvIVzFdv/v5P
rrZ4u9q/5pz3ApbWvop87tOWlnoO64gqZ9DKA5zEMM8lvxf8yxEp88eol2EvKrtIFJly7hLFZsM5
YYiiTMGmpOYHOmJYbKr8fEcDUl/6c0GPwIaRl52gfZf7N/JgyUKu7Xj1EpyR+tZ+e0SeEM70SMKM
A4oSbEBwb57iNZ4ph9Y+i0Dlv58dafVnRmoAq2DhnHX9jyycU7e54AnjQ6P3F/f/3tSJ090zAx7E
uaeILLGxPdQ+n/otOkDdafQVxsEB8gV5J4Ft2eEcGGqONS1OIO8J5+PcL7Wujw46ZFeU9TuLFNV/
CdstSt7hZMHxKbIItlxg6+0g+DK3SYzNgqFP4zM/beqMaiNQI/SkVS9ptfnZjIlXFcrqFku9wzU9
aCHk7ItXgPcc1TdrXNVBSRrdoD99zdBPKkLOBD1SFBoNGeg0PD6gWESLO2DIdbMGcY8y5UttnfEy
Voapm/L8rV3fjDkxSiLOtaIjaEuY5MqbkDxe4WIRUaUoGn/5cwreZVs2q1ZhHHdELGYMIAJkaxp/
RN+WnOr2BXLbAQflXP3mrMZHsr//mCFn9aM4ySAjOv5lG2pOBTvFxLLCBrs/v+UUv4u8ielxCfqY
lSzlLXepxJd+xJ+nK1eupVLWPASEOQIchANTBiqK6O/nk6AlRPre/51Jte2nLDDPp8eokKvrh36H
YN4xddwSrnD1FrF08cpbPnfQYdPvKIX9VW6NeBh/eMXZyXFfEBXepTvBNSIVGcdjcLLOT5WhWPQx
NOXRUgSM5VTn9itCYyaEphUMpwepbMKq6EmcdyZYEouNschRS5hNH60uOfXpnNCWyAvsFUFnwWGP
Cj0mqTzeO/dZVgPOrBrlBG/CiK3yACWeBvqPAS9LomJBAmigw4PpO4x9pX9QHHlqBNJPxhpq+HIa
yUNpBQKf9Il5FMo/QFshIYdWkWUMfjeWWINtAoDY6l/RFI1qvyX4dCFzJGIHK34NmofpPTf+QmeY
EdRJ3v/oseKs2dSPMyitXAGe1RC8YGzv3O+JXvTUbJ9u8dzvvGnl7wd2hX5BI1jW6d/LEPTMiurV
70gfb265ZYJSJliH7cmzQuLjX+AkQhAoAn5gkDTh2l66ZD8+IAaX+lQ+lnSRnBEsUncu1gVBROmt
RAh0rtQJpGTeid5+U2zEzIFTMTgY6I3Wu+Kgn8mMsiwTZHOvOpqtst7KykFsD384JKgCvVhbUFHB
YApizTLegr9mRfpdDgug/xv8m1Prkbl4EAvwNXIxrDlay+hUX7B4E9UCjrGtUiE8ZBhxiPIjyngc
FMf8Uh76YaSP+ZS/liG2aEJBdJ4KeD0vX53KZmKlXF09YIB0KDwQ8JUYFrzzJ/Z3nONZWf6mM5vE
0CbDujTZxN2jwYM1Ia9kMr0Iqc+K6Gt8Pbd7Rh2KZgWs65JKntuPkBwDXy92sXL8pzKNYkHJIMW8
AIRTD55C2vBfSl7y2FfUzz1OnVcPx18TG79PbgvPru+UrFHzItgg7Ff4EZ3cjqhKnjztKPibjreR
O0uvjziHuum4nMX6udebbSy5vLK8LpbJoVIxV/f4pvCqowIpP4EehDdMX9pvhJ7b6hsou8Y08lth
MZpMTMnU/pL5xBkzezkjtpWIJK3lxA==
`protect end_protected
| gpl-2.0 | 6d39cc862ef0a5c4556747ce15bd264c | 0.94809 | 1.828339 | false | false | false | false |
FlatTargetInk/UMD_RISC-16G5 | ProjectLab2/HardwareDebugDemo/counter_toplevel.vhd | 2 | 2,022 | ---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2014
-- Module Name: counter
-- Project Name: CLOCK COUNTER
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: Clock toplevel
-- Top level design of the clock counter
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use work.all;
entity clock_toplevel is
Port ( CLK : in STD_LOGIC; -- 50 MHz Oscillator
BTN : in STD_LOGIC; -- Reset Button
SW : in STD_LOGIC_VECTOR (1 downto 0); -- Mode Selector
SWCLK : out STD_LOGIC);
end clock_toplevel;
architecture Structural of clock_toplevel is
signal CLOCK_DIVIDER : STD_LOGIC := '0'; -- Divided Clock Output
signal CLK2 : STD_LOGIC := '0'; -- 2 HZ line
signal CLK4 : STD_LOGIC := '0'; -- 4 HZ line
begin
----- Structural Components: -----
clk1Hz: entity work.clk2Hz
port map( CLK_IN => CLK,
RST => BTN,
CLK_OUT => CLK2);
clk2KHz: entity work.clk4Hz
port map( CLK_IN => CLK,
RST => BTN,
CLK_OUT => CLK4);
-- mux1: entity work.mux_2to1
-- port map( SEL => SW(1),
-- IN_1 => CLK2,
-- IN_2 => CLK4,
-- MOUT => CLOCK_DIVIDER);
--
-- counter: entity work.counter
-- port map( CLK => CLOCK_DIVIDER,
-- DIRECTION => SW(0),
-- RST => BTN,
-- COUNT_OUT => LED);
with SW select SWCLK <=
BTN when "00",
CLK when "01",
CLK4 when "10",
CLK2 when "11",
'0' when OTHERS;
----- End Structural Components -----
end Structural;
| gpl-3.0 | ab16b397c7fe3acd46926685a272b181 | 0.499505 | 3.716912 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_fma/flt_fma_align_add.vhd | 3 | 27,053 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
AsrkS0Oo1H5S4ca0WhL4sTCo6Iv+T77FY/zlahr3vQbJgNLmLx51eRjFxoSkT44D737wvzoEStsR
yTRz+NHXlQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
nEowrhM/X6423w6lmghX3tdt/hTLBQ3oIcQsCh7KSyPZ4IlZTVBNpv58SgAeLWAZkTIalAevTk78
Dtwt//AUYgJtD1CvoX2hh0tX2734LvpfsaR4hT5rAsyZ31L16MTE6Qg/dImZH922qdkKXc5MKZIP
VhV5ZGFAhN1L+DqJeVY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JX4dppZdQz5EDzEUdUpLYu4Vqk9DKRlA1YNF5uf+In9tkmRJcmobaKCQYsnAvCJaZmZMyAjJ+Gxw
9c3IOlGKOIFFg+mn3ZaTxV+JVfjy9ktq/d+39Fvc+4oTcNjBI2zFZ1iMTSnhdTL/kIaJmNOdsmFL
bV9Fg5q3VSRzzOTh4g/A1y4l8gfudyvdTFz1pULQ+oZtDajTp0nnEz9Ql5/uD86iIDYYvXpgYoDC
xzlG2hEzxIoGmyN6SYaq6sORn1nyUZNIro1cyP4ZHWrvDhAad7YqPYmHv2dbPxcgc5q24C4pyPOC
kF9f471dwtOS4OcdylOiukQcyxnsF67lfGDVLw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
IopJx2bszK61eMePaOlsdG42WSEfLtFDrJV0ZWPVn16UaqAb45ocxeZu23MeVv7bHbKgj+EPT2D2
ViH8PS26rlLSwHEV1nyaCRLO4Si7DPGZD2XJfWINmWboLiAnvL+uV1K1k5WN/aMRwAJzNfr+i7VE
ULwej0zaL6Jlw4xC4gQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ORnUBoE9MEOeZ26I89s5ve29AZF/JAAupa7d6Q3ppaE+7HbMvaXGv4BV+mo6eDEByDhUKnrEmU2k
1HQ0uH1U95azzaM6KnEzxB/c/L8YfYSfs8Elyj5hy9K7JC/OqG5KpLy87AT6cH+PeCxxVwJMLNmd
9hJNaKOCXIELhV4E86ykfgLgsDPlh4tKDZEAdR+y/jX4OCFXESrk/tkYadxden315uj493BeXCLG
TSiyy1rsQGI7AkD2cxlE/ooinResaIJ0OoKYTAPwcAExEaRp7W2mOOMyOhr+IuCohIdEIpCQ9sp1
pkdT/juW7XQQoZ1g74VYD0g75A9wkUminK5cuA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18288)
`protect data_block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`protect end_protected
| gpl-2.0 | 44906f0483971edd5b7ce712a65a9ad7 | 0.94459 | 1.836218 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_7/part_3/top.vhd | 1 | 8,209 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 06.03.2014 15:08:57
-- Design Name:
-- Module Name: top - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.ALL;
use work.VHDL_lib.all;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity top is
Port (
clk_raw: in std_logic;
btn : in STD_LOGIC_VECTOR (4 downto 0);
sw : in STD_LOGIC_VECTOR (7 downto 0);
VGA_DATA : out STD_LOGIC_VECTOR (11 downto 0);
VGA_HSYNC : out STD_LOGIC;
VGA_VSYNC : out STD_LOGIC;
adc_clk_in_p: in std_logic;
adc_clk_in_n: in std_logic;
adc_data_in_p: in std_logic_vector(7 downto 0);
adc_data_in_n: in std_logic_vector(7 downto 0)
);
end top;
architecture Behavioral of top is
component clk_adc
port
(
clk_in1_p : in std_logic;
clk_in1_n : in std_logic;
clk_250MHz : out std_logic;
locked : out std_logic
);
end component;
COMPONENT dds
PORT (
aclk : IN STD_LOGIC;
s_axis_phase_tvalid : IN STD_LOGIC;
s_axis_phase_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0);
m_axis_data_tvalid : OUT STD_LOGIC;
m_axis_data_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_phase_tvalid : OUT STD_LOGIC;
m_axis_phase_tdata : OUT STD_LOGIC_VECTOR(23 DOWNTO 0)
);
END COMPONENT;
--CONSTANTS--
constant vga_width:integer := 1280;
constant vga_height:integer := 1024;
constant xwidth : integer := log2(vga_width);
constant ywidth : integer := log2(vga_height);
--SIGNALS--
--clock signals
signal clk_250MHz: std_logic := '0';
--adc signals
signal adc_data: std_logic_vector(15 downto 0) := (others=>'0');
--cro signals
signal ch1_x,ch2_x : std_logic_vector(xwidth-1 downto 0) := (others=>'0');
signal ch1_y,ch2_y,ch2_y_unsigned : std_logic_vector(ywidth-1 downto 0) := (others=>'0');
signal ch1_y_large,ch2_y_large : std_logic_vector(16-1 downto 0) := (others=>'0');
signal ch1_update,ch2_update: std_logic := '0';
signal ch1_y_scale,ch2_y_scale: std_logic_vector(2 downto 0) := (others=>'0');
signal ch1_input : std_logic_vector(15 downto 0) := (others => '0');
--buttons
signal dbtn : std_logic_vector(4 downto 0) := (others=>'0');
-- Phase slave channel signals
signal s_axis_phase_tvalid : std_logic := '1'; -- payload is valid
signal s_axis_phase_tdata : std_logic_vector(23 downto 0) := std_logic_vector(to_unsigned(100,24)); -- data payload
-- Data master channel signals
signal m_axis_data_tvalid : std_logic := '0'; -- payload is valid
signal m_axis_data_tdata : std_logic_vector(31 downto 0) := (others => '0'); -- data payload
-- Phase master channel signals
signal m_axis_phase_tvalid : std_logic := '0'; -- payload is valid
signal m_axis_phase_tdata : std_logic_vector(23 downto 0) := (others => '0'); -- data payload
-- Data master channel alias signals
signal m_axis_data_tdata_cosine : std_logic_vector(15 downto 0) := (others => '0');
signal m_axis_data_tdata_sine : std_logic_vector(15 downto 0) := (others => '0');
signal fft_output: std_logic_vector(15 downto 0);
begin
--ch1_y <= std_logic_vector(resize(signed(m_axis_data_tdata(7 downto 0)),ywidth)) when m_axis_data_tvalid = '1';
--ch2_y <= std_logic_vector(resize(signed(m_axis_data_tdata(15 downto 8)),ywidth)) when m_axis_data_tvalid = '1';
s_axis_phase_tvalid <= '1';
ch1_y_scale <= sw(7 downto 5);
ch2_y_scale <= sw(4 downto 2);
dbounce1: debounce port map(clk_250MHz, btn(0), dbtn(0));
dbounce2: debounce port map(clk_250MHz, btn(4), dbtn(4));
--dbounce3: debounce port map(clk_250MHz, btn(1), dbtn(1));
--dbounce4: debounce port map(clk_250MHz, btn(3), dbtn(3));
clk_adc_0: clk_adc port map(adc_clk_in_p, adc_clk_in_n, clk_250MHz, open);
adc1: adc port map (
clk_250MHz => clk_250MHz,
adc_clk_in_p => adc_clk_in_p,
adc_clk_in_n => adc_clk_in_n,
adc_data_in_p => adc_data_in_p,
adc_data_in_n => adc_data_in_n,
adc_data => adc_data
);
fft1: fft
generic map(
vga_width=>vga_width,
vga_height=>vga_height,
input_size => ywidth
)
port map(
clk => clk_250MHz,
input => ch1_y,
valid => ch2_update,
index => ch2_x,
output => ch2_y_unsigned
);
cro1: cro
generic map(
vga_width=>vga_width,
vga_height=>vga_height
)
port map(
clk_250MHz => clk_250MHz,
clk_100MHz => clk_raw,
ch1_x => ch1_x,
ch1_y => ch1_y,
ch1_update => ch1_update,
ch2_x => ch2_x,
ch2_y => ch2_y,
ch2_update => ch2_update,
VGA_DATA => VGA_DATA,
VGA_HSYNC => VGA_HSYNC,
VGA_VSYNC => VGA_VSYNC
);
dds1: dds
PORT MAP (
aclk => clk_250MHz,
s_axis_phase_tvalid => s_axis_phase_tvalid,
s_axis_phase_tdata => s_axis_phase_tdata,
m_axis_data_tvalid => m_axis_data_tvalid,
m_axis_data_tdata => m_axis_data_tdata,
m_axis_phase_tvalid => m_axis_phase_tvalid,
m_axis_phase_tdata => m_axis_phase_tdata
);
scale1: bitshift_div
generic map(
scale_size=>3,
size => 16
)
port map(
scale => ch1_y_scale,
input => ch1_input,
output => ch1_y_large
);
--scale2: bitshift_div
-- generic map(
-- scale_size=>3,
-- size => 16
-- )
-- port map(
-- scale => ch2_y_scale,
-- input => adc_data,
-- output => ch2_y_large
-- );
truncate1: truncate
generic map(
size_in => 16,
size_out => ywidth
)
port map(
clk=>clk_250MHz,
input =>ch1_y_large,
output => ch1_y
);
trigger1: trigger
generic map(
vga_width => vga_width,
vga_height => vga_height
)
port map(
clk => clk_250MHz,
input => ch1_y,
valid => ch1_update,
output=> ch1_x
);
--trigger2: trigger
-- generic map(
-- vga_width => vga_width,
-- vga_height => vga_height
-- )
-- port map(
-- clk => clk_250MHz,
-- input => ch2_y,
-- valid => ch2_update,
-- output=> ch2_x
-- );
process(clk_250MHz) begin
if(clk_250MHz'event and clk_250MHz = '1')then
ch2_y <= std_logic_vector(signed(ch2_y_unsigned)-vga_height/2);
end if;
end process;
--process(clk_250MHz) begin
-- if(clk_250MHz'event and clk_250MHz='1')then
-- if(ch1_x < vga_width)then
-- ch1_x <= ch1_x + 1;
-- else
-- ch1_x <= (others=>'0');
-- end if;
-- end if;
--end process;
--ch1_update <= '1';
process(clk_250MHz) begin
if(clk_250MHz'event and clk_250MHz = '1')then
if( m_axis_data_tvalid = '1')then
m_axis_data_tdata_cosine <= m_axis_data_tdata(15 downto 0);
m_axis_data_tdata_sine <= m_axis_data_tdata(31 downto 16);
end if;
if(sw(0) = '1') then
ch1_input <= adc_data;
else
ch1_input <= m_axis_data_tdata_sine;
end if;
end if;
end process;
process(clk_250MHz) begin
if(clk_250MHz'event and clk_250MHz = '1')then
if(dbtn(0) = '1')then
s_axis_phase_tdata <= s_axis_phase_tdata + 1;
elsif(dbtn(4) = '1')then
s_axis_phase_tdata <= s_axis_phase_tdata - 1;
-- elsif(dbtn(1) = '1')then
-- ch1_y_scale <= ch1_y_scale + 1;
-- elsif(dbtn(3) = '1')then
-- ch1_y_scale <= ch1_y_scale - 1;
end if;
end if;
end process;
end Behavioral;
| gpl-2.0 | a381a7a04ef939c04a28c6303738a70c | 0.551468 | 2.966751 | false | false | false | false |
r2t2sdr/r2t2 | fpga/modules/te/axis_fb_conv_1.0/src/axis_fb_conv_v1_0.vhd | 1 | 3,559 | ----------------------------------------------------------------------------------------------------
--! @file axis_fb_conv_v1_0.vhd
--! @brief Simple remapper to convert 16 or 32 bit AXI4-Stream into Xilinx 24 bit Video Stream
--! @author Antti Lukats
--! @version 1.0
--! @date 2015
--! @copyright Copyright 2015 Trenz Electronic GmbH
--! @license BSD
--! @pre Vivado 2014.4+
--! @pre Xilinx VDMA configured with 16 or 32 bit output
----------------------------------------------------------------------------------------------------
--! Use standard library
library ieee;
--! Use logic elements
use ieee.std_logic_1164.all;
--! AXI4-Stream Remapper to be used with:
--! Linux Simple Frame Buffer driver,
--! Xilinx VDMA and Xilinx AXI4-stream to Video Out IP Cores.
entity axis_fb_conv_v1_0 is
generic (
--! Format: The format of the framebuffer surface. Valid values are:
--! r5g6b5 (16-bit pixels, d[15:11]=r, d[10:5]=g, d[4:0]=b).
--! a8b8g8r8 (32-bit pixels, d[31:24]=a, d[23:16]=b, d[15:8]=g, d[7:0]=r).
C_FB_MODE : integer range 0 to 1 := 1 --! Mode is set as: 0 = r5g6b5, 1 = a8b8g8r8
);
port (
s_axis_aclk : in std_logic; --! not used
s_axis_aresetn : in std_logic; --! not used
---------------------------------------------------------------------
s_axis_tready : out std_logic; --! direct bypass
s_axis_tdata : in std_logic_vector(C_FB_MODE*16+16-1 downto 0); --! Pixel data from VDMA IP Core
s_axis_tlast : in std_logic; --! end of line: direct bypass
s_axis_tuser : in std_logic; --! SOF: direct bypass
s_axis_tvalid : in std_logic; --! direct bypass
---------------------------------------------------------------------
video_out_tvalid : out std_logic; --! direct from input Stream TVALID
video_out_tdata : out std_logic_vector(23 downto 0); --! Remapped TDATA
video_out_tlast : out std_logic; --! direct from input Stream TLAST
video_out_tuser : out std_logic; --! direct from input Stream TUSER
video_out_tready : in std_logic --! direct to input Stream TREADY
);
end axis_fb_conv_v1_0;
--! Simple remapper, only function is remap, there is no other logic used
architecture arch_imp of axis_fb_conv_v1_0 is
signal r : std_logic_vector(7 downto 0) := (others => '0'); --! Red Component
signal g : std_logic_vector(7 downto 0) := (others => '0'); --! Green Component
signal b : std_logic_vector(7 downto 0) := (others => '0'); --! Blue Component
signal a : std_logic_vector(7 downto 0) := (others => '0'); --! Transparency, not used currently
begin
video_out_tvalid <= s_axis_tvalid; -- Direct bypass
video_out_tlast <= s_axis_tlast; -- Direct bypass
video_out_tuser <= s_axis_tuser; -- Direct bypass
s_axis_tready <= video_out_tready; -- Direct bypass
-- r5g6b5 (16-bit pixels, d[15:11]=r, d[10:5]=g, d[4:0]=b).
Video_16_bit_Gen: if C_FB_MODE = 0 generate
r(7 downto 3) <= s_axis_tdata(15 downto 11);
g(7 downto 2) <= s_axis_tdata(10 downto 5);
b(7 downto 3) <= s_axis_tdata(4 downto 0);
end generate Video_16_bit_Gen;
-- a8b8g8r8 (32-bit pixels, d[31:24]=a, d[23:16]=b, d[15:8]=g, d[7:0]=r).
Video_32_bit_Gen: if C_FB_MODE = 1 generate
b(7 downto 0) <= s_axis_tdata(23 downto 16);
g(7 downto 0) <= s_axis_tdata(15 downto 8);
r(7 downto 0) <= s_axis_tdata(7 downto 0);
end generate Video_32_bit_Gen;
-- construct Xilinx Video RGB format
-- R B G, see PG044
video_out_tdata(23 downto 0) <= r & b & g;
end arch_imp;
| gpl-3.0 | dc8d28d45df56a4fee82c69aa0f84bb0 | 0.568699 | 3.135683 | false | false | false | false |
skordal/potato | example/toplevel.vhd | 1 | 17,660 | -- The Potato Processor - SoC design for the Arty FPGA board
-- (c) Kristian Klomsten Skordal 2016 <[email protected]>
-- Report bugs and issues on <https://github.com/skordal/potato/issues>
library ieee;
use ieee.std_logic_1164.all;
-- This is a SoC design for the Arty development board. It has the following memory layout:
--
-- 0x00000000: Main memory (128 kB)
-- 0xc0000000: Timer0
-- 0xc0001000: Timer1
-- 0xc0002000: UART0 (for host communication)
-- 0xc0003000: UART1 (for connecting a GPS PMOD to JA)
-- 0xc0004000: GPIO0
-- 0xc0005000: Interconnect control/error module
-- 0xffff8000: Application execution environment ROM (16 kB)
-- 0xffffc000: Application execution environment RAM (16 kB)
entity toplevel is
port(
clk : in std_logic;
reset_n : in std_logic;
-- GPIOs:
-- 4x LEDs (bits 11 downto 8)
-- 4x Switches (bits 7 downto 4)
-- 4x Buttons (bits 3 downto 0)
gpio_pins : inout std_logic_vector(11 downto 0);
-- UART0 signals:
uart0_txd : out std_logic;
uart0_rxd : in std_logic;
-- UART1 signals:
uart1_txd : out std_logic;
uart1_rxd : in std_logic
);
end entity toplevel;
architecture behaviour of toplevel is
-- Reset signals:
signal reset : std_logic;
-- Internal clock signals:
signal system_clk : std_logic;
signal system_clk_locked : std_logic;
-- Interrupt indices:
constant IRQ_TIMER0_INDEX : natural := 0;
constant IRQ_TIMER1_INDEX : natural := 1;
constant IRQ_UART0_INDEX : natural := 2;
constant IRQ_UART1_INDEX : natural := 3;
constant IRQ_BUS_ERROR_INDEX : natural := 4;
-- Interrupt signals:
signal irq_array : std_logic_vector(7 downto 0);
signal timer0_irq, timer1_irq : std_logic;
signal uart0_irq, uart1_irq : std_logic;
signal intercon_irq_bus_error : std_logic;
-- Processor signals:
signal processor_adr_out : std_logic_vector(31 downto 0);
signal processor_sel_out : std_logic_vector(3 downto 0);
signal processor_cyc_out : std_logic;
signal processor_stb_out : std_logic;
signal processor_we_out : std_logic;
signal processor_dat_out : std_logic_vector(31 downto 0);
signal processor_dat_in : std_logic_vector(31 downto 0);
signal processor_ack_in : std_logic;
-- Timer0 signals:
signal timer0_adr_in : std_logic_vector(11 downto 0);
signal timer0_dat_in : std_logic_vector(31 downto 0);
signal timer0_dat_out : std_logic_vector(31 downto 0);
signal timer0_cyc_in : std_logic;
signal timer0_stb_in : std_logic;
signal timer0_we_in : std_logic;
signal timer0_ack_out : std_logic;
-- Timer1 signals:
signal timer1_adr_in : std_logic_vector(11 downto 0);
signal timer1_dat_in : std_logic_vector(31 downto 0);
signal timer1_dat_out : std_logic_vector(31 downto 0);
signal timer1_cyc_in : std_logic;
signal timer1_stb_in : std_logic;
signal timer1_we_in : std_logic;
signal timer1_ack_out : std_logic;
-- UART0 signals:
signal uart0_adr_in : std_logic_vector(11 downto 0);
signal uart0_dat_in : std_logic_vector( 7 downto 0);
signal uart0_dat_out : std_logic_vector( 7 downto 0);
signal uart0_cyc_in : std_logic;
signal uart0_stb_in : std_logic;
signal uart0_we_in : std_logic;
signal uart0_ack_out : std_logic;
-- UART1 signals:
signal uart1_adr_in : std_logic_vector(11 downto 0);
signal uart1_dat_in : std_logic_vector( 7 downto 0);
signal uart1_dat_out : std_logic_vector( 7 downto 0);
signal uart1_cyc_in : std_logic;
signal uart1_stb_in : std_logic;
signal uart1_we_in : std_logic;
signal uart1_ack_out : std_logic;
-- GPIO signals:
signal gpio_adr_in : std_logic_vector(11 downto 0);
signal gpio_dat_in : std_logic_vector(31 downto 0);
signal gpio_dat_out : std_logic_vector(31 downto 0);
signal gpio_cyc_in : std_logic;
signal gpio_stb_in : std_logic;
signal gpio_we_in : std_logic;
signal gpio_ack_out : std_logic;
-- Interconnect control module:
signal intercon_adr_in : std_logic_vector(11 downto 0);
signal intercon_dat_in : std_logic_vector(31 downto 0);
signal intercon_dat_out : std_logic_vector(31 downto 0);
signal intercon_cyc_in : std_logic;
signal intercon_stb_in : std_logic;
signal intercon_we_in : std_logic;
signal intercon_ack_out : std_logic;
-- Interconnect error module:
signal error_adr_in : std_logic_vector(31 downto 0);
signal error_dat_in : std_logic_vector(31 downto 0);
signal error_dat_out : std_logic_vector(31 downto 0);
signal error_sel_in : std_logic_vector( 3 downto 0);
signal error_cyc_in : std_logic;
signal error_stb_in : std_logic;
signal error_we_in : std_logic;
signal error_ack_out : std_logic;
-- AEE ROM signals:
signal aee_rom_adr_in : std_logic_vector(13 downto 0);
signal aee_rom_dat_out : std_logic_vector(31 downto 0);
signal aee_rom_cyc_in : std_logic;
signal aee_rom_stb_in : std_logic;
signal aee_rom_sel_in : std_logic_vector(3 downto 0);
signal aee_rom_ack_out : std_logic;
-- AEE RAM signals:
signal aee_ram_adr_in : std_logic_vector(13 downto 0);
signal aee_ram_dat_in : std_logic_vector(31 downto 0);
signal aee_ram_dat_out : std_logic_vector(31 downto 0);
signal aee_ram_cyc_in : std_logic;
signal aee_ram_stb_in : std_logic;
signal aee_ram_sel_in : std_logic_vector(3 downto 0);
signal aee_ram_we_in : std_logic;
signal aee_ram_ack_out : std_logic;
-- Main memory signals:
signal main_memory_adr_in : std_logic_vector(16 downto 0);
signal main_memory_dat_in : std_logic_vector(31 downto 0);
signal main_memory_dat_out : std_logic_vector(31 downto 0);
signal main_memory_cyc_in : std_logic;
signal main_memory_stb_in : std_logic;
signal main_memory_sel_in : std_logic_vector(3 downto 0);
signal main_memory_we_in : std_logic;
signal main_memory_ack_out : std_logic;
-- Selected peripheral on the interconnect:
type intercon_peripheral_type is (
PERIPHERAL_TIMER0, PERIPHERAL_TIMER1,
PERIPHERAL_UART0, PERIPHERAL_UART1, PERIPHERAL_GPIO,
PERIPHERAL_AEE_ROM, PERIPHERAL_AEE_RAM, PERIPHERAL_INTERCON,
PERIPHERAL_MAIN_MEMORY, PERIPHERAL_ERROR, PERIPHERAL_NONE);
signal intercon_peripheral : intercon_peripheral_type := PERIPHERAL_NONE;
-- Interconnect address decoder state:
signal intercon_busy : boolean := false;
begin
irq_array <= (
IRQ_TIMER0_INDEX => timer0_irq,
IRQ_TIMER1_INDEX => timer1_irq,
IRQ_UART0_INDEX => uart0_irq,
IRQ_UART1_INDEX => uart1_irq,
IRQ_BUS_ERROR_INDEX => intercon_irq_bus_error,
others => '0'
);
address_decoder: process(system_clk)
begin
if rising_edge(system_clk) then
if reset = '1' then
intercon_peripheral <= PERIPHERAL_NONE;
intercon_busy <= false;
else
if not intercon_busy then
if processor_cyc_out = '1' then
intercon_busy <= true;
if processor_adr_out(31 downto 16) = x"0000"
or processor_adr_out(31 downto 16) = x"0001" then -- Main memory space
intercon_peripheral <= PERIPHERAL_MAIN_MEMORY;
elsif processor_adr_out(31 downto 16) = x"c000" then -- Peripheral memory space
case processor_adr_out(15 downto 12) is
when x"0" =>
intercon_peripheral <= PERIPHERAL_TIMER0;
when x"1" =>
intercon_peripheral <= PERIPHERAL_TIMER1;
when x"2" =>
intercon_peripheral <= PERIPHERAL_UART0;
when x"3" =>
intercon_peripheral <= PERIPHERAL_UART1;
when x"4" =>
intercon_peripheral <= PERIPHERAL_GPIO;
when x"5" =>
intercon_peripheral <= PERIPHERAL_INTERCON;
when others => -- Invalid address - delegated to the error peripheral
intercon_peripheral <= PERIPHERAL_ERROR;
end case;
elsif processor_adr_out(31 downto 16) = x"ffff" then -- Firmware memory space
if processor_adr_out(15 downto 14) = b"10" then -- AEE ROM
intercon_peripheral <= PERIPHERAL_AEE_ROM;
elsif processor_adr_out(15 downto 14) = b"11" then -- AEE RAM
intercon_peripheral <= PERIPHERAL_AEE_RAM;
end if;
else
intercon_peripheral <= PERIPHERAL_ERROR;
end if;
else
intercon_peripheral <= PERIPHERAL_NONE;
end if;
else
if processor_cyc_out = '0' then
intercon_busy <= false;
intercon_peripheral <= PERIPHERAL_NONE;
end if;
end if;
end if;
end if;
end process address_decoder;
processor_intercon: process(intercon_peripheral,
timer0_ack_out, timer0_dat_out, timer1_ack_out, timer1_dat_out,
uart0_ack_out, uart0_dat_out, uart1_ack_out, uart1_dat_out,
gpio_ack_out, gpio_dat_out,
intercon_ack_out, intercon_dat_out, error_ack_out,
aee_rom_ack_out, aee_rom_dat_out, aee_ram_ack_out, aee_ram_dat_out,
main_memory_ack_out, main_memory_dat_out)
begin
case intercon_peripheral is
when PERIPHERAL_TIMER0 =>
processor_ack_in <= timer0_ack_out;
processor_dat_in <= timer0_dat_out;
when PERIPHERAL_TIMER1 =>
processor_ack_in <= timer1_ack_out;
processor_dat_in <= timer1_dat_out;
when PERIPHERAL_UART0 =>
processor_ack_in <= uart0_ack_out;
processor_dat_in <= x"000000" & uart0_dat_out;
when PERIPHERAL_UART1 =>
processor_ack_in <= uart1_ack_out;
processor_dat_in <= x"000000" & uart1_dat_out;
when PERIPHERAL_GPIO =>
processor_ack_in <= gpio_ack_out;
processor_dat_in <= gpio_dat_out;
when PERIPHERAL_INTERCON =>
processor_ack_in <= intercon_ack_out;
processor_dat_in <= intercon_dat_out;
when PERIPHERAL_AEE_ROM =>
processor_ack_in <= aee_rom_ack_out;
processor_dat_in <= aee_rom_dat_out;
when PERIPHERAL_AEE_RAM =>
processor_ack_in <= aee_ram_ack_out;
processor_dat_in <= aee_ram_dat_out;
when PERIPHERAL_ERROR =>
processor_ack_in <= error_ack_out;
processor_dat_in <= (others => '0');
when PERIPHERAL_MAIN_MEMORY =>
processor_ack_in <= main_memory_ack_out;
processor_dat_in <= main_memory_dat_out;
when PERIPHERAL_NONE =>
processor_ack_in <= '0';
processor_dat_in <= (others => '0');
end case;
end process processor_intercon;
reset_controller: entity work.pp_soc_reset
port map(
clk => clk,
reset_n => reset_n,
reset_out => reset,
system_clk => system_clk,
system_clk_locked => system_clk_locked
);
clkgen: entity work.clock_generator
port map(
clk => clk,
resetn => reset_n,
system_clk => system_clk,
locked => system_clk_locked
);
processor: entity work.pp_potato
generic map(
RESET_ADDRESS => x"ffff8000",
ICACHE_ENABLE => false
) port map(
clk => system_clk,
reset => reset,
irq => irq_array,
test_context_out => open,
wb_adr_out => processor_adr_out,
wb_dat_out => processor_dat_out,
wb_dat_in => processor_dat_in,
wb_sel_out => processor_sel_out,
wb_cyc_out => processor_cyc_out,
wb_stb_out => processor_stb_out,
wb_we_out => processor_we_out,
wb_ack_in => processor_ack_in
);
timer0: entity work.pp_soc_timer
port map(
clk => system_clk,
reset => reset,
irq => timer0_irq,
wb_adr_in => timer0_adr_in,
wb_dat_in => timer0_dat_in,
wb_dat_out => timer0_dat_out,
wb_cyc_in => timer0_cyc_in,
wb_stb_in => timer0_stb_in,
wb_we_in => timer0_we_in,
wb_ack_out => timer0_ack_out
);
timer0_adr_in <= processor_adr_out(timer0_adr_in'range);
timer0_dat_in <= processor_dat_out;
timer0_we_in <= processor_we_out;
timer0_cyc_in <= processor_cyc_out when intercon_peripheral = PERIPHERAL_TIMER0 else '0';
timer0_stb_in <= processor_stb_out when intercon_peripheral = PERIPHERAL_TIMER0 else '0';
timer1: entity work.pp_soc_timer
port map(
clk => system_clk,
reset => reset,
irq => timer1_irq,
wb_adr_in => timer1_adr_in,
wb_dat_in => timer1_dat_in,
wb_dat_out => timer1_dat_out,
wb_cyc_in => timer1_cyc_in,
wb_stb_in => timer1_stb_in,
wb_we_in => timer1_we_in,
wb_ack_out => timer1_ack_out
);
timer1_adr_in <= processor_adr_out(timer1_adr_in'range);
timer1_dat_in <= processor_dat_out;
timer1_we_in <= processor_we_out;
timer1_cyc_in <= processor_cyc_out when intercon_peripheral = PERIPHERAL_TIMER1 else '0';
timer1_stb_in <= processor_stb_out when intercon_peripheral = PERIPHERAL_TIMER1 else '0';
gpio: entity work.pp_soc_gpio
generic map(
NUM_GPIOS => gpio_pins'high + 1
) port map(
clk => system_clk,
reset => reset,
gpio => gpio_pins,
wb_adr_in => gpio_adr_in,
wb_dat_in => gpio_dat_in,
wb_dat_out => gpio_dat_out,
wb_cyc_in => gpio_cyc_in,
wb_stb_in => gpio_stb_in,
wb_we_in => gpio_we_in,
wb_ack_out => gpio_ack_out
);
gpio_adr_in <= processor_adr_out(gpio_adr_in'range);
gpio_dat_in <= processor_dat_out;
gpio_we_in <= processor_we_out;
gpio_cyc_in <= processor_cyc_out when intercon_peripheral = PERIPHERAL_GPIO else '0';
gpio_stb_in <= processor_stb_out when intercon_peripheral = PERIPHERAL_GPIO else '0';
uart0: entity work.pp_soc_uart
generic map(
FIFO_DEPTH => 32
) port map(
clk => system_clk,
reset => reset,
txd => uart0_txd,
rxd => uart0_rxd,
irq => uart0_irq,
wb_adr_in => uart0_adr_in,
wb_dat_in => uart0_dat_in,
wb_dat_out => uart0_dat_out,
wb_cyc_in => uart0_cyc_in,
wb_stb_in => uart0_stb_in,
wb_we_in => uart0_we_in,
wb_ack_out => uart0_ack_out
);
uart0_adr_in <= processor_adr_out(uart0_adr_in'range);
uart0_dat_in <= processor_dat_out(7 downto 0);
uart0_we_in <= processor_we_out;
uart0_cyc_in <= processor_cyc_out when intercon_peripheral = PERIPHERAL_UART0 else '0';
uart0_stb_in <= processor_stb_out when intercon_peripheral = PERIPHERAL_UART0 else '0';
uart1: entity work.pp_soc_uart
generic map(
FIFO_DEPTH => 32
) port map(
clk => system_clk,
reset => reset,
txd => uart1_txd,
rxd => uart1_rxd,
irq => uart1_irq,
wb_adr_in => uart1_adr_in,
wb_dat_in => uart1_dat_in,
wb_dat_out => uart1_dat_out,
wb_cyc_in => uart1_cyc_in,
wb_stb_in => uart1_stb_in,
wb_we_in => uart1_we_in,
wb_ack_out => uart1_ack_out
);
uart1_adr_in <= processor_adr_out(uart1_adr_in'range);
uart1_dat_in <= processor_dat_out(7 downto 0);
uart1_we_in <= processor_we_out;
uart1_cyc_in <= processor_cyc_out when intercon_peripheral = PERIPHERAL_UART1 else '0';
uart1_stb_in <= processor_stb_out when intercon_peripheral = PERIPHERAL_UART1 else '0';
intercon_error: entity work.pp_soc_intercon
port map(
clk => system_clk,
reset => reset,
error_irq => intercon_irq_bus_error,
wb_adr_in => intercon_adr_in,
wb_dat_in => intercon_dat_in,
wb_dat_out => intercon_dat_out,
wb_cyc_in => intercon_cyc_in,
wb_stb_in => intercon_stb_in,
wb_we_in => intercon_we_in,
wb_ack_out => intercon_ack_out,
err_adr_in => error_adr_in,
err_dat_in => error_dat_in,
err_sel_in => error_sel_in,
err_cyc_in => error_cyc_in,
err_stb_in => error_stb_in,
err_we_in => error_we_in,
err_ack_out => error_ack_out
);
intercon_adr_in <= processor_adr_out(intercon_adr_in'range);
intercon_dat_in <= processor_dat_out;
intercon_we_in <= processor_we_out;
intercon_cyc_in <= processor_cyc_out when intercon_peripheral = PERIPHERAL_INTERCON else '0';
intercon_stb_in <= processor_stb_out when intercon_peripheral = PERIPHERAL_INTERCON else '0';
error_adr_in <= processor_adr_out;
error_dat_in <= processor_dat_out;
error_sel_in <= processor_sel_out;
error_we_in <= processor_we_out;
error_cyc_in <= processor_cyc_out when intercon_peripheral = PERIPHERAL_ERROR else '0';
error_stb_in <= processor_stb_out when intercon_peripheral = PERIPHERAL_ERROR else '0';
aee_rom: entity work.aee_rom_wrapper
generic map(
MEMORY_SIZE => 16384
) port map(
clk => system_clk,
reset => reset,
wb_adr_in => aee_rom_adr_in,
wb_dat_out => aee_rom_dat_out,
wb_cyc_in => aee_rom_cyc_in,
wb_stb_in => aee_rom_stb_in,
wb_sel_in => aee_rom_sel_in,
wb_ack_out => aee_rom_ack_out
);
aee_rom_adr_in <= processor_adr_out(aee_rom_adr_in'range);
aee_rom_cyc_in <= processor_cyc_out when intercon_peripheral = PERIPHERAL_AEE_ROM else '0';
aee_rom_stb_in <= processor_stb_out when intercon_peripheral = PERIPHERAL_AEE_ROM else '0';
aee_rom_sel_in <= processor_sel_out;
aee_ram: entity work.pp_soc_memory
generic map(
MEMORY_SIZE => 16384
) port map(
clk => system_clk,
reset => reset,
wb_adr_in => aee_ram_adr_in,
wb_dat_in => aee_ram_dat_in,
wb_dat_out => aee_ram_dat_out,
wb_cyc_in => aee_ram_cyc_in,
wb_stb_in => aee_ram_stb_in,
wb_sel_in => aee_ram_sel_in,
wb_we_in => aee_ram_we_in,
wb_ack_out => aee_ram_ack_out
);
aee_ram_adr_in <= processor_adr_out(aee_ram_adr_in'range);
aee_ram_dat_in <= processor_dat_out;
aee_ram_we_in <= processor_we_out;
aee_ram_sel_in <= processor_sel_out;
aee_ram_cyc_in <= processor_cyc_out when intercon_peripheral = PERIPHERAL_AEE_RAM else '0';
aee_ram_stb_in <= processor_stb_out when intercon_peripheral = PERIPHERAL_AEE_RAM else '0';
main_memory: entity work.pp_soc_memory
generic map(
MEMORY_SIZE => 131072
) port map(
clk => system_clk,
reset => reset,
wb_adr_in => main_memory_adr_in,
wb_dat_in => main_memory_dat_in,
wb_dat_out => main_memory_dat_out,
wb_cyc_in => main_memory_cyc_in,
wb_stb_in => main_memory_stb_in,
wb_sel_in => main_memory_sel_in,
wb_we_in => main_memory_we_in,
wb_ack_out => main_memory_ack_out
);
main_memory_adr_in <= processor_adr_out(main_memory_adr_in'range);
main_memory_dat_in <= processor_dat_out;
main_memory_we_in <= processor_we_out;
main_memory_sel_in <= processor_sel_out;
main_memory_cyc_in <= processor_cyc_out when intercon_peripheral = PERIPHERAL_MAIN_MEMORY else '0';
main_memory_stb_in <= processor_stb_out when intercon_peripheral = PERIPHERAL_MAIN_MEMORY else '0';
end architecture behaviour;
| bsd-3-clause | 079a97517ecf7b394d3cd13dd3b887b6 | 0.66863 | 2.766291 | false | false | false | false |
FlatTargetInk/UMD_RISC-16G5 | ProjectLab2/Shadow_Register/Lab04/ipcore_dir/VGA_BUFFER_RAM/simulation/bmg_stim_gen.vhd | 8 | 12,716 |
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7_3 Core - Stimulus Generator For Simple Dual Port RAM
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: bmg_stim_gen.vhd
--
-- Description:
-- Stimulus Generation For SDP Configuration
-- 100 Writes and 100 Reads will be performed in a repeatitive loop till the
-- simulation ends
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: Sep 12, 2011 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_MISC.ALL;
LIBRARY work;
USE work.ALL;
USE work.BMG_TB_PKG.ALL;
ENTITY REGISTER_LOGIC IS
PORT(
Q : OUT STD_LOGIC;
CLK : IN STD_LOGIC;
RST : IN STD_LOGIC;
D : IN STD_LOGIC
);
END REGISTER_LOGIC;
ARCHITECTURE REGISTER_ARCH OF REGISTER_LOGIC IS
SIGNAL Q_O : STD_LOGIC :='0';
BEGIN
Q <= Q_O;
FF_BEH: PROCESS(CLK)
BEGIN
IF(RISING_EDGE(CLK)) THEN
IF(RST ='1') THEN
Q_O <= '0';
ELSE
Q_O <= D;
END IF;
END IF;
END PROCESS;
END REGISTER_ARCH;
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_MISC.ALL;
LIBRARY work;
USE work.ALL;
USE work.BMG_TB_PKG.ALL;
ENTITY BMG_STIM_GEN IS
PORT (
CLKA : IN STD_LOGIC;
CLKB : IN STD_LOGIC;
TB_RST : IN STD_LOGIC;
ADDRA: OUT STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0');
DINA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
WEA : OUT STD_LOGIC_VECTOR (0 DOWNTO 0) := (OTHERS => '0');
ADDRB: OUT STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0');
CHECK_DATA: OUT STD_LOGIC:='0'
);
END BMG_STIM_GEN;
ARCHITECTURE BEHAVIORAL OF BMG_STIM_GEN IS
CONSTANT ZERO : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL WRITE_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL READ_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL DINA_INT : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
SIGNAL DO_WRITE : STD_LOGIC := '0';
SIGNAL DO_READ : STD_LOGIC := '0';
SIGNAL DO_READ_R : STD_LOGIC := '0';
SIGNAL DO_READ_REG : STD_LOGIC_VECTOR(5 DOWNTO 0) :=(OTHERS => '0');
SIGNAL PORTA_WR : STD_LOGIC:='0';
SIGNAL COUNT : INTEGER :=0;
SIGNAL INCR_WR_CNT : STD_LOGIC:='0';
SIGNAL PORTA_WR_COMPLETE : STD_LOGIC :='0';
SIGNAL PORTB_RD : STD_LOGIC:='0';
SIGNAL COUNT_RD : INTEGER :=0;
SIGNAL INCR_RD_CNT : STD_LOGIC:='0';
SIGNAL PORTB_RD_COMPLETE : STD_LOGIC :='0';
SIGNAL LATCH_PORTA_WR_COMPLETE : STD_LOGIC :='0';
SIGNAL PORTB_RD_HAPPENED : STD_LOGIC := '0';
SIGNAL PORTA_WR_L1 :STD_LOGIC := '0';
SIGNAL PORTA_WR_L2 :STD_LOGIC := '0';
SIGNAL PORTB_RD_R2 :STD_LOGIC := '0';
SIGNAL PORTB_RD_R1 :STD_LOGIC := '0';
SIGNAL LATCH_PORTB_RD_COMPLETE : STD_LOGIC :='0';
SIGNAL PORTA_WR_HAPPENED : STD_LOGIC := '0';
SIGNAL PORTB_RD_L1 : STD_LOGIC := '0';
SIGNAL PORTB_RD_L2 : STD_LOGIC := '0';
SIGNAL PORTA_WR_R2 : STD_LOGIC := '0';
SIGNAL PORTA_WR_R1 : STD_LOGIC := '0';
CONSTANT WR_RD_DEEP_COUNT :INTEGER :=8;
CONSTANT WR_DEEP_COUNT : INTEGER := if_then_else((12 <= 12),WR_RD_DEEP_COUNT,
((8/8)*WR_RD_DEEP_COUNT));
CONSTANT RD_DEEP_COUNT : INTEGER := if_then_else((12 <= 12),WR_RD_DEEP_COUNT,
((8/8)*WR_RD_DEEP_COUNT));
BEGIN
ADDRA <= WRITE_ADDR(11 DOWNTO 0) ;
DINA <= DINA_INT ;
ADDRB <= READ_ADDR(11 DOWNTO 0) when (DO_READ='1') else (OTHERS=>'0');
CHECK_DATA <= DO_READ;
RD_ADDR_GEN_INST:ENTITY work.ADDR_GEN
GENERIC MAP(
C_MAX_DEPTH => 4096 ,
RST_INC => 1 )
PORT MAP(
CLK => CLKB,
RST => TB_RST,
EN => DO_READ,
LOAD => '0',
LOAD_VALUE => ZERO,
ADDR_OUT => READ_ADDR
);
WR_ADDR_GEN_INST:ENTITY work.ADDR_GEN
GENERIC MAP(
C_MAX_DEPTH => 4096,
RST_INC => 1 )
PORT MAP(
CLK => CLKA,
RST => TB_RST,
EN => DO_WRITE,
LOAD => '0',
LOAD_VALUE => ZERO,
ADDR_OUT => WRITE_ADDR
);
WR_DATA_GEN_INST:ENTITY work.DATA_GEN
GENERIC MAP (
DATA_GEN_WIDTH => 8,
DOUT_WIDTH => 8 ,
DATA_PART_CNT => 1,
SEED => 2)
PORT MAP (
CLK => CLKA,
RST => TB_RST,
EN => DO_WRITE,
DATA_OUT => DINA_INT
);
PORTA_WR_PROCESS: PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(TB_RST='1') THEN
PORTA_WR<='1';
ELSE
PORTA_WR<=PORTB_RD_COMPLETE;
END IF;
END IF;
END PROCESS;
PORTB_RD_PROCESS: PROCESS(CLKB)
BEGIN
IF(RISING_EDGE(CLKB)) THEN
IF(TB_RST='1') THEN
PORTB_RD<='0';
ELSE
PORTB_RD<=PORTA_WR_L2;
END IF;
END IF;
END PROCESS;
PORTB_RD_COMPLETE_LATCH: PROCESS(CLKB)
BEGIN
IF(RISING_EDGE(CLKB)) THEN
IF(TB_RST='1') THEN
LATCH_PORTB_RD_COMPLETE<='0';
ELSIF(PORTB_RD_COMPLETE='1') THEN
LATCH_PORTB_RD_COMPLETE <='1';
ELSIF(PORTA_WR_HAPPENED='1') THEN
LATCH_PORTB_RD_COMPLETE<='0';
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(TB_RST='1') THEN
PORTB_RD_L1 <='0';
PORTB_RD_L2 <='0';
ELSE
PORTB_RD_L1 <= LATCH_PORTB_RD_COMPLETE;
PORTB_RD_L2 <= PORTB_RD_L1;
END IF;
END IF;
END PROCESS;
PROCESS(CLKB)
BEGIN
IF(RISING_EDGE(CLKB)) THEN
IF(TB_RST='1') THEN
PORTA_WR_R1 <='0';
PORTA_WR_R2 <='0';
ELSE
PORTA_WR_R1 <= PORTA_WR;
PORTA_WR_R2 <= PORTA_WR_R1;
END IF;
END IF;
END PROCESS;
PORTA_WR_HAPPENED <= PORTA_WR_R2;
PORTA_WR_COMPLETE_LATCH: PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(TB_RST='1') THEN
LATCH_PORTA_WR_COMPLETE<='0';
ELSIF(PORTA_WR_COMPLETE='1') THEN
LATCH_PORTA_WR_COMPLETE <='1';
--ELSIF(PORTB_RD_HAPPENED='1') THEN
ELSE
LATCH_PORTA_WR_COMPLETE<='0';
END IF;
END IF;
END PROCESS;
PROCESS(CLKB)
BEGIN
IF(RISING_EDGE(CLKB)) THEN
IF(TB_RST='1') THEN
PORTA_WR_L1 <='0';
PORTA_WR_L2 <='0';
ELSE
PORTA_WR_L1 <= LATCH_PORTA_WR_COMPLETE;
PORTA_WR_L2 <= PORTA_WR_L1;
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(TB_RST='1') THEN
PORTB_RD_R1 <='0';
PORTB_RD_R2 <='0';
ELSE
PORTB_RD_R1 <= PORTB_RD;
PORTB_RD_R2 <= PORTB_RD_R1;
END IF;
END IF;
END PROCESS;
PORTB_RD_HAPPENED <= PORTB_RD_R2;
PORTB_RD_COMPLETE <= '1' when (count_rd=RD_DEEP_COUNT) else '0';
start_rd_counter: process(clkb)
begin
if(rising_edge(clkb)) then
if(tb_rst='1') then
incr_rd_cnt <= '0';
elsif(portb_rd ='1') then
incr_rd_cnt <='1';
elsif(portb_rd_complete='1') then
incr_rd_cnt <='0';
end if;
end if;
end process;
RD_COUNTER: process(clkb)
begin
if(rising_edge(clkb)) then
if(tb_rst='1') then
count_rd <= 0;
elsif(incr_rd_cnt='1') then
count_rd<=count_rd+1;
end if;
--if(count_rd=(wr_rd_deep_count)) then
if(count_rd=(RD_DEEP_COUNT)) then
count_rd<=0;
end if;
end if;
end process;
DO_READ<='1' when (count_rd <RD_DEEP_COUNT and incr_rd_cnt='1') else '0';
PORTA_WR_COMPLETE <= '1' when (count=WR_DEEP_COUNT) else '0';
start_counter: process(clka)
begin
if(rising_edge(clka)) then
if(tb_rst='1') then
incr_wr_cnt <= '0';
elsif(porta_wr ='1') then
incr_wr_cnt <='1';
elsif(porta_wr_complete='1') then
incr_wr_cnt <='0';
end if;
end if;
end process;
COUNTER: process(clka)
begin
if(rising_edge(clka)) then
if(tb_rst='1') then
count <= 0;
elsif(incr_wr_cnt='1') then
count<=count+1;
end if;
if(count=(WR_DEEP_COUNT)) then
count<=0;
end if;
end if;
end process;
DO_WRITE<='1' when (count <WR_DEEP_COUNT and incr_wr_cnt='1') else '0';
BEGIN_SHIFT_REG: FOR I IN 0 TO 5 GENERATE
BEGIN
DFF_RIGHT: IF I=0 GENERATE
BEGIN
SHIFT_INST_0: ENTITY work.REGISTER_LOGIC
PORT MAP(
Q => DO_READ_REG(0),
CLK => CLKB,
RST => TB_RST,
D => DO_READ
);
END GENERATE DFF_RIGHT;
DFF_OTHERS: IF ((I>0) AND (I<=5)) GENERATE
BEGIN
SHIFT_INST: ENTITY work.REGISTER_LOGIC
PORT MAP(
Q => DO_READ_REG(I),
CLK =>CLKB,
RST =>TB_RST,
D =>DO_READ_REG(I-1)
);
END GENERATE DFF_OTHERS;
END GENERATE BEGIN_SHIFT_REG;
REGCE_PROCESS: PROCESS(CLKB)
BEGIN
IF(RISING_EDGE(CLKB)) THEN
IF(TB_RST='1') THEN
DO_READ_R <= '0';
ELSE
DO_READ_R <= DO_READ;
END IF;
END IF;
END PROCESS;
WEA(0) <= DO_WRITE ;
END ARCHITECTURE;
| gpl-3.0 | 8fd31fc53cee47baaea577b28b4a72c6 | 0.524143 | 3.550963 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/bram/sim/bram.vhd | 3 | 11,943 | -- (c) Copyright 1995-2014 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:blk_mem_gen:8.2
-- IP Revision: 0
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY blk_mem_gen_v8_2;
USE blk_mem_gen_v8_2.blk_mem_gen_v8_2;
ENTITY bram IS
PORT (
clka : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
clkb : IN STD_LOGIC;
addrb : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END bram;
ARCHITECTURE bram_arch OF bram IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF bram_arch: ARCHITECTURE IS "yes";
COMPONENT blk_mem_gen_v8_2 IS
GENERIC (
C_FAMILY : STRING;
C_XDEVICEFAMILY : STRING;
C_ELABORATION_DIR : STRING;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_AXI_SLAVE_TYPE : INTEGER;
C_USE_BRAM_BLOCK : INTEGER;
C_ENABLE_32BIT_ADDRESS : INTEGER;
C_CTRL_ECC_ALGO : STRING;
C_HAS_AXI_ID : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_MEM_TYPE : INTEGER;
C_BYTE_SIZE : INTEGER;
C_ALGORITHM : INTEGER;
C_PRIM_TYPE : INTEGER;
C_LOAD_INIT_FILE : INTEGER;
C_INIT_FILE_NAME : STRING;
C_INIT_FILE : STRING;
C_USE_DEFAULT_DATA : INTEGER;
C_DEFAULT_DATA : STRING;
C_HAS_RSTA : INTEGER;
C_RST_PRIORITY_A : STRING;
C_RSTRAM_A : INTEGER;
C_INITA_VAL : STRING;
C_HAS_ENA : INTEGER;
C_HAS_REGCEA : INTEGER;
C_USE_BYTE_WEA : INTEGER;
C_WEA_WIDTH : INTEGER;
C_WRITE_MODE_A : STRING;
C_WRITE_WIDTH_A : INTEGER;
C_READ_WIDTH_A : INTEGER;
C_WRITE_DEPTH_A : INTEGER;
C_READ_DEPTH_A : INTEGER;
C_ADDRA_WIDTH : INTEGER;
C_HAS_RSTB : INTEGER;
C_RST_PRIORITY_B : STRING;
C_RSTRAM_B : INTEGER;
C_INITB_VAL : STRING;
C_HAS_ENB : INTEGER;
C_HAS_REGCEB : INTEGER;
C_USE_BYTE_WEB : INTEGER;
C_WEB_WIDTH : INTEGER;
C_WRITE_MODE_B : STRING;
C_WRITE_WIDTH_B : INTEGER;
C_READ_WIDTH_B : INTEGER;
C_WRITE_DEPTH_B : INTEGER;
C_READ_DEPTH_B : INTEGER;
C_ADDRB_WIDTH : INTEGER;
C_HAS_MEM_OUTPUT_REGS_A : INTEGER;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER;
C_MUX_PIPELINE_STAGES : INTEGER;
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER;
C_USE_SOFTECC : INTEGER;
C_USE_ECC : INTEGER;
C_EN_ECC_PIPE : INTEGER;
C_HAS_INJECTERR : INTEGER;
C_SIM_COLLISION_CHECK : STRING;
C_COMMON_CLK : INTEGER;
C_DISABLE_WARN_BHV_COLL : INTEGER;
C_EN_SLEEP_PIN : INTEGER;
C_DISABLE_WARN_BHV_RANGE : INTEGER;
C_COUNT_36K_BRAM : STRING;
C_COUNT_18K_BRAM : STRING;
C_EST_POWER_SUMMARY : STRING
);
PORT (
clka : IN STD_LOGIC;
rsta : IN STD_LOGIC;
ena : IN STD_LOGIC;
regcea : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
clkb : IN STD_LOGIC;
rstb : IN STD_LOGIC;
enb : IN STD_LOGIC;
regceb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
injectsbiterr : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
eccpipece : IN STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
rdaddrecc : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
sleep : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
s_axi_injectsbiterr : IN STD_LOGIC;
s_axi_injectdbiterr : IN STD_LOGIC;
s_axi_sbiterr : OUT STD_LOGIC;
s_axi_dbiterr : OUT STD_LOGIC;
s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(10 DOWNTO 0)
);
END COMPONENT blk_mem_gen_v8_2;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK";
ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE";
ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR";
ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN";
ATTRIBUTE X_INTERFACE_INFO OF clkb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB CLK";
ATTRIBUTE X_INTERFACE_INFO OF addrb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB ADDR";
ATTRIBUTE X_INTERFACE_INFO OF doutb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB DOUT";
BEGIN
U0 : blk_mem_gen_v8_2
GENERIC MAP (
C_FAMILY => "zynq",
C_XDEVICEFAMILY => "zynq",
C_ELABORATION_DIR => "./",
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_AXI_SLAVE_TYPE => 0,
C_USE_BRAM_BLOCK => 0,
C_ENABLE_32BIT_ADDRESS => 0,
C_CTRL_ECC_ALGO => "NONE",
C_HAS_AXI_ID => 0,
C_AXI_ID_WIDTH => 4,
C_MEM_TYPE => 1,
C_BYTE_SIZE => 9,
C_ALGORITHM => 1,
C_PRIM_TYPE => 1,
C_LOAD_INIT_FILE => 0,
C_INIT_FILE_NAME => "no_coe_file_loaded",
C_INIT_FILE => "bram.mem",
C_USE_DEFAULT_DATA => 0,
C_DEFAULT_DATA => "0",
C_HAS_RSTA => 0,
C_RST_PRIORITY_A => "CE",
C_RSTRAM_A => 0,
C_INITA_VAL => "0",
C_HAS_ENA => 0,
C_HAS_REGCEA => 0,
C_USE_BYTE_WEA => 0,
C_WEA_WIDTH => 1,
C_WRITE_MODE_A => "WRITE_FIRST",
C_WRITE_WIDTH_A => 16,
C_READ_WIDTH_A => 16,
C_WRITE_DEPTH_A => 2048,
C_READ_DEPTH_A => 2048,
C_ADDRA_WIDTH => 11,
C_HAS_RSTB => 0,
C_RST_PRIORITY_B => "CE",
C_RSTRAM_B => 0,
C_INITB_VAL => "0",
C_HAS_ENB => 0,
C_HAS_REGCEB => 0,
C_USE_BYTE_WEB => 0,
C_WEB_WIDTH => 1,
C_WRITE_MODE_B => "WRITE_FIRST",
C_WRITE_WIDTH_B => 16,
C_READ_WIDTH_B => 16,
C_WRITE_DEPTH_B => 2048,
C_READ_DEPTH_B => 2048,
C_ADDRB_WIDTH => 11,
C_HAS_MEM_OUTPUT_REGS_A => 0,
C_HAS_MEM_OUTPUT_REGS_B => 1,
C_HAS_MUX_OUTPUT_REGS_A => 0,
C_HAS_MUX_OUTPUT_REGS_B => 0,
C_MUX_PIPELINE_STAGES => 0,
C_HAS_SOFTECC_INPUT_REGS_A => 0,
C_HAS_SOFTECC_OUTPUT_REGS_B => 0,
C_USE_SOFTECC => 0,
C_USE_ECC => 0,
C_EN_ECC_PIPE => 0,
C_HAS_INJECTERR => 0,
C_SIM_COLLISION_CHECK => "ALL",
C_COMMON_CLK => 0,
C_DISABLE_WARN_BHV_COLL => 0,
C_EN_SLEEP_PIN => 0,
C_DISABLE_WARN_BHV_RANGE => 0,
C_COUNT_36K_BRAM => "1",
C_COUNT_18K_BRAM => "0",
C_EST_POWER_SUMMARY => "Estimated Power for IP : 5.11005 mW"
)
PORT MAP (
clka => clka,
rsta => '0',
ena => '0',
regcea => '0',
wea => wea,
addra => addra,
dina => dina,
clkb => clkb,
rstb => '0',
enb => '0',
regceb => '0',
web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
addrb => addrb,
dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 16)),
doutb => doutb,
injectsbiterr => '0',
injectdbiterr => '0',
eccpipece => '0',
sleep => '0',
s_aclk => '0',
s_aresetn => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awvalid => '0',
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 16)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wlast => '0',
s_axi_wvalid => '0',
s_axi_bready => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arvalid => '0',
s_axi_rready => '0',
s_axi_injectsbiterr => '0',
s_axi_injectdbiterr => '0'
);
END bram_arch;
| gpl-2.0 | ee81a10612269e733fa284ff3ea04de5 | 0.611572 | 3.234832 | false | false | false | false |
skordal/potato | testbenches/tb_soc_memory.vhd | 1 | 2,273 | -- The Potato Processor - A simple processor for FPGAs
-- (c) Kristian Klomsten Skordal 2014 - 2015 <[email protected]>
-- Report bugs and issues on <https://github.com/skordal/potato/issues>
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity tb_soc_memory is
end entity tb_soc_memory;
architecture testbench of tb_soc_memory is
-- Clock signal:
signal clk : std_logic;
constant clk_period : time := 10 ns;
-- Reset signal:
signal reset : std_logic := '1';
-- Wishbone signals:
signal wb_adr_in : std_logic_vector(31 downto 0);
signal wb_dat_in : std_logic_vector(31 downto 0);
signal wb_dat_out : std_logic_vector(31 downto 0);
signal wb_cyc_in : std_logic := '0';
signal wb_stb_in : std_logic := '0';
signal wb_sel_in : std_logic_vector(3 downto 0) := (others => '1');
signal wb_we_in : std_logic := '0';
signal wb_ack_out : std_logic;
begin
uut: entity work.pp_soc_memory
port map(
clk => clk,
reset => reset,
wb_adr_in => wb_adr_in,
wb_dat_in => wb_dat_in,
wb_dat_out => wb_dat_out,
wb_cyc_in => wb_cyc_in,
wb_stb_in => wb_stb_in,
wb_sel_in => wb_sel_in,
wb_we_in => wb_we_in,
wb_ack_out => wb_ack_out
);
clock: process
begin
clk <= '1';
wait for clk_period / 2;
clk <= '0';
wait for clk_period / 2;
end process clock;
stimulus: process
begin
wait for clk_period;
reset <= '0';
-- Write 32 bit of data to address 0:
wb_adr_in <= x"00000000";
wb_dat_in <= x"deadbeef";
wb_cyc_in <= '1';
wb_stb_in <= '1';
wb_we_in <= '1';
wait for clk_period;
wb_stb_in <= '0';
wb_cyc_in <= '0';
wait for clk_period;
-- Write a block write of two 32-bit words at address 0 and 1:
wb_adr_in <= x"00000000";
wb_dat_in <= x"feedbeef";
wb_cyc_in <= '1';
wb_stb_in <= '1';
wait for clk_period;
wb_stb_in <= '0';
wb_adr_in <= x"00000004";
wb_dat_in <= x"f00dd00d";
wait for clk_period;
wb_stb_in <= '1';
wait for clk_period;
wb_stb_in <= '0';
wb_cyc_in <= '0';
-- Read address 4:
wait for clk_period;
wb_we_in <= '0';
wb_adr_in <= x"00000000";
wb_cyc_in <= '1';
wb_stb_in <= '1';
wait for clk_period;
-- TODO: Make this testbench automatic.
wait;
end process stimulus;
end architecture testbench;
| bsd-3-clause | 18f5dc67a7cf15a18299f2e8362f77d1 | 0.614166 | 2.542506 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fir/fir_compiler_v7_1/hdl/addsub_mult_add.vhd | 2 | 23,292 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Oipr+gdcA2oQnv/OAgp4Pe6VmHsrTLHpu3iYXZykA9cAIC7qwhYt1YOyseO4j7gL7Bbl58/mMZEK
QSmmruO6sA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UkwE5x4ijx7qxaqaCQ/RrI8RB7Myn4KvRT+MnxD+8OYzNb5WmyJE+1+wjl2fSC4KMnGgu0hu1s5H
NhYXbmvDhkmmKPWow3RC7VpQtc8v4+FqJxduDd6lE5hLPuyL/KSjThYHvWBxulgzHSk9ZKzM/pl7
d9tvpc76EWA+s04aBSk=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
K6uJfU7Na8Tqp18DBKoq8Zl6hMphQwdz+Ld0ZBYLSel90g6IU7Vxyo7cj3jtNKo5ns7UNA8CRu6P
ixCTh9r9PR9AJ7uCPvp4wbffKH4xBy4BzQ4kawjtK3JnguIPH6UtelykxYWHtS9RjeV88ZWpsbrq
1UzUO4GQe6a7XbqoXP+EQ1Jn1EhU3ekzNSLhgaekY2buryKgb+R8DO8Vn3iP1TvJYePIy1PRZXGd
iJFbOYAnp0u7gF9wbmtqDHXcXRp1q/0dLiXgbKJ2os0ooYK+GVmpLaBbYbFDAD4ZGb8LFqoqGjpF
rpOurpz8fVYHQnbArEIzjySM82igMVsVl/INqQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Tv8liVrZE+GMgriPSOYploQdNnEiGBlzL+a4qWO7PT2t485aYZnGZTjA50Zequge4N5XT/1fnGXV
3nBc4D9CO1zjU9jZ3lH1g5GU1yl3u2rdvFlLQGALud7zHTcnV0hxCDMFa4f97lh6hPYtn3oFYA5a
RqEy8P0dHtD2opZETWQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
GVfwN18crzNpzgIx1RAtql4M0x1J/AiJ4AAT+3Qa026KP2wvLgbCTz3asQ2vs+IwcVjUXO5etNsc
RhjgVT/glr2uuup7DrqcW90wFFxJsEarYzGGed+AD8OURDgXl6KbiXpc753KAXoNHEJedvj8McTK
WvK5gxSZYZg1w3cbwdG/VHl/lO/brZqS1gIRsdvoBOftibnXcwaXftXreYWx7OWAFGUsdn+xfugB
SG/5jfplVoYoNHvJn0ZA7u9IHJq6fr0tVZmsc+xfo0I74+piLbNdzMsEQfvaFMZfEkbSJgxvrcgQ
Fqq2T1XKwx0BUi5rjjUOvKzUJ3tjPrxr7sAWPg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15504)
`protect data_block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`protect end_protected
| gpl-2.0 | fafa5283e6a042a9b313bf958404d1b9 | 0.941353 | 1.836474 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/dds/dds_compiler_v6_0/hdl/sin_cos_quad_rast.vhd | 6 | 73,804 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
iUKuqcD55O+ah4XmXCFYW0kmBDVNJBLcQxX1tCHUQg3S5v8DRUTXiztb/H5ULDsCB7fypmkDvEMa
mDqEdzkS0w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UrVo/TsrhmF+f5Yc6P5pWKLmizf3om2OTY43Peq2IK1xK5Ji96EQDgGYFT2vQLm/uGw+AADg0t57
zyQRO3DBWx+GLHPfPRFaPNsfftchgF0NuFJdsHAE+kIPYt08d78zWIysIUXW4HHAjkx4ecBYbuSc
gtXtGxdLKqo9LGt03OA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
GO7CSQo6YYrELm5S3gaxoPgVdDckelmHBYiBWvm7bZfpFtH2Bhk2nPsVt1XZKJmgG2MqTLFvwSIn
BNKcf3LdJN21B/cG9DQGoCg5N6/YN37ph4DiiRAQNdG4Jb8FssUmqJ/k5ZpHNNp90giSaPEr8j+x
+cTfIpmGHc+M5y6AH7v5wcg8uL/jsMyVRNkUnlwcf3o1zwvgGbvEfcD9viS3bwgNu54+QSSIVb2P
Mrl16nPwRCKnsfoFAb6ZY5LhQsKRUdlhMU+5+DMbP1/Gl8fz5zb2U4qwJAGpkEwkPoSaKf33d5QR
cdDAN38vzGMmiIXNPJT1fxw+8jByXM8qrMnfvA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TBYoz8BYGgCP+/U0jBTI2bbY5yROkr4oevUZkLRsRSKxxOBdtcye3uEDGkZtcJKaB09lOUC12fx6
5by/QPQ0O+jkrKGQbt2YdIRGY1/TOCNefwAbD2FKBC/KxkJyuYzHGsmo3dYEI8XXiBQB32f1wyI/
7S1cMxRrFOIhrsc65IM=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TgSxpGr0KE3jUPcLTirWhV5YOVflCwH1qU2UlbV9vxHetMro1FXVZp66bsAA/KdSInU9AB04PN3u
p4kcZ5BX3LtaugqtG1depUnLptsvRsadsYP55kW/IUKeVrE1ISi3UqXigZBRQ3IUlnAQh75kN56Y
Au69jjZ//yNvoTVrAy5b3926AWeM2fbxbwB3mi9KoEZ7ias4SypQ8KrFZSU3kBTlWkdTWovOYhjI
+ruaCQaAfoqn51BqbMSv8G7lOFUcqWVRauSDmjjqeRUAjbpVjVa4SoNKpgQ/okk6a/XCbnAXdJQp
5vNKjeMZg3uOgOcKgFJbTUvzeTyv4eW0L8/gpQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 52896)
`protect data_block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`protect end_protected
| gpl-2.0 | b45659f6646ff3c49b9112ac87eaca3a | 0.952577 | 1.820119 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/fir_lp_54kHz/fir_compiler_v7_1/hdl/transpose_interpolation.vhd | 8 | 169,602 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
FSnYv4ArUjE9yFslhiJ4O+eR9Un2bk9MxcgwDcSSgyCidFunKBhyyad0GBSSS9KEG4gIekOsP+JJ
ssMFjAMquw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TzjKBCxPJqhOY7Wf5F37OhSWO9ja6LCHX1Zcv+zck3j0cnb9xDAewu0TvOqOSCt/OQj5mdGxrujA
ReGagVDPlypTNrzCbXHqzzdH7WNHjA1g3Bxxj7XP/OQj64XtOJ1x+XyDfM1V/P+YoLMnvR9tXJRK
GNl+ZoFpp9pKrjbXlSQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Zr+nD5RXX22NR13jcmv9ksLo9/mK9Qz+4dqGDZ050nYPoR30LjA0pIYXZC5CUrR/eoubMCRQZHZM
jsNPIOOgkmNHwr20+rKRAuiRRGZN/GX2YAuGpNUaZ8bFlmReiToTtsbpbHzytHPjDiQ+FojElbpe
d1Ki1c+KrKfSw6iS0ZeNHRSZHyaab7Mi84kefW0Nq6BbJfhSc/mNDfy/kNcfexGwx6XBQ9RISusw
QY6IwqHFDmvSzYQonJKnwQlPkmhM69p7BeuojyH+P60VWGP+eSxGoln2WiWpjZnIBlu4f944H+gJ
oVZWk+E5zvVxfqxeuuL5zLZaDzrc9rkF2ynyTQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
SUgivCeF70qgL3DFxaJ7pQkAfPRjw3oX57LICl0AcRaliz2dKtj6UZCwtg1aPtvpkTegBo1uJoLs
UNhdZ5336hDDAvCCTeT94sVQD73ZyVJ6hAinx2VeA5D9GB4mNGvTNrndCw+IUhRaGgWZl6IiXjxS
hDaZOPo+3ewNKD2o7I8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Oho48USz6HVfUliqKrjqQupz8NKeeT1M9XCaem1CV0zbE7fLKuUxfaG8Ae1w8GjcdcPbXeIC0kxO
4IgR1Hk+c+HET7xuzg1dFOOEepmvK3NkptZn01fUglo6gQneGTO41wKFOReUFRs69QhMOWfIryHz
4ZbOsRcJwwgjAYTknDgYx0LP+Ok31fB0NFXN7pCDC51P4AE9NdZVa0fEuvJ4kXHIVCIDB11/9zfD
0KklJDciyzWzRhPb/yacEhjezlovJ4/4SLVQLX5pB6W1ZclnDJFjrU3CypmA1Hlti+1ljEjigE/F
WslM7Z+mMf6wSJ4LdQ/Iq687+c7FA7PW7tBR6Q==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 123808)
`protect data_block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`protect end_protected
| gpl-2.0 | 6879f4e53822850b4e3a9ee97cc6d8c0 | 0.953821 | 1.807969 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xfft_v9_0/hdl/in_ranger.vhd | 3 | 8,358 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
k2Rjmu4vuq0SOGlaJQObhcDkbtdL6XPLtwe44PYWOKxowB8RKS8TsQoeTtZDEAGlgBTPgeyM90hm
V8ejv7weHw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
DMTayScMIuDfrXDiYfLUGlHEby+iekZC8Bwl7WWwCSkleY1Wis6tb+zDvhMQ/ZSySRRKRqF9YaOt
P2yx1sYDkoWYXIm3Vug0Fk+GKA8hI7VWK+6DRVKoQ2rln4uhozp8qyZfMleNdw35TbIoQVkMnjic
pLsEIO/41PvT3/xuFfw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
K0w9skNZHG4bXzvzXnrJu1qEKHyZAdqi4MBU6gX7AQCKoGO6un/16Rqqu1O3MPBmR4crVY4MXzxa
XL1gEQZyrEtbMb15OnceZpxVMubNgvLPEmlZiBvlm5xODduFtIPyCg1BTyZJwwNxiyIa+Ql4voCH
ISdeU0rwG5Bj5Gb3rs3t8c73jLy/9/RxkZHVl4IMyA70Wtf/9NN9ur67Kj6WTMbuEsT01dCHGUhJ
DSA2+5ObShCtAirllRR2XYESO//PoUYHnDYg6deh0mvX8uCTvWBId5r/ai5OnVxSyFmImFChGuzu
lqXmfdZ6gREz3Hp1DVpl+3lFR4KBTeTB3MS7Kw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
wI6/kp6/UAEfEw/UWN6oNpDJTQbn3wMD5QZkesvd76fe9iDwrfL8nDu9KPi+UN+wyDd7s6gfsMWu
vtGRcQVB80sOljJijJFZrWZEkrrxYIeRqbXQFIRXJTXJC0ayWzf/oRSw7LE+W5x2JbeUhR1kTIf1
HZGoKDMHBZrdCAJbF/k=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
R2fJ8YZONWPLd6RB/I6USZEan391R61TCoC0H3gcaurMrv7/cK3Vuh4HzhpadOBjqwwcA5gdZ41o
2vBpgh/qty1XKS7oSsBhr506nKIVCBM0KFs4HIafgiyoSX3I0Gjh0YFl6Yviax9DrQPVf56Pv+rB
kI3oC8vZ5SDi/5sjdcSO42M8O/GY1fy2aKFb9bJw7q/nxGRwieDDM37ON07HBiP2KX3MLzjVRj5X
doYfIVG7dT5NfyZmlqi/xbN9h/yGHTDDVtBV8CPimDb0J1pZlxgmNTPRg0AnleWvwwzAjtt6L1dp
NCZYGbtZ6arg9YX+6xJoCoA76bCfk8WnCDI8nw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4448)
`protect data_block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`protect end_protected
| gpl-2.0 | 4fce3f122425795b406a35f78bc589f6 | 0.919239 | 1.928473 | false | false | false | false |
notti/dis_se | testbench/tb_soc.vhd | 1 | 1,495 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
library std;
use std.textio.all;
library work;
use work.all;
use work.procedures.all;
entity tb_soc is
end tb_soc;
architecture behav of tb_soc is
signal rst : std_logic := '1';
signal clk : std_logic := '0';
signal clk2x : std_logic := '0';
signal rx : std_logic := '1';
signal tx : std_logic := '1';
procedure write(x : std_logic_vector(7 downto 0); signal rx : out std_logic) is
begin
rx <= '0';
wait for 8681 ns;
for i in 0 to 7 loop
rx <= x(i);
wait for 8681 ns;
end loop;
rx <= '1';
wait for 8681 ns;
end procedure;
begin
process
begin
clk <= '1';
clk2x <= '1';
wait for 5 ns;
clk2x <= '0';
wait for 5 ns;
clk <= '0';
clk2x <= '1';
wait for 5 ns;
clk2x <= '0';
wait for 5 ns;
end process;
process
variable l : line;
begin
wait for 61 ns;
rst <= '0';
wait for 100 ns;
write(X"AA", rx);
write(X"00", rx);
write(X"55", rx);
write(X"01", rx);
wait for 100 us;
assert false report "stop" severity failure;
end process;
asoc: entity work.soc
port map(
rst => rst,
clk => clk,
clk2x => clk2x,
rx => rx,
tx => tx
);
end behav;
| bsd-2-clause | 2a5eee96f0e13a2fa99ce24fbe8821e6 | 0.476254 | 3.492991 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/mult_gen_v12_0/hdl/luts.vhd | 12 | 107,068 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
jV08uKrM6FB79KkWQ/BXj6f14A+AEGYHCn1KCZ0VbNfDrIZcnPGuufHJK+Hv5nm3s6nRgyKiM9sc
4F7llsu1fg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
mEgnJcfi/PWt6bgCaWhRPqwq5IjLbeR1jUx0MvpCJwM2ZaVfJri9hsTkatXmdNCcUA+lNz/aeW/F
sDcOGbKVVTg1yq+5snf97hZTBqSUNglBuzjNjNh5un2CSV8ttVXR1NNGfiSI48u+j4z+zgRCHR/z
6KlAJEcuLgnW8V7gP+w=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gAWNd8trXwVN35ZXcQr9pZvhMTY8Fr2BewQ6CqyRY9m78MkTXCZV8O3jgNIOdO+CocqGe2s4kyvH
smrgW9IF2qrCszLKzkgc0ZEvJzHuATyAuaVMmaI8nwX2gS4L+3zO69yyD4B0lZrTuzCLd0Zrmf4v
y5BgkFIcSuXaWD6dy1JqXGmmj216DtACzcdp2QPhyp6wUg0bGBZyGE5sBbXw9J8FWjPxIpsSHV4Q
Vqzu41k9qYmSRywgNXJAIKQeMzc/FKVfjJz8u8Mlikz1SBoYSS5MQs1ZNvIJKZW/3NATqtg3O4uC
7XLepcL5FbU1FLplwJkGxjzuRwsscvZCMPf5lg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
d07uexcfoDSmo0IeiZkVcW4bOu2jF1UYttWAexxKh/UquGZgKoVQ6QMvsl91Nr8PRoO1v07KRGwl
QHjbK6XSxBwkxQ/l+KVvOK+R4+WnUBOH7oSdoxkKL60fMkQBGcezriVNcTrE7CDAaKZ4ussIlkQ6
lhRmghszBT4Zf+kEzAg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
daZKqvXPzEDHQKunVq9aTX0TrtCWQzs5E1QGwhxofE7fJMfyTgGknuSxjT9Vc9jsSwDO4oPkvfpA
vEvC5eUK/VPZjFDJeIWJrYuoZzb15vXOGoDqlMkexDaM10RH9rmQA/WtuuATZUA4JAXe4UtIPDyC
sQaPdCzVIrKkBq6B4iuapu/PBi5ArFwmPdXWMmbf+emJqSYmx9L9aJmnIyTlgup8Y9CcNEG8gsG+
wAGtBTvupjVz0FBUDgxxwqHRcyOQ1FLt6zne5zpMaYrv9U2RYcnTLKV5/OtW+SKBTdf6DztEuM2l
jA9Uj/GJLi6W64bmvtYcsl6/gv5M7/95K61cNw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 77520)
`protect data_block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`protect end_protected
| gpl-2.0 | f304350e858688fda2373edb8aa61171 | 0.95345 | 1.81542 | false | false | false | false |
amerryfellow/dlx | rocache/romem.vhd | 1 | 2,241 | library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use std.textio.all;
use ieee.std_logic_textio.all;
use work.ROCACHE_PKG.all;
-- Instruction memory for DLX
-- Memory filled by a process which reads from a file
-- file name is "test.asm.mem"
entity ROMEM is
generic (
file_path : -- string(1 to 37) := "C://DLX//dlx-master//rocache//hex.txt";
string(1 to 87) := "/home/gandalf/Documents/Universita/Postgrad/Modules/Microelectronic/dlx/rocache/hex.txt";
ENTRIES : integer := 128;
WORD_SIZE : integer := 32;
data_delay : natural := 2
);
port (
CLK : in std_logic;
RST : in std_logic;
ADDRESS : in std_logic_vector(WORD_SIZE - 1 downto 0);
ENABLE : in std_logic;
DATA_READY : out std_logic;
DATA : out std_logic_vector(2*WORD_SIZE - 1 downto 0)
);
end ROMEM;
architecture Behavioral of ROMEM is
type RAM is array (0 to ENTRIES-1) of integer;
signal Memory : RAM;
signal valid : std_logic;
signal idout : std_logic_vector(2*WORD_SIZE-1 downto 0);
signal count: integer range 0 to (data_delay + 1);
begin
-- purpose: This process is in charge of filling the Instruction RAM with the firmware
FILL_MEM_P: process (RST,CLK,ENABLE,ADDRESS)
file mem_fp: text;
variable file_line : line;
variable index : integer := 0;
variable tmp_data_u : std_logic_vector(WORD_SIZE-1 downto 0);
begin -- process FILL_MEM_P
if (Rst = '1') then
file_open(
mem_fp,
file_path,
READ_MODE
);
while (not endfile(mem_fp)) loop
readline(mem_fp,file_line);
hread(file_line,tmp_data_u);
Memory(index) <= conv_integer(unsigned(tmp_data_u));
index := index + 1;
end loop;
file_close(mem_fp);
count <= 0;
elsif CLK'event and clk= '1' then
if (ENABLE = '1' ) then
count <= count + 1;
if (count = data_delay) then
count <= 0;
valid <= '1';
idout <=
conv_std_logic_vector(Memory(conv_integer(unsigned(ADDRESS))+1),WORD_SIZE) &
conv_std_logic_vector(Memory(conv_integer(unsigned(ADDRESS))),WORD_SIZE
);
end if;
else
count <= 0;
valid <= '0';
end if;
end if;
end process FILL_MEM_P;
DATA_READY <= valid;
DATA <= idout when valid = '1' else (others => 'Z');
end Behavioral;
| gpl-3.0 | 69a6e67646869ca94ebe7673c943c7c5 | 0.645694 | 2.836709 | false | false | false | false |
freecores/light8080 | vhdl/light8080.vhdl | 1 | 52,124 | --##############################################################################
-- light8080 : Intel 8080 binary compatible core
--##############################################################################
-- v1.1 (20 sep 2008) Microcode bug in INR fixed.
-- v1.0 (05 nov 2007) First release. Jose A. Ruiz.
--
-- This file and all the light8080 project files are freeware (See COPYING.TXT)
--##############################################################################
-- (See timing diagrams at bottom of file. More comprehensive explainations can
-- be found in the design notes)
--##############################################################################
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
--##############################################################################
-- vma : enable a memory or io r/w access.
-- io : access in progress is io (and not memory)
-- rd : read memory or io
-- wr : write memory or io
-- data_out : data output
-- addr_out : memory and io address
-- data_in : data input
-- halt : halt status (1 when in halt state)
-- inte : interrupt status (1 when enabled)
-- intr : interrupt request
-- inta : interrupt acknowledge
-- reset : synchronous reset
-- clk : clock
--
-- (see timing diagrams at bottom of file)
--##############################################################################
entity light8080 is
Port (
addr_out : out std_logic_vector(15 downto 0);
inta : out std_logic;
inte : out std_logic;
halt : out std_logic;
intr : in std_logic;
vma : out std_logic;
io : out std_logic;
rd : out std_logic;
wr : out std_logic;
fetch : out std_logic;
data_in : in std_logic_vector(7 downto 0);
data_out : out std_logic_vector(7 downto 0);
clk : in std_logic;
reset : in std_logic );
end light8080;
--##############################################################################
-- All memory and io accesses are synchronous (rising clock edge). Signal vma
-- works as the master memory and io synchronous enable. More specifically:
--
-- * All memory/io control signals (io,rd,wr) are valid only when vma is
-- high. They never activate when vms is inactive.
-- * Signals data_out and address are only valid when vma='1'. The high
-- address byte is 0x00 for all io accesses.
-- * Signal data_in should be valid by the end of the cycle after vma='1',
-- data is clocked in by the rising clock edge.
--
-- All signals are assumed to be synchronous to the master clock. Prevention of
-- metastability, if necessary, is up to you.
--
-- Signal reset needs to be active for just 1 clock cycle (it is sampled on a
-- positive clock edge and is subject to setup and hold times).
-- Once reset is deasserted, the first fetch at address 0x0000 will happen 4
-- cycles later.
--
-- Signal intr is sampled on all positive clock edges. If asserted when inte is
-- high, interrupts will be disabled, inta will be asserted high and a fetch
-- cycle will occur. The fetched instruction will be executed normally, except
-- PC will not be valid in any subsequent fetch cycles of the same instruction,
-- and will not be incremented (In practice, the same as the original 8080).
-- inta will remain high for the duration of the fetched instruction (in the
-- original 8080 it was high only for the opcode fetch cycle).
-- PC will not be autoincremented while inta is high, but it can be explicitly
-- modified (e.g. RTS, CALL, etc.). Again, the same as the original.
-- Interrupts will be disabled upon assertion of inta, and remain disabled
-- until explicitly enabled by the program (as in the original).
--
-- The above means that any instruction can be supplied in an inta cycle,
-- either single byte or multibyte. See the design notes.
--##############################################################################
architecture microcoded of light8080 is
-- addr_low: low byte of address
signal addr_low : std_logic_vector(7 downto 0);
-- IR: instruction register. some bits left unused.
signal IR : std_logic_vector(7 downto 0);
-- s_field: IR field, sss source reg code
signal s_field : std_logic_vector(2 downto 0);
-- d_field: IR field, ddd destination reg code
signal d_field : std_logic_vector(2 downto 0);
-- p_field: IR field, pp 16-bit reg pair code
signal p_field : std_logic_vector(1 downto 0);
-- rbh: 1 when p_field=11, used in reg bank addressing for 'special' regs
signal rbh : std_logic; -- 1 when P=11 (special case)
-- alu_op: uinst field, ALU operation code
signal alu_op : std_logic_vector(3 downto 0);
-- DI: data input to ALU block from data_in, unregistered
signal DI : std_logic_vector(7 downto 0);
-- uc_addr: microcode (ucode) address
signal uc_addr : std_logic_vector(7 downto 0);
-- next_uc_addr: computed next microcode address (uaddr++/jump/ret/fetch)
signal next_uc_addr : std_logic_vector(8 downto 0);
-- uc_jmp_addr: uinst field, absolute ucode jump address
signal uc_jmp_addr : std_logic_vector(7 downto 0);
-- uc_ret_address: ucode return address saved in previous jump
signal uc_ret_addr : std_logic_vector(7 downto 0);
-- addr_plus_1: uaddr + 1
signal addr_plus_1 : std_logic_vector(7 downto 0);
-- do_reset: reset, delayed 1 cycle -- used to reset the microcode sequencer
signal do_reset : std_logic;
-- uc_flags1: uinst field, encoded flag of group 1 (see ucode file)
signal uc_flags1 : std_logic_vector(2 downto 0);
-- uc_flags2: uinst field, encoded flag of group 2 (see ucode file)
signal uc_flags2 : std_logic_vector(2 downto 0);
-- uc_addr_sel: selection of next uc_addr, composition of 4 flags
signal uc_addr_sel : std_logic_vector(3 downto 0);
-- NOTE: see microcode file for information on flags
signal uc_jsr : std_logic; -- uinst field, decoded 'jsr' flag
signal uc_tjsr : std_logic; -- uinst field, decoded 'tjsr' flag
signal uc_decode : std_logic; -- uinst field, decoded 'decode' flag
signal uc_end : std_logic; -- uinst field, decoded 'end' flag
signal condition_reg :std_logic; -- registered tjst condition
-- condition: tjsr condition (computed ccc condition from '80 instructions)
signal condition : std_logic;
-- condition_sel: IR field, ccc condition code
signal condition_sel :std_logic_vector(2 downto 0);
signal uc_do_jmp : std_logic; -- uinst jump (jsr/tjsr) flag, pipelined
signal uc_do_ret : std_logic; -- ret flag, pipelined
signal uc_halt_flag : std_logic; -- uinst field, decoded 'halt' flag
signal uc_halt : std_logic; -- halt command
signal halt_reg : std_logic; -- halt status reg, output as 'halt' signal
signal uc_ei : std_logic; -- uinst field, decoded 'ei' flag
signal uc_di : std_logic; -- uinst field, decoded 'ei' flag
signal inte_reg : std_logic; -- inte status reg, output as 'inte' signal
signal int_pending : std_logic; -- intr requested, inta not active yet
signal inta_reg : std_logic; -- inta status reg, output as 'inta'
signal clr_t1 : std_logic; -- uinst field, explicitly erase T1
signal do_clr_t1 : std_logic; -- clr_t1 pipelined
signal clr_t2 : std_logic; -- uinst field, explicitly erase T2
signal do_clr_t2 : std_logic; -- clr_t2 pipelined
signal ucode : std_logic_vector(31 downto 0); -- microcode word
signal ucode_field2 : std_logic_vector(24 downto 0); -- pipelined microcode
-- microcode ROM : see design notes and microcode source file
type t_rom is array (0 to 511) of std_logic_vector(31 downto 0);
signal rom : t_rom := (
"00000000000000000000000000000000", -- 000
"00000000000001001000000001000100", -- 001
"00000000000001000000000001000100", -- 002
"10111101101001001000000001001101", -- 003
"10110110101001000000000001001101", -- 004
"00100000000000000000000000000000", -- 005
"00000000000000000000000000000000", -- 006
"11100100000000000000000000000000", -- 007
"00000000101010000000000000000000", -- 008
"00000100000100000000000001010111", -- 009
"00001000000000000000110000011001", -- 00a
"00000100000100000000000001010111", -- 00b
"00000000101010000000000010010111", -- 00c
"00001000000000000000110000011100", -- 00d
"00001000000000000000110000011111", -- 00e
"00000100000100000000000001010111", -- 00f
"00001000000000000000110000011111", -- 010
"00001000000000000000110000011100", -- 011
"00001000000000000000110000011111", -- 012
"00000000000110001000000001010111", -- 013
"00001000000000000000110000011111", -- 014
"00000100000110000000000001010111", -- 015
"00001000000000000000110000101110", -- 016
"00001000000000000000110000100010", -- 017
"00000100000000111000000001010111", -- 018
"00001000000000000000110000101110", -- 019
"00000000101000111000000010010111", -- 01a
"00001000000000000000110000100101", -- 01b
"00001000000000000000110000101110", -- 01c
"10111101101001100000000001001101", -- 01d
"10110110101001101000000001001101", -- 01e
"00000000100000101000000001010111", -- 01f
"00001000000000000000110000100010", -- 020
"00000100000000100000000001010111", -- 021
"00001000000000000000110000101110", -- 022
"00000000101000101000000010010111", -- 023
"10111101101001100000000001001101", -- 024
"10111010101001101000000001001101", -- 025
"00000000101000100000000010010111", -- 026
"00001000000000000000110000100101", -- 027
"00001000000000000000110000101000", -- 028
"00000100000000111000000001010111", -- 029
"00000000101000111000000010010111", -- 02a
"00001000000000000000110000101011", -- 02b
"00000000101000010000000000000000", -- 02c
"00000000000001010000000001010111", -- 02d
"00000000101000011000000000000000", -- 02e
"00000000000001011000000001010111", -- 02f
"00000000101000100000000000000000", -- 030
"00000000000000010000000001010111", -- 031
"00000000101000101000000000000000", -- 032
"00000000000000011000000001010111", -- 033
"00000000101001010000000000000000", -- 034
"00000000000000100000000001010111", -- 035
"00000000101001011000000000000000", -- 036
"00000100000000101000000001010111", -- 037
"00001000000000000000110000011111", -- 038
"00000100011000111000001101001100", -- 039
"00001000000000000000110000011111", -- 03a
"00000100011000111000001101001101", -- 03b
"00001000000000000000110000011111", -- 03c
"00000100011000111000001101001110", -- 03d
"00001000000000000000110000011111", -- 03e
"00000100011000111000001101001111", -- 03f
"00001000000000000000110000011111", -- 040
"00000100011000111000001101000100", -- 041
"00001000000000000000110000011111", -- 042
"00000100011000111000001101000101", -- 043
"00001000000000000000110000011111", -- 044
"00000100011000111000001101000110", -- 045
"00001000000000000000110000011111", -- 046
"00000100011000111000001110001110", -- 047
"00000000101010000000000000000000", -- 048
"00000100011000111000001101001100", -- 049
"00000000101010000000000000000000", -- 04a
"00000100011000111000001101001101", -- 04b
"00000000101010000000000000000000", -- 04c
"00000100011000111000001101001110", -- 04d
"00000000101010000000000000000000", -- 04e
"00000100011000111000001101001111", -- 04f
"00000000101010000000000000000000", -- 050
"00000100011000111000001101000100", -- 051
"00000000101010000000000000000000", -- 052
"00000100011000111000001101000101", -- 053
"00000000101010000000000000000000", -- 054
"00000100011000111000001101000110", -- 055
"00000000101010000000000000000000", -- 056
"00000100011000111000001110001110", -- 057
"00001000000000000000110000011001", -- 058
"00000100011000111000001101001100", -- 059
"00001000000000000000110000011001", -- 05a
"00000100011000111000001101001101", -- 05b
"00001000000000000000110000011001", -- 05c
"00000100011000111000001101001110", -- 05d
"00001000000000000000110000011001", -- 05e
"00000100011000111000001101001111", -- 05f
"00001000000000000000110000011001", -- 060
"00000100011000111000001101000100", -- 061
"00001000000000000000110000011001", -- 062
"00000100011000111000001101000101", -- 063
"00001000000000000000110000011001", -- 064
"00000100011000111000001101000110", -- 065
"00001000000000000000110000011001", -- 066
"00000100011000111000001110001110", -- 067
"10111100101100000000001001001101", -- 068
"00000100000000000000000000000000", -- 069
"00001000000000000000110000011001", -- 06a
"10111100000000000000001010001101", -- 06b
"00001000000000000000110000011100", -- 06c
"10111100011100000000001001001111", -- 06d
"00000100000000000000000000000000", -- 06e
"00001000000000000000110000011001", -- 06f
"11000000000000000000000000000000", -- 070
"10111100011001010000001010001111", -- 071
"00001000000000000000110000011100", -- 072
"10111100101110001000000001001101", -- 073
"10100100101110000000000001001101", -- 074
"10111100011110001000000001001111", -- 075
"10100100011110000000000001001111", -- 076
"00000000011110001000000000000000", -- 077
"00000000101000101000000101001100", -- 078
"00000000011110000000000000000000", -- 079
"00000100101000100000000101001101", -- 07a
"00000000101000111000000010101000", -- 07b
"00000100101000111000001101101000", -- 07c
"00000100101000111000000101000000", -- 07d
"00000100101000111000000101000001", -- 07e
"00000100101000111000000101000010", -- 07f
"00000100101000111000000101000011", -- 080
"00000100101000111000000001000111", -- 081
"00000100000000000000000100101100", -- 082
"00000100000000000000000100101101", -- 083
"00001000000000000000110000101110", -- 084
"00000000101001100000000000000000", -- 085
"00000000000001001000000001010111", -- 086
"00000000101001101000000000000000", -- 087
"00000100000001000000000001010111", -- 088
"00000100000000000000000000000000", -- 089
"00001000000000000000110000101110", -- 08a
"00010000000000000000100000000101", -- 08b
"00001000000000000000110000101110", -- 08c
"11000000101001000000000010010111", -- 08d
"00001000000000000000110000110100", -- 08e
"11000000101001001000000010010111", -- 08f
"00001000000000000000110000110100", -- 090
"00000000101001100000000000000000", -- 091
"00000000000001001000000001010111", -- 092
"00000000101001101000000000000000", -- 093
"00000100000001000000000001010111", -- 094
"00001000000000000000110000101110", -- 095
"00010000000000000000100000001101", -- 096
"00001000000000000000110000111001", -- 097
"00000000000001001000000001010111", -- 098
"00001000000000000000110000111001", -- 099
"00000100000001000000000001010111", -- 09a
"00010000000000000000100000010111", -- 09b
"11000000101001000000000010010111", -- 09c
"00001000000000000000110000110100", -- 09d
"11000000101001001000000010010111", -- 09e
"00001000000000000000110000110100", -- 09f
"11000000000001001000000001011111", -- 0a0
"00000100000001000000000001000100", -- 0a1
"00000000101000101000000000000000", -- 0a2
"00000000000001001000000001010111", -- 0a3
"00000000101000100000000000000000", -- 0a4
"00000100000001000000000001010111", -- 0a5
"11000000101110000000000010010111", -- 0a6
"00001000000000000000110000110100", -- 0a7
"11000000101110001000000010010111", -- 0a8
"00001000000000000000110000110100", -- 0a9
"00000100000000000000000000000000", -- 0aa
"11000000101000111000000010010111", -- 0ab
"00001000000000000000110000110100", -- 0ac
"11000000000000000000000010110000", -- 0ad
"00001000000000000000110000110100", -- 0ae
"00000100000000000000000000000000", -- 0af
"00001000000000000000110000111001", -- 0b0
"00000000000110001000000001010111", -- 0b1
"00001000000000000000110000111001", -- 0b2
"00000100000110000000000001010111", -- 0b3
"00001000000000000000110000111001", -- 0b4
"00000000000000110000001101010111", -- 0b5
"00001000000000000000110000111001", -- 0b6
"00000100000000111000000001010111", -- 0b7
"00001000000000000000110000111001", -- 0b8
"00000000000001100000000001010111", -- 0b9
"00001000000000000000110000111001", -- 0ba
"00000000000001101000000001010111", -- 0bb
"11000000101000100000000010010111", -- 0bc
"00001000000000000000110000110100", -- 0bd
"11000000101000101000000010010111", -- 0be
"00001000000000000000110000110100", -- 0bf
"00000000101001100000000000000000", -- 0c0
"00000000000000101000000001010111", -- 0c1
"00000000101001101000000000000000", -- 0c2
"00000100000000100000000001010111", -- 0c3
"00000000101000101000000000000000", -- 0c4
"00000000000001111000000001010111", -- 0c5
"00000000101000100000000000000000", -- 0c6
"00000100000001110000000001010111", -- 0c7
"01100100000000000000000000000000", -- 0c8
"01000100000000000000000000000000", -- 0c9
"00000000000001101000000001010111", -- 0ca
"00001000000000000000110000011111", -- 0cb
"00000000000001100000000001010111", -- 0cc
"00000000000000000000000000000000", -- 0cd
"00000001101001100000000000000000", -- 0ce
"10010110101001101000000000000000", -- 0cf
"00000100100000111000000001010111", -- 0d0
"00000000000001101000000001010111", -- 0d1
"00001000000000000000110000011111", -- 0d2
"00000000000001100000000001010111", -- 0d3
"00000000101000111000000010010111", -- 0d4
"00000001101001100000000000000000", -- 0d5
"10011010101001101000000000000000", -- 0d6
"00000100000000000000000000000000", -- 0d7
"11100100000000000000000000000000", -- 0d8
"00000001101000101000000000000000", -- 0d9
"00010110101000100000000000000000", -- 0da
"00001100100001010000000001010111", -- 0db
"00000001101000101000000000000000", -- 0dc
"00011010101000100000000000000000", -- 0dd
"00000100000000000000000000000000", -- 0de
"10111101101001001000000001001101", -- 0df
"10110110101001000000000001001101", -- 0e0
"00001100100000000000000010010111", -- 0e1
"00000001101001100000000000000000", -- 0e2
"00010110101001101000000000000000", -- 0e3
"00001100100000000000000000000000", -- 0e4
"00000001101001100000000000000000", -- 0e5
"00011010101001101000000000000000", -- 0e6
"00000100000000000000000000000000", -- 0e7
"00000001101110001000000000000000", -- 0e8
"00010110101110000000000000000000", -- 0e9
"00001100100000000000000000000000", -- 0ea
"00000001101110001000000000000000", -- 0eb
"00011010101110000000000000000000", -- 0ec
"00000100000000000000000000000000", -- 0ed
"10111101101001001000000001001101", -- 0ee
"10110110101001000000000001001101", -- 0ef
"00000000100001100000000001010111", -- 0f0
"10111101101001001000000001001101", -- 0f1
"10110110101001000000000001001101", -- 0f2
"00001100100001101000000001010111", -- 0f3
"10111100011001111000000001001111", -- 0f4
"10100000011001110000000001001111", -- 0f5
"00000001101001111000000000000000", -- 0f6
"00011010101001110000000000000000", -- 0f7
"00001100000000000000000000000000", -- 0f8
"10111101101001111000000001001101", -- 0f9
"10110110101001110000000001001101", -- 0fa
"00001100100000000000000000000000", -- 0fb
"00000100000000000000000000000000", -- 0fc
"00000100000000000000000000000000", -- 0fd
"00000100000000000000000000000000", -- 0fe
"00000100000000000000000000000000", -- 0ff
"00001000000000000000100000001001", -- 100
"00001000000000000000000000010010", -- 101
"00001000000000000000000000101010", -- 102
"00001000000000000000010000110011", -- 103
"00001000000000000000010000101000", -- 104
"00001000000000000000010000101101", -- 105
"00001000000000000000000000001110", -- 106
"00001000000000000000010000111101", -- 107
"00001000000000000000000000000000", -- 108
"00001000000000000000010000110111", -- 109
"00001000000000000000000000101000", -- 10a
"00001000000000000000010000110101", -- 10b
"00001000000000000000010000101000", -- 10c
"00001000000000000000010000101101", -- 10d
"00001000000000000000000000001110", -- 10e
"00001000000000000000010000111110", -- 10f
"00001000000000000000000000000000", -- 110
"00001000000000000000000000010010", -- 111
"00001000000000000000000000101010", -- 112
"00001000000000000000010000110011", -- 113
"00001000000000000000010000101000", -- 114
"00001000000000000000010000101101", -- 115
"00001000000000000000000000001110", -- 116
"00001000000000000000010000111111", -- 117
"00001000000000000000000000000000", -- 118
"00001000000000000000010000110111", -- 119
"00001000000000000000000000101000", -- 11a
"00001000000000000000010000110101", -- 11b
"00001000000000000000010000101000", -- 11c
"00001000000000000000010000101101", -- 11d
"00001000000000000000000000001110", -- 11e
"00001000000000000000100000000000", -- 11f
"00001000000000000000000000000000", -- 120
"00001000000000000000000000010010", -- 121
"00001000000000000000000000100010", -- 122
"00001000000000000000010000110011", -- 123
"00001000000000000000010000101000", -- 124
"00001000000000000000010000101101", -- 125
"00001000000000000000000000001110", -- 126
"00001000000000000000010000111011", -- 127
"00001000000000000000000000000000", -- 128
"00001000000000000000010000110111", -- 129
"00001000000000000000000000011100", -- 12a
"00001000000000000000010000110101", -- 12b
"00001000000000000000010000101000", -- 12c
"00001000000000000000010000101101", -- 12d
"00001000000000000000000000001110", -- 12e
"00001000000000000000100000000001", -- 12f
"00001000000000000000000000000000", -- 130
"00001000000000000000000000010010", -- 131
"00001000000000000000000000011001", -- 132
"00001000000000000000010000110011", -- 133
"00001000000000000000010000101010", -- 134
"00001000000000000000010000101111", -- 135
"00001000000000000000000000010000", -- 136
"00001000000000000000100000000011", -- 137
"00001000000000000000000000000000", -- 138
"00001000000000000000010000110111", -- 139
"00001000000000000000000000010110", -- 13a
"00001000000000000000010000110101", -- 13b
"00001000000000000000010000101000", -- 13c
"00001000000000000000010000101101", -- 13d
"00001000000000000000000000001110", -- 13e
"00001000000000000000100000000010", -- 13f
"00001000000000000000000000001000", -- 140
"00001000000000000000000000001000", -- 141
"00001000000000000000000000001000", -- 142
"00001000000000000000000000001000", -- 143
"00001000000000000000000000001000", -- 144
"00001000000000000000000000001000", -- 145
"00001000000000000000000000001010", -- 146
"00001000000000000000000000001000", -- 147
"00001000000000000000000000001000", -- 148
"00001000000000000000000000001000", -- 149
"00001000000000000000000000001000", -- 14a
"00001000000000000000000000001000", -- 14b
"00001000000000000000000000001000", -- 14c
"00001000000000000000000000001000", -- 14d
"00001000000000000000000000001010", -- 14e
"00001000000000000000000000001000", -- 14f
"00001000000000000000000000001000", -- 150
"00001000000000000000000000001000", -- 151
"00001000000000000000000000001000", -- 152
"00001000000000000000000000001000", -- 153
"00001000000000000000000000001000", -- 154
"00001000000000000000000000001000", -- 155
"00001000000000000000000000001010", -- 156
"00001000000000000000000000001000", -- 157
"00001000000000000000000000001000", -- 158
"00001000000000000000000000001000", -- 159
"00001000000000000000000000001000", -- 15a
"00001000000000000000000000001000", -- 15b
"00001000000000000000000000001000", -- 15c
"00001000000000000000000000001000", -- 15d
"00001000000000000000000000001010", -- 15e
"00001000000000000000000000001000", -- 15f
"00001000000000000000000000001000", -- 160
"00001000000000000000000000001000", -- 161
"00001000000000000000000000001000", -- 162
"00001000000000000000000000001000", -- 163
"00001000000000000000000000001000", -- 164
"00001000000000000000000000001000", -- 165
"00001000000000000000000000001010", -- 166
"00001000000000000000000000001000", -- 167
"00001000000000000000000000001000", -- 168
"00001000000000000000000000001000", -- 169
"00001000000000000000000000001000", -- 16a
"00001000000000000000000000001000", -- 16b
"00001000000000000000000000001000", -- 16c
"00001000000000000000000000001000", -- 16d
"00001000000000000000000000001010", -- 16e
"00001000000000000000000000001000", -- 16f
"00001000000000000000000000001100", -- 170
"00001000000000000000000000001100", -- 171
"00001000000000000000000000001100", -- 172
"00001000000000000000000000001100", -- 173
"00001000000000000000000000001100", -- 174
"00001000000000000000000000001100", -- 175
"00001000000000000000110000011000", -- 176
"00001000000000000000000000001100", -- 177
"00001000000000000000000000001000", -- 178
"00001000000000000000000000001000", -- 179
"00001000000000000000000000001000", -- 17a
"00001000000000000000000000001000", -- 17b
"00001000000000000000000000001000", -- 17c
"00001000000000000000000000001000", -- 17d
"00001000000000000000000000001010", -- 17e
"00001000000000000000000000001000", -- 17f
"00001000000000000000010000001000", -- 180
"00001000000000000000010000001000", -- 181
"00001000000000000000010000001000", -- 182
"00001000000000000000010000001000", -- 183
"00001000000000000000010000001000", -- 184
"00001000000000000000010000001000", -- 185
"00001000000000000000010000011000", -- 186
"00001000000000000000010000001000", -- 187
"00001000000000000000010000001010", -- 188
"00001000000000000000010000001010", -- 189
"00001000000000000000010000001010", -- 18a
"00001000000000000000010000001010", -- 18b
"00001000000000000000010000001010", -- 18c
"00001000000000000000010000001010", -- 18d
"00001000000000000000010000011010", -- 18e
"00001000000000000000010000001010", -- 18f
"00001000000000000000010000001100", -- 190
"00001000000000000000010000001100", -- 191
"00001000000000000000010000001100", -- 192
"00001000000000000000010000001100", -- 193
"00001000000000000000010000001100", -- 194
"00001000000000000000010000001100", -- 195
"00001000000000000000010000011100", -- 196
"00001000000000000000010000001100", -- 197
"00001000000000000000010000001110", -- 198
"00001000000000000000010000001110", -- 199
"00001000000000000000010000001110", -- 19a
"00001000000000000000010000001110", -- 19b
"00001000000000000000010000001110", -- 19c
"00001000000000000000010000001110", -- 19d
"00001000000000000000010000011110", -- 19e
"00001000000000000000010000001110", -- 19f
"00001000000000000000010000010000", -- 1a0
"00001000000000000000010000010000", -- 1a1
"00001000000000000000010000010000", -- 1a2
"00001000000000000000010000010000", -- 1a3
"00001000000000000000010000010000", -- 1a4
"00001000000000000000010000010000", -- 1a5
"00001000000000000000010000100000", -- 1a6
"00001000000000000000010000010000", -- 1a7
"00001000000000000000010000010010", -- 1a8
"00001000000000000000010000010010", -- 1a9
"00001000000000000000010000010010", -- 1aa
"00001000000000000000010000010010", -- 1ab
"00001000000000000000010000010010", -- 1ac
"00001000000000000000010000010010", -- 1ad
"00001000000000000000010000100010", -- 1ae
"00001000000000000000010000010010", -- 1af
"00001000000000000000010000010100", -- 1b0
"00001000000000000000010000010100", -- 1b1
"00001000000000000000010000010100", -- 1b2
"00001000000000000000010000010100", -- 1b3
"00001000000000000000010000010100", -- 1b4
"00001000000000000000010000010100", -- 1b5
"00001000000000000000010000100100", -- 1b6
"00001000000000000000010000010100", -- 1b7
"00001000000000000000010000010110", -- 1b8
"00001000000000000000010000010110", -- 1b9
"00001000000000000000010000010110", -- 1ba
"00001000000000000000010000010110", -- 1bb
"00001000000000000000010000010110", -- 1bc
"00001000000000000000010000010110", -- 1bd
"00001000000000000000010000100110", -- 1be
"00001000000000000000010000010110", -- 1bf
"00001000000000000000100000011011", -- 1c0
"00001000000000000000100000110000", -- 1c1
"00001000000000000000100000001010", -- 1c2
"00001000000000000000100000000100", -- 1c3
"00001000000000000000100000010101", -- 1c4
"00001000000000000000100000100110", -- 1c5
"00001000000000000000000000111000", -- 1c6
"00001000000000000000100000011100", -- 1c7
"00001000000000000000100000011011", -- 1c8
"00001000000000000000100000010111", -- 1c9
"00001000000000000000100000001010", -- 1ca
"00001000000000000000000000000000", -- 1cb
"00001000000000000000100000010101", -- 1cc
"00001000000000000000100000001100", -- 1cd
"00001000000000000000000000111010", -- 1ce
"00001000000000000000100000011100", -- 1cf
"00001000000000000000100000011011", -- 1d0
"00001000000000000000100000110000", -- 1d1
"00001000000000000000100000001010", -- 1d2
"00001000000000000000110000010001", -- 1d3
"00001000000000000000100000010101", -- 1d4
"00001000000000000000100000100110", -- 1d5
"00001000000000000000000000111100", -- 1d6
"00001000000000000000100000011100", -- 1d7
"00001000000000000000100000011011", -- 1d8
"00001000000000000000000000000000", -- 1d9
"00001000000000000000100000001010", -- 1da
"00001000000000000000110000001010", -- 1db
"00001000000000000000100000010101", -- 1dc
"00001000000000000000000000000000", -- 1dd
"00001000000000000000000000111110", -- 1de
"00001000000000000000100000011100", -- 1df
"00001000000000000000100000011011", -- 1e0
"00001000000000000000100000110000", -- 1e1
"00001000000000000000100000001010", -- 1e2
"00001000000000000000100000111000", -- 1e3
"00001000000000000000100000010101", -- 1e4
"00001000000000000000100000100110", -- 1e5
"00001000000000000000010000000000", -- 1e6
"00001000000000000000100000011100", -- 1e7
"00001000000000000000100000011011", -- 1e8
"00001000000000000000100000100010", -- 1e9
"00001000000000000000100000001010", -- 1ea
"00001000000000000000000000101100", -- 1eb
"00001000000000000000100000010101", -- 1ec
"00001000000000000000000000000000", -- 1ed
"00001000000000000000010000000010", -- 1ee
"00001000000000000000100000011100", -- 1ef
"00001000000000000000100000011011", -- 1f0
"00001000000000000000100000110100", -- 1f1
"00001000000000000000100000001010", -- 1f2
"00001000000000000000110000001001", -- 1f3
"00001000000000000000100000010101", -- 1f4
"00001000000000000000100000101011", -- 1f5
"00001000000000000000010000000100", -- 1f6
"00001000000000000000100000011100", -- 1f7
"00001000000000000000100000011011", -- 1f8
"00001000000000000000110000000100", -- 1f9
"00001000000000000000100000001010", -- 1fa
"00001000000000000000110000001000", -- 1fb
"00001000000000000000100000010101", -- 1fc
"00001000000000000000000000000000", -- 1fd
"00001000000000000000010000000110", -- 1fe
"00001000000000000000100000011100" -- 1ff
);
-- end of microcode ROM
signal load_al : std_logic; -- uinst field, load AL reg from rbank
signal load_addr : std_logic; -- uinst field, enable external addr reg load
signal load_t1 : std_logic; -- uinst field, load reg T1
signal load_t2 : std_logic; -- uinst field, load reg T2
signal mux_in : std_logic; -- uinst field, T1/T2 input data selection
signal load_do : std_logic; -- uinst field, pipelined, load DO reg
-- rb_addr_sel: uinst field, rbank address selection: (sss,ddd,pp,ra_field)
signal rb_addr_sel : std_logic_vector(1 downto 0);
-- ra_field: uinst field, explicit reg bank address
signal ra_field : std_logic_vector(3 downto 0);
signal rbank_data : std_logic_vector(7 downto 0); -- rbank output
signal alu_output : std_logic_vector(7 downto 0); -- ALU output
-- data_output: datapath output: ALU output vs. F reg
signal data_output : std_logic_vector(7 downto 0);
signal T1 : std_logic_vector(7 downto 0); -- T1 reg (ALU operand)
signal T2 : std_logic_vector(7 downto 0); -- T2 reg (ALU operand)
-- alu_input: data loaded into T1, T2: rbank data vs. DI
signal alu_input : std_logic_vector(7 downto 0);
signal we_rb : std_logic; -- uinst field, commands a write to the rbank
signal inhibit_pc_increment : std_logic; -- avoid PC changes (during INTA)
signal rbank_rd_addr: std_logic_vector(3 downto 0); -- rbank rd addr
signal rbank_wr_addr: std_logic_vector(3 downto 0); -- rbank wr addr
signal DO : std_logic_vector(7 downto 0); -- data output reg
-- Register bank as an array of 16 bytes (asynch. LUT ram)
type t_reg_bank is array(0 to 15) of std_logic_vector(7 downto 0);
-- Register bank : BC, DE, HL, AF, [PC, XY, ZW, SP]
signal rbank : t_reg_bank;
signal flag_reg : std_logic_vector(7 downto 0); -- F register
-- flag_pattern: uinst field, F update pattern: which flags are updated
signal flag_pattern : std_logic_vector(1 downto 0);
signal flag_s : std_logic; -- new computed S flag
signal flag_z : std_logic; -- new computed Z flag
signal flag_p : std_logic; -- new computed P flag
signal flag_cy : std_logic; -- new computed C flag
signal flag_cy_1 : std_logic; -- C flag computed from arith/logic operation
signal flag_cy_2 : std_logic; -- C flag computed from CPC circuit
signal do_cy_op : std_logic; -- ALU explicit CY operation (CPC, etc.)
signal do_cy_op_d : std_logic; -- do_cy_op, pipelined
signal do_cpc : std_logic; -- ALU operation is CPC
signal do_cpc_d : std_logic; -- do_cpc, pipelined
signal do_daa : std_logic; -- ALU operation is DAA
signal flag_ac : std_logic; -- new computed half carry flag
-- flag_aux_cy: new computed half carry flag (used in 16-bit ops)
signal flag_aux_cy : std_logic;
signal load_psw : std_logic; -- load F register
-- aux carry computation and control signals
signal use_aux : std_logic; -- decoded from flags in 1st phase
signal use_aux_cy : std_logic; -- 2nd phase signal
signal reg_aux_cy : std_logic;
signal aux_cy_in : std_logic;
signal set_aux_cy : std_logic;
signal set_aux : std_logic;
-- ALU control signals -- together they select ALU operation
signal alu_fn : std_logic_vector(1 downto 0);
signal use_logic : std_logic; -- logic/arith mux control
signal mux_fn : std_logic_vector(1 downto 0);
signal use_psw : std_logic; -- ALU/F mux control
-- ALU arithmetic operands and result
signal arith_op1 : std_logic_vector(8 downto 0);
signal arith_op2 : std_logic_vector(8 downto 0);
signal arith_op2_sgn: std_logic_vector(8 downto 0);
signal arith_res : std_logic_vector(8 downto 0);
signal arith_res8 : std_logic_vector(7 downto 0);
-- ALU DAA intermediate signals (DAA has fully dedicated logic)
signal daa_res : std_logic_vector(8 downto 0);
signal daa_res8 : std_logic_vector(7 downto 0);
signal daa_res9 : std_logic_vector(8 downto 0);
signal daa_test1 : std_logic;
signal daa_test1a : std_logic;
signal daa_test2 : std_logic;
signal daa_test2a : std_logic;
signal arith_daa_res :std_logic_vector(7 downto 0);
signal cy_daa : std_logic;
-- ALU CY flag intermediate signals
signal cy_in_sgn : std_logic;
signal cy_in : std_logic;
signal cy_in_gated : std_logic;
signal cy_adder : std_logic;
signal cy_arith : std_logic;
signal cy_shifter : std_logic;
-- ALU intermediate results
signal logic_res : std_logic_vector(7 downto 0);
signal shift_res : std_logic_vector(7 downto 0);
signal alu_mux1 : std_logic_vector(7 downto 0);
begin
DI <= data_in;
process(clk) -- IR register, load when uc_decode flag activates
begin
if clk'event and clk='1' then
if uc_decode = '1' then
IR <= DI;
end if;
end if;
end process;
s_field <= IR(2 downto 0); -- IR field extraction : sss reg code
d_field <= IR(5 downto 3); -- ddd reg code
p_field <= IR(5 downto 4); -- pp 16-bit reg pair code
--##############################################################################
-- Microcode sequencer
process(clk) -- do_reset is reset delayed 1 cycle
begin
if clk'event and clk='1' then
do_reset <= reset;
end if;
end process;
uc_flags1 <= ucode(31 downto 29);
uc_flags2 <= ucode(28 downto 26);
-- microcode address control flags are gated by do_reset (reset has priority)
uc_do_ret <= '1' when uc_flags2 = "011" and do_reset = '0' else '0';
uc_jsr <= '1' when uc_flags2 = "010" and do_reset = '0' else '0';
uc_tjsr <= '1' when uc_flags2 = "100" and do_reset = '0' else '0';
uc_decode <= '1' when uc_flags1 = "001" and do_reset = '0' else '0';
uc_end <= '1' when (uc_flags2 = "001" or (uc_tjsr='1' and condition_reg='0'))
and do_reset = '0' else '0';
-- other microinstruction flags are decoded
uc_halt_flag <= '1' when uc_flags1 = "111" else '0';
uc_halt <= '1' when uc_halt_flag='1' and inta_reg='0' else '0';
uc_ei <= '1' when uc_flags1 = "011" else '0';
uc_di <= '1' when uc_flags1 = "010" or inta_reg='1' else '0';
-- clr_t1/2 clears T1/T2 when explicitly commanded; T2 and T1 clear implicitly
-- at the end of each instruction (by uc_decode)
clr_t2 <= '1' when uc_flags2 = "001" else '0';
clr_t1 <= '1' when uc_flags1 = "110" else '0';
use_aux <= '1' when uc_flags1 = "101" else '0';
set_aux <= '1' when uc_flags2 = "111" else '0';
load_al <= ucode(24);
load_addr <= ucode(25);
do_cy_op_d <= '1' when ucode(5 downto 2)="1011" else '0'; -- decode CY ALU op
do_cpc_d <= ucode(0); -- decode CPC ALU op
-- uinst jump command, either unconditional or on a given condition
uc_do_jmp <= uc_jsr or (uc_tjsr and condition_reg);
vma <= load_addr; -- addr is valid, either for memmory or io
-- assume the only uinst that does memory access in the range 0..f is 'fetch'
fetch <= '1' when uc_addr(7 downto 4)=X"0" and load_addr='1' else '0';
-- external bus interface control signals
io <= '1' when uc_flags1="100" else '0'; -- IO access (vs. memory)
rd <= '1' when uc_flags2="101" else '0'; -- RD access
wr <= '1' when uc_flags2="110" else '0'; -- WR access
uc_jmp_addr <= ucode(11 downto 10) & ucode(5 downto 0);
uc_addr_sel <= uc_do_ret & uc_do_jmp & uc_decode & uc_end;
addr_plus_1 <= uc_addr + 1;
-- TODO simplify this!!
-- NOTE: when end='1' we jump either to the FETCH ucode ot to the HALT ucode
-- depending on the value of the halt signal.
-- We use the unregistered uc_halt instead of halt_reg because otherwise #end
-- should be on the cycle following #halt, wasting a cycle.
-- This means that the flag #halt has to be used with #end or will be ignored.
with uc_addr_sel select
next_uc_addr <= '0'&uc_ret_addr when "1000", -- ret
'0'&uc_jmp_addr when "0100", -- jsr/tjsr
'0'&addr_plus_1 when "0000", -- uaddr++
"000000"&uc_halt&"11"
when "0001", -- end: go to fetch/halt uaddr
'1'&DI when others; -- decode fetched address
-- Note how we used DI (containing instruction opcode) as a microcode address
-- read microcode rom
process (clk)
begin
if clk'event and clk='1' then
ucode <= rom(conv_integer(next_uc_addr));
end if;
end process;
-- microcode address register
process (clk)
begin
if clk'event and clk='1' then
if reset = '1' then
uc_addr <= X"00";
else
uc_addr <= next_uc_addr(7 downto 0);
end if;
end if;
end process;
-- ucode address 1-level 'return stack'
process (clk)
begin
if clk'event and clk='1' then
if reset = '1' then
uc_ret_addr <= X"00";
elsif uc_do_jmp='1' then
uc_ret_addr <= addr_plus_1;
end if;
end if;
end process;
alu_op <= ucode(3 downto 0);
-- pipeline uinst field2 for 1-cycle delayed execution.
-- note the same rbank addr field is used in cycles 1 and 2; this enforces
-- some constraints on uinst programming but simplifies the system.
process(clk)
begin
if clk'event and clk='1' then
ucode_field2 <= do_cy_op_d & do_cpc_d & clr_t2 & clr_t1 &
set_aux & use_aux & rbank_rd_addr &
ucode(14 downto 4) & alu_op;
end if;
end process;
--#### HALT logic
process(clk)
begin
if clk'event and clk='1' then
if reset = '1' or int_pending = '1' then --inta_reg
halt_reg <= '0';
else
if uc_halt = '1' then
halt_reg <= '1';
end if;
end if;
end if;
end process;
halt <= halt_reg;
--#### INTE logic -- inte_reg = '1' means interrupts ENABLED
process(clk)
begin
if clk'event and clk='1' then
if reset = '1' then
inte_reg <= '0';
else
if uc_di='1' or uc_ei='1' then
inte_reg <= uc_ei;
end if;
end if;
end if;
end process;
inte <= inte_reg;
-- interrupts are ignored when inte='0'
process(clk)
begin
if clk'event and clk='1' then
if reset = '1' then
int_pending <= '0';
else
if intr = '1' and inte_reg = '1' and int_pending = '0' then
int_pending <= '1';
else
if inte_reg = '1' and uc_end='1' then
int_pending <= '0';
end if;
end if;
end if;
end if;
end process;
--#### INTA logic
-- INTA goes high from END to END, that is for the entire time the instruction
-- takes to fetch and execute; in the original 8080 it was asserted only for
-- the M1 cycle.
-- All instructions can be used in an inta cycle, including XTHL which was
-- forbidden in the original 8080.
-- It's up to you figuring out which cycle is which in multibyte instructions.
process(clk)
begin
if clk'event and clk='1' then
if reset = '1' then
inta_reg <= '0';
else
if int_pending = '1' and uc_end='1' then
-- enter INTA state
inta_reg <= '1';
else
-- exit INTA state
-- NOTE: don't reset inta when exiting halt state (uc_halt_flag='1').
-- If we omit this condition, when intr happens on halt state, inta
-- will only last for 1 cycle, because in halt state uc_end is
-- always asserted.
if uc_end = '1' and uc_halt_flag='0' then
inta_reg <= '0';
end if;
end if;
end if;
end if;
end process;
inta <= inta_reg;
--##############################################################################
-- Datapath
-- extract pipelined microcode fields
ra_field <= ucode(18 downto 15);
load_t1 <= ucode(23);
load_t2 <= ucode(22);
mux_in <= ucode(21);
rb_addr_sel <= ucode(20 downto 19);
load_do <= ucode_field2(7);
set_aux_cy <= ucode_field2(20);
do_clr_t1 <= ucode_field2(21);
do_clr_t2 <= ucode_field2(22);
-- T1 register
process (clk)
begin
if clk'event and clk='1' then
if reset = '1' or uc_decode = '1' or do_clr_t1='1' then
T1 <= X"00";
else
if load_t1 = '1' then
T1 <= alu_input;
end if;
end if;
end if;
end process;
-- T2 register
process (clk)
begin
if clk'event and clk='1' then
if reset = '1' or uc_decode = '1' or do_clr_t2='1' then
T2 <= X"00";
else
if load_t2 = '1' then
T2 <= alu_input;
end if;
end if;
end if;
end process;
-- T1/T2 input data mux
alu_input <= rbank_data when mux_in = '1' else DI;
-- register bank address mux logic
rbh <= '1' when p_field = "11" else '0';
with rb_addr_sel select
rbank_rd_addr <= ra_field when "00",
"0"&s_field when "01",
"0"&d_field when "10",
rbh&p_field&ra_field(0) when others;
-- RBank writes are inhibited in INTA state, but only for PC increments.
inhibit_pc_increment <= '1' when inta_reg='1' and use_aux_cy='1'
and rbank_wr_addr(3 downto 1) = "100"
else '0';
we_rb <= ucode_field2(6) and not inhibit_pc_increment;
-- Register bank logic
-- NOTE: read is asynchronous, while write is synchronous; but note also
-- that write phase for a given uinst happens the cycle after the read phase.
-- This way we give the ALU time to do its job.
rbank_wr_addr <= ucode_field2(18 downto 15);
process(clk)
begin
if clk'event and clk='1' then
if we_rb = '1' then
rbank(conv_integer(rbank_wr_addr)) <= alu_output;
end if;
end if;
end process;
rbank_data <= rbank(conv_integer(rbank_rd_addr));
-- should we read F register or ALU output?
use_psw <= '1' when ucode_field2(5 downto 4)="11" else '0';
data_output <= flag_reg when use_psw = '1' else alu_output;
process (clk)
begin
if clk'event and clk='1' then
if load_do = '1' then
DO <= data_output;
end if;
end if;
end process;
--##############################################################################
-- ALU
alu_fn <= ucode_field2(1 downto 0);
use_logic <= ucode_field2(2);
mux_fn <= ucode_field2(4 downto 3);
--#### make sure this is "00" in the microcode when no F updates should happen!
flag_pattern <= ucode_field2(9 downto 8);
use_aux_cy <= ucode_field2(19);
do_cpc <= ucode_field2(23);
do_cy_op <= ucode_field2(24);
do_daa <= '1' when ucode_field2(5 downto 2) = "1010" else '0';
aux_cy_in <= reg_aux_cy when set_aux_cy = '0' else '1';
-- carry input selection: normal or aux (for 16 bit increments)?
cy_in <= flag_reg(0) when use_aux_cy = '0' else aux_cy_in;
-- carry is not used (0) in add/sub operations
cy_in_gated <= cy_in and alu_fn(0);
--##### Adder/substractor
-- zero extend adder operands to 9 bits to ease CY output synthesis
-- use zero extension because we're only interested in cy from 7 to 8
arith_op1 <= '0' & T2;
arith_op2 <= '0' & T1;
-- The adder/substractor is done in 2 stages to help XSL synth it properly
-- Other codings result in 1 adder + a substractor + 1 mux
-- do 2nd op 2's complement if substracting...
arith_op2_sgn <= arith_op2 when alu_fn(1) = '0' else not arith_op2;
-- ...and complement cy input too
cy_in_sgn <= cy_in_gated when alu_fn(1) = '0' else not cy_in_gated;
-- once 2nd operand has been negated (or not) add operands normally
arith_res <= arith_op1 + arith_op2_sgn + cy_in_sgn;
-- take only 8 bits; 9th bit of adder is cy output
arith_res8 <= arith_res(7 downto 0);
cy_adder <= arith_res(8);
--##### DAA dedicated logic
-- Note a DAA takes 2 cycles to complete!
--daa_test1a='1' when daa_res9(7 downto 4) > 0x06
daa_test1a <= arith_op2(3) and (arith_op2(2) or arith_op2(1) or arith_op2(0));
daa_test1 <= '1' when flag_reg(4)='1' or daa_test1a='1' else '0';
process(clk)
begin
if clk'event and clk='1' then
if reset='1' then
daa_res9 <= "000000000";
else
if daa_test1='1' then
daa_res9 <= arith_op2 + "000000110";
else
daa_res9 <= arith_op2;
end if;
end if;
end if;
end process;
--daa_test2a='1' when daa_res9(7 downto 4) > 0x06 FIXME unused?
daa_test2a <= daa_res9(7) and (daa_res9(6) or daa_res9(5) or daa_res9(4));
daa_test2 <= '1' when flag_reg(0)='1' or daa_test1a='1' else '0';
daa_res <= '0'&daa_res9(7 downto 0) + "01100000" when daa_test2='1'
else daa_res9;
cy_daa <= daa_res(8);
-- DAA vs. adder mux
arith_daa_res <= daa_res(7 downto 0) when do_daa='1' else arith_res8;
-- DAA vs. adder CY mux
cy_arith <= cy_daa when do_daa='1' else cy_adder;
--##### Logic operations block
logic_res <= T1 and T2 when alu_fn = "00" else
T1 xor T2 when alu_fn = "01" else
T1 or T2 when alu_fn = "10" else
not T1;
--##### Shifter
shifter:
for i in 1 to 6 generate
begin
shift_res(i) <= T1(i-1) when alu_fn(0) = '0' else T1(i+1);
end generate;
shift_res(0) <= T1(7) when alu_fn = "00" else -- rot left
cy_in when alu_fn = "10" else -- rot left through carry
T1(1); -- rot right
shift_res(7) <= T1(0) when alu_fn = "01" else -- rot right
cy_in when alu_fn = "11" else -- rot right through carry
T1(6); -- rot left
cy_shifter <= T1(7) when alu_fn(0) = '0' else -- left
T1(0); -- right
alu_mux1 <= logic_res when use_logic = '1' else shift_res;
with mux_fn select
alu_output <= alu_mux1 when "00",
arith_daa_res when "01",
not alu_mux1 when "10",
"00"&d_field&"000" when others; -- RST
--###### flag computation
flag_s <= alu_output(7);
flag_p <= not(alu_output(7) xor alu_output(6) xor alu_output(5) xor alu_output(4) xor
alu_output(3) xor alu_output(2) xor alu_output(1) xor alu_output(0));
flag_z <= '1' when alu_output=X"00" else '0';
flag_ac <= (arith_op1(4) xor arith_op2_sgn(4) xor alu_output(4));
flag_cy_1 <= cy_arith when use_logic = '1' else cy_shifter;
flag_cy_2 <= not flag_reg(0) when do_cpc='0' else '1'; -- cmc, stc
flag_cy <= flag_cy_1 when do_cy_op='0' else flag_cy_2;
flag_aux_cy <= cy_adder;
-- auxiliary carry reg
process(clk)
begin
if clk'event and clk='1' then
if reset='1' or uc_decode = '1' then
reg_aux_cy <= '1'; -- inits to 0 every instruction
else
reg_aux_cy <= flag_aux_cy;
end if;
end if;
end process;
-- load PSW from ALU (i.e. POP AF) or from flag signals
load_psw <= '1' when we_rb='1' and rbank_wr_addr="0110" else '0';
-- The F register has been split in two separate groupt that always update
-- together (C and all others).
-- F register, flags S,Z,AC,P
process(clk)
begin
if clk'event and clk='1' then
if reset='1' then
flag_reg(7) <= '0';
flag_reg(6) <= '0';
flag_reg(4) <= '0';
flag_reg(2) <= '0';
elsif flag_pattern(1) = '1' then
if load_psw = '1' then
flag_reg(7) <= alu_output(7);
flag_reg(6) <= alu_output(6);
flag_reg(4) <= alu_output(4);
flag_reg(2) <= alu_output(2);
else
flag_reg(7) <= flag_s;
flag_reg(6) <= flag_z;
flag_reg(4) <= flag_ac;
flag_reg(2) <= flag_p;
end if;
end if;
end if;
end procesS;
-- F register, flag C
process(clk)
begin
if clk'event and clk='1' then
if reset = '1' then
flag_reg(0) <= '0';
elsif flag_pattern(0) = '1' then
if load_psw = '1' then
flag_reg(0) <= alu_output(0);
else
flag_reg(0) <= flag_cy;
end if;
end if;
end if;
end procesS;
flag_reg(5) <= '0'; -- constant flag
flag_reg(3) <= '0'; -- constant flag
flag_reg(1) <= '1'; -- constant flag
--##### Condition computation
condition_sel <= d_field(2 downto 0);
with condition_sel select
condition <=
not flag_reg(6) when "000", -- NZ
flag_reg(6) when "001", -- Z
not flag_reg(0) when "010", -- NC
flag_reg(0) when "011", -- C
not flag_reg(2) when "100", -- PO
flag_reg(2) when "101", -- PE
not flag_reg(7) when "110", -- P
flag_reg(7) when others;-- M
-- condition is registered to shorten the delay path; the extra 1-cycle
-- delay is not relevant because conditions are tested in the next instruction
-- at the earliest, and there's at least the fetch uinsts intervening.
process(clk)
begin
if clk'event and clk='1' then
if reset = '1' then
condition_reg <= '0';
else
condition_reg <= condition;
end if;
end if;
end process;
-- low byte address register
process(clk)
begin
if clk'event and clk='1' then
if reset = '1' then
addr_low <= X"00";
elsif load_al = '1' then
addr_low <= rbank_data;
end if;
end if;
end process;
-- note external address registers (high byte) are loaded directly from rbank
addr_out <= rbank_data & addr_low;
data_out <= DO;
end microcoded;
--------------------------------------------------------------------------------
-- Timing diagram 1: RD and WR cycles
--------------------------------------------------------------------------------
-- 1 2 3 4 5 6 7 8
-- __ __ __ __ __ __ __ __
-- clk __/ \__/ \__/ \__/ \__/ \__/ \__/ \__/ \__
--
-- addr_o xxxxxxxxxxxxxx< ADR >xxxxxxxxxxx< ADR >xxxxxxxxxxx
--
-- data_i xxxxxxxxxxxxxxxxxxxx< Din >xxxxxxxxxxxxxxxxxxxxxxx
--
-- data_o xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx< Dout>xxxxxxxxxxx
-- _____ _____
-- vma_o ______________/ \___________/ \___________
-- _____
-- rd_o ______________/ \_____________________________
-- _____
-- wr_o ________________________________/ \___________
--
-- (functional diagram, actual time delays not shown)
--------------------------------------------------------------------------------
-- This diagram shows a read cycle and a write cycle back to back.
-- In clock edges (4) and (7), the address is loaded into the external
-- synchronous RAM address register.
-- In clock edge (5), read data is loaded into the CPU.
-- In clock edge (7), write data is loaded into the external synchronous RAM.
-- In actual operation, the CPU does about 1 rd/wr cycle for each 5 clock
-- cycles, which is a waste of RAM bandwidth.
--
| gpl-3.0 | 5cd7de179dccdf9dd8d40c08b12956fa | 0.685864 | 3.78588 | false | false | false | false |
Subsets and Splits